News Story not available This story has been published on: 2022-10-27. To contact the author, please use the contact details within the article. This story is no longer available on our site. 23 2021 , 3 2021 2022 ". Police are investigating what led to the dramatic police crash that saw one officer seriously injured and having to be cut from his patrol car. The car had been parked on the side of the Pacific Motorway southbound near the Helensvale exit before the truck slammed into it about 11.25am, police said. "While the passenger was able to get out, the driver was trapped and had to be extricated from the car with the help of emergency services," police said, in a statement. Mao's last dancer is now about to share his name with a new species of peacock spider. Li Cunxin, the Queensland Ballet's artistic director and 2009 Australian father of the year, will be on hand at the Queensland Museum on Monday when the colourful spider's name is officially announced. Queensland Ballet artistic director Li Cunxin. Credit:Steven Siewert Queensland-based scientists Barbara Baehr and Robert Whyte have christened the peacock spider "Maratus licunxin", one of six new species recently discovered in Australia, in honour of the Chinese-born dancer. Li's tale is well known worldwide thanks to his 2003 autobiography Mao's Last Dancer. Police are yet to charge the man alleged to have launched a punching rampage in inner Brisbane as he awaits a mental health assessment in hospital. Members of the public eventually restrained the 45-year-old man in Herston on Saturday afternoon after police received several calls claiming he was hitting anyone he saw. The suspect was admitted to the Royal Brisbane and Women's Hospital. Credit:Michelle Smith A 73-year-old man was hospitalised with facial injuries and there were fears an elderly woman may have been hit in the head, while two other victims didn't need medical treatment. The first report of an attack came from Finsbury Street, Newmarket, but the man had travelled roughly two kilometres to Butterfield Street, Herston, outside the Royal Brisbane and Women's Hospital, before he was restrained. Matthew Clement Maloney, 25, accused of biting the head off a rat and posting the footage to Facebook, arrives at the Brisbane Magistrates Court on Monday, July 11, 2016. Credit:Jorge Branco "There's nothing that really indicates that this behaviour is suitable," she said. "There's nothing that indicates that the behaviour is manly. There's nothing that indicates that it is admirable. RSPCA senior prosecutor Georgia Sakrzewski speaks to the media outside court. Credit:Jorge Branco "It indicates that it's rabid, narcissistic, attention-seeking behaviour on your behalf. "Well you've got the attention and it's probably attention you wish you didn't get now." Magistrate Coates recorded a conviction and ordered Maloney to serve 100 hours of community service and pay $89.90 in court costs. The order took into account his prior history in the ACT for obstructing police and an assault defence solicitor Nick Dore described as a nightclub fight. It also took into account Maloney's plea of guilty and the remorse Mr Dore said his client felt. Outside court after the sentencing, which included a three-year pet ownership ban, Maloney played down the seriousness of his actions. "I agree with the court's decision but I just think, I don't think it was that bad," he said. "There's a lot worse stuff you could have done, buy rat poison and all that stuff, rat traps. "So I just don't think it was how they made it out to be." In discussing the penalty, Mr Dore said his client had received ridicule and embarrassment as a result of filming the killing and uploading it to Facebook as part of an escalating series of video pranks, prompting Magistrate Coates to cut in. "Well so he should, Mr Dore," she said. "Ridicule and embarrassment." The act came after Maloney had broken up with his girlfriend and been diagnosed, operated on and effectively given the all clear for melanoma, Mr Dore said. "His immediate reaction wasn't one of someone who took the matter very seriously," the solicitor admitted. "He posted the comments on Facebook in relation to his conduct but since then and having been before the courts he's realised just how serious it is." Also outside court, RSPCA senior prosecutor Georgia Sakrzewski said the organisation was "really pleased" with the outcome. Below is a transcript of what Maloney said to the media outside court. Maloney: I agree with the court's decision but I just think ... I don't think it was that bad but ah, there's a lot worse stuff you could have done, buy rat poison and all that stuff, rat traps. So I just don't think it was how they made it out to be. Reporter: Do you understand the rat would have been in a lot of pain though? Do you feel bad about that? Maloney: Who said it was in a lot of pain? Reporter: The RSPCA. Maloney: They said it was in 29 seconds of pain. I don't think - I don't know how they could have proven that when they test on rats all the time. Reporter: So you say you're remorseful but you don't think it's that bad? Maloney: I'm remorseful, like I wish it never happened and all that stuff but yeah. Reporter: Are you remorseful because you've suffered or because the rat suffered? Maloney: I just think there's a lot of stuff. Well, rats are killed all the time for testing and all that stuff and the rat was already going to die but yeah I'm just glad it's all over now. Brisbane police are preparing a report into the death of a teenage tourist run over by a truck at a service station in Brisbane's north on Monday afternoon. The accident happened just hours after an elderly pedestrian was hit and killed in the state's north. Police are calling for witnesses after the 83-year-old woman was pronounced dead in Ayr, south of Townsville. Just a few hours later a 19-year-old woman was killed when a truck ran her over at a service station in Brisbane's north. Following your business dreams can bring satisfaction, epic highs and hopefully plenty of cold, hard cash. But let's be honest, there are some things about running your own show that are just really, really annoying. We persuaded three small business owners to vent their spleens. Some things just drive a small business owner poco loco. 1. The joys (cough, cough) of unsolicited advice "The main one is I get emails saying 'why don't you do perms?', 'why don't you do fake curls?'" says Neel Morley, owner of Australia's only dedicated curly-haired salon, Neel Loves Curls. "We are likely to see thunderstorms develop from the west on Tuesday and a very cold air mass so some small hail is likely with the showers as well from Tuesday through to Wednesday afternoon," forecaster Rod Dickson warned. Later in the week, the cold air is expected to linger. Walkers enjoy Flagstaff Gardens on a cold crisp early Monday morning in Melbourne. Credit:Fairfax Media "There will be some pretty chilly mornings after that, close to zero over a large part of inland Victoria later in the week but maximum temperatures will return to mid-teens," he said. It is expected to drop to an early morning low of five degrees in Melbourne on Wednesday, he said. An American woman living in Bali has warned women to watch their drinks after being slipped what she believes was a monster Rohypnol dose in a bar at the island paradise. Mara Wolford said she went to the bar for a drink on July 4 with two friends and ordered mojitos. "I leave the drink on the bar and go to the bathroom," she posted to Facebook. "All of these acts ordering mixed drinks, not watching them being made and leaving a drink unattended are fatal errors in Bali, but this evening, I wasn't too concerned. London: The Twitterverse has lit up with speculation about what song David Cameron was humming after he announced he would step down as British prime minister this week. His voice was caught on a microphone after he announced he would tender his resignation to the Queen on Wednesday, British time, to make way for Theresa May. Suggestions range from the theme to the TV show West Wing to something akin to the Winnie The Pooh Song. Johannesburg: As their country is sucked into the depths of a new economic crisis, Zimbabweans are beginning to run out of patience. "We can't get jobs. And if we have jobs, we can't get our money out of the bank," said Evan Mawarire, who ran the social media campaign that inspired a rare general strike last Wednesday. After 36 years of rule by president Robert Mugabe, the country is again on the brink of collapse. The regime has run out of funds, leaving it unable to pay civil servants, teachers or policemen. In the past the Reserve Bank would have printed more money, but since 2009 the country has used the US dollar as its currency. Unless the International Monetary Fund or another institution is willing give Zimbabwe a bail-out, there is no way of avoiding the creeping paralysis and collapse of the economy. "Whenever those of us who are concerned about failures of the criminal justice system attack police, you are doing a disservice to the cause," he said in Barcelona, Spain. Protesters block a major road that passes in front of the Baton Rouge Police Department headquarters. Credit:AP DeRay Mckesson, a high-profile BLM leader, was one of 125 people arrested in Baton Rouge, where one of the police killings took place. Police said Mckesson had been arrested for blocking a street, even as video he was live-streaming as he was detained indicated that he was off the roadway. Police arrest activist DeRay McKesson during a protest. Credit:AP Before the Dallas bloodletting, BLM seemed to be on a roll - its blunt messaging and disruptive protests were provocative, but their message was getting traction, as exemplified after one of the police deaths in Minnesota last week, when white state governor Mark Dayton asked in public: "Would this have happened if those passengers - the driver and the passengers - were white? I don't think it would've." But after Dallas, all seemed to go pear-shaped. BLM was under attack from conservative broadcasters and politicians. Making a stand: Police officers in riot gear face Leshia Evans during a protest in Baton Rouge. Credit:AP In Texas, Lieutenant Governor Dan Patrick claimed BLM was directly responsible for the police deaths because it had created the conditions for the attack. And Republican state congressman Bill Zedler tweeted: "Clearly the rhetoric of Black Lives Matter encouraged the sniper that shot Dallas police officers." In Chicago, Pastor Jedidiah Brown who has become an articulate BLM activist, told The New York Times: "What I saw in Dallas was devastating to our work." He said he quickly sensed that any emerging national consensus would "tear down the middle". A protester watches as police in riot gear clear the street of protesters in front of the Baton Rouge Police Department headquarters. Credit:AP The needle that BLM must now thread is to create a narrative by which it can distance itself from the Dallas gunman who set out to kill white police officers, more in the name of the hate groups he tracked on Facebook than that of BLM; and at the same time, promote the lopsided experience of African Americans whose chances of being arrested, jailed and shot by a police officer are significantly higher than for white Americans. A weekend statement by the BLM Network made a raw attempt: "There are some who would use these events to stifle a movement for change and quicken the demise of a vibrant discourse on the human rights of Black Americans. We should reject all of this." A difficulty for BLM is that, in recounting some of the exchanges between the killer Johnson and police negotiators, Dallas police chief Brown said that Johnson had no direct links to particular movements, but he also said Johnson "was upset about Black Lives Matter", without clarifying the fogginess of that statement. Before his arrest in Baton Rouge, Mckesson told a reporter that on Thursday night he had been monitoring the increasingly sympathetic debate on social media, but with dismay he watched the tone change rapidly as news came out of Dallas. "It suddenly became about blame. People wanted to link it to the protesters no matter what." Some BLM activists went on the offensive, like Ja'Mal Green who argued that the Dallas killings needed to be seen as a wake-up call. "It's not a setback at all," he told The New York Times. "That's showing the people of this country that black people are getting to a boiling point. We are tired of watching police kill our brothers and sisters. We are tired of being tired." Meanwhile, the NRA, which was quick to be heard on the Dallas police killings, was under challenge from an array of liberal and conservative rights activists for its silence on what should be one of its campaign staples - the rights of a law-abiding gun owner who just happened to be black. That would be Philando Castile, the man killed by a police officer in St Paul, Minnesota, last week who had a licence for the gun he had told police he was carrying as he was shot dead. Australia has been carefully watching, a key ally for Washington and a major trading partner for Beijing, knowing these are the kind of disputes that can determine geopolitical destiny. The ruling is expected on July 12 and four main issues could have profound consequences for the balance of power in the neighbourhood. 1. A hungry 'cow's tongue' The Philippines has lodged a case against China under the Law of the Sea in the Permanent Court of Arbitration at The Hague, even though China has refused to participate. Six countries claim overlapping parts of the South China Sea, creating a dangerous flashpoint for potential conflict in roughly 3.5 million square kilometres of open water, shoals and coral atolls teeming with fish and oil, and a key ocean passage for thousands of cargo ships passing through Asia. But China's bid for territorial control sweeps across almost all others, shown on maps by a deliberately vague dotted line that hooks deep in a U-shape past the coast of Vietnam, towards Indonesia, and close to the western islands of the Philippines. Known as the "nine-dash line" - which Vietnam likens to a hungry "cow's tongue" for the way it licks across the region - China claims its control of the waters dates back to ancient times, marked in 600-year-old mariners' books. The Philippines has asked the court, among a log of 15 technical claims, to rule China's "nine-dash line" is inconsistent with the modern Law of the Sea, which is based on geological features. "That is absolutely the most important question put before the tribunal," Jay Batongbacal, a professor of international maritime law at the University of the Philippines, tells Fairfax Media. The court hasn't yet said it has jurisdiction on this question, and it cannot decide issues of sovereignty - who owns what. But if the court does weigh the question of the nine-dash line, there is little expectation that it will grant legal backing to the idea of "historic rights". The ruling might prod China to precisely explain exactly what it claims, instead of resorting to what is seen as deliberate ambiguity. 2. Life, but not as we know it It was a big risk for Philippines to take on China, by far its largest trade partner. The court decision may also completely upend Manila's own territorial claims. The Philippines have challenged the court to decide whether a small stretch of land, just longer than a kilometre, is actually an island or just a big rock. The difference is crucial for international law in deciding how much surrounding territory can be claimed. Taiwan presently occupies this land, the largest feature of dozens of rocky outcrops and reefs in the Spratly Island chain, calling it "Taiping Island". The Philippines calls it "Itu Aba", and says it has no fresh groundwater to sustain human life and so is better described for legal purposes as a rock. But if the court says Itu Aba (it has adopted the Philippines' name for it) is indeed an island, then under the Law of the Sea the "island" will be entitled to 12 nautical miles of surrounding waters as its territory, and a 200-nautical-mile exclusive economic zone. But in the shallow waters of the Spratly Islands, such a ruling could effectively knock out many of the Philippines' territorial claims too. Taiwan insists Itu Aba can sustain life, and to prove it it has stationed roughly 200 coast guard and scientists there, while also shoring up land for an airstrip there to fly in supplies. Should the court's judgement rest on the conditions on Itu Aba now, as opposed to before human habitation, that will have interesting consequences for what has been the most contentious aspect of China's recent island-building actions. 3. 'Tourism appears unlikely' China has been terribly busy in the past couple of years constructing a series of what movie fans would recognise as the arch-villain's hideouts - except that it makes little effort to keep these artificial islands secret. Coral atolls in the South China Sea have been transformed into airfields, with dozens of storage sheds and dredged sand cutting deep ports. In more sinister developments, China has also stacked weapons, radar towers and other military hardware on reclaimed land. In April, a military aircraft landed on one artificial island. China's construction has been widely condemned; Australia's defence chief queried the need, dryly observing that "tourism appears unlikely". The Philippines has asked the court to rule that Mischief Reef, Subi Reef and Fiery Cross Reef, among others that have been occupied by China and substantially transformed, should not qualify as islands but are actually just rocky outcrops which are only visible at low tide. While that was true a couple of years ago, before China's construction work began, it's a 50-50 question which way the court might rule, and whether its decision will rest on the situation then or now. The US has dispatched warships to sail inside the 12-nautical-mile zone around China's artificial islands, just to reinforce the point that Washington does not recognise the surrounding waters as sovereign territory. A heated debate has erupted about whether Australia should also undertake these "freedom of navigation exercises", either with a vessel or by flying a patrol jet nearby. The Philippines also wants the court to rule on China's aggressive behaviour, especially around Scarborough Shoal, which China seized in 2012 and where it has sent its coast guard to harass foreign fishing vessels. Scarborough Shoal is roughly 350 kilometres from Manila, yet more than 900 kilometres from China's mainland. The court has also been asked whether Beijing has breached environmental obligations. Curiously enough, this includes Second Thomas Shoal, where the Philippines deliberately ran aground a rusty navy ship to serve as a military outpost, which China has often frustrated attempts to resupply. 4. The wild card The US has deliberately fanned expectation about the upcoming court ruling, knowing that international diplomacy only ever produces a few tangible moments that register in the public mind as definitive. But despite the hype, the ruling will not end the South China Sea stand-off. China has already pledged to ignore the ruling, and has been busily attempting to gather its own motley crew of international supporters. The US emphasis (echoed by Australia) is to solve the dispute through the "rules-based order", given that both the Philippines and China have ratified the United Nations Convention on the Law of the Sea. But the US never has, which Malcolm Turnbull has warned diminishes Washington's leadership. China is also permitted, under law, to exempt itself from arbitration - just as Australia has done in its maritime boundary dispute with East Timor. What is really at stake here is who gets to enforce the rules and the age-old question of might versus right. China insists the South China Sea dispute should be resolved country-to-country, without allowing pesky jurists to get in the way. This conveniently ignores its massive preponderance of power over its near neighbours. But there is a wild card. As the lone woman stood in front of a row of riot police in the US, her flowing dress and bare arms in sharp contrast to the officers' armour, she gave off an air of peaceful determination and defiance. New Orleans-based photographer Jonathan Bachman took the image in Baton Rouge, Louisiana, on Saturday, local time, while covering a protest against recent police shootings of black men in the US. It was one of scores of demonstrations held across the country following the deaths of Philando Castile and Alton Sterling in separate police shootings in the past week. In another day of fast-paced developments, Mrs May's only rival to become leader of the Conservative Party and successor to Cameron, Andrea Leadsom, abruptly pulled out of the contest, saying she did not have sufficient support from the party's members of Parliament. Mr Cameron said that the handover of power would be completed by Wednesday evening. Mrs May will be the second woman to lead Britain, after Margaret Thatcher, who served as prime minister from 1979 until 1990. London: Theresa May, a relative moderate who has served for the past six years as Home Secretary, suddenly emerged victorious Monday in the race to succeed David Cameron as Britain's prime minister, bringing to an accelerated conclusion a political drama set off by the nation's vote to leave the European Union. Mrs Leadsom withdrew after a weekend in which her candidacy was all but consumed by remarks she made in an interview suggesting that she was better qualified because she is a mother, which Mrs May is not. British Home Secretary Theresa May launches her Conservative party leadership campaign. Credit:Getty Images Conservative leaders quickly coalesced around Mrs May, ruling out the possibility of reopening the race to give the party's rank-and-file members a choice. Graham Brady, chairman of the 1922 committee of Conservative lawmakers, which sets the rules for party leadership contests, said that there was "no need to rerun the election," and that Mrs May was now the only candidate. There appeared to be no hurdles to her ascension, especially after the two most prominent Conservatives behind the victory of the campaign to leave the European Union - Boris Johnson and Michael Gove - signalled their support for her. Mrs May, 59, is now set to take over at a time of immense upheaval for Britain. The nation must not only negotiate its withdrawal from the European Union, a process fraught with economic and political risks, but it must also hold itself together amid a clamor from Scotland for independence. Early signs are that Britain's economy has already taken a substantial hit from the exit vote, or "Brexit." Washington: Three countries have warned their citizens to stay on guard when visiting US cities rocked by sometimes violent protests that erupted after a string of police shootings of African Americans. The United States regularly issues travel warnings urging Americans to either avoid or exercise caution in countries where there has been violence or political instability. Protesters march to the state capitol in Baton Rouge, Louisiana, on Sunday. Credit:Daily Advertiser/AP Now America is the focus of concern by foreign governments in the Middle East and Caribbean, with protests in US cities throughout the weekend after police killed African American men in Louisiana and Minnesota. The protests have led to numerous arrests, scuffles and injuries in confrontations between police and demonstrators. America was also tense after a lone gunman on Thursday shot dead five police officers during a protest in Dallas. PHILIPSBURG:--- The Constitutional Court has rendered its verdict in the the case of the national ordinance Integrity Chamber. Again proof of the workings of an important institution in the system of checks and balances in our young democracy. . The outcome did not come as a total surprise, given the grounds for this integrity chamber. Clearly the pressure was on the former St. Maarten government to create a chamber that does not have a precedent in the Dutch Kingdom and probably no where else in the world. As a member of parliament, not supporting the government at the time, I was all for the establishment of an integrity chamber by national ordinance to avoid the threat of a Kingdom instruction, which hung as a sword of Damocles above our heads. The verdict was barely out, or voices again have come out in favor of an instruction to establish the integrity chamber. I was and remain critical of the agreement with the Kingdom government that lead to this "sword of Damocles", as I am yet to be convinced of the unconditional contribution by the Kingdom government as part of the May 2015 agreement. The agreement with the Dutch government does not seem to contribute to our plans for justice, but rather to the Kingdom plans. In any case, the government and parliament will have to go back to the drawing board. The focus should be on the government's own plan of action for the integrity of the country of which an integrity chamber is but a small part. PHILIPSBURG:--- Wycliffe Smith, Leader of the Sint Maarten Christian Party (SMCP) is happy to announce the candidacy of Mrs. Francelise Mauricia-Peterson on the SMCP slate for the upcoming parliamentary elections. Born on the Northern side of the island, Francelise was raised on the Dutch side and attended the Sundial School. She furthered her studies at the Maria College ETAO where she majored in administration. Upon her return to Sint Maarten Francelise worked in a variety of fields that have enabled her to become familiar with several sectors in the society such as the airline, finance, law and hospitality sectors. She also filled many positions at several casinos on the island as well. Because of her casino experience, the Government employed her as casino controller, a job she has been doing for the last twelve years. This also led to her successfully completing her course as special agent of police (buitengewoon agent van politie) a function that serves her well in her current capacity as President and Representative of the Board of Casino Controllers. Married and a mother of three, Francelise has upgraded herself over the years, by following many courses in computers, management and supervision. She commands four languages namely English, Dutch, Spanish and Papiamentu. Besides her regular work she also served for thirteen years in different capacities on the Board of the Parents Teachers Association (PTA) of the Sr. Borgia School. Francelise loves her island and can be found assisting in clean-ups and working with others to protect and preserve her beloved Sint Maarten. Francelise identifies whole heartedly with the values and principles of the Sint Maarten Christian Party. Her strong faith in God is what motivates her to speak out against injustices in her community. During the week Francelise will give her reasons for joining the Sint Maarten Christian Party and why she has decided to step out of her comfort zone to SERVE THE PEOPLE OF SINT MAARTEN FOR A CHANGE! PHILIPSBURG:--- Judge Bob Wit paid a courtesy visit to the Chairlady of Parliament during his recent visit to the island. Judge Wit during this visit has presented the Constitutional Court's verdict on the national ordinance Integrity Chamber. This national ordinance has been rejected by the Constitutional Court. During their meeting, the Chairlady used the opportunity to apprise the Judge of her draft initiative law to expand the scope of the Constitutional Court to include advising on conflicts between organs of government, such a parliament, government, ministers etc. Also included in this draft initiative law is the possibility for citizens via the Ombudsman to put a constitutional matter before the Constitutional Court. PHILIPSBURG:--- News that the new Dutch Ambassador to Cuba Alexandra Valkenburg plans to champion the interest of St. Maarten is being welcomed by the One St. Maarten People Party-OSPPwho while launching their candidate Maurits Joslyn Richardson last week, stressed the importance agriculture must play in the further development of St. Maarten. According to media reports, last week Ambassador to Cuba Alexandra Valkenburg paid a courtesy visit to the cabinet of the Minister Plenipotentiary to learn about St. Maarten and during that visit she announced her intentions to champion the interests of the island in the areas of agriculture, fishery, water logistics and cooperation tourism. This is welcomed news indeed to the OSPP because as we have stated agricultural development must be a part of the future of the island. We have already suggested that at least two agriculture scholarships be made available annually for young people who may have an interest in this field and that agriculture be offered as part of the school curriculum as is done in many of the islands, OSPP leader Lenny F. Priest said. We would welcome the opportunity to meet with the ambassador to find out if there are any special agricultural programs that St. Maarten can benefit from and how to access these and maybe find ways to fund agriculture in schools or introduce better irrigation systems and generally access on behalf of the people of St. Maarten the host of United Nations funded agricultural programs and other programs that the Dutch are willing to finance as part of the development of agriculture locally, Priest concluded. Meanwhile OSPP candidate Maurits Joslyn Richardson also welcomed the statement from the ambassador adding Its a shame that our government leaders couldnt see for themselves the need to develop the agricultural sector despite many proposals having been put before them specifically geared at developing that sector. Agriculture can be an important part of the economy and while we may not get to the stage where we are producing enough for export, we certainly can be self-sufficient and grow what we eat, Richardson explained. He cited the growing trend of persons wanting to eat healthy organically grown products and believes that having the produce that is sold in the supermarkets and other markets locally be grown locally would instill more confidence in buyers and translate to a regular source of revenue for growers. The OSPP leader and candidate said they look forward to discussions on growing the agricultural sector locally. PHILIPSBURG:--- The Rotaract Club of St. Maarten Sunrise, a community service based organization held its first H.A.M at Le Grande Marche Bush Road on Saturday July 9th 2016. It was a Hurricane Awareness Mission whereby the club members collected non-perishable items, books and clothing from customers shopping at the supermarket and from other persons that came to support the cause. The items collected are then given to the local Red Cross in the event of a hurricane or natural disaster. If there are no natural disasters, the Red Cross distributes the items to persons in the community that are in need. The event was very successful; the club collected a large amount of can goods, sanitary products, cereal, rice and cases of bottled water just to name a few. Over 5 bags of clothing were also donated. All items collected were handed over to the Red Cross personnel who were very thankful for the contributions. The members of the Rotaract Club of St. Maarten Sunrise would like to extend its appreciation and gratitude towards members of the community, Rotarians, family and friends that made contributions to this effort. Rotaract Sunrise will be conducting their next H.A.M event at The Sunny Food supermarket on August 6th 2016 from 10:00am to 1:00pm. Other than can foods, persons can also donate clothing at the club's H.A.M events. The Rotaract Club of St. Maarten Sunrise encourages the community to continue supporting the Hurricane Awareness Mission as we continue to prepare our country in case of any natural disasters. Great Bay:---The catastrophe of the commercial sex workers to date is in shambles, as the issue remains unresolved. In the meantime, government is trying to figure out whether a work permit is needed or if a database for registration of commercial sex workers is the key or whether they will be issued a license as an entrepreneur to provide their services to any club and finally while the court cases continue our population and visitors are again put at risk. MP De Weever further stated that businesses are suffering and the owners, workers and their families are being impacted as well. The industry is currently left unregulated as commercial sex workers are working on beaches, hotels, bars and clubs. This increases the risk because these ladies are not regularly checked by medical doctors; there is no guarantee whether the 100% condom use, which was used in all established brothels, is in effect. So the questions are being raised about our current STD/ STI and HIV/AIDS numbers- are they increasing? What has been the trend in the last 6 months? We cannot pretend it is not happening and not address it. One should not turn a blind eye to this very sensitive issue, which is impacting our community at large. The crisis in Venezuela is having its local impact in St. Maarten as well since these ladies do not need a visa to come to St. Maarten and exercise their trade. It is in St. Maartens best interest that all stakeholders, the relevant ministers and the club owners, resolve these urgent issues as soon as possible instead of fighting this out in the court room. PHILIPSBURG:--- Leader of the Democratic Party and Chairlady of Parliament who is a member of the current coalition has asked the members of the coalition to consider her as a nominee for the governors post which will become available on October 10th 2016. SMN News learnt that the chairlady of parliament made the request last week Monday when the weekly coalition meeting was held. So far the Council of Ministers has remained tightlipped on the issue. SMN News also learnt that the Netherlands already dispatched a letter to the government of St. Maarten asking them if they will be nominating a new candidate or if they will have the current governor re-appointed. When SMN News asked Prime Minister William Marlin about that letter last week at the Council of Ministers press briefing, Marlin said since he is Prime Minister of the country he did not get any such letter. The Prime Minister did not give any indication as to whether or not he will consider the request of their current coalition partner or if his government has someone new in mind. Some members of the coalition said the discussion on whom they will nominate to take up the post as governor in October is still in discussion and a final decision has not yet been made. Another source said Wescot Williams shocked everyone with the request and afterwards said she did not even discuss her intentions with the DP board. On Sunday night Prime Minister William Marlin could not be reached for a comment on the information SMN News received. Efforts made to contact Wescot Williams by telephone Sunday night proved futile. It is not yet clear if the Democratic Party will have a new leader in the upcoming elections should Wescot Williams gets the post she so desires. SMN News will continue to monitor and follow this story and bring more information the moment it becomes available. Two bailiffs were killed and a deputy sheriff wounded in a shooting Monday afternoon at a courthouse in Berrien County, Mich., Two bailiffs were killed and a deputy sheriff wounded in a shooting Monday afternoon at a courthouse in Berrien County, Mich., authorities said. The gunman was also killed. The Berrien County sheriff did not go into detail about the nature of the incident, saying only that there was "a disturbance" on the courthouse's third floor shortly before 2:30 p.m. "We don't have all the details as to what happened or whatever occurred or how he got the weapon," Sheriff L. Paul Bailey said at a news conference Monday. "The suspect has been shot and killed," he said. Police did not identify the attacker, who they said fatally shot both bailiffs and wounded the deputy. The wounded deputy was taken to nearby Lakeland Regional Hospital and treated in the emergency room, Bailey said. The shooting comes as law enforcement officers nationwide are still reeling from the attack that killed five police officers in Dallas last week. It was unclear whether anyone else was shot during the encounter. Bailey said that "several" civilians were hurt in the incident, but he did not say whether they sustained gunshot wounds. All of them are in stable condition at Lakeland, he said. "They went for shelter once the shooting occurred and other brave officers were able to come to their rescue and take the shooter down," Bailey said. Franklin D. Roosevelt's flagship for trip to Tehran to meet Winston Churchill, Josef Stalin in 1943 Volunteer shows a visitor how to hold a hundred-pound bag of gunpowder . The USS Iowa boasts special quarters created for Franklin D. Roosevelt for his trip to Tehran to meet with Winston Churchill and Josef Stalin in 1943 Battleships, once thought to be the ultimate weapon, are now a relic of the past. For a chance to visit this past - and enjoy 360-degree breeze-swept views of Los Angeles Harbor, the USS Iowa at Berth 87, is a great place to go. A self-guided tour takes one in and out of sailors' quarters, mess halls and up and down ladders leading to almost all levels of the ship's significant superstructure and winding around the impressive armaments. It's easy to get distracted by views of yachts sailing in and out of the channel and the work of the giant cranes unloading ships from China. The USS Iowa, Battleship 61, was constructed beginning in 1939 and completed in 1942. She's the only battleship of her class to have served in the Atlantic during World War II. Her biggest claim to fame is possibly the special quarters created for Franklin D. Roosevelt for his trip to Tehran to meet with Winston Churchill and Josef Stalin in 1943. A bathtub - the only one in the entire navy - was installed in his quarters as well as an elevator to transport him between decks. Roosevelt had suffered polio and was paralyzed from the waist down. Upon her transfer to the Pacific Fleet in 1944, the Iowa participated in shelling of beachheads in the Marshall Islands and was present at the surrender of Japan in Tokyo Bay. After some service during the Korean War, the Iowa was mothballed until 1984, with Ronald Reagan sought to beef up the navy's fleet. Following a tragic accident in 1989 in which 47 sailors were killed by a mysterious explosion in her No. 2 gun turret, the Iowa was decommissioned for the final time. Alyssa Ganezer View of the famous 16-inch guns on the foredeck, USS Iowa Battleship, Long Beach. In other words, there's a lot to see here, especially if you're at all interested in World War II, the navy, or armaments. Parking is easy, right next to the ship in her permanent home. A ramp onto the ship shifts in steepness according to the tide, but they'll get you onboard if you're in a wheelchair, no matter the time of the month or day. Prices are discounted for military, seniors, and children. They're not cheap, but this tub receives no government money and relies entirely on admission and donations. Often, you run into a few of the tens of thousands of men who served aboard the USS Iowa during her more than 50 year tour of duty. Some of them are volunteer docents. Talking to them is in itself, worth the drive to Long Beach. For more information, visit http://www.pacificbattleship.com/ prpl Foundation Unveils the First Open Source Hypervisor for the Internet of Things SANTA CLARA, CA (Marketwired) 07/11/16 The today announced the upcoming debut of the prplHypervisor at the IoT Evolution Expo in Las Vegas. The prplHypervisor is an industry-first light-weight open source hypervisor specifically designed to provide security through separation for the billions of embedded connected devices that power the Internet of Things. A principle set out in the published by prpl in early 2016, security through separation is key to fixing the fatal security flaws plaguing the IoT. From theft of personal information and financial data to remote takeover of devices which could bring harm to the public, its in the interest of every stakeholder in the connected device supply chain to ensure that these devices are designed first for security, said Art Swift, president, prpl Foundation. The prplHypervisor leverages the power of hardware virtualization to create multiple distinct secure domains. Bare metal applications and rich operating systems can operate independently and securely within these domains; the prplHypervisor eliminates the possibility of lateral movement within the system while allowing secure high-speed inter-VM communications. Cesare Garlati, chief security strategist at prpl Foundation, will demonstrate the prplHypervisor on Thursday July 14th at 9AM, as part of a prplSecurity workshop on the IoT Developer track. The demo is a joint development effort of three key prpl members: , and the (PUCRS). Garlati will show three virtual machines connecting to the Internet and securely controlling a robotic arm. The first VM receives commands from the Internet via Altrans picoTCP stack, the second VM authenticates the request via Intrinsic-IDs implementation of the prplPUF API, and the third VM controls the robotic arm via USB. The three VMs are completely separated and communicate within the system via prplSecureInterVM APIs. For those interested in learning more about prpls open-source, hardware-led approach to IoT security during IoT Evolution Expo, prpl Foundation president, Art Swift, is moderating a panel debate between representatives of leading IoT companies. The panel will discuss where IoT security weaknesses lie, and what must be done to mitigate them. Panelists include Dr. Pim Tuyls, CEO of , Phil Attfield, CTO of , and Lubna Dajani, futurist and chief strategy officer of . The panel debate begins at 11:20AM on July 14th. PUCRS University is pleased to see their collaboration with prpl Foundation bear fruit. The prpl Foundations support of our GSE (Embedded Systems Group) team has allowed our professors, Ph.D. students, MSc. students, computer scientists, computer engineers, and undergraduate students continue working toward our long-term goal of developing cutting-edge technology to secure the Internet of Things. Fabiano Hessel, associate professor at PUCRS prpl (pronounced Purple), is an open-source, community-driven, collaborative, non-profit foundation targeting and supporting the MIPS architecture and open to others with a focus on enabling next-generation datacenter-to-device portable software and virtualized architectures. prpl represents leaders in the technology industry investing in innovation in efficiency, portability and compatibility for the good of a broad community of developers, businesses and consumers. Initial domains targeted by prpl include datacenter, networking and storage, connected consumer and embedded/IoT. Stacey Matthews-Winn Eskenzi PR Ipswitch WhatsUp Gold 2017 Reimagines IT and Network Monitoring with Interactive Visualisation, Dynamic Mapping and Flexible TotalView Licensing Powerful and intuitive visualisation and dynamic mapping technology provides IT teams with new levels of visibility, control and troubleshooting for todays complex IT environments London, UK, 11th July, 2016 Ipswitch, the leader in easy to try, buy and use IT and network management software, today announced the launch of Ipswitch WhatsUp Gold 2017. WhatsUp Gold 2017 reimagines how IT teams discover, visualise, troubleshoot and monitor networks, servers, virtual machines and applications. WhatsUp Gold 2017 with TotalView enables IT teams to monitor everything with one cost-effective license, delivering the best value and most flexibility in the industry. Ipswitch WhatsUp Gold 2017 helps IT teams control the increasing complexity of todays hybrid environment with a single flexible software solution that provides a comprehensive and interactive view of the entire network. This enables IT teams to: Discover, visualise and interact with their IT environment via advanced visualisation technology Troubleshoot faster with intuitive maps, workflows and dashboards Monitor their entire environment with one flexible Ipswitch software license Ensuring that network operations teams identify and use a flexible, fully-integrated and multifunctional network management tool is becoming increasingly important for business success, said Shamus McGillicuddy, Senior Analyst Network Management at Enterprise Management Associates. EMA research shows that organisations that use fewer, but more integrated tools perform better than companies that use many different point solutions. A truly integrated solution provides end-to-end visibility, more efficient troubleshooting features and a unified interface giving a single and comprehensive view of the network health and performance. Discover, visualise and interact with your IT environment WhatsUp Gold 2017 provides a new way of visualising and interacting with the entire IT environment. It introduces a faster, simpler and more intuitive user experience (UX) that puts users in control. Interacting with WhatsUp Gold 2017 is like interacting directly with your network. WhatsUp Gold 2017 provides immediate value by automatically discovering your end-to-end IT environment and features a unique and interactive network map that quickly shows the status of every device and interconnection. The visualisation can be dynamically filtered to gain real-time insight into physical, virtual and wireless networks as well as their dependencies. WhatsUp Gold offers a unique mapping functionality and visual linking of sites and objects while requiring very few resources both on the network and on the server where it is installed, said JR., Network Administrator at Community Hospital. This new release adds unequalled network discovery, flexibility and new functionality that allow our team to focus on performance rather than fighting fires. Troubleshoot faster with intuitive maps, workflows and dashboards WhatsUp Gold 2017 streamlines troubleshooting by letting IT teams interact directly from an intuitive network map. With the dynamic map, IT teams can see the big picture of their entire environment and zoom in to focus on details, such as Windows, Linux, virtual or wireless resources. By clicking on any device, IT teams gain immediate visibility to critical network, server and application health information. The result is simpler, more intuitive troubleshooting that lets IT teams find and fix problems faster. Workflows are optimised and intuitive whether initiated from the network map or from a vast array of easily-customisable dashboards and reports. The innovative network mapping technology gives users the flexibility to filter and optimise views of their networks. It starts with advanced discovery capabilities that automatically identify everything connected to the network and automatically apply device roles and monitors to dramatically accelerate the monitoring setup. This dynamic mapping capability supports both physical and virtual devices including VMware and Hyper-V. Network managers, system administrators and IT operation leaders know that network visibility and performance is key to their business health and success. The new map has an organic feel that comes alive as it discovers your network. Watching the map build as your network is discovered can bring insights instantly, said Tim Walsh, senior IT architect. Once built, the map almost feels like it knows what you are going to do next, showing connected devices as you move around the intuitive visualisation of your IT environment. IT teams can gain additional details through pre-defined dashboards and reports, or create custom dashboards and reports with a new and easy-to-use drag and drop interface. Users simply drag items onto their dashboard to gain continuous insights or schedule reports that are delivered automatically. Monitor your entire environment with one flexible license Ipswitch WhatsUp Gold 2017 delivers the industrys best monitoring value with its unique TotalView licensing. TotalView allows teams to monitor any mix of networks devices, servers, virtual machines, applications, traffic flows and configurations with one flexible license to simplify purchasing, increase monitoring flexibility and streamline scaling. Theres no need to purchase separate licenses for applications, network devices or network flow sources theyre all included. Furthermore, TotalView allows reallocation of those licenses wherever and whenever needed without additional cost eliminating wasted, unused licenses caused by artificial license limitations. With unprecedented freedom to adapt to changing monitoring needs, WhatsUp Gold 2017 is available in four editions: BasicView Entry-level network monitoring software for IT environments of all sizes. ProView More advanced monitoring capabilities, including server and wireless monitoring. TotalView All of the features in ProView plus VMWare monitoring, Hyper-V monitoring, application performance monitoring and network traffic analysis. TotalView Plus The highest-value edition that includes all the functionality of TotalView as well as configuration management and additional pollers for scaleability. WhatsUp Gold 2017 leverages advanced visualisation technology to intuitively map the user experience directly to the environment that the IT team created. The interface will be immediately familiar, allowing team members to easily understand irregularities at a high level and then drill down immediately to detailed device information keeping them in front of potential issues, said Michael Hack, Senior Vice President, EMEA Operations, at Ipswitch To learn more about WhatsUp Gold 2017: Take a Peek Video Whats new in WhatsUp Gold 2017 Live Demo Sessions Take a free trial AVST Showcases Suite of UC Solutions Complementing Skype for Business at Microsoft Worldwide Partner Conference TORONTO, ON (Marketwired) 07/11/16 This week at the in Toronto, Canada, (AVST) is showcasing , its suite of enterprise-class Unified Communications (UC) solutions for Skype for Business, at booth #522. AVST provides the only UC platform that connects Skype for Business with all major PBX brands while enabling essential UC features, including: Powerful and highly scalable automated attendants that handle complex corporate call processing flows across multiple PBX brands simultaneously; Intelligent call routing capabilities, including a locate feature to facilitate call completion; Unified name directories utilizing speech or dial by name; The only enterprise-class voice messaging solution for Skype for Business; TeamQ, a cost-effective call center for Skype for Business; and A secure mobile client providing a powerful UC user interface across multiple PBX brands. AVST recognizes that implementing an enterprise-wide deployment of Skype for Business is a long-term process that can take anywhere from one to three years. With CX-E, AVST delivers critical UC interoperability and UC enterprise-class applications that enable enterprises to deploy Skype for Business as their PBX replacement while transitioning from their existing telephony infrastructure. In many cases, its not practical or economical for enterprises to forklift their existing PBX and go directly to Skype for Business, said AVST CTO Tom Minifie. With CX-Es extensive interoperability, were providing Microsoft partners and customers with a logical and cost-effective migration strategy for Skype for Business. The interoperability of CX-E enables enterprises to connect up to 10 PBX types simultaneously. A range of telephony integrations are available, including 400+ traditional TDM, IP-PBX, Centrex and IP Centrex integrations for PBXs from Alcatel-Lucent, Avaya, Broadsoft, Cisco, GENBAND, Microsoft, Mitel, NEC, ShoreTel, and Unify. Over the years, AVST has distinguished itself within Microsofts partner ecosystem by achieving top-tier status, delivering best-of-breed enterprise-class UC solutions that enable and augment Skype for Business. AVST has been awarded Gold Communications Competency for Skype for Business environments. For more information about AVSTs advanced UC capabilities that complement Skype for Business, visit . With more than 30 years of continuous innovation, Applied Voice & Speech Technologies, Inc. (AVST) is a trusted developer of software-based enterprise-class Unified Communications (UC) solutions. Our mission is to design, deliver and support communications solutions that transform the productivity of individual workers, teams and enterprises while leveraging the value of their existing and evolving IT infrastructure. Thousands of businesses worldwide rely on AVST to meet their mission-critical communications requirements, align their business with key trends and, with the world-class interoperability and flexibility of AVSTs UC solutions, provide a bridge to their digital future. Headquartered in Orange County, California, AVST maintains facilities in Seattle, Washington, Victoria B.C., Canada and the United Kingdom and has remote sales offices throughout the United States. AVSTs UC solutions are sold and supported worldwide by an extensive network of resellers and OEM partners. To learn more about AVST, our products and partners, please visit or you can follow us at , or . Stephanie Olsen Lages & Associates (949) 453-8080 Solar Novus Today Has Been Integrated With Novus Light Technologies Today Visit Novus Light Technologies Today to see all the cutting-edge stories and products that you have come to enjoy on Solar Novus Today. In addition, you will find more information on related light-based technologies. Get the latest solar and renewable energy news delivered right to your inbox. Sign up for the Green Technologies newsletter CLICK HERE TO SUBSCRIBE TO OUR GREEN TECHNOLOGIES NEWSLETTER Hospitals feeling surge of RSV locally and across state Post-pandemic easing of isolation is fueling rise in the respiratory RSV illnesses in children in the South Bend area. Demonstrators from the immigrant advocacy group CASA march in hopes of a Supreme Court ruling in their favor, June 20, 2016. (Jonathan Ernst/Reuters) With Donald Trump as the presumptive Republican nominee for president, the topic of immigration will likely emerge as a major topic of debate during the general election. Trumps opponent, Hillary Clinton, has framed the need for immigration reform as a family issue and an economic issue while calling for a pathway to citizenship for undocumented immigrants. Indeed, many undocumented immigrants in the US already contribute a great deal to the countrys economy, according to a new report from Bank of America Merrill Lynch (BAML). That report noted that in 2014 unauthorized immigrants had a labor force participation rate of 70% compared to 62.9% for the overall population. Bank of America Merrill Lynch BAML also cited research that refutes the notion that Americas roughly 11 million unauthorized immigrants might be taking jobs from US citizens. [A] study from the National Bureau of Economic Research shows that immigrants are imperfect substitutes for native US workers due to different occupation choices and skills and immigration has a positive effect on the average wage of US-born workers overall, BAML noted. Of course, new policies on immigration could change the economic situation for those who came to the US without authorization. The BAML report looks at two possible scenarios that could change the labor participation rate for undocumented immigrants one of which Trump has proposed and the other of which Clinton has called for in the past. The first scenario which Trump has championed would expand a program known as e-verify that lets businesses determine the eligibility of employees to work in US. If that program were mandated across the US for new hires, as Trump wants it to be, the labor force would shrink by 3.8 percentage points over the next 15 years, according to BAML. That would slice .1 percentage point from real GDP growth per year, and the federal debt-to-GDP ratio would be 1.5 percentage points higher at the end of a 15-year period. Story continues BAMLs second scenario would benefit the economy and would involve increasing the number of H-1B visas (which let US companies hire foreign workers) and employment-based green cards issued. (Hillary Clinton spoke out back in 2007 in favor of increasing H-1B visas.) Meanwhile, this proposal would eliminate both green cards for the siblings of US citizens and diversity visas. This move would boost the overall labor force by .7 percentage points over a 15-year period; increase real GDP by .7 percentage points; and lower the debt to GDP ratio by 1.1 percentage points. Regardless of what form immigration reform takes, there is a growing call for it. Thats partly because the Supreme Court recently blocked President Barack Obamas plan to help 4 million unauthorized immigrants live and work in the US without fear of deportation. A 2009 report from the Council on Foreign Relations still seems relevant today, noting: The continued failure to devise and implement a sound and sustainable immigration policy threatens to weaken Americas economy, to jeopardize its diplomacy, and to imperil its national security. Read more: How the Supreme Court is hurting the economy by killing immigration reform Undocumented immigrants pay billions in taxes: study The profound business implications of that viral Chewbacca mask 5 times John Oliver exposed problems in corporate America Americas brick-and-mortar banks are vanishing Unfortunately, our website is currently unavailable in your country. We are engaged on the issue and committed to looking at options that support our full range of digital offerings to your market. We continue to identify technical compliance solutions that will provide all readers with our award-winning journalism. Welcome to SwanseaOnline - your home for the best news, sports and what's on coverage of the city. Never miss a Swansea story with our daily newsletter Sign up to comment on our stories here Follow us on Facebook and Twitter | Swansea City news | Ospreys news | InYourArea By Andrea Shalal FARNBOROUGH, England (Reuters) - A top U.S. Air Force official on Sunday urged the U.S. government to speed up consideration of long-standing bids by Qatar, Kuwait and Bahrain to buy U.S. fighter jets, citing growing frustration among the key U.S. allies in the Gulf about delays in the process. Air Force Deputy Undersecretary Heidi Grant said she was trying to reassure those countries that Washington's failure to approve billions of dollars of U.S. arms sales to them would not alter their strong bilateral air force-to-air force relationships with the United States. "I would like to see a decision soon," Grant told Reuters in an interview on the eve of the Farnborough Airshow in southern England. Grant said she "absolutely" saw growing frustration among officials in the three countries, which have asked to buy a variety of U.S. fighter planes. "It's caused us to do more to reassure them that this one transaction should not impact the larger relationship ... that they are very valued partners," she said. "Hopefully the delay in this decision to move forward isnt going to have any impact." Grant said the three requests were still being worked on "at the highest levels of our government." She declined to comment on what was holding up the decisions. All three arms sales have stalled amid concerns raised by Israel that equipment sent to Gulf states could fall into the wrong hands and be used against it, and by the Obama administration's desire to integrate arms sales decisions into its broader decision-making on military aid to the Gulf. The Pentagon and State Department have both have signed off on the sale of 36 F-15 fighter jets to Qatar for about $4 billion, and 24 F/A-18E/F Super Hornets to Kuwait for about $3 billion, both built by Boeing Co, according to sources familiar with the matter. A third deal would sell about 16 Lockheed Martin Corp F-16 fighter jets to Bahrain in a deal valued at just under $1 billion. The White House has not yet approved the sales, although the Kuwait sale at least is expected to see approval before the Obama administration leaves office, the sources said. Leanne Caret, chief executive of Boeing Defense, Space & Security, told reporters the approval process was clearly taking longer than the company would like, but Boeing's Middle East customers were "hanging in there with us" despite the delays. "I believe we have a bright future on it, and we look forward to resolving it soon," she said. Grant joins other military officials and lawmakers who have urged the Obama administration to move forward on the arms sales requests, some of which have been in work for years. David Melcher, president of the U.S.-based Aerospace Industries Association trade group, said the delays could be particularly hard on smaller firms in the weapons supply chain to manage, and gave U.S. competitors a big advantage. "Whole markets have been created out of the lag, and that to me, is something that is not in the interest of the U.S. or U.S. industry," he told Reuters in an interview. Melcher said Vice Admiral Joe Rixey, who heads the Pentagon's Defense Security Cooperation Agency, was working to help streamline the approval process for foreign arms sales. He said Rixey explored bottlenecks in the process with about two dozen industry executives during a tabletop exercise in May. U.S. Navy Secretary Ray Mabus last month warned the U.S. Navy could see the cost of new F/A-18E/F Super Hornets rise unless the government approves foreign sales of the jets soon. Mabus said he was frustrated by delays in approving the sale of the Boeing jets to a close U.S. ally. Senior U.S. officials have said they are keen to see the Boeing F-15 and F/A-18 production lines in St. Louis, and the Lockheed F-16 line in Fort Worth, Texas, continue, and do not want to foreclose options on fourth-generation aircraft. (Editing by Mark Potter) Design concept of ArtWorks' "Armstrong" mural by Brazilian street artist Eduardo Kobra. The public art project, which depicts the first man to walk on the moon, will be painted in Cincinnati. A celebrated Brazilian street artist will paint a colorful mural of Neil Armstrong, honoring the late Apollo astronaut in downtown Cincinnati, Ohio. It could be described as one not-so-small-step for a public art project, one giant tribute for the first man to walk on the moon. Eduardo Kobra, whose earlier kaleidoscope-themed works have covered buildings in New York City, Los Angeles and Minneapolis, as well as Sao Paulo, Brazil, was selected by ArtWorks in Cincinnati to create their largest mural to date. Kobra decided to honor Armstrong, who taught aerospace engineering at the University of Cincinnati and was living in the city at the time of his death in August 2012. A Naval aviator and NASA research pilot prior to becoming an astronaut in 1962, Armstrong achieved the first docking between two spacecraft on Gemini 8 three years before he landed on the moon in July 1969. [Neil Armstrong's Photo Legacy: Rare Views of 1st Man on the Moon] Kobra's mural of the first moonwalker will cover the facade of the Fifth Third Bank headquarters' parking garage along Walnut Street. The artwork will measure 7,632 square feet (709 square meters) when complete. "Celebrating Neil Armstrong is perfect in so many ways," Tim Elsbrock, the president of Fifth Third Bank (Cincinnati) said in a statement released by ArtWorks noting the bank's sponsorship of the mural. "Throughout his life, and notably as command pilot for Gemini 8 and spacecraft commander of Apollo 11, Armstrong embodied the core values our Fifth Third community champions." "Kobra's compelling design conveys a universal aspiration to reach for the stars," Elsbrock said. Kobra's concept for the mural embraces his signature style of depicting historical figures in a dynamic combination of photorealism, bold colors and kaleidoscopic patterns. Armstrong will be shown in his lunar spacesuit, holding the Hasselblad camera that he used while exploring the moon. His face, his helmet visor and the camera will be rendered in black and white. Armstrong's suit will be painted in an exuberant patchwork of brightly colored triangles and stripes, and a spectrum of bright colors will form a rainbow effect emanating from the camera lens. The Earth, which Armstrong once described as "that tiny pea, pretty and blue," will be depicted in true-to-life colors. "In depicting Armstrong so vividly at that amazing moment in time when innovation, creativity and a pioneering spirit put men on the moon, Kobra has created a masterwork that is genuinely inspirational," said Tamara Harkavy, CEO and artistic director of ArtWorks, a non-profit that employs and trains local youth to create art. The mural will be painted by Kobra, assisted by four teen apprentices selected by ArtWorks. "Our goal was to create an iconic image that complements the site," said Harkavy, adding it was "destined to become a beloved landmark." Kobra's tribute to Neil Armstrong will be one of 23 murals ArtWorks creates this summer, bringing the organization's total mural count to 125. "Fifth Third Bank is excited [to] serve as the canvas for this very special ArtWorks project," Elsbrock said. "[It] engages our community and animates an already vibrant downtown space." The painting, which ArtWorks will stream live as it happens on its website, will take place Aug. 8 through Aug. 20, with a public dedication scheduled for Aug. 21. See the original NASA photo that is the basis for Eduardo Kobras "Armstrong" mural at collectSPACE. Follow collectSPACE.com on Facebook and on Twitter at @collectSPACE. Copyright 2016 collectSPACE.com. All rights reserved. Nicole Stott with her original photo and watercolor painting as she created aboard the space station in 2009. Six years later, Stott left NASA to become a full-time artist. Nicole Stott would really like it if she could find her paint kit. A small watercolor set no bigger than a deck of cards, she knows the general location of where she last left it, she just can no longer go there to look for it. "I did not think about bringing my little watercolor set home with me," Stott admitted in a recent interview. "I left it there thinking other people might want to paint..." "...in space," she quickly adds. [Alien Life, Landscapes and the Art of Space (Gallery)] Stott, a former NASA astronaut who was the first person to paint what she saw out the window while still in space, left her pallet aboard the International Space Station when she lived there for 90 days in 2009. She revisited the orbiting outpost two years later as a crewmember on the final flight of the space shuttle Discovery, but didn't have the chance to look for it. "I knew on STS-133 I would not have the time to paint and similarly, I did not think to grab the paint kit while I was up there again," she explained. Stott has had some of her astronaut friends try to look for the watercolors during their own expeditions since then, but they have come back empty handed. The paint set, at least for now, is lost in space. Fortunately for Stott, and her growing audience of patrons and admirers, there are more and better paints on Earth, which she uses to share the brilliance of what she saw while orbiting the planet. A wave of beauty "Every picture you take from the space station looks like it is in a haze," Stott observed. "So you have to do this auto-color, auto-correct [digital manipulation] to get any type of clarity out of it and it still doesn't get it." "It still doesn't get what your eyes see through the window this glowing, colorful living planet, it just doesn't get that," she said. Artistically-inclined since childhood ("my mom calls me the 'artsy-crafty' one in the family"), Stott was inspired to take the watercolor set to space after the crew support staff at NASA asked her to think about what she would like to do during her limited free time on the station. "I thought it would be kind of cool to paint in space," she recalled. "At that time, I did not know that no one else had painted in space." Once in orbit, Stott reviewed the photos she took each day and identified what she considered to be the most beautiful place on the planet below. "This one place, Isla Los Roques, is just this tiny chain of islands, located just east of Bonaire on the northern coast of Venezuela. When you look at it from space, it looks like a wave was just drawn on the surface of the ocean. It is just the way the little island chain is. It kept standing out to me as the one that I should paint." [Do Science and Art Share a Source? - Cafe Panel Chat] Nicole Stott poses with her original watercolor painted aboard the International Space Station in 2009. (Image credit: collectSPACE.com) Capturing the moment Having chosen "the wave" as her scene, Stott then needed to figure out how to capture it. "There was no way you could sit in front of the window and paint it," she explained. "It would be gone before you had the chance to get the water out of the drink bag." Travelling around the Earth at 17,500 mph (28,000 kph), any spot on the planet below was only out the window for a minute or so at most. To capture the view, Stott took a photo of the islands and then printed it out on a scrap piece of paper. "I still have that too, the little printout that I did on this torn sheet of paper, and used that as a guide for painting," she said. Like any watercolors, the solid paints only become active when made wet, which presented another challenge in the microgravity environment of space. Liquids, like everything else, have a tendency to float away. "What I would do is squeeze just the tiniest little sphere of water out of a drink bag and then shove the brush into that so that it would wick into the brush. I'd then quickly cap the bag off," Stott described. "You just had to be careful you were not squirting water all over the place, or swinging your brush around," she said. "The water kind of wicked to the paper, too. It was a nice flow and worked out really easily." From astronaut to artist Stott returned from her first spaceflight with that one small painting, a blue and green watercolor of Isla Los Roques. "It's not like a masterpiece or anything, but I think, in some ways, it portrays what I saw out the window," she said. She then put her painting aspirations aside. Having been assigned to her next mission while she was still aboard the station, she jumped immediately into training for her flight on Discovery. It was not until after her second spaceflight that she began to pick up the paintbrush again. "At that point, I was still thinking about flying again, staying in the [astronaut] office, but did start painting a little bit, at home, for fun," Stott recalled. "Some of what I was using [as inspiration] was what I had seen in space, but a lot of it was what I had at home, like the flowers in my backyard or things like that." Eventually though, her desire for another flight gave way to more Earthly endeavors, including her art. "I finally reached that 'warm fuzzy feeling' about not flying again, making my own proactive decision to pull myself out of that and go do something different, to spend more time with my son, do some things I did not have time to do, and art just seemed the thing that kept coming up for me," she said. "It was the best way I could share the experiences I was blessed to have." Bolstering her decision, Stott had another astronaut to look to as role model. "I'm very thankful to him for allowing me the time to speak to him, both before and after I retired," Stott said of Alan Bean, an Apollo moonwalker who returned from space to become a professional artist. "I was grateful just for having the chance to have a conversation with him about making the transition." Bean, who adds moon dust to his paintings of astronauts exploring the lunar surface, befriended Stott and welcome her to his home studio. "I think in terms of heroes from within the [astronaut] office, he was really it for me," said Stott. "He was able to go from test pilot, astronaut, guy who walked on the moon, Skylab guy and transition to the artist mode of life. It was a really compelling thing to me." [Lunar Inspiration: NASA's Moonbounce Art Project (Photos)] Thats how it felt to be in space Since resigning from NASA in June 2015, Nicole Stott has launched two art collections, one focusing on observations of the Earth, and the other on the spacecraft that she flew in orbit. With both she has tried to impart how it felt to be in space rather than recreate the exact look of being there. "For me, it is more about the emotion, my re-interpretation of what the photo captured," she said. "Where I am trying to go for more of the reality side of things is in the paints that I am choosing." To overcome the haze she observed in her photography of Earth, Stott sought out paints that have a range of color, translucence and iridescence to more closely match what she remembers seeing from space. "That's what I am trying to put into it, which hopefully can give folks a better idea of the brilliance you see when you look at Earth," explained Stott, adding that she uses sand, glass and beading to add textures and elevation to her art. For her spacecraft collection, she is just happy if the final product looks like the station or shuttle she set out to paint. "I really am," she said. "I want it to look like a station, but I want there to be beautiful aspects to it. I am not concerned about the detail of the station itself." Astronaut Nicole Stott poses with a suit created by The Space Suit Art Project, a campaign to raise awareness of childhood cancer by MD Anderson Cancer Center, NASA and ILC Dover. (Image credit: collectSPACE.com) Sharing space in a meaningful way What does concern Stott is attracting the public who may not even be aware there is a station on which she bases her art. "It has been fun to engage an audience that may not even think about the fact that we have a space station and then get them keyed in by looking at my art. If I can continue to do that, that's not just fun, I think it is an important thing," she said. [My Planet From Space: Fragility and Beauty (Gallery)] Even more important, though, has been a collaboration that has merged her experiences as an astronaut with her life as an artist, delivering the benefits of arts and science to pediatric cancer patients while at the same time increasing awareness of childhood cancer. The Space Suit Art Project, which launched Friday (July 8) at the University of Texas MD Anderson Cancer Center in Houston, provides patients, families and staff members the chance to paint original artwork that is then used to create full-size spacesuits. NASA provides the suit's patterns and ILC Dover, which builds the real suits for the agency, then assembles them, stitching the hand-painted art pieces into a wearable replica spacesuit. "The children are going through what is probably the most difficult thing they are going to go through in their lives and yet they talk to me about how what they experience must be what it is like to go fly in space, being isolated from your family and not being able to touch people you love," said Stott. "They are paralleling the traumatic, tragic experience that they are going through to astronauts, who are in awe and loving what they are doing." "They are paralleling it in a way that is just really thoughtful and meaningful." To date, the project has produced two spacesuits, referred to as "Hope" and "Courage," from more than 600 pieces of art created by patients, families and staff at MD Anderson. "Hope" is heading for display at The Museum of Fine Arts, Houston, while "Courage" will be flown to the International Space Station later this month. A third suit, "Unity," will be created as a collaboration with children's hospitals located around the world, representing the global issues surrounding childhood cancers. "I really feel this is the most meaningful thing I've had the opportunity to work with, from the standpoint of the people I am getting to engage with and the message that is going to come from it," said Stott. See more photos of Nicole Stotts space watercolor and paintings at collectSPACE. Follow collectSPACE.com on Facebook and on Twitter at @collectSPACE. Copyright 2016 collectSPACE.com. All rights reserved. CEO of UBS Sergio Ermotti attends a press preview of U.S. photographer Annie Leibovitz's exhibition 'WOMEN: New Portraits' at Wapping Hydraulic Power Station in London, Britain January 13, 2016. REUTERS/Stefan Wermuth GENEVA (Reuters) - Switzerland's politicians have done too little to protect the country's banks from demands for data from foreign governments, UBS (UBSG.S) Chief Executive Sergio Ermotti said in an interview published by the SonntagsZeitung newspaper on Sunday. Since the financial crisis, cash-strapped governments around the world have clamped down on tax evasion, with authorities investigating Swiss banks in Germany, France and the United States. But Switzerland's attempts to negotiate with other governments have not provided legal certainty or closed the book on issues of the past, Ermotti said. "This is unacceptable and opens the door for a new offensive against Swiss banks," he told the paper, adding that the government had been too ready to hand over customer data and that it is perhaps too late to get a better deal after years of negotiations. "On some issues, the train has left the station," he said. Last week UBS said it had been ordered by Switzerland's tax agency to provide France with tax information and it expected other countries to file similar requests. The request related to current and former French-domiciled clients and was based on data from 2006 and 2008, the bank said. Switzerland's tradition of banking secrecy has helped to make it the world's biggest offshore financial centre, with more than $2 trillion in foreign wealth kept with the country's banks. In 2014 French authorities placed UBS under formal examination over whether it had helped clients to avoid tax and investigating judges ordered the bank to provide bail of 1.1 billion euros ($1.22 billion). UBS was forced in 2009 to pay a fine of $780 million and deliver the names of more than 4,000 clients to avoid indictment, providing U.S. authorities with information that allowed them to pursue other Swiss banks. (Reporting by Tom Miles; Editing by David Goodman) Optimization Are you frustrated with a slow pc or a hard disk not performing as it should? Try SLOW-PCfighter to speed up boot time on a slow PC, or try a free scan of FULL-DISKfighter to recover space on a full disk. The latest offering is DRIVERfighter to update your driver updater. Get complete PC optimization and extend the life of your PC with these must-have software tools. Changes to the Electronic Communications Code have been included in the Digital Economy Bill. The changes see the removal of the rights of individuals to negotiate an open-market commercial agreement with network operators for access to land or property, in favour of imposed agreements. The CLA is working to ensure that the imposed agreements properly reflect the true losses of the landowner, such as the obligation to provide access. The CLA, which represents 33,000 landowners, farmers and rural businesses in England and Wales, is stressing that the new Code must be accompanied by a commitment to deliver better coverage for people in rural areas. CLA Senior Rural Business Adviser Charles Trotman said: The Digital Economy Bill enshrines in law the Universal Service Obligation for broadband of at least 10mbps by 2020. People living and working in rural areas will finally get the legal guarantee of internet connection that the CLA has campaigned to secure for so many years. It is time to end the discrimination felt in rural areas and we will continue working to ensure this law delivers for rural communities. Progress on improving mobile coverage in rural areas, however, has been slow going. Government and the mobile industry made a deal 18 months ago based on legally guaranteeing coverage for 90% of the geographic landmass of the UK by end of 2017. Ever since, the industry has lined up excuse after excuse and there is scant evidence of progress towards the 90% promise. The most recent figures show mobile coverage for only 55% of the country. Approximately 1,000 sales partners and more than 110 journalists from 28 countries were able to witness live and experience how the agricultural technology specialists from Lauingen an der Donau intend to meet the increasing worldwide demands for efficiency and productivity in the farming industry with the most innovative tractor technology Made in Germany. At the heart of the Future Farming event was the next generation of the 6 and 7 Series tractors. Even at first glance, it is clear how Deutz-Fahr imagines the future tractor in these two performance ranges: a modern and compact design, extreme comfort and first-class technology in all aspects. The participants themselves were able to test the high-level innovation in the new tractor ranges with Deutz-Fahr staff support. Nineteen tractors of the new 6 and 7 Series, five 9 Series and the new combine C9206 TSB were available for live testing. During the three days in the beautiful and historic German city of Dresden, the guests also had the opportunity to look at the Deutz-Fahr complete range of tractors from 35 HP to 340 HP, combine harvesters from 250 HP to 395 HP, telehandlers and forage harvesting equipment and advanced Precision Farming systems. The new 6 and 7 Series, together with the 9 Series introduced in 2015, will represent the core production of the new Deutz-Fahr Land in Lauingen an der Donau. This facility promises to be the most modern tractor factory in Europe, which is currently in its testing phase. STAMFORD Two city natives hope to bring a new standard of energy-efficient homes to Fairfield County, beginning in their hometown. Salvatore Zarella, who lives in Stamfords Waterside neighborhood, said the standard, which originated in Europe about 25 years ago, significantly reduces the size of heating and cooling systems, but keeps construction costs near those of a conventional home. Structures built to the standard are called passive houses. Zarellas decade-old company, Construction Management Group, based in New Canaan, will use it to create two such houses on adjacent lots on Campbell Drive in North Stamford. Zarella said the design incorporates the principles of solar buildings, such as placing windows on the south side of the structure to face the sun, and exacting criteria for air-tight structures with thicker walls, no voids and better exterior insulation to curb energy use up to 90 percent. Its the most efficient standard in the world, and it picks the lowest-lying fruit, which is what makes it so beautiful and basically why it is attractive to so many architects and builders, Zarella said. Zarella, 41, and his business partner, Michael Block, 46, explained why they think the passive house standard is destined for increasing relevance in Stamford and around the country. Q: How long have you been partners in CMG? Salvatore Zarella: I started CMG in 2005. Michael joined last year. Q: Where did you grow up in Stamford? Michael Block: I grew up my whole life in Stamford; most of my life in North Stamford, a few miles from this property right here. I now live a few miles over the North Stamford border in Bedford (N.Y.). My whole family still lives here my parents and my sister and I kind of feel in a sense I never left. This city and area still holds a very special place for me professionally and personally. Zarella: Ive been here my whole life, born and raised for 41 years. I live on the same street I grew up on (in Waterside). My wife and I and my daughter lived in New Canaan for a very short period of time ... and thats why my office is there. Q: What are your roles in the firm? Block: I do more of the marketing, finance, operations, business development, press outreach in a sense, running air traffic control for the business. Zarella: I studied passive house five years ago ... and that was a turning point for me in my building career. I built my first home when I was 22, and did some speculation building before starting CMG, which is primarily a custom building firm. Ive been surrounded by building nearly my whole life. I studied through the Passive House Institute US, which at that time was the certifying body for the institute, which is headquartered in Derendstadt, Germany. Block: Though we still build homes to conventional code, were not moving exclusively to passive house. Q: How much more energy efficient will these new houses be than a typical conventional-code residence of the same size? How large will they be? Zarella: The energy required of two blow dryers will be enough to heat and cool homes on the hottest and coldest days of the year. (It) is a very simple standard and the most efficient standard in the world It only cares about the energy component and how you get there can be navigated many different ways. It can be built with straw bales, a conventional timber wall, or insulated concrete forms ... When you boil it down .. it is the envelope in the most important part of the house. The more efficient that wall structure, the better it is at keeping heat in in the winter time and heat out in the summer time. Doing that, you achieve homes that have air quality that is unmatched and energy costs for your typical 2,500-square-foot house of about $500 or $600 a year. Q: Your firm is well known for designing and building conventional-code homes in the area. Why branch into this design model? Zarella: The bottom line is both Mike and I believe these homes will be among Stamfords most important constructions of our time because of the standard it reaches. No other home has reached this standard of energy efficiency in Stamford. There are some in the United States, but not many. Block: ... These houses are intended to be the most comfortable and most 21st-century modern family-friendly home with every modern amenity. Stamford has always been a very progressive city in how it is handling the environment. We think it is a perfect way to introduce the concept to the area. Q: Explain the importance of eliminating thermal bridges and how passive house eliminates heat leaking out of buildings during cold weather? Zarella: All the framing around the windows, around the doors, the foundation itself, or steel penetrations through a building are pathways that heat travels through. ... We refer to them as heat highways. If you think of a conventional frame wall being 25 percent to 30 percent timber, you can almost equate the much higher rate of heat transfer because the rest of it there is no insulation in those areas. In passive house, those bridges are cut off. We dont have those highways from in to out, and it is basically in how the wall in constructed. ... Ive never really looked at construction the same way, because I realize how poor our conventional construction methods are compared to this standard. Q: What type of customer do you think would be interested in hiring someone to build a passive house? Block: If you went to a client and said to them, Wed like to build you a house. You can have any style you want and any size you want. It will be a house that is economically efficient, is environmentally friendly and has the best and purest air quality any house has to offer. What client would say, You know what? Not for me. Its the act of bringing the concept to the client If you study marketing, there is sort of the pointy and wide end of the cone. Were still at the relatively pointy end of the cone, but it is getting to the wide end of the cone pretty quickly. Zarella: I think ultimately it just doesnt make sense to burn something we all have to breathe in. We are all going to look back and ... say, We used to burn oil for heat? It will get to a point where it doesnt make sense and if every home or commercial building or structure was built to this standard or anything close to it, the amount of our nations consumption and energy needs would drop dramatically. STAMFORD Margaret Benedict and her team have heard desperate stories from more than 100 high school students. We wear sunglasses a lot, said Benedict, sitting in her living room, which doubles as headquarters for the Gaffney Foundation. With a shock of curly blonde hair, a sheen of pink lipstick and prominent red glasses, Benedict was quick to speak about the stories of her students, and quick to tear up at the thought of how far theyve come hence the need for sunglasses. The Stamford-based Matthew Gaffney Foundation identifies 10 to 15 Fairfield County high school juniors each year who are first generation, low-income and high-achieving, and provides free counseling services to help them get into the best colleges with the least amount of debt. The students receive more than 80 percent of their college tuition in grants and scholarships, Benedict said. You want to save every single one but you just cant, she said, her eyes shimmering and vulnerable without the sunglasses. Benedict, a former professor of 16th-century English literature, now dedicates her life to helping low-income students get into the same colleges as their similarly performing, but higher-income peers. And as she has learned, its much harder than one might think. What bugs me the most is schools say, Please send me these first-generation kids, but were not going to make it any easier for them, she said. The foundation is currently helping 11 rising seniors four of whom are from Stamford and nine recent high school graduates. (The colleges) will say they want them, but they dont give them any money, she said. If they really wanted these kids, theyd make it possible. Beating the system In her senior at Westhill High School, Stamford resident Kiana Nieves was president of her class, had excellent grades and was involved with many school and community organizations, including Best Buddies, which provides services for people with intellectual and developmental disabilities. But despite all of her achievements, Nieves feared not being able to afford a college education. I didnt think it was possible for me to go to school and not pay a lot of money, she said. Nieves, a 19-year-old sophomore at Lehigh University in Pennsylvania, is a first-generation college student whose Puerto Rican family never attended college. It seemed like all odds were against me being a minority, a first-generation college student and a female studying engineering, she said. After meeting the Gaffney Foundation, it all changed. The students have come to know Benedict as The Money Fairy for her uncanny ability to get students financial aid packages with little to no debt. With the foundations help, Nieves was able to get more than 90 percent of her approximately $60,000 tuition in scholarships and grants. I cant even put into words what this woman has done for us, said Nieves, whos interning abroad this summer in Georgia. She is really like a money fairy. One of the problems with getting good financial aid for those students, Benedict said, is many colleges and universities replace need-based financial aid awards with merit scholarships. In doing so, the only students who can benefit from the merit awards are those who dont require financial aid. This is generally accepted as the norm, but this is holding this generation back, Benedict said. She said its all like a game you just have to know the rules. And many first-generation students dont know the tips and tricks that those with college-educated parents do. Its like the IRS, she said. Its so unfair. Language of higher education Many high school students rely on their parents to be financially savvy, but for students like Laura Guiral, a Stamford resident who just graduated from Westhill High School, they were going to have to do it themselves. My parents didnt really know much English, so I had to take charge of my education, said Guiral, whose parents came from Colombia. The 17-year-old said she didnt really have a good sense of what colleges she was going to apply for, but the foundation walked her through her options and helped her apply for a prestigious and generous scholarship which she won. Guiral, who will attend St. Lawrence University in New York, expects to pay less than 30 percent of her $32,000 annual tuition thanks to the Presidential Diversity Scholarship. Lifting them up For the first two years of high school, Norwalk resident Victor Borges, 18, was an A-plus student on free and reduced lunch. He never imagined he could go to the prestigious schools where some of his friends were applying. At first, I thought I cant afford an Ivy League school. I cant afford the top schools in the nation. Im going to have to go to community college, Borges said. And then after meeting the Gaffney Foundation, thats what changed it all. Shed make a phone call to the financial aid office and shed wave her magic wand and the financial aid package would just change from one day to the next, Borges said. The Gaffney Foundation provided free SAT prep, tutoring, college trip visits and guidance counseling to this years seniors, including Borges and another Norwalk student, Laura Veira, the class valedictorian who revealed her undocumented status during her graduation speech. The foundation also takes the juniors on a week-long summer camp, which started last week in New Jersey. Benedict said it allows them to bond as a group and get a taste of the independence theyll have in college. While Stamford schools have guidance counselors, Benedict said they often are unable to provide the extra attention a first-generation student might need. Guidance counselors are meant to guide you along and tell you what they want to do, but they cant take the time of day to tell you how to do it and how to apply because theyre busy people and have their own fish to fry, said Valeria Baltodano, a high school senior from Norwalk. The Gaffney Foundation also lifts its students sense of hope, encouraging them to aspire to prestigious colleges and instilling optimism in their ability to secure a good financial aid package. These kids have a fire in their belly and theyre going to change the world, Benedict said. They believe it and theyre going to do it. SFoster-Frau@CTPost.com; @SilviaElenaFF From the day it became apparent that Theranos had gone to great lengths to hide serious issues with its blood testing technology, it wasnt hard to predict that things would end badly for the much-hyped $9 billion startup and its iconic founder, Elizabeth Holmes. But I doubt if anyone foresaw it going this badly this quickly. Late last week, Federal regulators delivered a crushing blow to the company, withdrawing certification of its Silicon Valley lab and slapping Holmes with a two-year ban from the lab-testing business. Its hard to see how the famed entrepreneur with an uncanny way of getting what she wants gets out of this mess. Until recently, the Stanford dropout who started Theranos at 19 seemed to have investors, the media and retail partner Walgreens eating out of her hand. But then, the secretive companys claims it could run a full range of tests from a few drops a blood had yet to be vetted by anyone. That would prove to be its undoing. Once John Carreyrous blockbuster expose appeared on the front page of the Wall Street Journal last October, things quickly began to unravel for the Palo Alto native and her 13-year old company. Having covered this intriguing story from day one, here are three big lessons you should take away from Holmes and Theranos demise. 1. Startup CEOs must be hands-on. In April, after months of denying things were anything but hunky-dory at the company, Holmes did a complete about face, telling NBCs Maria Shriver I feel devastated that we did not catch and fix these issues faster. She vowed that it would never happen again. But the ever-shrewd Shriver wasnt buying it. Youre running a healthcare startup, youre dealing with peoples lives, youre dealing with test results that doctors prescribe medicine based on, she said. You would have thought youd have that in place from the get go. Absolutely, Holmes said. And probably the most devastating part of this is that I thought we did. Even presuming Holmes was telling the truth (I have my doubts), her statement is absolutely inexcusable for any CEO to make, let alone one who thinks shes going to upset the status quo and change the world. Whether youre in the healthcare business or not, you must know whether your products and services actually work before you make bold claims to the media, not after. You must be hands-on. Thats not micromanaging. Thats doing your job. Related: Why Theranos CEO Elizabeth Holmes Should Be Banned 2. Failing to deliver on the hype is a recipe for disaster After a decade in stealth mode, in 2013, Theranos made an enormous public relations splash, lauding its iconic founder and her altruistic vision of disrupting the $76 billion lab testing industry by delivering low-cost diagnoses to the masses in real-time from a simple finger stick. Those claims turned out to be way, way over the top. The technology was obviously not ready for prime time. But that didnt stop Holmes from grabbing headlines everywhere from Forbes and Fortune to the New Yorker and Vanity Fair. She became an instant entrepreneurial icon who some heralded as the second coming of Steve Jobs. Look, it doesnt matter how much money youve raised, how many people youve hired, or how much pressure youre under to deliver. Technology breakthroughs happen in their own time. Creating a buzz ahead of a big product rollout is one thing. Creating enormous hype when you cant deliver the goods is clearly a recipe for disaster. Related: Out For Blood: Theranos Orchestrates Bold PR Coup in the Face of Damning Allegations 3. Even a crisis based on perception is real. When the WSJ story broke, Holmes went on CNBC and said, This is what happens when you work to change things. First they think youre crazy. Then they fight you. And then all of a sudden you change the world. I have to say I personally was shocked to see that the Journal would publish something like this For six months, Theranos position was that the Journals reports were inaccurate, misleading and defamatory and that the allegations are grounded in baseless assertions by disgruntled former employees and industry incumbents. Related: Are the 'Wall Street Journal's' Claims Against Theranos Accurate? That was an enormous mistake. So was thinking they could get away with stone-walling perhaps the best investigative media organization in business. Even if what Holmes believed to be true were true, theres an old saying, perception is reality. You still have to deal with it. Treat every crisis as real, even if you think it's based on perception. As far as that goes, the three rules of crisis management are straightforward: First, own up to the truth and do it quick; second, move heaven and earth to make things right; and third, quietly let everyone move on. Thats all there is to it. I cant believe I have to tell anyone this in the age of our famously insatiable 24/7 news cycle, Dont mess with the media. It will be your undoing. Related: Elizabeth Holmes, la ex multimillonaria que ahora vale 0 dolares 3 Takeaways From the Demise of Theranos Founder Elizabeth Holmes Theranos CEO Holmes Barred From Operating Lab for 2 Years Copyright 2016 Entrepreneur.com Inc., All rights reserved This is a carousel. Use Next and Previous buttons to navigate WILLIAMSTOWN, Ky. (AP) A 510-foot-long, $100 million Noah's ark attraction built by Christians who say the biblical story really happened is ready to open in Kentucky this week. Since its announcement in 2010, the ark project has rankled opponents who say the attraction will be detrimental to science education and shouldn't have won state tax incentives. "I believe this is going to be one of the greatest Christian outreaches of this era in history," said Ken Ham, president of Answers in Genesis, the ministry that built the ark. Ham said the massive ark, based on the tale of a man who got an end-of-the-world warning from God about a massive flood, will stand as proof that the stories of the Bible are true. The group invited media and thousands of supporters for a preview Tuesday, the first glimpse inside the giant, mostly wood structure. "People are going to come from all over the world," Ham said to thousands of people in front of the ark. The ark will open to the public Thursday and Ham's group has estimated it will draw 2 million visitors in its first year, putting it on par with some of the big-ticket attractions in nearby Cincinnati. The group says the ark is built based on dimensions in the Bible. Inside are museum-style exhibits: displays of Noah's family along with rows of cages containing animal replicas, including dinosaurs. The group believes that God created everything about 6,000 years ago man, dinosaur and everything else so dinosaurs still would've been around at the time of Noah's flood. Scientists say dinosaurs died out about 65 million years before man appeared. An ark opponent who leads an atheist group called the Tri-State Freethinkers said the religious theme park will be unlike any other in the nation because of its rejection of science. "Basically, this boat is a church raising scientifically illiterate children and lying to them about science," said Jim Helton, who lives about a half-hour from the ark. Ham said the total cost of the ark surpassed $100 million, a far cry from a few years ago, when fundraising for the boat was sluggish and much larger theme park plans had to be scaled back. Millions of people first learned about plans for the ark during a debate on evolution between TV's Bill Nye "the Science Guy" and Ham in early 2014. A few weeks later, a local bond issuance infused tens of millions of dollars into struggling fundraising efforts. And earlier this year, a federal judge ruled the ark could receive a Kentucky sales tax incentive worth up to $18 million while giving a strict religious test to its employees. Months later, the tax incentive ruling still has some opponents of the boat scratching their heads. "It's a clear violation of separation of church and state. What they're doing is utterly ridiculous and anywhere else, I don't think it would be allowed," Helton said. The court ruled in January that Kentucky officials could not impose requirements on the ark that were not applied to other applicants for the tax incentive, which rebates a portion of the sales tax collected by the ark. That cleared the way for the group to seek out only Christians to fill its labor force. New applicants will be required to sign a statement saying they're Christian and "profess Christ as their savior." Philip Steele, one of the thousands who got an early preview of the ark Tuesday, echoed Ham's often repeated comment that the sales tax generated by the ark wouldn't exist if the ark was never built. "I just don't think they understand it," Steele said of the ark's critics. "They'll be able to keep a portion of (the sales tax) to further their ministry, but so be it." When Ham was asked about the tax incentive at the Tuesday event, he drew loud cheers when he proclaimed no taxpayer money was used to the build the ark. As much of a boon as the $18 million tax break would be, Bill Nye's agreeing to debate Ham may have helped turn the tide of years of sluggish fundraising. Nye, a high-profile science advocate and former TV personality, debated Ham on evolution and drew a huge online audience. Nye later said he didn't realize the attention it would draw and said he was "heartbroken and sickened for the Commonwealth of Kentucky." The video of the debate posted by Answers in Genesis on YouTube has 5.4 million views. About three weeks after the debate, Ham announced that a bond offering from the city of Williamstown had raised $62 million for the project, and a few months later Answers in Genesis was breaking ground at the site of the ark. This is a carousel. Use Next and Previous buttons to navigate STAMFORD The 21-year-old New York tow truck driver who led authorities on a high-speed chase up and down Interstate 95 early Saturday morning before crashing into a state police cruiser in Greenwich said he just drank too much. Jheison Callecastro, of Ossining, N.Y., was arraigned Monday in state Superior Court in Stamford on charges that include criminal mischief, attempted assault on a police officer, attempted second-degree assault with a motor vehicle and engaging police in pursuit. Judge John Blawie ordered Callecastro be held in lieu of a $100,000 court appearance bond and he was transferred to the Bridgeport Correctional Center. Callecastro did not enter a plea and was assigned a public defender. Im sorry, Callecastro said when he was pulled from his burning truck near exit 3 on I-95 southbound about 2:30 a.m. Saturday, according to police reports in his court file. I just drank too much. Callecastro thought New York police wouldnt pursue him when he raced into Connecticut after officers in White Plains spotted him driving over the double-yellow lines on East Post Road and then double parked facing the wrong direction, according to the arrest report. Callecastro, who was responding to a call for a tow from a White Plains restaurant patron, jumped back into his truck when he was confronted by an officer. He sped through a crosswalk, striking at least one pedestrian, according to the report. The persons injuries were not released. New York police managed to pull over Callecastro a short distance away, before he sped off again and got onto Interstate 287 toward Port Chester, the report said. Callecastro led authorities on a high-speed chase up I-95 before turning around at exit 14 in Norwalk, the report said. Stop sticks helped slow down the truck before Callecastro crashed into the police cruiser near exit 3 in Greenwich, the report said. The officer saw the truck coming and managed to jump on the road barrier to get out of the way, the report said. Callecastro refused to take a Breathalyzer, but failed field sobriety tests, the report said. jnickerson@scni.com; 2000 - 2022 24 .- . focus-news.net, () . 24 . 24 . . 24 . H eathrow could double its cargo capacity with a third runway that would help even more British exporters trade with all the growing markets of the world in Brexit Britain, the airports boss has said. John Holland-Kaye, the chief executive of Heathrow who yearns for expansion, also pointed out that, amid major uncertainty about the UKs economy and job prospects in the aftermath of the EU referendum, a 16 billion privately-funded infrastructure investment will create jobs and growth across the UK. Our next Prime Minister has a real opportunity to secure Britains legacy as an outward-looking trading nation, Holland-Kaye added. A decision on Heathrow expansion must be at the top of her in-tray. It is now more than a year since Sir Howard Davies recommended Heathrow over Gatwick for expansion. The comments came as Heathrow posted a 2.1% rise in cargo volumes in June, with most of the freight linked to China, India and Turkey. Strikes and bad weather put fliers off, though: passenger numbers fell 1.1% at 6.6 million during the month. Heathrow is stepping up its attacks on rival Gatwicks campaign to win backing for the new runway in the south-east. Sir Nigel Rudd, who stood down as chairman of Heathrow last month, has hit out at Gatwicks owner Global Infrastructure Partners, claiming the private equity firm is a property speculator. He explained: They are in this to sell Gatwick preferably with Heathrow constrained thats what motivates them, not a desire to secure the future of the British economy. Gatwicks owners just want to sell with planning permission. In a column for Business Travel News, Sir Nigel added: I am a regular traveller through Gatwick, and as a businessman I have seen the way their shareholders run their business. It is frankly inconceivable that Government could choose their plan. T he Citys Cheesegrater skyscraper is finally full, its developers have declared, giving a major boost to a commercial property market wobbling in the wake of the Brexit vote. The companies behind the 225 metres-tall tower in the heart of the Square Miles insurance district, British Land and Oxford Properties, have struck deals with existing tenants Kames Capital, Rothesay Life and Amlin to take last three floors of the building. Two of the three leasing deals for the tower, formally known as the Leadenhall Building, have been completed since the referendum. Kames is understood to be paying a record City rent of more than 100 a square foot for the 43rd floor. The Cheesegrater and its rival Walkie-Talkie were the first two tower developments to rise out of the ashes of the financial crisis in London. British Lands head of offices Tim Roberts said the deals represented a significant milestone, adding: To receive commitments from occupiers so soon after the EU referendum is not only a tremendous endorsement of the building, it underlines the enduring appeal of London. Although the UKs status outside the EU is uncertain, leasing agents say that a shortage of office supply is still giving landlords the advantage for the moment. Picnic against Brexit in Green Park One asked: What can the occupiers do? But other sources say tenants are now looking for much more flexibility from landlords, including three-year break clauses to reassess space needs when EU negotiations are completed, while the more expensive West End market could be worse hit. Industry sources say the post-Brexit turmoil has had more impact on the buying and selling of buildings in London with hundreds of millions in sales, including the Cannon Place headquarters of the CBI, pulled. One investment agent said: London is still a world city but the investment market is having a proper wobble. We think around a third of the deals are dead, a third in intensive care, and a third continuing. But the money from the Middle East and Asian region is not going away. If you have a 7%-10% fall in prices and a 10% fall in the value of the pound, its 20% off buy five, get one free. US bank Wells Fargo is expected to spend 200 million on a new City headquarters shortly in another shot in the arm for the capital. And Swedens richest man, H&M chairman Stefan Persson, spent 400 million on Debenhams Oxford Street flagship last week. O ne lucky Londoner could end up starring in a new photographic celebration of the city. Historic England is looking for someone to appear alongside famous faces including Professor Robert Winston in its I Am London exhibition. The competition launches today and is open to anyone who lives within the M25 they just need to name their favourite London location and say what they would choose to save from another Great Fire. The winner will take their place alongside 59 Londoners chosen to represent the city, including a pearly king, the head doorman at the Savoy hotel and a yeoman warder at the Tower of London. Also pictured are musician and Notting Hill Carnival veteran Sterling Betancourt, east London funeral director John Harris and Royal School of Needlework student Kate Barlow. Mayor of London Sadiq Khan said the photographs provided an amazing snapshot of the capitals fascinating heritage and the many faces who make up the fabric and identity of our incredible city. He said: This wonderful exhibition encapsulates how much London has changed over the years, but also reminds us why the capital continues to be the most open, pioneering and diverse city on the planet. It is part of Historic Englands Keep It London campaign to get Londoners to celebrate and speak up for the capital. Chief executive Duncan Wilson said: This exhibition is a celebration of Londons unique and complex identity. It is as much about its scientific endeavour, cutting edge art, performance and design, politics and campaigning as its pubs, pearly kings and precious parks. Its a snapshot of this great city in microcosm, told through its people and places. The photographs will go on show in the window of galleries of Central Saint Martins college in Kings Cross from today until September 4, with the competition winners picture featuring in the final month. To enter the competition visit Historic England. Follow Going Out on Facebook and on Twitter @ESgoingout T ime isnt just money any more, says Karen Mattison, founder of Timewise. It can be even more important than that. Mattison is explaining why Londoners are increasingly requesting flexible working, and why the best employers are trying to adapt to their needs. The words flexible working tend to concur up thoughts of a colleague who has cut down to four days, or perhaps the boss who sneaks out at 4:30pm on the dot to pick up the children. But theres a much broader range of ways that organisations can offer flexibility to their staff, including compressed hours, percentage contracts and ultra-flexed hours. And it isnt only for employees with children or caring responsibilities: some staff work flexibly so they can study or volunteer too. Timewise was set up to encourage flexible working. Mattison herself is in a job-split with fellow CEO Emma Stewart (Mattison covers private-sector employers, Stewart the public sector). Their analysis showed that while just over half of Londoners have some kind of flexible arrangement, the capital has the lowest percentage of jobs anywhere in the country 7.2 per cent that are advertised as flexible and have (full-time equivalent) salaries of more than 20,000. The national average is 8.7 per cent of posts. One of the difficulties applicants face is knowing when to ask about flexibility: do they mention it as they apply and risk seeming half-hearted in their desire for the post, or once theyve been offered it, and risk frustrating their new boss and HR? Candidates often compared it to a game of poker they dont know when to show their hand, says Mattison. Timewises Hire Me My Way campaign is calling on organisations to change. In the hiring process everything defaults to nine-to-five: jobs get readvertised to the norm, even if the person being replaced was working a four-day week. Companies should say when they advertise a job that for the right candidate they would add flexibility. Stewart adds: The message to businesses is: Youre already doing this, so why wouldnt you consider it for candidates? London has a war on talent so why not make a big sell of flexibility at the point of hire? And what is Stewarts advice if youre already in a job and want to switch to flexible working? Take the solution as well as the problem to your bosses: you have to make your personal business case. So here are the new ways you can flex-it. Jump the hump A growing trend among senior staff who want to work flexibly is to take Wednesday off, cutting the week into two sections rather than truncating it. Lynn Rattigan is chief operating officer at accountancy firm EY for the UK and Ireland and has worked flexibly since her twins were born in 2009. She stays at home on Wednesdays. Professionally and personally I felt that being out in the middle of the week was easier to cope with, she says. Lots of things happen on a Friday, and this way it is easier to plan. I check emails on Wednesdays and can catch up on everything when Im back the next day. It also means I never take too long a break from my children. When she first came back following maternity leave she was looking after a smaller section of the business. Eighteen months ago, however, Rattigan was promoted into her current role. My boss said hed never had a COO who worked four days before but he set out what he needed me to do, and I thought it was possible, she says. We keep the discussion going now, about whether we are both happy with the set-up and are getting what we need. Its important both sides are regularly having that conversation. The best apps for Londoners 1 /16 The best apps for Londoners Zip car Join, reserve, unlock and drive it really is that simple. The capitals preferred car clubs app gives 24/7 access to cars and vans in your neighbourhood and lets you extend or cancel reservations on the go. Free Uncover Sick of being stuck on waiting lists? You need Uncover, which redistributes cancelled reservations at some of the capitals top restaurants, including The River Cafe and Nobu. Not for planning freaks, though tables typically become free at 40 minutes notice. Free Uber So popular its become a verb, this private driver service has revolutionised travel in the capital. Its speedy and affordable, making it a welcome alternative to the night bus. Free Santander Cycles Launched this summer, the official app for Boris fifth child can be used to search for nearby docking stations and check bike availability. Theres also a journey planner featuring easy, moderate and fast routes to satisfy all cycling tribes. Free Plume Air Report This new app has been downloaded by 3,000 Londoners. Sensors gathering air pollution data submit updates every hour, resulting in a scale that ranges from fresh to extremely polluted. Free Nightcapp Heres an app that will have booze hounds raising their glasses. NightCapp is a map that pinpoints more than 1,500 London watering holes that stay open past 11.30pm. It also shows users when a bar is about to close by highlighting it in orange. Better get moving. Free Money Dashboard An award-winning budget planner, this helps you keep track of personal spending across multiple accounts, pay off credit cards and even makes suggestions on how to manage your finances better. Free Her Promising to introduce women to a lesbian that hasnt slept with any of your friends, this revamped dating app includes queer-themed news and blogs, upcoming event notices and an improved algorithm-matching system. Free FoodMood This new startup, which reckons its Tinder for food, pledges to narrow down your choice of lunchbreak destinations. Hit yum or yuk on photos of dishes in your area. Juvenile, but strangely addictive. Free Daily Yoga This offers more than 50 yoga sessions, as well as a database of 500 yoga poses. Suitable for all levels, programmes include yoga aimed at specific areas of the body and weight loss. Namaste to that. Free Coffee Meets Bagel Billed as the anti-Tinder, this new kid on the block delivers just a single match to users once a day. Coffee Meets Bagel uses Facebook profile information to recommend suitors based on friends of friends. Neither coffee nor bagels are included. Free. Bristlr Do you have a beard? Perhaps youd like to stroke one on a regular basis? This can be arranged. Unlike other dating apps, Bristlr is unashamedly all about hooking up the hairy with the hairless. Theres even a beard-rating option for aficionados. Free Ultra-flexed This means working hours can shift day-to-day and week-to-week, with no fixed pattern. Its particularly helpful for those caring for an elderly parent or young or sick children, or those who have a disability themselves. Mark Webb, 47, joined the media team of what was then Dixons Retail just over a decade ago and is now head of group social media at Dixons Carphone Warehouse. He is a father of two sons, aged 10 and five, and also has multiple sclerosis. Officially he works four days a week (with Fridays off) but he can also take breaks for treatment and when hes suffering from fatigue. When I was first diagnosed with MS it was unpleasant but wasnt particularly job-threatening, he recalls. But it has a habit of progressing, and it did for me. I carried on in a bit of denial, probably not doing as good a job as I had been before. Webbs bosses at Dixons came to him, suggesting he cut back to four days a week, and that they create a role that suited them both. I used to spend my time with journalists, having drinks out and at shop openings but I cant dance on the tables doing tequila slammers any more, he says. This job has helped me keep the interactions my illness will allow, and a social role though social media. It means he can work from home, although he comes in to the office about once a week in a wheelchair as I miss seeing people. But when I need a nap or when its better to do a pyjama day tweeting from home, that works. On his worst days, Webb cant use his hands so Dixons has given him voice-recognition technology. Webb sees this arrangement as mutually beneficial: Dixons has kept my knowledge and has a totally committed employee who knows senior management are committed to him. Ace your pace You have to complete an agreed share of the usual working hours across the year. The benefit is that it enables you to toil at full-pelt for set periods and then perhaps take extended holidays. It fits well with companies where work is seasonal or where staff fluctuate between busy and fallow periods, like IT and some sections of law. Avril Martindale, a partner at Magic Circle firm Freshfields Bruckhaus Deringer, has been working at 60 per cent since 2012. She joined Freshfields 19 years ago, specialises in intellectual property and data protection, and had always worked full-time previously. She decided to go part-time so that she could spend more time with her two children, now 14 and 12. My flexible arrangement is flexible: no ones saying youre in Monday to Wednesday, she explains. Its up to me to perform. There is a catch-up system, where she can reclaim extra hours worked, but mostly she tries to stick to the 60 per cent cut-off each week. Im in the fortunate position that Ive had years of people trusting me, she adds. It is important that companies have an adult approach to this, trusting the people theyre working with. Hack your workspace / Shutterstock / racorn Remote control This is exactly what it sounds like you have the same job but do it in a different country. That can mean youre based elsewhere, or just that you spend one of the week there to enjoy an extended weekend abroad. Technology is your friend, either way. Grace (whose company, unfortunately, felt this was too extreme an example of flexible working to want it publicised) has been based in Greece since last September. She moved there with her husband, whose job had taken him there. My line manager said, I have people working in Manchester, whats the difference? She holds most of her meetings over Skype, and returns to London for a few days every couple of weeks for events and face-to-face catch-ups. Shrink wrapped Squishing what everyone else does (almost) in a full week into a truncated period. It means you dont have to cut your salary accordingly but forces you to axe the faff time. Not an option for slackers, this. Ruth Cooper, the service and complaints manager for the Health and Care Professions Council, is simultaneously studying theology at Wycliffe, a permanent private hall at Oxford. She works for HCPC Tuesday to Friday in London and travels up on Sunday night to Oxford for a full day of lectures and study on Monday. Rather than the regular 35-hour week she works 34 hours, takes a shorter lunch break, and adds an extra hour to the end of her colleagues working day. People always say you have a bank holiday weekend every week thats not true, she says. Im really focused while Im in Oxford and I go up quite often when its not term-time to use the library. As much as I love flexible working I think there needs to be a purpose for it mine is to study. Cooper adds that it is give and take in busy periods shes had to work Mondays and says she feels both she and her employer have benefited: I dont think Ive had a sick day since I changed my contract 18 months ago. Follow Rosamund Urwin on Twitter: @RosamundUrwin B ologna hovers on the edge of the tourist radar, not quite registering in the minds of the masses rushing from Florence to Venice. But stop in the capital of the Emilia Romagna region and you will discover why its the gastronomic centre of Italy. Other places might argue the toss but few can compete with the home of lasagne, tortelloni and tagliatelle al ragu not to mention the regions prosciutto di Parma, Parmigiano-Reggiano and culatello ham. The pace is unhurried, relaxed, indulgent helped by the 40km of porticos that snake through the centre and offer shelter from the sun and rain. Piazza Maggiore draws people to its broad space overlooked by the San Petronio basilica and the 13th-century Palazzo dAccursio, the town hall. Head under the arch into Via Pescherie Vecchie on the eastern side and plunge into the Quadrilatero district, where food shops, cafes and market stalls are squeezed into medieval lanes. Follow the porticos to the Due Torri, two medieval towers that lurch drunkenly over Via Zamboni. The taller of the two, Torre degli Asinelli, is worth the climb up 498 rickety steps for sweeping views. If you cant face the hike, take the lift up to a temporary viewing platform on the roof behind San Petronio, open while works are carried out on the basilica. Bed down: roof with a view The friendly, family-run Hotel Metropolitan (00 39 051 229393; hotelmetropolitan.com) is in a lane off Via dell Indipendenza, the main shopping thoroughfare. Rooms are sleek and modern, and its worth booking a larger one with a terrace. Apartments with kitchens are available and theres a new roof terrace with city views. Doubles from 120, including breakfast. Ian Dagnall/Alamy Stock Photo Fed and watered: pasta perfection On the southern fringe of the city centre is Al Sangiovese (00 39 051 583057; alsangiovese.com), a cosy restaurant that doesnt miss a step, with classic Bolognese cuisine. Top dishes include tortelloni filled with ricotta and served with sage, as well as passatelli (pasta made of breadcrumbs, eggs and grated parmesan) in broth. One thing you wont find nor anywhere else in the city is spaghetti bolognese, as the classic meat ragu is served with tagliatelle. Just a few minutes from Piazza Maggiore is Osteria del Cappello (00 39 051 19986887; osteriadelcappello.com), which has been feeding travellers since 1375. You wouldnt guess it from the breezy, rustic-chic interior, where theres live music every Friday. The menu is reassuringly traditional, with standouts including big platters of prosciutto, mortadella and young creamy squacquerone cheese. Until recently, the area around the Mercato delle Erbe (mercatodelleherbe.eu), the centres largest covered food market, was less than salubrious. But in 2014, parts of the market were turned into a food court and transformed the atmosphere. Banco 32 (00 39 051 269522; banco32.it) makes use of its location opposite the fishmongers and serves a lunch menu of seafood and vegetarian dishes with pan-Mediterranean touches. Come evening, it reopens for snacks and aperitivi. Theres also the vibrant Altro (altrobologna.com) food hall. The idea of making your own pasta lunch isnt so daunting when youre in the expert hands of cooks Valeria and Barbara at Il Salotto di Penelope (00 39 051 6493627; ilsalottdipenelope.it). After a morning learning how to make tortelloni and tagliatelle, you get to eat the fruits of your labour. You cant go far in student-centric Bologna without bumping into a bar, and most offer free buffets during aperitivo time (roughly 6pm to 8pm). Check out Cocoa Wine Bar (00 39 051 264052) in Via Altabella, north of Piazza Maggiore. Its vegetarian-heavy aperitivo buffet goes down well with jumbo Aperol spritzes served in mason jars. In the bag: posh produce and fine fashions The lure of the food shops in Quadrilatero is irresistible. Both Tamburini (00 39 51 234 726; tamburini.com) and Salumeria Simoni (00 39 051 231880; salumeriasimoni.it) the latter with a wine bar outpost nearby show off the best in regional cheese, hams and other local products. The elegant Liberty interior of Paolo Atti & Figli (00 39 051 233349; paoloatti.com) is as enticing as the exquisite handmade pasta and cakes that have been produced by the Atti family for more than 100 years. Stylish shoppers who like all their luxury boutiques under one roof head to Galleria Cavour (galleriacavour.net). Conveniently, its just across the street from one of Bolognas best places for gelato, Cremeria Funivia (00 39 051 656 9365; cremeriafunivia.com). Cultural agenda: class acts Europes oldest university was founded in Bologna in 1088, and had its first permanent home in the 16th-century Palazzo dellArchiginnasio (00 39 051 276811; archiginnasio.it). Its wondrous frescoed interior also contains one of Europes oldest anatomical theatres, complete with fascinating wooden sculptures of skinned cadavers. In the heart of the university quarter, on Piazza Verdi, is the 19th-century opera house (00 39 051 529921; comunalebologna.it). It has neither the elitist air nor the high prices of many other opera houses, and features dance and classical music as well as opera. Fittingly, for a city so devoted to education and food, theres a museum dedicated to gelato (00 39 051 6505306; gelatomuseum.com). Guided tours reveal the history of gelato and why the Italian version shouldnt be confused with ice cream with tastings and a chance to make some yourself. Details: Bologna Bologna is served by British Airways (ba.com), easyJet (easyjet.com) and Ryanair (ryanair.com). GSV Shuttle (vivaraviaggi.it) connects the airport and hotels for 8. bolognawelcome.com; emiliaromagnaturismo.com A t least three people are dead after a gunman opened fire at police and bailiffs at a courthouse in Michigan. The shooting happened outside the Berrien County courthouse in the town of St. Joseph in southwest Michigan this afternoon. Two bailiffs were shot dead inside the courthouse and police then killed the gunman, Berrien County Sheriff Paul Bailey said. Mr Bailey said: "At about 2.25pm we had a disturbance on the third floor of the courthouse. A person has shot two bailiffs, they are both deceased, and a deputy sheriff who is at the hospital right now being treated in the emergency room. "The suspect has been shot and killed," he added. He said the death of his friends was "terrible." The suspect was an inmate who took a gun off an officer and began shooting, television station WZZM reported. Mr Bailey said it was not clear how the suspect got the weapon. A deputy sheriff was blasted in the arm and was taken to hospital, local media reported. Buildings in the area were put on lockdown after the shooting. Zayra Stark, who claimed to work at the courthouse, tweeted: "There's someone shooting at the third floor of the Berrien County Courthouse in St. Joseph, where I courier. I am safe and unharmed." Witness Mark Laukus told television station WSJM a prisoner was being taken into the courthouse when the shooting began. He said: They had a gentleman in there, in his jail greens and handcuffs. One of the sheriffs bailiffs took him into another hallway, and you heard a scuffle, you heard someone hit the floor. "Then the courtroom bailiff went into that room, and you heard a gunshot. A police investigation has been launched after a teenager reported that she was raped at T in the Park festival. The incident is alleged to have happened on Thursday evening at the music festival in Kinross, Scotland. It is said to have happened after she had spent a night in the Slam Tent at the festival's Strathallan campsite. Festival-goer Sean Gillies, 29, from Edinburgh, told the Herland Scotland: The festival should be a place for people to come and be safe and have a good time. "For a woman to be attacked is just terrible. Its crazy that something like this would happen. News of the the alleged rape came after two teenagers died at the festival, after they were believed to have taken drugs on the Thursday evening. Megan Bell, 17, from Seaham in County Durham, became ill on Thursday night in the Slam Tent and was taken to the festival's medical centre, but died shortly afterwards. Peter MacCallum, who was also 17, from Argyll in Scotland, was found unconscious in his tent in a different area of the festival in the early hours of Friday morning, and was pronounced dead at about 5am. It is believed both deaths were related to drugs but were not linked to one another. A Police Scotland spokesman said: "Police are making enquiries into a report of a rape of an 18-year-old female on Thursday evening. Our enquiries are ongoing." M urdered London schoolgirl Alice Gross penned an essay in support of free movement within the EU three months before she was killed by a Latvian criminal, her parents have revealed. The 14-year-old, who was found dead in London's River Brent after being killed by Arnis Zalkalns in August 2014, wrote about the benefits of EU membership and said banning foreign criminals would be "reintroducing the idea of racism". The essay, written in May 2014, was revealed today by her parents Jose Gross and Ros Hodgkiss in an interview with the Guardian. She wrote: "Personally, I believe that the United Kingdom should remain a member of the EU as it allows our country to be considered a communal and friendly country." Alice's parents Jose Gross and Ros Hodgkiss (Dominic Lipinski/PA ) / Dominic Lipinski/PA And addressing Ukip's call to have foreign criminals banned from Britain, she added: "I believe that this takes away the concept of equality amongst the community by implying that criminals dont deserve the same rights everyone else has." "It also depicts Britain to believe foreign criminals are different and dangerous compared to the British criminals, reintroducing the idea of racism." It comes a week after an inquest jury ruled Alice was unlawfully killed in a sexually motivated attack after going missing from her home in Hanwell, west London, in August 2014. Her body was discovered on September 30. She had died from compression asphyxia at the hands of Zalkalns, who had served an eight-year prison sentence for murdering his wife in his native Latvia before entering the UK in 2007. The 41-year-old was found hanged nearby in Boston Manor Park on October 4. Scotland Yard said he would have been charged with the schoolgirl's murder had he still been alive. Arnis Zalkans was found hanged a week after the schoolgirl's body was found But his Latvian criminal record only came to light after he was reported missing, despite an arrest in 2009 on suspicion of sexual assault. Following the inquest, Alice's parents called for "targeted reform" of the system for exchanging information about high-risk offenders across Europe, after the hearings exposed serious inadequacies. Mr Gross stressed that his family was in favour of freedom of movement, saying: "We do not believe that any citizen deserves to be treated differently based on their race or nationality." T he family of a student killed by a careless lorry driver today said they hoped his sentencing next month would serve as a lesson to others. Hassan Sheikh, 21 from Ilford, was a few weeks away from finishing his business degree when he died in the crash in Essex. Joseph Smith, 55, was driving his HGV along the A13 towards London when he made the fatal manoeuvre. He moved into the middle lane without checking his mirrors, which caused the car behind him to swerve and knock Mr Sheikhs vehicle into the central reservation near Ferry Lane in Rainham. Last week at the Old Bailey, Smith admitted one count of causing death by careless driving and was warned by the judge that he could be sent to jail. Mr Sheikhs father Nasir Mahmood, 47, who runs a fruit and vegetable business at New Spitalfields Market in Leyton, said: I hope it teaches him a lesson and next time he will be careful. Hassan was my son. He was a wonderful boy with lots of friends. He was just getting his degree that same month. Everybody loved him and he had a lot of friends. He was outgoing and had a great social life. He was looking forward to his future and creating business for the family. Anything you asked of him, he would do it. Everybody misses him. The victims younger brother Anwar Sheikh, an 18-year-old student, said Smiths guilty plea could not make up for the familys loss. Older brother Muhammad Ali Sheikh, 25, added: He should be taught a lesson and it should be a lesson to other drivers as well to be careful. We are upset. Hassan was in his third year at Middlesex University and he wanted to work in the family business. He wanted to help expand our fruit and veg business. Both Mr Sheikh and his passenger were thrown from the car in the crash, which happened shortly before 2pm on July 1 last year. Emergency services attended but Mr Sheikh was pronounced dead less than an hour later. His passenger broke his leg and pelvis. Smith, of Kings Cross, was yesterday bailed before sentencing on August 3. Judge Mark Lucraft QC said: Youve pleaded guilty to causing death by careless driving and the court may well pass a custodial sentence. A north London Hasidic Jewish school has been criticised by Ofsted for not educating pupils about homosexuality. The strictly orthodox school, deemed satisfactory by the regulator in 2010, does not teach children about sexual orientation or other sex and gender-related topics. Talmus Torah Machzikei Hadass School in Hackney is run by the Belz Chasidic community and bases education on unconditional adherence to the Shulcan Aruch (code of Jewish law). According to the report: This means pupils are shielded from learning about particular differences, such as sexual orientation [and] the explicit teaching of all the protected characteristics, specifically those that relate to gender or sexuality, is avoided. The Stamford Hill school, teaching boys aged three to 16, acknowledges it does not teach pupils about protected topics and has said it does not intend to do so in future. As a result, it has been listed as not meeting the required standards for an independent school. The report did, however, acknowledge a focus on teaching students to respect everybody, regardless of difference, specifically referring to education on equal rights of men and women. The school came under fire from Jewish feminist and womens groups last year after it issued a letter banning mothers from driving to the school gate as it goes against the traditional rules of modesty in our camp. The ban was later retracted after the Department for Education intervened and argued it was unlawful under the Equality Act 2010. The school was contacted by the Evening Standard but did not wish to comment. L arge numbers of patients attending one of Londons busiest A&E units were today being told to seek medical help elsewhere. A pioneering trial scheme at Queens hospital in Romford aims to give emergency department medics more time to concentrate on people with life-threatening illness or injury. A one-day trial in May, and a system used during the last junior doctors strike, found one in three people attending Queens and the A&E at King George in Ilford do not need to be there. Concern has been growing that long waits no longer deter patients from going to A&Es with minor complaints. Many said they came in as they were guaranteed to be seen and have to wait too long for a GP appointment. The trial will run for two weeks and operate between 8am and 8pm. An emergency consultant or GP will be placed at the door of the A&E to decide who should receive treatment. Mairead McCormick, deputy chief operating officer at Barking, Havering and Redbridge NHS trust, which runs Queens, said 70 to 80 of the 450 to 550 patients who attend Queens each day would be redirected to services such as GP surgeries or pharmacies, or told to look after themselves at home. She told the Standard: Any illness or injury that doesnt require [X-ray or CT scan] imaging and doesnt require a same-day service will be redirected. Children will not be included in the scheme, despite those aged up to four making up the biggest group of Queens A&E patients. This is because of the increased safety risk caused by the difficulty of diagnosing illness in children who cannot explain what is wrong. Bosses will monitor what patients do when they cant simply walk into an emergency department and wait to be seen. The idea could be adopted more widely if it relieves pressure on A&Es. However, health campaigners fear the initiative is simply a way of masking a shortage of A&E doctors and the failure of Queens to hit its waiting-time target. Campaigner Andy Walker said: In response to ever-growing numbers of patients coming to A&E, Queens will be restricting its full A&E service to children only for two weeks from today. Our NHS is in decline more funding must be found now. O ne of the biggest Hindu places of worship in London will soon have a giant underground car park after plans for an uber-basement were given the green light. Brent council has approved plans for a two-storey 100-space subterranean car park, plus adjacent care home and flats, at the Shree Swaminarayan Mandir in Willesden. Its decision came despite the town hall receiving more than 550 objections from neighbours worried about traffic and road safety problems. George Binney, chairman of Chatsworth Area Residents Organisation, said: We are all bitterly disappointed and feel let down by Brent council. They have swallowed whole what they have been told by the temple. Neighbours had already complained about too many cars parked in nearby streets and drives being blocked. According to the temple, 86 per cent of worshippers arrive by car from surrounding areas such as Brondesbury, Willesden, Dollis Hill and Neasden. Mr Binney said the temple should encourage worshippers to arrive by Tube: At the planning hearing, the council officer said if you build a bigger car park itll attract more cars. It wont take cars off the road. Its like the application is from the Eighties where car parks were the solution to everything. We live on the Jubilee line and a main bus route. Theres lots of ways to get here. However, the temple claimed a small handful of residents had objected and the car park would ease traffic problems. Temple committee member Kanji Jesani said: The plans do not propose an extension to the prayer hall, therefore will not be attracting more visitors to the area. Hearing the parking concerns raised by a few residents, the development aims to take cars off the street and allow the parking facility to help alleviate congestion in the area. Brent council said: Our planning committee arrived at their decision having considered all comments for and against the proposal and having thoroughly examined the detail of the application. L ifeboat crews were called to the rescue of two men who stripped naked and jumped from a bridge into the River Thames to celebrate Andy Murray's Wimbledon win. The Royal National Lifeboat Institution crew from Chiswick was scrambled by London Coastguard yesterday just before 3.30pm, after the two revellers were seen jumping off Barnes Bridge into the river, which is known for its strong currents and cold temperatures. When lifeboat crews turned up to rescue them, the two men confessed they had been drinking all day while watching the mens Wimbledon final. Both men were unharmed, but Rob Archibald, Chiswick RNLI lifeboat helmsman, said the situation could have turned out very differently. He said: "Time and time again we see people doing this jumping off a bridge or directly into the River Thames might seem a lark or an easy way to cool off in summer, but the dangers are very real. "It might look cool and inviting, it might seem harmless, but that river can have deadly currents. It is a tidal river, and can move as fast as 5 to 6 knots on a Spring tide when the tide is at its peak. "Add to that the risk of cold water shock and the fact there are all manner of hidden objects beneath the surface at high tide, and you have a potential recipe for disaster sadly one we have seen end badly too many times. "Thankfully, when we arrived on scene these two chaps were near the bank of the river underneath the bridge, and they both safely got out. "But it could easily have been another story we have had people jump off bridges and never be seen again; or at least, not alive. I would urge the public, please be aware of the risks, and please respect the water." The RNLI is running a Respect the Water drowning prevention campaign, which aims to halve the number of accidental drownings by 2024. The campaign is targeted at adult men, who account for most incidents. Last year saw an increase in the number of men losing their lives through drowning. Between 2011 and 2014 men have accounted for three-quarters of deaths but in 2015 this increased to 84 per cent. R esidents of the Georgian square where Tony Blair and Claudia Winkleman live are fighting a wealthy financiers grotesque and vulgar plans for a basement swimming pool. Mubashir Mukadam, who works for New York investment firm KKR, has submitted plans for his 8.5 million Connaught Square mansion. However, the proposal for his Grade II listed townhouse near Marble Arch has received dozens of objections from neighbours, who hold an exclusive annual summer party in the square. One resident said: Connaught Square is a historic square in central London, built with Georgian terrace houses where, at the very least, it would appear vulgar to cater to a swimming pool extension, let alone the safety issues surrounding the fact that the properties are built on foundations first laid in the early 19th century. Proposal: Mubashir Mukadam A swimming pool is an inappropriate and unnecessary use in such a building. There is a good public swimming pool a few minutes walk away. We sincerely hope this is the last time the residents of the square all united have to object to this grotesque planning application. The square is home to the Blair family and TV presenter Winkleman and former residents include ballerina Marie Taglioni, DJ Paul Oakenfold and author Nigel Balchin. Mr Mukadam bought the house for 5,485,000 in 2012 and lives there with his wife and children. The India-born banker originally submitted plans for a larger basement with jacuzzi, sauna and cinema room and a bigger pool in 2014 but withdrew them after they were recommended for refusal. They have since been twice amended, reducing the size of the extension to a single storey covering 750 sq ft. Residents of the square include Claudia Winkleman (Ian West/PA ) / Ian West/PA However, Nick Johnson OBE, chairman of the Hyde Park Estate Association, called the plan ludicrous and said: This application is an alarming example of a savage proposal to completely change the structure and design of a unique listed home. The listed homes already have adequate basement accommodation. If the applicant wants a home with a swimming pool, then he needs to buy a bigger plot in an area where the land and local planning environment would not be so badly affected. A member of Mr Mukadams development team said: Hes very conservative and would take zero risks on something like this on his or his neighbours properties. A report by Westminster councils director of planning said previous concerns had been overcome and recommended the latest plan for approval despite the continued concerns expressed by neighbouring residents. A decision will be made at the planning applications committee tomorrow. S upercar drivers have complained they are being branded criminals following a police crackdown on antisocial driving in Kensington and Chelsea. Met Police officers now have the power to disperse and even seize high-powered cars after receiving a huge number of complaints about reckless and aggressive driving over the summer months. However, many of the drivers, who ship their cars over from Arab countries for the summer at huge costs, have criticised the order. Mohammed Al Madid, 30, who shipped his dazzling white Mercedes AMG63 from Qatar, said: This part of London is famous for luxury and we come here with our luxury cars in order to spend money, and yet we are treated like criminals. Another Qatari, Abdullah Al Manah, who drives a white Maserati, said: I have been stopped twice just this weekend purely because my car was too loud, not because I was driving dangerously. Police are cracking down on supercars in west London / Nigel Howard I dont understand why some people get so angry; we are here because this is a luxurious city. The Public Space Protection Order (PSPO) was put in place to tackle serious safety and nuisance concerns following a three-month consultation with almost 1,000 people who live and work in Kensington and Chelsea. The operation is being carried out by Kensington and Chelsea officers with collegues from the Mets Roads and Transport Policing Command throughout the summer. On Thursday evening on the launch of the action thirteen dispersals were issued and seven fixed penalty notices were given for a variety of offences relating to inconsiderate motor vehicle use. Many residents support the crackdown- with rising levels of tension between neighbours and the super-rich drivers. A resident who took exception to the noise of the cars revving their engines / Nigel Howard The Standard saw one resident of an upmarket mansion block a residential street behind Harrods lean out of his first floor window shouting w****r at one luxury car driving past last night as he revved his engine. Other people were also seen shouting at the drivers as they came past. Taxi driver Kareem Kalou said: There are people here with nothing to eat and these guys go around making so much noise and showing off, its disgusting. The police dont do enough to stop them. As part of the order, officers have even begun dishing out dispersal orders for enthusiastic carspotters taking photos, on the grounds that it encourages antisocial behaviour from motorists. The supercars are often mobbed by youngsters with camera phones and older car enthusiasts, but a police order banning two carspotters from Knightsbridge for 48 hours recently surfaced on social media. The dispersal order cites the reason as: Seen taking photographs of supercars therefore attracting anti-social behaviour from motor vehicles. Andrew Thompson, a barrister who specialises in road traffic law, told the Standard: This appears to be a shameful abuse of police powers. The restriction of an individuals freedom of movement as a consequence of taking photographs is probably unlawful, unconstitutional and unenforceable. With regard to the order on antisocial driving, he added: If enforced properly then a Public Spaces Protection Order is probably a proportionate and legal response to nuisance drivers. Inspector Chris Downs of Kensington and Chelsea Community Policing Team said: We are using powers under the Anti-Social Behaviour, Crime and Policing Act in an innovative way in order to address the concerns of residents and visitors to Kensington and Chelsea. In previous years, there have been a large number of complaints during summer nights - especially at weekends - relating to anti-social behaviour and the late night noise nuisance caused by high-powered vehicles being driven in a reckless and aggressive manner. We are responding to these local concerns, and, since extremely rapid acceleration poses a particular danger to the public, we are determined to act before somebody is killed or seriously injured. S adiq Khan today published City Halls first ever gender pay audit as he called for London businesses to help close the gap between male and female workers. The internal study revealed a pay gap of 4.6 per cent, with full-time women paid an average of 21.40 an hour while their male counterparts earned an average of 22.44. Women make up more than half of all City Hall employees and two fifths of those earning 60,000 or more. But less than a third of staff earning more than 100,000 in March 2016, when Boris Johnson was still mayor, were female. The new Mayor challenged the capitals firms to follow his lead to break the glass ceiling that still limits the success of so many London women. The average gender pay gap for full-time London workers is 12 per cent, while overall it is 23 per cent. He launched an action plan for full pay equality across the whole Greater London Authority empire - including Transport for London and the Metropolitan Police Service, which are now expected to produce their own gender audits. At City Hall, plans to boost female representation at the most senior - and financially rewarding - levels include increasing the availability of part-time and flexible-working options and aiding career progression within those roles. Mentoring, career-support programmes and sponsorship for qualifications are on offer, while managers are trained to ensure the recruitment process is as fair as possible and are piloting no name application forms. Mr Khan, who has appointed women to several key jobs including transport, policing and culture, said: I have vowed to be a proud feminist at City Hall, and I am determined to make the GLA a model employer that removes any barriers to women by adopting the highest possible standards for fair pay, good working conditions and gender equality. My mother sewed clothes for 50 pence a dress to bring in extra money for our family and now, as the dad of two teenage daughters, I want to do all I can to ensure women have the same opportunities as men in London so that their hard work and talent is fully and fairly recognised by employers. It is unacceptable that in London, one of the worlds greatest and most progressive cities, someones pay and career prospects can still be defined by their gender. I want City Hall to be a model employer, adopting the highest standards to support women in the workplace and I challenge both ourselves and others to take action to break the glass ceiling that still exists to limit their success. Sophie Walker, leader of the Womens Equality Party, welcomed the audit but warned the Mayors plans to address the pay gap would fall short unless more was done on childcare. She said: Londoners childcare is a third more expensive than anywhere in the UK and without taking the lack of affordable childcare into account these measures cant be fully successful. Wheres the City Hall creche and childcare support for City Hall employees and where is the investment right across London, where women are more likely than anywhere in the country to be living in poverty and doing low-paid jobs? Ms Walker also called on the Mayor to use his multi-million pound commissioning powers to help create a culture change across all the capitals businesses. A ndrea Leadsom has apologised to Theresa May over comments she made suggesting that being a mother gave her more of a stake in the future than her Tory leadership rival. The energy minister apologised for any hurt caused and insisted that she did not want motherhood to play a part in the race to become the next Prime Minister. Mrs Leadsom said she had felt "under attack" since the row over her comments, which were branded "vile" by one senior Tory MP while a fellow minister said it showed she was unsuitable for Number 10. The apology was made over text message, The Times reported. When asked, during an interview with the Telegraph, if she had apologised to the Home Secretary, who does not have children, she said: I've already said to Theresa how very sorry I am for any hurt I have caused and how that article said completely the opposite of what I said and believe." Mrs Leadsom told the newspaper she believed that having children has "no bearing on the ability to be PM". "I absolutely said, what I specifically said, is that motherhood should not play a part in the campaign," she said. "I was pressed to say how my children had formed my views. I didn't want it to be used as an issue. Having children has no bearing on the ability to be PM. I deeply regret that anyone has got the impression that I think otherwise." The motherhood row erupted after The Times published an interview with Mrs Leadsom over the weekend, in which she said: "Genuinely I feel that being a mum means you have a very real stake in the future of our country, a tangible stake." Andrea Leadsom responds to motherhood story in The Times - statement Mrs May has previously spoken about how she and husband Philip were affected by being unable to have children. In the wake of her Times interview, business minister Anna Soubry said Mrs Leadsom's comments meant she was "not PM material" while Scottish Tory leader Ruth Davidson said there was a "gulf in class" between the two candidates and senior MP Sir Alan Duncan said the energy minister's remarks were "vile". Former cabinet minister Eric Pickles, who is backing Mrs May, told Sky News Mrs Leadsom had had what could charitably be described as a disastrous start to her campaign. He said: She gave a bad interview, her first major interview with a very serious journalist and she fluffed it, she messed it up and to make it worse, she then accused that very senior journalist of engaging in gutter politics. TODO: define component type apester But Mrs Leadsom's key supporter Iain Duncan Smith, the former Tory leader, claimed she is facing a "black-ops" campaign by MPs who want to "denigrate her reputation". Dear Prime Minister and Members of Parliament Re: Brexit We are all individual members of the Bars of England and Wales, Scotland and Northern Ireland. We are writing to propose a way forward which reconciles the legal, constitutional and political issues which arise following the Brexit referendum. The result of the referendum must be acknowledged. Our legal opinion is that the referendum is advisory. The European Referendum Act does not make it legally binding. We believe that in order to trigger Article 50, there must first be primary legislation. It is of the utmost importance that the legislative process is informed by an objective understanding as to the benefits, costs and risks of triggering Article 50. The reasons for this include the following: There is evidence that the referendum result was influenced by misrepresentations of fact and promises that could not be delivered. Since the result was only narrowly in favour of Brexit, it cannot be discounted that the misrepresentations and promises were a decisive or contributory factor in the result. The parliamentary vote must not be similarly affected. The referendum did not set a threshold necessary to leave the EU, commonly adopted in polls of national importance, e.g. 60% of those voting or 40% of the electorate. This is presumably because the result was only advisory. The outcome of the exit process will affect a generation of people who were not old enough to vote in the referendum. The positions of Scotland, Northern Ireland and Gibraltar require special consideration, since their populations did not vote to leave the EU. The referendum did not concern the negotiating position of the UK following the triggering of Article 50, nor the possibility that no agreement could be reached within the stipulated two year period for negotiation, nor the emerging reality that the Article 50 negotiations will concern only the manner of exit from the EU and not future economic relationships. All of these matters need to be fully explored and understood prior to the Parliamentary vote. The Parliamentary vote should take place with a greater understanding as to the economic consequences of Brexit, as businesses and investors in the UK start to react to the outcome of the referendum. For all of these reasons, it is proposed that the Government establishes, as a matter of urgency, a Royal Commission or an equivalent independent body to receive evidence and report, within a short, fixed timescale, on the benefits, costs and risks of triggering Article 50 to the UK as a whole, and to all of its constituent populations. The Parliamentary vote should not take place until the Commission has reported. In view of the extremely serious constitutional, economic and legal importance of the vote either way, we believe that there should be a free vote in Parliament. Yours sincerely PHILIP KOLVIN QC And 1053 others D owning Street cat Larry will not be booted out when David Cameron leaves Number 10 it has been confirmed. The brown and white tabby, who is entrusted with rat-catching at the Prime Minister's home, will continue to live there when Theresa May becomes Prime Minister on Wednesday. A Cabinet Office spokeswoman said: "It's a civil servant's cat and does not belong to the Camerons - he will be staying." Larry, who was re-homed from Battersea Dogs and Cats Home in 2011, was said to have a "strong predatory drive" that suggested he would be well-suited to the task of rat-catching. Cameron to resign on Wednesday David Cameron welcomed the cat's arrival, and said he would make a "great addition" to the Number 10 team. Larry was the first cat to hold the appointment since stalwart ratter Humphrey was retired in 1997. Humphrey was succeeded for a short period by Sybil, the pet of then chancellor Alistair Darling, in 2007, but the renowned mouser failed to settle and returned to Scotland. The Foreign Office appointed Palmerston, a moggy, in April to keep pests in Whitehall at bay. May 'honoured and humbled' An unofficial Twitter account set up under Larry's name posted on Monday: "Does anyone know a good cat groomer available tomorrow? I need to make a good impression on someone on Wednesday..." It had earlier tweeted: "One final thing before they'll let you have the job @TheresaMay2016 - tell the people you'll keep me in Number 10." Additional reporting by the Press Association. L abour's vicious civil war escalated today as Angela Eagle finally triggered a leadership challenge to Jeremy Corbyn and was promptly branded the Empire Strikes Back candidate. Launching her campaign, she said: I am not here for a Labour Party that just takes part. Im here to win. Ms Eagle denied being part of any faction, saying in a speech in London: Im not a Blairite. Im not a Brownite. Im not a Corbynista. I am my own woman, a strong Labour woman. Ms Eagle appealed to members of the public to join Labour in order to vote Mr Corbyn out of office. I need those who want a better Labour Party and a better politics to join Labour now, to help me change Labour for the better, she said. She said Labour MPs were getting a strong message from voters that Labour under Mr Corbyn was not ready and equipped to run the country. But Mr Corbyns close ally Diane Abbott hit back hard by linking Ms Eagle with the Iraq war and Tony Blair, using a jibe based on the Star Wars films. I think shes the Empire Strikes Back candidate, sniped Ms Abbott. She voted for Iraq, she voted for tuition fees. Recalling that Ms Eagle came fourth out of five in the election for a deputy leader, she added: Its not clear to me that she can win the leadership. TODO: define component type apester Owen Smith, Labours former work and pensions spokesman, is also expected to throw his hat into the ring, although he was keeping out of the spotlight this morning. In her speech, Ms Eagle, 55, said working people were relying on Labour to win power. She said: I will not stand back and allow Britain to become a one-party Tory state. We owe too much to the millions in our country who look to us to help them make a better life. She said Mr Corbyns promise of a kinder politics had become just an empty slogan. Ms Eagle, the MP for Wallasey, worked at the CBI briefly and then as a trade union official before becoming an MP at 31. The daughter of a seamstress and a printworker, she went from a comprehensive school to Oxford University. My parents taught me to work hard, respect others and always to stand up for the things I believe in, she said. Some Labour MPs meeting tonight at Westminster were hoping to persuade Ms Eagle and Mr Smith to unite behind a single unity candidate. T his was the excruciating moment Labour leadership hopeful Angela Eagle tried to take questions from reporters - after they had abandoned her launch to cover Andrea Leadsom instead. The challenger formally announced her bid to oust Jeremy Corbyn at a press conference in Westminster on Monday. But as she was outlining her vision for the future of the Labour party, it became clear Ms Leadsom was pulling out of the race for the leadership of the Conservative Party. Journalists scrambled from Ms Eagle's launch event in an effort to cover the news that Home Secretary was to effectively become the next Prime Minister unchallenged. It meant when Ms Eagle took questions, many of the reporters she had expected to ask quiz her were missing. Angela Eagle arrives for her launch in Westminster on Monday (Dominic Lipinski/PA ) / Dominic Lipinski/PA To watching TV cameras, the MP for Wallasey said: "I'm going to take a few questions from our friends in the media. "I don't know you all yet can you please tell your name and where you're from? "Can we start with the UK media, I think. Is there anyone from the BBC?" After a long awkward pause, she followed up with: "BBC anyone? OK, Robert Peston, where are you?" Ms Eagle's leadership challenge marked an escalation in the Labour Party's vicious civil war, which has pitted the majority of Labour MPs against Mr Corbyn, who insists he has the support of party members. Launching her campaign, she said: I am not here for a Labour Party that just takes part. Im here to win. Ms Eagle denied being part of any faction, saying in a speech in London: Im not a Blairite. Im not a Brownite. Im not a Corbynista. I am my own woman, a strong Labour woman. T ory leadership contenders Theresa May and Andrea Leadsom came under pressure from Sadiq Khan today to meet five key demands to help protect Londons jobs and prosperity post-Brexit. The Mayor has written to the two Prime Ministerial hopefuls to call for urgent talks on commitments he believes are vital to help the capital. In his letter, Mr Khan said: It is not only in Londons interests but the national interest for discussions to start immediately and for these commitments to be made now so that we move quickly to protect jobs and prosperity in London and across the country. Londons economy and therefore jobs and growth across the UK face serious risks through the uncertainty ahead. We simply cannot wait until September 9. Among his demands is a call on the Home Secretary to guarantee that EU citizens already in the UK can stay once Britain leaves. She has so far refused to offer reassurance, claiming to do so could reduce Britains leverage in Brexit talks with Brussels. The Mayor also wants both candidates commitment to make staying in the single market and the retention of passporting rights a top priority during talks with Brussels, and for London to have a seat at the negotiating table. He wants guarantees that key security and policing systems built up with European partners over many years are retained to help keep London and Britain safe. Mr Khan also wants discussions on more powers to London to start straight away. Local government secretary Greg Clark told the FT: I am very keen to devolve more to London. I would expect Londons initial devolution to be followed by further powers. A s Britains longest serving Home Secretary of modern times, Theresa May will arrive in No10 with a wealth of experience at the top level of government. She was tasked with keeping Britain safe during the 2012 London Olympics, succeeded in deporting radical cleric Abu Qatada to Jordan and has struck deals with the French government to stem the flow of illegal immigrants sneaking into the UK. But she has faced criticism for the Governments failure to meet its goal to cut net migration into Britain to below 100,000. The daughter of an Oxfordshire vicar, she was born on October 1st 1956 in Eastbourne, Sussex, and was educated at Holton Park Girls Grammar School, Oxfordshire, which became a comprehensive while she was a pupil. She later studied geography at St Hughs College, Oxford, and worked at the Bank of England and the Association for Payment Clearing Services. Elected MP for Maidenhead in 1997, Mrs May, now 59 and famed for her kitten heels, was a member of the shadow Cabinet from 1999 to 2010, including holding the top posts for education, work and pensions and as shadow Commons Leader. A Conservative moderniser, she sparked controversy in 2002 when as the partys first female chairman she warned that it had to shed its image among some people of being the nasty party. She became Home Secretary in 2010 and held onto the post despite clashes with the then Deputy Prime Minister Nick Clegg and Michael Gove when he was Education Secretary. Increasingly compared to German Chancellor Angela Merkel, she was also Minister for Women & Equalities from 2010 to 2012. She has made the case for Britain to leave the European Convention on Human Rights to make it easier to deport terror suspects and other criminals, has supported a more targeted approach to stop-and-search and has stressed the need to tackle police corruption. Married to her husband Philip, who she met at university, she revealed in 2013 that she has type 1 diabetes. E xperts hunting for an escaped lynx will play the recorded call of its mother in a bid to capture it. Flaviu the wildcat was reported missing from Dartmoor Zoological Park near Plymouth in Devon on Thursday morning, triggering a search operation involving a police helicopter and a drone. Since the two-year-old Carpathian lynx escaped there have been several sightings but so far it has evaded capture, despite bait and humane traps being used. Police are reminding the public not to go and help in the search for the cat. "Following several credible sightings of the lynx since it escaped, park staff are confident enough to put into place a humane capture plan concentrating on one area of Dartmoor," a Devon and Cornwall Police spokesman said. "Any activity in this area, such as trying to get photographs, runs the risk of alarming the animal and possibly making it move on and thus making it harder to find. "There is also a small risk that if alarmed the lynx could injure anyone who does not understand its potential behaviour in such circumstances." Flaviu arrived at Dartmoor Zoological Park from Port Lympne in Kent just a day before he escaped. But the next day keepers arrived to find the wildcat - similar in size to a Labrador - had chewed through a board in the wall. Thirty members of staff and volunteers began combing the zoo but found no trace of the carnivore, concluding that he had left the park. Search teams were organised in the local area, while 25 humane traps were baited with various types of meat where experts believe the animal is hiding. A vet with a tranquilliser dart gun is available if required but staff at the park are confident that hunger will make the lynx enter one of the traps. Additional reporting by the Press Association. A TV host and former Miss Alabama has said the Dallas gunman was a martyr and that she finds it hard to feel sad for the police officers he shot. Kalyn Chapman James, the first African American to hold the Miss Alabama title, made the remarks during a tearful video in response to the killings of five police officers in Dallas last week by 25-year-old US Army reservist Micah Johnson. She streamed the two-minute video to her Facebook page from inside a car after she had just left church. "I don't want to feel this way. I don't feel sad for the officers who lost their lives, Ms James said in the footage. I know that's not really my heart. I value human life. And I want to feel sad for them but I can't help but feeling like the shooter was a martyr. "And I know it's not the right way to feel because nobody deserves to lose their lives and I know that those police officers had families and people who loved them and that they didn't deserve to die but I'm so torn up in my heart about seeing these men, these black men, being gunned down in our community that I can't help, I can't help but feel like; I wasn't surprised by what the shooter did to those cops. Ms James, who was Miss Alabama in 1993 and is now a TV host living in Miami, later told a US news outlet when asked about her comments that her heart and mind were conflicted. I regret that any people lost their lives this week and I am saddened by all of the shootings that occurred, she said. Ms James added: Many People were not conflicted at all about those deaths. Some were okay with this. These are raw wounds that are fresh and, while I apologize if I offended anyone, I cannot help the way I feel as I continue to process these events and deal with the flood of emotions that come from witnessing such atrocities - both against citizens and officers of the law. Seven other officers were injured by Johnson during the Black Lives Matter demonstration on Thursday held in response to two recent fatal police shootings of black men. Johnson, who is believed to have been planning a much larger attack, was cornered and eventually blown up by a police robot following a two-hour stand-off. T his is the damage left in the front of a passenger jet after a hail storm battered the plane during a flight to China. The China Southern Airlines A320 jet was travelling from Guangzhou in Hong Kong when it was caught in the hail storm. Pictures posted on social media showed the damage to the front of the aircraft after it battled through the freak weather conditions on the approach to Chinas Chengdu Airport. A photo taken from inside the cockpit after landing showed how the pilot was left almost flying blind after the hail stones shattered the windshield. The front of the plane was also severely damaged The hail stones also punctured the nose cone of the aircraft, registration B-9931, which managed to land safely at the airport on Saturday. No injuries were reported, according to the Aviation Herald. A group of prisoners broke free from a holding cell to help save a guard after they saw him collapse, US authorities have said. Video footage captured the moment at least six inmates broke down the door of their basement holding cell in a Texas court to come to the aid of their jailer. The prisoners raised the alarm after the lone guard, who had keys to their cell and a firearm, slumped to the ground. The men banged on doors and shouted for help as they broke out of the cell, bringing other officials running from upstairs. The prisoners said they knew their actions would put them in danger, as they feared the other guards who rushed to help may turn their guns on the group. Breaking free: the inmates broke down the door in order to save the unconscious guard (YouTube) / Parker County Sheriff's Office The inmates administered CPR to the guard, who is expected to recover and return to work. Captain Mark Arnett said that without the help of the inmates it could have been 15 minutes before the guard was found. Inmate Nick Kelton told WFAA: "He just fell over, looked like an act. Could have died right there. We were worried theyre going to come with guns drawn on us. It never crossed my mind not to help whether hes got a gun or a badge. If he falls down, Im gonna help him. While fellow inmate Floyd Smith said court staff thought a fight had broken out. They thought we were taking over, he said. Parker county sheriffs Sergeant Ryan Speegle said "it could have been an extremely bad situation, saying staff did not know what to expect when they heard the commotion from downstairs. He had keys," Speegle told WFAA. "Had a gun. It could have been an extremely bad situation." Authorities said the cell that the inmates broke free from has now been reinforced. T wo people are dead after armed police stormed a law firm building in Stuttgart following reports a gunman had taken a hostage. Local media reported a man carrying a gun walked into the office this afternoon. Around 100 police moved in, cordoning off nearby roads and underground stations in the eastern part of the city, newspaper Bild reported. An alarmed neighbour called emergency services after seeing the man at around 1pm local time. Police eventually stormed into the building and found two men dead from gunshot wounds. They are believed to be the gunman and hostage. T wo vegan parents have lost custody of their 14-month-old baby after he was found to be seriously malnourished. The baby boy is said to have weighed just 5kg - the same as a three-month-old baby - when he was admitted to hospital in Milan. The child was taken to hospital by his grandparents a week ago and doctors found the baby, who was bon in May 2015, in poor health and seriously underweight. After carrying out blood tests they found he was severely malnourished and had calcium levels that were barely adequate to survive. Doctors also carried out emergency surgery on a congenital heart condition, and the baby is now recovering in hospital. After doctors raised concerns with police and prosecutors, an inquiry was opened and it was decided the baby should be formally removed from his parents. Luca Bernardo, director of paediatrics at the hospital, was quoted by The Times as saying: This forces us to reflect on uncommon feeding regimes, even if in this case it was complicated by a cardiac malformation. It is not a problem to choose different or unusual kinds of nutrition and we certainly do not want to enter into a discussion of the merits of the decision. But since birth, the baby should have had support in this case with calcium and iron. The decision as to whether his grandparents should have custody will lie with Italian family courts. M att Damon has said that he will be replaced by a younger actor in the role of Jason Bourne. The 45-year-old, who stars in the latest film in the franchise, thinks that studio bosses will recruit a younger star to take over the character at some point. But despite the fact that Bourne is synonymous with Damon, hes fine with the fact that someone else could be stepping into his shoes. Speaking to the Hollywood Reporter, he said: Im definitely going to be replaced someday by some new young Jason Bourne. That happens to everybody and they reboot these things, and thats totally fine. The A-lister went on to say that he found it harder to play he character now that hes a bit older. Its difficult when youre 45 compared to when youre 29, but you still have to run as fast as you can, he said. That part was a challenge but to get to revisit the people was wonderful. TODO: define component type brightcove But I said, lets smell the roses and appreciate that we get to be here together, and make sure we have fun. Talking about his decision to return to the franchise for new film Jason Bourne, Damon said that working with British director Paul Greengrass again was the main draw. To be reunited with Paul Greengrass was really the main reason for making this movie, for me, he said. I always said that I wouldn't do it without Paul and I'm really glad that I laid down that ultimatum so many years ago. He's just a brilliant film-maker. Damon, Greengrass and co-star Alicia Vikander will walk the red carpet at the London premiere of the film on Monday night. This page may have been moved, deleted, or is otherwise unavailable. To help you find what you are looking for: Enter Search Term(s): Still cant find what youre looking for? Send us a message using our contact us form. To report a broken link or other problems with the website, please include the URL. Thank you for visiting state.gov. Countries & Areas Search for country or area A Afghanistan Albania Algeria Andorra Angola Antigua and Barbuda Argentina Armenia Australia Austria Azerbaijan B Bahamas Bahrain Bangladesh Barbados Belarus Belgium Belize Benin Bhutan Bolivia Bosnia and Herzegovina Botswana Brazil Brunei Bulgaria Burkina Faso Burma Burundi C Cabo Verde Cambodia Cameroon Canada Central African Republic Chad Chile China Colombia Comoros Costa Rica Cote dIvoire Croatia Cuba Cyprus Czechia D Democratic Republic of the Congo Denmark Djibouti Dominica Dominican Republic E Ecuador Egypt El Salvador Equatorial Guinea Eritrea Estonia Eswatini Ethiopia F Fiji Finland France G Gabon Gambia Georgia Germany Ghana Greece Grenada Guatemala Guinea Guinea-Bissau Guyana H Haiti Holy See Honduras Hungary I Iceland India Indonesia Iran Iraq Ireland Israel Italy J Jamaica Japan Jordan K Kazakhstan Kenya Kiribati Kosovo Kuwait Kyrgyzstan L Laos Latvia Lebanon Lesotho Liberia Libya Liechtenstein Lithuania Luxembourg M Madagascar Malawi Malaysia Maldives Mali Malta Marshall Islands Mauritania Mauritius Mexico Micronesia Moldova Monaco Mongolia Montenegro Morocco Mozambique N Namibia Nauru Nepal Netherlands New Zealand Nicaragua Niger Nigeria North Korea North Macedonia Norway O Oman P Pakistan Palau Palestinian Territories Panama Papua New Guinea Paraguay Peru Philippines Poland Portugal Q Qatar R Republic of the Congo Romania Russia Rwanda S Saint Kitts and Nevis Saint Lucia Saint Vincent and the Grenadines Samoa San Marino Sao Tome and Principe Saudi Arabia Senegal Serbia Seychelles Sierra Leone Singapore Slovakia Slovenia Solomon Islands Somalia South Africa South Korea South Sudan Spain Sri Lanka Sudan Suriname Sweden Switzerland Syria T Taiwan Tajikistan Tanzania Thailand Timor-Leste Togo Tonga Trinidad and Tobago Tunisia Turkey Turkmenistan Tuvalu U Uganda Ukraine United Arab Emirates United Kingdom Uruguay Uzbekistan V Vanuatu Venezuela Vietnam Y Yemen Z Zambia Zimbabwe By Abriana Jette Staten Island, NY, USA To introduce the poet A.E. Stallings as a genius is not hyperbolic or some gross exaggeration; after all, in 2011, she won the popularly nicknamed "Genius Grant." More formally known as the MacArthur Fellowship, recipients of the grant demonstrate a unique and original dedication to their respective arts. Five years have since passed since Stallings received the honor, and she still continues to surprise, excite, educate, and scratch the minds of readers with her extraordinary linguistic talent. Sometimes her verse is formal, sometimes her reviews read like sonatas; whatever she is writing, it is always informed, and it is always sharp. In general, her poetry often evokes the narratives and voices of the women of Greek mythology, especially throughout her collections Hapax (2006) and Olives (2012), but the poet's wide knowledge of Greco-Roman literature and culture is not her only influence on the Humanities. Since 1999, Stallings has lived in Athens, Greece, where her husband, also a writer, works as a journalist. Together, they have observed, documented, and lived through some of Greece's darkest economic days. A decade into her time as a resident of the ancient city, Greece underwent its third major bailout. The result was an earthquake without the faults: trust broke, accounts froze, and every major government trembled. Today, Stallings is knee-deep in what is commonly known as the "Syrian" or "Greek" refugee crisis, but what she calls "a Humanitarian crisis." Her want to change the term reveals how little many of us understand about what is going on right now in Athens. Call it what you want to call it, whatever it is, the crisis is not, nor has it ever been, a two country issue. I believe poetry informs us in ways other genres of writing cannot. Its mysteries reveal, its rhythms remind us of a past we are part of. Because of this, I knew Stallings would offer a perspective that would not only inform me about the current status of Greek life, but would, more importantly, move me. In early May, I was honored to talk with the poet about the way the refugee crisis and her volunteer efforts have influenced her work and personal life. What followed was an unforgettable portrait of humanism. ABRIANA JETTE: Thanks so much for taking the time out of your busy schedule to speak with me. I was hoping we could start off there, actually, with your schedule. What is a typical day in Athens like for you? A.E. STALLINGS: I don't know that there are typical days in Athens. It has been an extraordinary year here (after a series of extraordinary years), with surprising elections, protests, a memorandum, more elections, bank closures, capital controls, a rapidly shifting refugee crisis that started out on the islands and now is part of the fabric of Athens. I try to do some work while my kids are at school, but I have an assortment of other responsibilities and concerns as well. Maybe my husband, who is a journalist, has been covering some financial meltdown all day, and we have a sort of make-shift date, a quick drink between live shots on the roof of the Plaza Hotel, which has a great view of the parliament building and so is sometimes more frequented by journalists than tourists. A typical Tuesday or Thursday might mean spending the morning at a refugee squat with volunteers (and the odd anarchist), and the afternoon at the American School of Archaeology working on Hesiod. Walking along I might direct a refugee mother and child to a metro stop (they can't read the signs), or hear a local child singing for money with heartbreaking purity of tone. Maybe I will miss my metro stop because I am trying to find out from an 11-year-old Syrian child travelling on his own whether he has a family and place to go. (He does, but then I marvel that my own 11-year-old would not be so confident navigating the public transport on his own, and it's his town, and he knows the language.) There might be a taxi strike, or a protest march impeding my progress. In the distance, perhaps I hear stun grenades to disperse protestors, or maybe they are cannons celebrating some national holiday? Maybe an urban tortoise wading through poppies and nettles catches my eye as I walk past the first cemetery. If it's a Monday, the farmer's market comes to my street, and I will shop for what vegetables and wild greens and fruits are in season; as the market wraps up I will pretend not to notice the impoverished pensioners gleaning from the piles of lemons or tomatoes that have been left behind. Typically, my Facebook feed (with which I can become obsessed) is an overwhelming list poem for urgently and immediately needed items throughout Greece and Athens: baby milk, diapers, medicines, food, doctors, midwives, translatorswith seasonal changeswarm clothes, sleeping bags, rain boots; sun-hats, mosquito repellent, sunscreen; this is punctuated by election news and po-biz posts from friends abroad. Maybe I will wait in a queue at an ATM, and be a little embarrassed that I have a foreign bank account and so can pull out more than 60 euros at a go. Maybe I just spend the evening supervising Middle School homework, cooking dinner, trying to get kids to bed on time. In the morning, if I am walking my kids to the bus stop (more often it is my husband who does this), we will say "Good Morning!" to the Parthenon when it looms around the corner against the faience-blue sky, and my 6-year-old daughter will ask, eyeing the scaffolding, when it will be finished. ABRIANA JETTE: How would you describe the refugee situation in Greece right now? A.E. STALLINGS: The situation is dire. It is a humanitarian crisis. People fleeing the trauma of war zones are being further traumatized by dreadful conditions in tent cities, lack of sufficient or sufficiently healthy food, basic health measures, and the biggest lack of all perhaps, information. Pregnant mothers, newborn infants, small children. Teenagers who have fled forced conscription because they didn't want to kill or be killed. Many unaccompanied children. I watched as in a matter of weeksweeksit went from being a minor crisis to have 30 families sleeping at the port of Piraeus (FB calls to bring food, sleeping bags, water to the port), to having a tent city of 5,000 individuals. Most of these have gone off to different camps (some decent, some not), but these are temporary solutions. My fear is best expressed in the Greek adage: nothing is so permanent as the temporary. ABRIANA JETTE: When you reflect on what your life was like five years ago, what do you think has changed the most? A.E. STALLINGS: Well, five years ago I had a one-year-old infant; now she is six. So that perhaps is the big difference. But as you can see above, Greece has been through a lot; the grinding burden of austerity measures, capital controls, etc. The way Greece has become a "warehouse of souls" for the refugee crisis, while Europe shuts its borders and threatens Greece with removal from Schengen. And there is a sense that with the financial crisis (threat of Grexit and so on), the can has just been kicked down the road. Everyone is still waiting for the big event, whatever that will be, to happen. ABRIANA JETTE: It's no secret that you've actively donated pens, paper, markers, balloons, chalk, and other types of arts and crafts to refugee children, and you've been lucky enough to see the work they're producing. How has your volunteer experience influenced your art? A.E. STALLINGS: I am probably spending less time writing, or even reflecting. My own volunteer efforts are quite minor compared to a lot of folk who were down feeding people at the port at six in the morning, spending hours sorting at warehouses, driving children to the hospital, things of that nature. I feel I can and therefore must spare a few hours a couple of mornings a week; it's not much but I am consistent about it. A local mother and artist (Eileen Botsford) started the Activity Kits for Refugee Children initiative, and I wholeheartedly support the philosophy behind it. She was seeing a lot of bored and anxious children in transit, waiting at train stations or for long bus rides or walks (that was when the borders were open). Anybody with kids knows how stressful a long plane ride or car trip can be with bored kids. The idea that we could do something so simple as give people some paper and pencils and that this would improve at least the present hourit's powerful. And that they can express themselves. Older kids and adults too are very happy to have paper and something to draw or write with; adults frequently ask for pencils and pens. Of course, compared to the larger problems, this can seem pointless or slight and the needs overwhelming. I often feel depressed about it, and that our efforts are inadequate and feeble. Other times I am assured, by other volunteers or by refugees themselves, that it is important. I also have friends who crowdsource for food or other necessities. But since a lot of us are writers/artists ourselves, this seemed something we could understand and could do. Mostly we see optimistic artflowers, butterflies, rainbows. Aspirational houses, though I notice, seldom with people. (I think quite a lot of Bishop's "Sestina" when I see these somewhat "inscrutable" houses.) Now we are seeing a lot of rivers and bridges. But you also see some sobering stuff. Rafts with people drowning. Guns, tanks, bombs. Scenes of massacres. Still, I think it must be good they are able to express that. Mostly I guess I just think they are like my kids, they are often roughly the same age, they like the same things. And the refugees themselves are often (not always, but often) well-educated, middle class folkmaybe with a degree in English literature, say, or engineering, or architecture, or dentistry. Not that it should matter, but it does make me feel continually, "They could be us. We could be they." ABRIANA JETTE: When did you begin to realize that the refugee crisis was making its way into your work? A.E. STALLINGS: I have been writing a lot of directly political stuff since the onset of the Greek economic crisis. Sometimes it is humorous or satiric. I did a canto for an anthology of a "new" Don Juan (A Modern Don Juan) set in the crisis. I have done some serio-comic haiku on the debt crisis as well. I have done some more serious things on the drownings in the Aegean, such as "Aegean Epigrams." Classics actually gives one a way into thispeople have been refugees crossing the Aegean for millennia; classical literature is full of shipwrecks and war, drowning and exile. So this gives me a bit of aesthetic distance to handle material that is in fact unbearable. I think about the fact often that my children are swimming in the same water where other children have been drowning. ABRIANA JETTE: How have you noticed the literary community responding to the refugee crisis? Is there anything you would like to see in particular from young, emerging, or established writers and artists? A.E. STALLINGS: People are doing what they can. Many of the volunteers are writers or artists or musicians themselves. Some of the volunteers are unemployed Greeks, who would rather be doing something, helping, than feeling sorry for themselves. As far as Greek writers are concerned, they are responding to, if you will excuse a misused quote from Zorba the Greek, "the full catastrophe," financial and cultural. There are several new or newish anthologies of poems responding to the financial crisis: Crisis, edited by Dinos Siotis, Futures, edited by Theodoros Chiotis, and Austerity Measures, edited by Karen Van Dyck. The refugee crisis taps into recent Greek history (many Greeks are themselves only at one generational remove from being Asia Minor refugees), and I think contemporary Greek writers view the present situation through the lens of history. ABRIANA JETTE: Are there any projects we can look forward to seeing from you in the future? A.E. STALLINGS: I have a couple of books that should come out in the next couple of years, a new poetry manuscript, and a translation of Hesiod's Works and Days. It also occurs to me that I have enough prose (20 years worth) for a book of prose at some point. ABRIANA JETTE: I'm sure I speak for many when I say that I'll be eagerly awaiting this work. Thank you so much for enlightening us. The work you're doing, both as a volunteer and as an artist, is important and far from unnoticed. A.E. STALLINGS: Thank you for the opportunity to address these interesting questions. Links: A.E. Stallings on Facebook Abriana Jette at Stay Thirsty Publishing Abriana Jette By Congressman Tim Murphy Guest Columnist Washington, DC, USA More than half a century has passed since Congress last addressed comprehensive mental health reform, but the stage is now set for Congress to overhaul the outdated and failed system. For the first time since the Kennedy Administration, the Energy and Commerce Committee took the historic step this year by passing extensive mental health reform I introduced more than 3 years ago. The Helping Families in Mental Health Crisis Act (H.R. 2646) passed the committee on June 15, 2016 unanimously by a vote of 53-0. The next step for the legislation is a vote on the House floor, which will be the culmination of a 4-year effort which dates back to 2012, when as Chairman of the Subcommittee on Oversight and Investigations I launched an investigation into our nation's fractured mental health care system following the devastating tragedy at Sandy Hook Elementary School in Newtown, Connecticut. What my investigation uncovered was shocking and disgraceful: a wasteful federal bureaucracy that is anti-patient, anti-family and anti-medical care. This year alone, nearly 350,000 people suffering from mental illness will die. These deaths are tragically unique in our public health system for two reasons: they are continually increasing in number, yet fully preventable. Over the last decade, mortality rates for heart disease, stroke, HIV/AIDS and cancer have all significantly decreased, however, during that same time period the rate for suicide and drug overdose have sky rocketed, despite the federal government spending upwards of $130 billion on mental health. As a psychologist with more than forty years' experience, I have witnessed firsthand these failures of our fractured mental health system which has abandoned those most in need of help: the vulnerable, the poor and the disadvantaged. This is why I introduced the Helping Families in Mental Health Crisis Act, landmark legislation to focus resources and reform where they are most needed: to foster evidence-based care, fix the shortage of psychiatric hospital beds, empower patients and caregivers under HIPAA privacy laws and help patients get treatment well before their illness spirals into crisis. A cornerstone principle of my bill is to enhance the involvement of families into the frontline care delivery team. Too often, family members who have a loved one with a serious mental illness are unable to know any critical healthcare information because federal law prohibits it. My bill will allow for compassionate communication between a doctor and a known family member or caregiver, allowing families to join the frontline of care. Increasing access to care is critical to improving the mental health system, yet the number of inpatient psychiatric beds in the U.S. has decreased by more than 90% since the 1950s as a result of the decades-long deinstitutionalization movement that began in the civil-rights era. This movement has been fueled by federal laws which prohibit funding for inpatient care at psychiatric hospitals with more than 16 beds. My bill reforms these laws and moves away from arbitrary caps to establish clinically based treatment standard for patients with serious mental illness. My bill promotes alternatives to long-term inpatient care by helping states fund these innovative approaches to community-based treatment like Assisted Outpatient Treatment (AOT). States across the country are adopting court-supervised treatment programs for patients who are unable to voluntarily comply with necessary medical and psychiatric care because of their serious mental illness. This model of treatment known as AOT, reduces rates of imprisonment, homelessness, substance abuse and costly emergency-room treatment for chronically mentally ill participants by upward of 70%. It also saves the government money. A recent Duke University study found that AOT reduced annual Medicaid costs by more than 40% for each participant. Delivering evidence-based treatment is how we will finally conquer stigma surrounding mental illness, and this bipartisan bill transforms the federal government's approach to mental health. This bill calls for a complete overhaul of the current federal system, refocusing resources on helping those with the most serious mental illnesses by getting them treatment before, during and after a psychiatric crisis. With this bill, we can jointly proclaim that the diagnosis and treatment of mental illness must come out of the shadows, we declare a new dawn of hope for the care of those with mental illness and we pledge our unwavering commitment to continued work to bring help and hope in the future. And, while the fate of the bill in the Senate remains uncertain, I promise I will continue my steadfast commitment to see crisis mental health reforms signed into law to help those with the most serious illness. Links: Congressman Tim Murphy Helping Families In Mental Health Crisis Act Congressman Tim Murphy was elected to Congress in November 2002 and is now serving his 7th term representing the people of the 18th District of Pennsylvania. He has focused his professional career on issues to help families and children through his work as a psychologist, educator, author and legislator. Congressman Murphy currently serves as Commander in the United States Naval Reserves Medical Service Corps working with wounded warriors and specifically with traumatic brain injury and post-traumatic stress cases. He earned a Bachelor's degree from Wheeling Jesuit University, a Master's degree from Cleveland State University and a Ph.D. in Educational Psychology from the University of Pittsburgh. Monday, 11 July 2016 16:36:45 (GMT+3) | Istanbul Argentina s auto production output in June this year totaled 41,655 units, rising by 4.7 percent month on month and down 19.8 percent year on year, as announced by the countrys automotive industry association Adefa. According to Adefa, in June Argentinian auto exports declined by 20.5 percent compared to the previous month and were down by 47.1 percent compared to June 2015 to 14,472 units. Meanwhile, car sales in the country in June totaled 63,192, increasing by 9.2 percent year on year and growing by 4.7 percent month on month. Monday, 11 July 2016 10:38:24 (GMT+3) | Shanghai In June this year, China 's producer price index (PPI) decreased by 2.6 percent year on year and was down 0.2 percent month on month, according to data released by China 's National Bureau of Statistics (NBS) on July 10. Meanwhile, in June Chinese industrial producers' purchase prices were down 3.4 percent year on year and increased by 0.2 percent compared to May. As for the average price index in the January-June period of the current year, China 's producer price index (PPI) decreased by 3.9 percent year on year, while Chinese industrial producers' purchase prices were down 4.8 percent year on year. In June this year, the PPI for capital goods decreased by 3.5 percent year on year. Specifically, in June the PPI for the domestic raw material industry was down 6.1 percent, while the index for the processing industry declined by 2.0 percent, both year on year. Ind-Ra stated that the downgrade reflects the deterioration in JSW Steel s consolidated net leverage (debt/EBITDA) and the decline in its funds flow from operations in the financial year 2015-16. JSW Steel s consolidated EBITDA fell to INR 4,957/mt in the financial year 2015-16 from INR 7,783/mt in the previous fiscal year following a substantial decline in realization due to import pressure and predatory pricing. The credit metrics were also affected by the debt-led capital expenditure incurred by the company during the given year for capacity enhancement. Meanwhile, the negative outlook reflects the risk of dilution in the protective measures undertaken by the government which could lead to a fall in JSW Steel s realization and a further deterioration of its credit profile. India-headquartered steel giant Tata Steel has announced that it would explore strategic alternatives for its UK business, including the potential sale of the business as a whole or in parts. The company and its advisers then contacted around 200 potential financial and industrial investors around the world to explore their interest in the business. The company has also deeply engaged with the governments of the UK and Wales at every stage of the process. According to the companys statement, the board of Tata Steel has decided to also look at alternative and more sustainable portfolio solutions for its European business. Consequently, Tata Steel has now entered into discussions with strategic players in the steel industry, including Germany-based steelmaker Thyssenkrupp AG. Discussions have been initiated to explore the feasibility of strategic collaborations through a potential joint venture. However, the talks are currently at a preliminary stage and there can be no certainty of a transaction as the outcome depends on consultation and negotiations with various stakeholders. Monday, 11 July 2016 15:59:01 (GMT+3) | Istanbul In June this year, sales of passenger cars and light commercial vehicles in Turkey increased by 6.25 percent year on year and were down by 2.5 percent month on month to 91,540 units, according to the statistics released by the Turkish Automotive Distributors Association (ODD). In the given month, domestic sales of passenger cars in Turkey increased by 4.94 percent year on year and were down by 3.7 percent month on month to 71,111 units, while sales of light commercial vehicles increased by 11.08 percent year on year and were up by 1.7 percent month on month to 20,429 units. Meanwhile, in the first half of this year domestic sales of passenger cars and light commercial vehicles saw an increase of 1.45 percent year on year, amounting to 438,817 units. In the given period, domestic sales of passenger cars in the country increased by 3.24 percent to 338,482 units, while sales of light commercial vehicles fell by 4.17 percent to 100,335 units, both on year-on-year basis. The USITC has determined that revoking the existing antidumping duty orders on stainless steel wire rod from Japan, South Korea, and Taiwan would be likely to lead to continuation or recurrence of material injury within a reasonably foreseeable time. The commission further determined that the existing antidumping duty orders on stainless steel wire rod from Italy and Spain would not be likely to lead to continuation or recurrence of injury. Accordingly, the existing antidumping duty orders on imports of this product from Italy and Spain will be revoked. Monday, 11 July 2016 22:47:20 (GMT+3) | Sao Paulo Brazilian producers Gerdau and ArcelorMittal exported 50,600mt of wire rod in June at an average FOB price of $406/mt, which reflects a 2.6 percent dip from May pricing. Current export totals have increased by 68 percent, month-on-month. The average price point for mesh grade was $382/mt, while the drawing grade export price trended between $403/mt to $409/mt price range. Export cargoes at these price points are believed to have closed in April. The main destinations for Brazilian wire rod exports were Latin American countries (33,100mt), the US (9,500mt) and the EU (7,000mt), while 1,000mt were shipped to Asia. ArcelorMittal exported 34,800mt at $419/mt, while Gerdau exported 15,800mt at $379/mt, both FOB conditions. One source close to SteelOrbis, who is deeply involved in export, said that although his company is holding export price of the mesh grade product at $485/mt and drawing grade product at $500/mt, an eight percent increase is expected to be rolled out within the next few weeks. Monday, 11 July 2016 12:09:23 (GMT+3) | Istanbul SteelOrbis has been informed that Turkish producer Icdas' 12-32 mm rebar prices in Turkey's Marmara region are now at TRY 1,144/mt ($395/mt) + VAT ex-works, while its rebar prices in Biga, Canakkale in northwestern Turkey are at TRY 1,127/mt ($389/mt) + VAT ex-works. The mill's list prices have moved down by TRY 51/mt + VAT as compared to its previous price list issued on June 13, while due to currency fluctuations prices have decreased by $15/mt on US dollar basis. $1 = TRY 2.90 FARNBOROUGH, England A top U.S. Air Force official on Sunday urged the U.S. government to speed up consideration of long-standing bids by Qatar, Kuwait and Bahrain to buy U.S. fighter jets, citing growing frustration among the key U.S. allies in the Gulf about delays in the process. Air Force Deputy Undersecretary Heidi Grant said she was trying to reassure those countries that Washington's failure to approve billions of dollars of U.S. arms sales to them would not alter their strong bilateral air force-to-air force relationships with the United States. "I would like to see a decision soon," Grant told Reuters in an interview on the eve of the Farnborough Airshow in southern England. Grant said she "absolutely" saw growing frustration among officials in the three countries, which have asked to buy a variety of U.S. fighter planes. "It's caused us to do more to reassure them that this one transaction should not impact the larger relationship ... that they are very valued partners," she said. "Hopefully the delay in this decision to move forward isn't going to have any impact." Grant said the three requests were still being worked on "at the highest levels of our government." She declined to comment on what was holding up the decisions. All three arms sales have stalled amid concerns raised by Israel that equipment sent to Gulf states could fall into the wrong hands and be used against it, and by the Obama administration's desire to integrate arms sales decisions into its broader decision-making on military aid to the Gulf. The Pentagon and State Department have both have signed off on the sale of 36 F-15 fighter jets to Qatar for about $4 billion, and 24 F/A-18E/F Super Hornets to Kuwait for about $3 billion, both built by Boeing Co., according to sources familiar with the matter. Both planes are built at Boeing's defense plant in north St. Louis County. A third deal would sell about 16 Lockheed Martin Corp F-16 fighter jets to Bahrain in a deal valued at just under $1 billion. The White House has not yet approved the sales, although the Kuwait sale at least is expected to see approval before the Obama administration leaves office, the sources said. Leanne Caret, chief executive of Boeing Defense, Space & Security, told reporters the approval process was clearly taking longer than the company would like, but Boeing's Middle East customers were "hanging in there with us" despite the delays. "I believe we have a bright future on it, and we look forward to resolving it soon," she said. Grant joins other military officials and lawmakers who have urged the Obama administration to move forward on the arms sales requests, some of which have been in work for years. David Melcher, president of the U.S.-based Aerospace Industries Association trade group, said the delays could be particularly hard on smaller firms in the weapons supply chain to manage, and gave U.S. competitors a big advantage. "Whole markets have been created out of the lag, and that to me, is something that is not in the interest of the U.S. or U.S. industry," he told Reuters in an interview. Melcher said Vice Admiral Joe Rixey, who heads the Pentagon's Defense Security Cooperation Agency, was working to help streamline the approval process for foreign arms sales. He said Rixey explored bottlenecks in the process with about two dozen industry executives during a tabletop exercise in May. U.S. Navy Secretary Ray Mabus last month warned the U.S. Navy could see the cost of new F/A-18E/F Super Hornets rise unless the government approves foreign sales of the jets soon. Mabus said he was frustrated by delays in approving the sale of the Boeing jets to a close U.S. ally. Senior U.S. officials have said they are keen to see the Boeing F-15 and F/A-18 production lines in St. Louis County, and the Lockheed F-16 line in Fort Worth, Texas, continue, and do not want to foreclose options on fourth-generation aircraft. Former St. Louisan Greg Burke has been named as the top press spokesperson for Pope Francis, an appointment announced by the Vatican early Monday. Burke, 56, is a graduate of St. Louis University High and attended St. Gabriel the Archangel grade school. Burke started working at the Vatican in 2012, when he was named as as the Vatican's senior communications adviser to Pope Benedict XVI. In December, he was named as deputy press spokesman. The Vatican also named Spanish broadcaster Paloma Garcia Ovejero as Burke's replacement as deputy, the highest spot a woman has held in the Vatican's communications wing. Vatican watchers say the two appointments aim to make Vatican communications long directed at Italy and Italians more international in focus and reflective of the demographics of the Catholic Church. "Obviously Italian is the internal language of the Vatican," Burke said in an interview with the Associated Press. "But half of the Catholic world population is Spanish-speaking, and if you want to speak to the globe, the language is English." According to an article in Crux, a Catholic publication, Burke's appointment also helps minimize the feeling that the Argentine pontiff is anti-American; and it also gives a high-profile position to a conservative Catholic. Burke is a member of the conservative Opus Dei movement, which he committed to before leaving for college at Columbia University, where he earned a graduate degree in journalism. After working the police beat at a small newspaper in New York and then manning the night desk at UPI in Chicago, Burke took a job in 1988 as the Rome correspondent for the National Catholic Register. He went on to work as a correspondent for both Time magazine and Fox News before joining the Vatican in 2012. The Associated Press provided information for this story. Former and current SLUH students are getting the sad word Monday that longtime drama teacher Joe Schulte has died. In a letter posted Monday afternoon on the SLUH website, school president David Laughlin told of Schulte's passing. "Mr. Schultes generous spirit and devotion to his students and his crafts of mathematics, theater and faith are known to generations of Junior Billikens as well as many people throughout the greater St. Louis community," Laughlin's post said. Some of Schulte's former students include movie director George Hickenlooper III; "Wiseguy" and "The Highlander" actor Jim Byrnes; screenwriter Brian Hohlfeld; comedy writer Chris Albers; "Gilmore Girls" actor Sean Gunn and his brother, "Guardians of the Galaxy" writer/director James Gunn. Laughlin also noted that Schulte "has had a presence at SLUH as a student, teacher, counselor and friend for the past 66 years," having stated as a freshman student at the school in 1950. In 2006, Schulte was named as the top arts educator in the area by the Arts and Education Council of Greater St. Louis. In 2012, Schulte was the first winner of the Kevin Kline award for lifetime achievement. The Kline awards honor excellence in STL professional theater. Funeral arrangement were not available Monday. Laughlin said information would be available through the school website. (EDITOR'S NOTE: An earlier version of this story contained an incorrect directing credit for James Gunn.) EAST ST. LOUIS A nude man armed with a shotgun and a pistol was killed in an exchange of shots with police here Monday morning after he stood in a street firing at homes and pointing a weapon at passing motorists, officials said. Illinois State Police, who are investigating but did not participate in the shooting, identified the dead man as Jason Brooks, 41, of East St. Louis. Brooks discharged a weapon at bystanders and then in the direction of two black, male officers, who returned fire, according to a prepared statement from the state police. Brooks also is black. No one else was wounded. East St. Louis Police Chief Michael Hubbard said the man was spotted in the street at 16th Street and Gaty Avenue about 6 a.m. Officers from several departments, including Washington Park, rushed to the scene. The man was still firing when two officers arrived, said Washington Park police Chief Tony Tomlinson. Some cars where hit, and there were bullets in houses, Tomlinson said. Police felt he was a threat, and he was pointing at cars. They realized that they couldnt de-escalate it and thought everyone out there was in danger. One of the officers shot the gunman, Tomlinson said. Brooks died later at a hospital. Witnesses said they heard at least 12 shots fired. Neighbors said the man was acting erratically. One said she saw him swinging what looked like a baseball bat and shouting in the street over the past few days. He was sick, said another neighbor, who declined to give her name. He needed help. Kristen Taketa of the Post-Dispatch contributed to this report. DECATUR, Ill. A Decatur man police claim was armed with a gun and knife is being hospitalized for injuries after he was shot by a police officer. Decatur police said Monday that officers were notified around 1 a.m. of a man with a gun. Police say the officers encountered a man matching the description and an officer fired, striking the man in the chest. The man who was shot was taken to a hospital. He's listed in serious condition. WAND-TV reports the man is a 40-year-old black Decatur resident and the officers are white. The officer who fired is a five-year department veteran. "The investigation of this incident has been turned over to Illinois State Police, which is standard protocol for the Decatur Police Department in any officer-involved shooting," Interim Police Chief James Getz said at a morning news conference. Police allege the man had a handgun and a large knife strapped to his wrist. The shooting comes in the wake of police-involved fatal shootings of black men in Minnesota and Louisiana and the attack on five Dallas police officers who were fatally shot last week during a protest on police shootings. In Decatur, the Area Leaders and Education Response Team has met for a year to discuss police and community relations. Team spokeswoman Jeanelle Norman said she realizes trust could be an issue but that the ALERT team is "here to ensure that the process is properly followed." She called for calm. "Let's show our community and the nation that Decatur will remain calm during these turbulent times in our nation and around the world," Norman said. ST. LOUIS A St. Charles County man was sentenced Monday to 20 years in federal prison for giving his sons 17-year-old girlfriend the heroin that killed her. It was the second death for which substance abuse and Jerry L. Harvey, 57, were responsible, officials said. On Nov. 5, 2014, Harvey gave Katherine Katie Culley heroin twice. He paid her with heroin to drive him first to Clayton to cash a $6,000 check, then to St. Louis to buy the heroin. He gave her more when she returned to his trailer in St. Charles County later that night after a fight with her boyfriend, his plea agreement says. At 5:30 a.m. the next day, he was unable to wake her but didnt seek help until he returned from dropping his son off at work about 8 a.m., according to court testimony. You dont get help ... you leave, said U.S. District Judge John Ross. Had Harvey immediately sought help, Ross wondered, Would there have been a different outcome? Ross also cited Harveys past drunken driving crash that resulted in a fatality. He told Harvey the first death didnt discourage you at all, pointing out that the defendant had picked up another drunken driving charge while on probation on that case. Harvey was convicted of involuntary manslaughter in Dallas County, Texas, in 1985. Details of the incident were not available. The judge also mentioned multiple assault and drunken driving convictions. Harvey has amassed more than two dozen criminal convictions. Your reckless conduct through the years has caused deaths ... has caused many people to suffer, Ross said. He said that a 20-year term was the only appropriate sentence. Given the latest crime and his past convictions, Harvey could have faced years more in prison, but the maximum term for the crime to which he pleaded guilty in February heroin distribution is 20 years. Harveys lawyer, Lucy Liggett, asked for less, citing a long history of drug and alcohol abuse and a severely abusive childhood, including being thrown out by his mother at 13. He is incredibly sorry, she said of Harvey. I never meant for any of this to happen, he said, saying that he thinks about Culley every day. Assistant U.S. Attorney Sirena Wissler asked for the full 20 years, pointing out discrepancies in Harveys claims that he had gone downhill after the deaths of his daughter, his girlfriend and his mother. Culleys mother, clutching Culleys first nightgown, said in court that she was spunky, smart, loud, outspoken and beautiful. Her laughter was the loudest of all, she said. Early in 2014, Culleys twin daughters, born prematurely, had died. JEFFERSON CITY Organizers of a program designed to keep kids safe during an active shooter situation say a budget-cutting move by Gov. Jay Nixon could eliminate much of the training this year. Paul Fennewald, director of the Center for Education Safety at the Missouri School Boards Association, said Nixons June 6 decision to cut funding for the program from $700,000 to $100,000 likely will mean far fewer schools will have access to the training dollars. Last year, the state awarded more than $400,000 in grants to 49 school districts to support safety-related programs and initiatives. The cuts were part of an announcement by the governor that he was slashing $115 million in spending this year to account for slower-than-expected revenue growth. If revenues improve, the cuts could be restored. Lawmakers also could override his reductions. Nixon, who made a quick return to Missouri on Friday from Philadelphia because of an officer-involved shooting in Ballwin, said the training will not completely go away. The State of Missouri provides active shooter trainings to local schools free of charge through the Missouri State Highway Patrol upon request, spokeswoman Channing Grate said in a statement. As the governor said in order to protect funding for core priorities, such as a $70 million increase for K-12 classrooms, many new, expanded or duplicative programs have had to be pared back or put on hold. That being said, in recognition of the importance of keeping our schools safe, $100,000 for this specific active shooter training program is moving forward. The money for school safety training began flowing in the aftermath of school shootings in places such as Newtown, Conn., and at a community college in Roseburg, Ore. The cuts come against the backdrop of a state and nationwide debate on gun violence. In Jefferson City, guns and gun safety were a major topic in the Capitol this spring, with the Republican-led Legislature sending Nixon a package of legislation that loosens state firearms laws. Nixon vetoed that measure, saying the changes outlined in the legislation would make Missouri less safe by allowing untrained people to carry concealed weapons. He was in Philadelphia on Friday when a Ballwin police officer was shot, a day after five police officers in Dallas were gunned down by a sniper. Rather than continue to Europe for a trade mission, Nixon returned to Missouri. The money for active shooter training was used by scores of large and small school districts for a variety of purposes. In Hazelwood, for example, a grant from the center bankrolled a two-way radio system. In the St. Louis Public School system, the money paid for an anti-bullying program as well as search-and-rescue equipment. We did active shooter-school bus and counter-radicalization training for the St. Louis Public School district last August, and a school safety coordinators workshop for St. Louis area schools in early spring, Fennewald said. Districts clamored for the money. More than 240 applied for grants, but only 50 were awarded. In addition to the local school district grants, additional money was provided last year for issues such as cybersecurity, counterbullying, behavioral risk assessment and radicalization. The program, which is recognized by the U.S. Department of Education and the Department of Justice, also paid for a conference for law enforcement officers from throughout the state, including officers from Kirkwood, Maryland Heights, St. Louis County, St. Charles and Wentzville. Providing a safe and secure learning environment for students is a top priority for all Missouri school districts and these grants will help fund projects and programs designed to do just that, Fennewald said in December during an announcement of the grants. Without the state money, Fennewald said the programs finances are running low. The last federal money was sent to the state two years ago. But that also is almost all spent, with no prospect for additional funding from those sources, Fennewald said. Register for more free articles. Sign up for our newsletter to keep reading. Get local news delivered to your inbox! Subscribe to our Daily Headlines newsletter. Sign up! Already a Subscriber? Already a Subscriber? Sign in Terms of Service Privacy Policy Register for more free articles. Sign up for our newsletter to keep reading. Get Government & Politics updates in your inbox! Stay up-to-date on the latest in local and national government and political topics with our newsletter. Sign up! Already a Subscriber? Already a Subscriber? Sign in Terms of Service Privacy Policy ST. JOSEPH, MICH. Two bailiffs were shot and killed Monday inside a southwestern Michigan courthouse before officers killed the gunman, a sheriff said. The incident occurred on the third floor of the Berrien County courthouse in St. Joseph, 100 miles northeast of Chicago. Sheriff Paul Bailey didn't provide additional details on how the shooting happened. He said he did not know anything about the shooter, why he was in the courthouse or how he got a gun. A sheriff's deputy who was shot was in stable condition at a hospital, and a fifth person was injured, although how that person was hurt wasn't immediately clear. "I stand here with a heavy heart. A person has shot two bailiffs. They're both deceased," Bailey told reporters. Other people in the area of the shooting sought shelter before "brave officers were able to come to their rescue and take the shooter down," the sheriff said. He didn't elaborate. Bailey said he felt "terrible" about the deaths. Berrien County, which borders Indiana, is in the far southwestern corner of Michigan. Of the millions of words written and spoken since the terrible events in Dallas, Baton Rouge, La., and Falcon Heights, Minn., last week, some of the wisest came from an unexpected source, former House Speaker Newt Gingrich. It took me a long time, and a number of people talking to me through the years to get a sense of this. If you are a normal white American, the truth is you dont understand being black in America and you instinctively underestimate the level of discrimination and the level of additional risk, the Georgia Republican said. The remarks, in a Facebook broadcast with activist and CNN commentator Van Jones, were thoughtful and nuanced. Indeed, aside from the darkest corners of social media and former New York Mayor Rudy Giuliani, much of the national conversation over the weekend was calming and solution-oriented. Donald Trump and Hillary Clinton stayed low-key and positive, refusing to fan the flames. President Barack Obama is expected to carry a similar message when he visits Dallas on Tuesday. As for Giuliani, he called the Black Lives Matter movement inherently racist and anti-American. Its time for Giuliani to fade away. The Black Lives Matter slogan could adopt use of the adverb too, but black lives do matter, just as white, brown and blue lives matter. Demanding to matter isnt asking too much. This is not a zero-sum equation: You can support police officers while simultaneously acknowledging that sometimes cops make mistakes. There is no getting around the statistical reality that minorities proportionately pay a heavier price for those mistakes than whites. As black Americans know, too many of their neighborhoods are for all kinds of socioeconomic reasons dangerous places to live. Theyre dangerous places to police, too. But they cant be policed as war zones. Smart cops know that. Model reforms enacted in cities like Seattle, Cincinnati and, ironically, Dallas have made a difference. Policing is broken, former Seattle Police Chief Norm Stamper told National Public Radio. Tragically, it has been broken from the very beginning of the institution. It has evolved as a paramilitary, bureaucratic, organizational arrangement that distances police officers from the communities theyve been sworn to protect and serve. Cops are human beings. Some of them are better at their work than others. They rally around each other at times of threat, just as people in the community do. Until America learns to stop picking sides, problems and mistrust will linger. LONDON MARKET MIDDAY: Oil beats tech as Shell pleases but Meta doesn't Thursday, October 27, 2022 - 12:31 The FTSE 100's biggest constituent was its saving grace on Thursday, as Shell shares outperformed on strong quarterly results and plans a $4 billion share buyback. "US tech may be letting the side down when it comes to third-quarter earnings but bumper profit from index heavyweight Shell helped lift the FTSE 100 on Thursday morning," AJ Bell head of investment analysis Laith Khalaf said. The FTSE 100 index was up 23.72 points, or 0.3%, at 7,079.79 at midday on Thursday, and the mid-cap FTSE 250 was up 21.35 points, or 0.1%, at 18,127.56. The AIM All-Share, however, was down 3.16 points, or 0.4%, at 806.51. The Cboe UK 100 was up 0.4% at 707.37, the Cboe UK 250 was up 0.3% at 15,546.53, and the Cboe Small Companies was up 0.1% at 12,395.09. Markets in Europe were now waiting on the European Central Bank and President Christine Lagarde. AJ Bell's Khalaf said: "Once again, the wider market seems to be pinning some hopes on central banks looking at evidence of a deteriorating economy and reacting accordingly by slowing the pace of rate rises." In European equities on Thursday afternoon, the CAC 40 in Paris was down 0.8%, while the DAX 40 in Frankfurt was 0.7% lower. Analysts are all but convinced the ECB will up rates by another 0.75% on Thursday, but some say there is room for a full percentage point raise - 100 basis points. Observers will be looking out for plans on how the central bank plans to reduce its balance sheet. In response to various crises, the ECB has expanded its balance sheet to a record 8.8 trillion, which is about 70% of annual eurozone gross domestic product. "The more the ECB normalises its policy rates, the more apparent it becomes that the amount of excess liquidity in the system is posing challenges for the conduct of monetary policy. Several policymakers have therefore urged the Council to discuss liquidity conditions, a potential quantitative tightening and/or ways to 'encourage' banks to repay their [targeted longer-term refinancing operations] loans," analysts at Rabobank said. The Frankfurt-based central bank announces its interest rate decision at 1315 BST, which will be followed by a press conference hosted by Lagarde. After the ECB, the Federal Reserve will make a rate decision next week Wednesday and the Bank of England a day after its US counterpart. The euro traded at $1.0035 midday Thursday, down on $1.0064 late Wednesday. The pound fell below the $1.16 mark Thursday midday. Sterling was quoted at $1.1567, down from $1.1612 at the London equities close on Wednesday. In London, Shell shares advanced 5.4%. The oil company reported a swing to a net profit in the third quarter compared to a year ago, but the profit fell behind the second quarter as Shell warned of volatility in global energy markets. Shell reported a net profit totalling $6.74 billion in the third quarter, after oil prices surged, improving from a loss after tax of $447 million in the same period last year. Flush with cash, Shell said it will buy back $4 billion of its shares, after completing a $6 billion share buyback announced in July. It expects to complete its $4 billion share buyback by February 2, the day it will release its 2022 results. BP gained 3.4% on a positive read-across from the Shell results. BP reports its own third-quarter results on Tuesday next week. Airtel Africa was at the bottom of the blue-chip index, giving back 8.4%. It saw strong interim revenue growth, but profit was held back by the devaluation of some African currencies. Pretax profit fell 9.1% to $516 million from $567 million, as Airtel recognised $358 million in net finance costs, compared to $169 million a year before. In the half year ended September 30, the Africa-focused telecommunications firm said revenue grew 13% year-on-year to $2.57 billion from $2.27 billion. In constant currency, it rose 17%, with appreciation in the Zambian kwacha offset but devaluations in several other currencies. Also towards the bottom of the FTSE 100, Anglo American lost 4.6%. The minder reported a mixed quarterly production performance, with the output of most commodities declining amid a challenging operating conditions. Anglo kept its annual production guidance mostly unchanged. Peers Rio Tino, Glencore, Antofagasta lost 4.1%, 3.2% and 2.8% in a negative read-across. In New York, the open is expected to be mixed, with the Dow Jones Industrial Average seen up 0.3% and the S&P 500 up 0.1% but the tech-heavy Nasdaq Composite down 0.3%. The Nasdaq benchmark continues to be hit by troubling tech earnings, with Meta Platforms down 20% in pre-market trading. The Facebook-owner late Wednesday said revenue fell 4% to $27.71 billion in the three months that ended September 30 from $29.01 billion a year before. Income from operations dropped 46% to $5.66 billion versus $10.42 billion. Net income was $4.40 billion, down 52% versus $9.19 billion. Big tech earnings will continue Thursday, with Amazon and Apple to report after the closing bell in New York on Thursday. Gold was quoted at $1,661.00 an ounce midday Thursday in London, down from $1,665.70 on Wednesday evening. Against the yen, the dollar was quoted at JP146.30, down from JP146.50. Aside for the ECB, the economic calendar has a US gross domestic product reading at 1330 BST. The US economy is forecast to grow 2.4% at an annual rate, according to FXStreet-cited consensus. A stronger-than-expected reading may give the Federal Reserve more impetus to impose stronger rate hikes between now and the end of the year. Copyright 2022 Alliance News Limited. All Rights Reserved. Mel Shah, owner of an upscale cigar and wine lounge in Palm Springs, California, is the man behind Bombay Tobak. You may be more familiar with the name MBombay, though, which is his small-batch brand of high-end cigars made in Costa Rica. Shahs newest creation is called Gaaja (pronounced Gaa-ya), which is Sanskrit for elephant. We had been working on the blend of Gaaja for more than four years, reads a press release dated June 20. The process involved in logistics and long fermentation of tobacco from countries like Peru and Paraguay really tested our patience. We had to wait for three vintages of the hybrid Connecticut wrapper leaf for the perfection we wanted. Gaaja was formally introduced on July 1. Its recipe calls for an Ecuadorian hybrid Connecticut/Cameroon wrapper thats grown in the desflorado fashion. (The process of cultivating desflorado tobacco requires a watchful eye and arduous attention to detail; the buds on these plants are cut off before they flower to force the plants energy on leaf production instead of flower production.) The binder is Ecuadorian, and the filler is a combination of Seco from Peru; Viso from Ecuador, Paraguay, and the Dominican Republic; and Dominican Ligero. Only one size is available: a Toro measuring 6 inches long with a ring gauge of 54. Of note is the peculiar shape, which I would describe as box-pressed on the back (the side with the back of the band) and rounded on the front. The Toro is a velvety smooth, moderately oily cigar with a clean, golden exterior and a spongy feel in the hand. Its accented by a unique, interesting band of light blue, red, and gold with Gaaja written on one side and Bombay Tobak written on the other. The pre-light notes at the foot are pungent and crisp with loads of sweet hay. The cold draw is airy and smooth. Once lit, I find a medium-bodied, silky profile with flavors ranging from honey and graham to bread and dry wood. Theres a core of creaminess in the background that I would describe as buttery with hints of almond. Tea, cedar spice, and warm tobacco are also present in this complex taste. At the halfway point and beyond, the flavor starts to flirt with the medium- to full-bodied range, and the spiciness ramps up as well. Construction is outstanding. You should expect a lot from a cigar that retails for $15.50. Fortunately, Gaaja delivers with a well-balanced, thoughtful profile that rewards careful, contentious attention. My advice is to enjoy this in a quiet, solitary environment with little distraction, and be prepared for a rewarding, distinctive experience. This gem is worthy of four and a half stogies out of five. [To read more StogieGuys.com cigar reviews, please click here.] Patrick A photo credit: Stogie Guys LOCAL producers are deserting Stratford-upon-Avons once lauded farmers market saying footfall has fallen dramatically in recent years. Stratford Farmers Market on Rother Street takes place on the first and third Saturday of each month and was once named as one of the top five in the country. However, existing stallholders claim it has been in decline in recent years and many others have been forced to leave. It once had around 40 stalls, but today it is more like ten or 12. Blame for the current situation has been levelled at the market operator, Geraud, who traders say have failed to promote the market effectively. They claim the market has become badly organised under the company and that too many stalls unconnected with farming and local produce, have been allowed to have stalls. One business that has decided it will no longer run a stall are Worcester-based Croom Cuisine. Gary Tucker, head cheesemaker there, said: Ive been running the stall at the market for the last two years, we used to make quite a bit of money there but now when you take in the cost of the stall, my wages, the travel expenses, its just not worth it for us. Footfall has really gone down, you get a lot of tourists looking around on a Saturday but they dont necessarily buy anything. I know that the local producers are leaving, you get a lot of craft-type stalls there now and youve got to ask whether they really belong at a farmers market. Leanne Hodgetts, business development manager at Croom Cuisine, added: It used to be a fantastic market, it was a good day, and we regularly made 600-800 each time we were there, now were lucky to make 300. Its been in a definite steady decline. I dont think the market organisation has been brilliant either, Geraud come around and take your money and thats all you ever see from them really. James Pavitt, who worked closely with the original market operator, Sketts, and the NFU to originally set up the market, said: I have not been closely involved with Stratford Farmers Market for some time but Ive heard the kind of things these traders have been talking about. I used to be the co-ordinator of the National Association of Farmers Markets and was involved in developing the certification for farmers markets. Part of that certification stipulates that producers sell their own produce direct to customers. This is useful because they can get direct feedback about their produce. I feel very strongly that a farmers market should do what it says on the box, it needs integrity, without that it just becomes a food market. Ive heard that some people are just selling things that are bought in. The new operator took the commercial decision to hold a normal market on one side of the square and the farmers market on the other. For me that doesnt work, it just confuses the public. Market customer, Shelagh Hamer, said: Stratford Farmers Market started 17 years ago, gained the farmers market accreditation and at one point was named by BBC Good Food Magazine as one of the top five markets in the country. It used to be a fantastic market, it was advertised for days in advance with banners, but when Geraud took over that all stopped. Producers are just not coming anymore, its turned into a tat market and its sad because I have supported it for 17 years. It was a lovely atmosphere, but its gone now, a lot of producers have left because its not what it was and they dont make enough money from it. As a Stratford resident the farmers market is about the only reason I have for going into the centre of town on a Saturday. Stallholder Pete Drinkwater, from Ebrington-based vegetable producer Drinkwaters, said: I think were the last remaining original stallholder, weve been here about 20 years. Were a family-run company and we have a large number of regular customers in Stratford. I dont want to blow my own trumpet but if we went it would kill the market. Other traders have said to us: If you go we might as well all go. Footfall has fallen dramatically, were not planning to leave, but if many more traders drop out it will be a disaster for us. It all started going downhill when they took the market off Sketts, they used to promote it around town with posters. I dont know what the district council were doing taking it off a local company like Sketts and giving it to Geraud, who are based in Liverpool. They dont market it now and thats something they need to look into, they need fresh ideas to turn it around. A lot of customers are getting fed up of it now, there are stalls here which have no place at a farmers market and the market suffers as a result. Having tat stalls down one end just doesnt bring in the type of customers we need at a farmers market. Its not a well organised market either. Matt Steele, national operations manager for Groupe Geraud said: Were currently working with the team at the council to create an accreditation scheme for local producers, so we can improve and grow the farmers market. We are introducing a number of trader incentives such as reduced rent, introductory offers and a trader referral scheme. We work closely with all of our traders and would always encourage those with concerns to speak directly to our team who are on site every market day. What do YOU think about the farmers' market and Stratford's other markets? E-mail your views to news@stratford-herald.com CEL-SCI Corporation (NYSE: CVM) announces that it has been granted a new European patent covering its investigational Phase 3 cancer immunotherapy drug Multikine* (Leucocyte Interleukin). The patent, EU Patent: EP 1 773 368 B1, titled A Method Of Pre-Sensitizing Cancer Prior To Treatment With Radiation and/or Chemotherapy And A Novel Cytokine Mixture, is not limited to any one particular type of cancer and can include multiple types of cancer. This invention relates to a novel method for pre-sensitizing cancer cells prior to a therapeutic treatment such as chemotherapy or radiation therapy. This effect is created by CEL-SCIs immunotherapy drug Multikine which, as part of its mode of action, induces cancerous cells to enter a proliferative cell cycle phase therapy, thereby potentially increasing their vulnerability to chemotherapy and radiation therapy. Geert Kersten, Chief Executive Officer of CEL-SCI, said, This European patent should protect one of the largest applications for Multikine, namely its use in combination with radiation and chemotherapy. Our ongoing Phase 3 clinical trial in head and neck cancer patients is administering Multikine therapy as part of a first-line treatment, before any other treatments and prior to surgery, followed by radiation or concurrent chemoradiotherapy. (Updated - July 11, 2016 6:33 AM EDT) Onex Corporation and Baring Private Equity Asia ("Baring Asia") announced their affiliated private equity funds have agreed to acquire the Intellectual Property & Science business ("IP&S") from Thomson Reuters, for $3.55 billion. IP&S owns a collection of leading subscription-based businesses that provide a diverse customer base with access to scientific literature, patent, trademark, pharmaceutical and other curated content. The transaction is expected to close later this year subject to customary closing conditions and regulatory approvals. IP&S provides comprehensive intellectual property and scientific information, decision support tools and services that enable academia, corporations, governments and the legal community to discover, protect and commercialize content, ideas and brands that are important to them. Its portfolio includes Web of Science, Thomson CompuMark, Thomson Innovation, MarkMonitor, Cortellis and Thomson IP Manager. Headquartered in Philadelphia, IP&S employs approximately 4,100 people across more than 75 offices in over 40 countries. "IP&S is a diversified portfolio of high-quality, well-positioned businesses providing proprietary, curated content through products and services that are entrenched in their customers' day-to-day activities," said Kosty Gilis, a Managing Director with Onex. "We are delighted to have the opportunity to acquire the company and partner with management and Baring Asia to enhance IP&S' operations and support its growth in the years to come." "We look forward to partnering with IP&S management and Onex to support the development of the company globally, particularly in Asia where we see a differentiated growth opportunity," said Jean Eric Salata, Founder and Chief Executive of Baring Asia. "Already an established leader in China and across the region, we believe the outlook for the business is underpinned by an increasing shift towards more knowledge driven economies and a continued emphasis on research and development." "We are pleased to announce the agreement today to sell our Intellectual Property & Science business to Onex and Baring Asia," said Jim Smith, President and Chief Executive Officer of Thomson Reuters. "With the completion of this divestiture, Thomson Reuters will be even more focused on operating at the intersection of global commerce and regulation." The transaction is expected to be funded with an equity investment of approximately $1.6 billion for 100% ownership of IP&S. Onex' portion of the equity investment (approximately $1.2 billion) will be made by Onex Partners IV and certain limited partners as co-investors, including Onex. Latham & Watkins LLP is serving as legal advisor to Onex and Baring Asia on the transaction. Center to Support Social Security Administration, Centers for Medicare and Medicaid Services BALTIMORE--(BUSINESS WIRE)-- Accenture Federal Services has opened a new Innovation Center for Technology in Baltimore, bringing high-quality, career-building IT services jobs to the region and supporting the U.S. Social Security Administration (SSA) and the Centers for Medicare and Medicaid Services (CMS) with their digital transformation through the implementation of cloud, mobile and other innovative technologies. The innovation center enhances Accentures (NYSE: ACN) capabilities to support SSA programs including the Information Technology Support Services Contract (ITSSC) and Specialized Assistance and Advisory Services (SAAS) and CMS programs such as the Federally Facilitated Marketplace (FFM) together with anticipated programs under the recently awarded $25 billion Strategic Partners Acquisition Readiness Contract (SPARC). Additionally, the center provides space for meetings and collaboration, teleconference services and demonstrations of digital and other new technology capabilities for Accenture clients. The innovation center connects Accentures clients in the Baltimore area to the Accenture Agile Center of Excellence in Chantilly, Virginia, as well as to other innovation centers for technology around the world. Accenture works with clients to design, build and optimize innovation on a global scale by combining R&D, market intelligence and local talent and resources. We are extremely proud to work with these Baltimore-based agencies, which touch the lives of nearly every American, said David Moskovitz, chief executive of Accenture Federal Services. We look forward to attracting the areas top talent and giving them the opportunity to do work that matters and build careers that bring new IT capabilities and expertise in digital, cloud and cyber into government programs at the heart of our nations priorities. The Innovation Center for Technology in Baltimore will be staffed by an initial workforce of 150 employees, and Accenture expects to more than double that number within the next two years. Accenture actively recruits local resources from Marylands top universities. About half of the active Accenture resources on the SSAs current ITSSCs program graduated from universities in Maryland, Washington, D.C., and Virginia. The new Accenture Innovation Center for Technology in Baltimore is a welcome addition to the local economy and community and should create high-quality technology jobs, said U.S. Rep. Elijah Cummings (D-Md.) Baltimore is a growing incubator for innovation, and we are proud that Accenture [Federal Services] has chosen to expand and create new jobs here in our city. As part of its commitment to local workforce development, Accenture is working with Baltimore city school students to teach coding, public speaking and presentation skills. The company has also partnered with nonprofits, sponsoring a school-supplies drive with KIPP Program in Baltimore and supporting Back on My Feet, which provides workforce training and professional development for Baltimore residents facing difficult socioeconomic situations. Accenture also has supported OneBaltimore, a public-private partnership that seeks to promote collaboration for transformative change in the city through inclusion, accountability, transparency and sustainability. Accenture also supports the Cristo Rey Jesuit High School, a co-ed college preparatory school that partners with the Baltimore business community to empower students, many of whom are low income, to succeed in college, work, and life. Marty Rodgers, managing director of Accentures Metro Washington, D.C. office, said, At Accenture, we seek to be part of the fabric of the community. Were pleased to be expanding in Baltimore and to help open doors to meaningful careers for our employees and for other residents. Accenture Federal Services is a wholly owned subsidiary of Accenture LLP, a U.S. company, with offices in Arlington, Virginia. Accentures federal business has served every cabinet-level department and 30 of the largest U.S. federal organizations. Accenture Federal Services transforms bold ideas into breakthrough outcomes for clients at defense, intelligence, public safety, civilian and military health organizations. About AccentureAccenture is a leading global professional services company, providing a broad range of services and solutions in strategy, consulting, digital, technology and operations. Combining unmatched experience and specialized skills across more than 40 industries and all business functions underpinned by the worlds largest delivery network Accenture works at the intersection of business and technology to help clients improve their performance and create sustainable value for their stakeholders. With more than 375,000 people serving clients in more than 120 countries, Accenture drives innovation to improve the way the world works and lives. Visit us at www.accenture.com. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711005441/en/ Accenture Myra Oppel, + 1 703-947-1268, + 1 603-667-7746 (mobile) [email protected] Follow @AccentureFed on Twitter Source: Accenture ATLANTA, July 11, 2016 /PRNewswire/ -- Graphic Packaging Holding Company (NYSE: GPK) will release results for second quarter 2016 on Tuesday, July 26th before the market opens. The same morning, the company will host a conference call at 10:00 a.m. eastern time to discuss second quarter results. To access the conference call, please go to the Investor Relations section of the Graphic Packaging website: http://www.graphicpkg.com and click on the audio webcast link. For those who need to call in from within North America, dial 800-392-9489 at least 10 minutes prior to the start of the conference call (Conference ID #47474552). Replays of the call will be available for one week following the completion of the call and can be accessed by dialing 855-859-2056. The Company has also set a preliminary date for the release of third quarter 2016 results (Tuesday, October 25th). About Graphic Packaging Holding Company Graphic Packaging Holding Company (NYSE: GPK), headquartered in Atlanta, Georgia, is committed to providing consumer packaging that makes a world of difference. The Company is a leading provider of paper-based packaging solutions for a wide variety of products to food, beverage and other consumer product companies. The Company operates on a global basis, is one of the largest producers of folding cartons in the United States, and holds leading market positions in coated unbleached kraft paperboard and coated-recycled paperboard. The Company's customers include many of the world's most widely recognized companies and brands. Additional information about Graphic Packaging, its business and its products is available on the Company's web site at www.graphicpkg.com. To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/graphic-packaging-announces-release-date-for-second-quarter-2016-earnings-300296823.html SOURCE Graphic Packaging Holding Company MUMBAI, July 11, 2016 /PRNewswire/ -- - InfuSMART Roll-out Begins in Europe; Planned Across Six Countries - Netherlands, UK, Spain, Germany, Italy and France Over Next Few Months - InfuSMART is Developed by Sun Pharma's R&D Team in India - Ready-to-administer Medicines are Expected to Play an Increasing Role due to Improved Safety, Convenience and Time Saving Benefits As part of its business strategy to build a meaningful and differentiating presence in Global Oncology Therapy market, Sun Pharma (Reuters: SUN.BO, Bloomberg: SUNP IN, NSE: SUNPHARMA, BSE: 524715, Sun Pharmaceutical Industries Ltd and includes its subsidiaries or associate companies) today announced the roll-out of Gemcitabine InfuSMART in Europe. InfuSMART is a technology in which oncology products are developed in a Ready-To-Administer (RTA) bag. Until now, compounding of oncology products was done at compounding centres or compounded in hospital pharmacies, an extra step before the medicine can be administered to patients. With the roll-out of Gemcitabine InfuSMART, Sun Pharma becomes world's first pharmaceutical company to manufacture and launch a licensed RTA oncology product. This innovatively differentiated product will have a shelf life of two years. Over the next few months, Sun Pharma will launch Gemcitabine InfuSMART across Netherlands, UK, Spain, Germany, Italy & France. (Logo: http://photos.prnewswire.com/prnh/20160711/813488) (Photo: http://photos.prnewswire.com/prnh/20160711/813488-a) Sun Pharma received regulatory approval to produce Gemcitabine InfuSMART in eight key SKUs. The InfuSMART concept involves dose banding practice whereby, through agreement between prescribers and pharmacists, standardized doses of intravenous cytotoxic drugs are used for ranges (or 'bands') of doses calculated for individual patients. More InfuSMART oncology products are currently in Sun Pharma's pipeline to be rolled out in the future. Commenting on the roll-out of InfuSMART in Europe, Ms Hellen de Kloet, Business Head - Western Europe & ANZ, Sun Pharma said, "Sun Pharma's Gemcitabine InfuSMART ready-to-administer infusion products provide the combined advantage of long stable compounded medicine along with safety. Its ready availability for treatment can make a difference to the healthcare worker and patients. Traditionally such medicines are compounded at hospitals (in-house) or outsourced to compounding pharmacies making it a time-consuming and potentially hazardous process. Launch of InfuSMART will help us remain a meaningful player in the global oncology therapy market by offering differentiating cancer treatment solutions. We believe there are opportunities for us to expand our portfolio of ready-to-administer products across multiple therapies where time and safety are an important element of treatment." The NHS (UK) has been encouraging development of licensed RTA products. It has issued guidelines for hospitals for procuring such medicines. The launch of Gemcitabine InfuSMART offers Sun Pharma a definite first-mover advantage in Europe for cancer treatment. The Gemcitabine InfuSMART RTA infusion bag is developed at Sun Pharma's R&D centre in India. According to WHO, cancer figures amongst the leading causes of morbidity and mortality worldwide, with approximately 14 million new cases and 8.2 million cancer related deaths in 2012. The number of new cases is expected to rise by about 70% over the next two decades. Among men, the five most common cancers diagnosed in 2012 were lung, prostate, colorectum, stomach, and liver cancer. Among women the five most common cancers diagnosed were breast, colorectum, lung, cervix and stomach. Sun Pharma is the world's fifth largest specialty generic pharmaceutical company and India's top pharmaceutical company. A vertically integrated business, economies of scale and an extremely skilled team enable us to deliver quality products in a timely manner at affordable prices. It provides high-quality, affordable medicines trusted by customers and patients in over 150 countries across the world. Sun Pharma's global presence is supported by 47 manufacturing facilities spread across 6 continents, R&D centres across the globe and a multi-cultural workforce comprising over 50 nationalities. The consolidated revenues for 12 months ending March 2016 are approximately US$ 4.3 billion, of which US contributes US$ 2.1 billion. In India, the company enjoys leadership across 13 different classes of doctors with 30 brands featuring amongst top 300 pharmaceutical brands in India. Its footprint across emerging markets covers over 100 markets and 6 markets in Western Europe. Its Global Consumer Healthcare business is ranked amongst Top 10 across 4 global markets. Its API business footprint is strengthened through 14 world class API manufacturing facilities across the globe. Sun Pharma fosters excellence through innovation supported by strong R&D capabilities comprising about 2,000 scientists and R&D investments of over 8% of annual revenues. SOURCE Sun Pharma COLUMBUS, Ohio, July 11, 2016 /PRNewswire/ -- Zipping through tree tops, plunging down roller coaster hills, soaring over Lake Erie and reveling in Ohio's urban cultural offerings are just a few of the experiences visitors can enjoy as TourismOhio launches a 360Virtual Reality Experience July 18-21 at the Republican National Convention (RNC) in Cleveland. Ohio will be one of the first states to harness innovative virtual reality technology encouraging visitors to explore Ohio and inspire future visits. "The 360Virtual Reality Experience capitalizes on visitors coming to Cleveland for the RNC and showcases Ohio to them in a way that's never been done before," said Mary Cusick, director of TourismOhio. "Our research shows that consumers are more likely to return to Ohio after they've experienced our state once. We believe virtual reality will build interest for future trips by showing show users the excitement and joy that are part of visiting Ohio destinations." Housed in a "Joy Ride" branded 40-passenger bus, the 360Virtual Reality Experience will offer a personal Ohio adventure for participants with a wide range of interests. RNC attendees can try the virtual reality experience by visiting the bus located outside of Quicken Loans Arena (The Q) while consumers can explore on their own at Ohio.org/RNC. The 360Virtual Reality Experience features 16 videos including: - Riding Cedar Point's Millennium Force - Zip Lining and Hiking in Hocking Hills (Old Man's Cave and Cedar Falls) - Parasailing over Lake Erie, a visit to Kelleys Island and Perry's Victory and International Peace Memorial in Put-in-Bay - Explorations of Franklin Park Conservatory, the Short North Arts District and the Columbus Zoo and Aquarium - A flyover of Cincinnati Museum Center and tours of Over-the-Rhine and the National Underground Railroad Freedom Center - Cultural immersions with the Cleveland Orchestra, Rock and Roll Hall of Fame, Ohio City Festival and a visit to Playhouse Square Throughout the year, TourismOhio will continue to leverage notable events to engage consumers with the 360Virtual Reality Experience. The program also will be available to attendees of the National Association for the Advancement of Colored People (NAACP) National Convention in Cincinnati. The videos, accessible on Ohio.org/VR, are compatible with Samsung Gear, Google Cardboard and other viewers with the YouTube app. Production of the virtual reality videos was coordinated over the past year in partnership with more than a dozen tourism marketing organizations and attractions. Footage was captured using a number of specialized cameras including stereoscopic and monoscopic camera rigs; an octocopter drone and a remote-controlled tripod vehicle. The virtual reality program is a natural tie to TourismOhio's new branding, Ohio. Find It Here., and further demonstrates the breadth of tourism activities available within the state and the emotions they evoke from travelers. About TourismOhioTourismOhio, operating within the state of Ohio's Development Services Agency, works to ensure Ohio is positioned as a destination of choice, enriching lives through authentic travel experiences. The branding Ohio. Find It Here. supports Ohio's $42 billion tourism industry. For more, visit Ohio.org. Photo - http://photos.prnewswire.com/prnh/20160711/388392 To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/tourismohio-to-launch-virtual-reality-experience-at-republican-national-convention-in-cleveland-300296641.html SOURCE TourismOhio To activate the text-to-speech service, please first agree to the privacy policy below. Taipei, July 11 (CNA) Communication between the two sides of the Taiwan Strait has not been as smooth as before, and the government is working on measures to improve the situation, the head of the Mainland Affairs Council (MAC) said Monday. By Madeline Chambers BERLIN (Reuters) - Germany expects Britain to trigger article 50 of the European Union's Lisbon treaty to begin the formal process of leaving the bloc once it has picked a new prime minister, Chancellor Angela Merkel said on Sunday. Merkel also told German broadcaster ZDF in an interview that Britain would not be allowed to "cherry pick" what it wants to keep from its EU membership while jettisoning aspects of the relationship that it does not like. "The decision (of Britons to leave the EU) has been taken .. and the next step is -- and Britain will do this only when they have a new prime minister -- to invoke Article 50," said Merkel, Europe's most powerful leader who will play a key role in shaping London's future relationship with the EU. "I expect that to happen. I deal with reality and I firmly expect that application will be made," Merkel added when asked if she thought there was a chance that Brexit would not happen. British voters backed Brexit in a June 23 referendum. Prime Minister David Cameron has announced his intention to step down and has said it will be for his successor to invoke article 50 which will launch two years of divorce negotiations. Two women, interior minister Theresa May and junior energy minister Andrea Leadsom, are competing to replace Cameron. The new prime minister is expected to be chosen on Sept. 9. May, the current favorite, has made clear she will not rush to invoke article 50 as the tight timeframe it envisages could weaken London's bargaining hand. But British and EU firms and investors want clarity as soon as possible on future trade ties. "NO CHERRY PICKING" "We have spoken to Britain and made clear there will be no negotiations with Britain until they have made their application, and there will be no cherry picking," said Merkel. Many British voters backed Brexit due to fears over uncontrolled immigration from the rest of the EU, especially the much poorer member states of ex-communist eastern Europe. The 'Leave' campaign also homed in on voters' concerns about Europe's migrant crisis, which has seen more than one million people, mostly Muslims fleeing conflicts in the Middle East, move to the EU, especially Germany, over the past year. Asked if she felt she bore any responsibility for the Brexit vote due to her open-door migrant policy, Merkel told ZDF that Europe had a responsibility to protect migrants fleeing war. She also defended her decision to work closely with Turkish President Tayyip Erdogan in seeking to stem the flow of migrants via Turkey into the EU. Under a controversial deal, Turkey has agreed to take back migrants heading to Europe from its shores in return for accelerated EU membership talks and an end to a visa requirement for its citizens visiting the EU. "I cannot say I think everything that is happening domestically in Turkey is right ... but we have a mutual interest (in tackling the migrant crisis)," she said. Commenting on the parlous economic state of some euro zone member countries in southern Europe, Merkel repeated her call for structural reforms along with budget discipline, adding that not enough had been done to cut youth unemployment in the EU. (Reporting by Madeline Chambers; Editing by Gareth Jones) By Parisa Hafezi ANKARA (Reuters) - Iran's judiciary has indicted three detained Iranian dual-nationals and a Lebanese citizen also held in the Islamic Republic, the judiciary's official news website Mizan said on Monday. Several Iranian dual nationals from the United States, Britain, Canada and France have been detained in the past few months and are being kept behind bars on various charges, including espionage or collaborating with a hostile government. "After the issuance of indictments, the cases against (British-Iranian) Nazanin Zaghari-Ratcliffe, (American-Iranian) Siamak Namazi, (Canadian-Iranian) Homa Hoodfar and (U.S.-Lebanese) Nizar Zekka ... have been referred to court for processing," the website quoted Tehran prosecutor Abbas Jafari Dolatabadi as saying. He did not provide details about the charges brought against the four. Dolatabadi also did not say whether the court was a Revolutionary Court, which handles security-related cases. A judiciary source told Reuters their cases had been referred to the court "within the past two weeks". "But it does not mean that they will stand trial soon. Iran's judiciary is reviewing their cases very carefully," said the official, who asked not to be named because of the sensitivity of the matter. Iran does not recognize dual nationality and treats detainees only as Iranian, depriving them of consular access. Zaghari-Ratcliffe, 37, a program coordinator with the London-based charity Thomson Reuters Foundation, was detained in early April before boarding a flight back to Britain with her two-year-old daughter. Her British husband, Richard Ratcliffe, says their daughter has been put in the care of Zaghari-Ratcliffe's family in Iran. In a statement emailed to Reuters on Monday, the Foundation said Zaghari-Ratcliffe "has yet not been given access to a lawyer". "I expect the Iranian authorities to free Nazanin and to release the passport of her daughter Gabriella as soon as possible," said Monique Villa, Thomson Reuters Foundation CEO in the statement. ACCUSATIONS Iran's elite Revolutionary Guards Corps (IRGC) accused Zaghari-Ratcliffe in a statement published last month of trying to "overthrow" the government. Her husband has dismissed the accusation. Dubai-based businessman Siamak Namazi, a dual U.S.-Iranian citizen, was detained by the IRGC last October while in Iran visiting his family. Dolatabadi did not mention the case of Namazi's 80-year-old father, Baquer Namazi, another dual American-Iranian national who his family said was detained in February. The Iranian authorities have not confirmed the elder Namazi's detention. The U.S. State Department said on Monday that a U.S. citizen and a U.S. legal resident, reported to have been indicted in Iran, were "unjustly detained" and should be released. Hoodfar is the most recent dual national to be arrested in Iran. The IRGC detained the 65-year-old Canadian-Iranian scholar on June 6 in Tehran. She had traveled to Iran in February for personal reasons, but had also been continuing her academic research while in the country, her family said. Iranian state media said in November that American-Lebanese IT expert Nizar Zekka had been detained in Iran, accusing him of having links to the U.S. military and intelligence agencies. Lebanese media reported that Zakka had disappeared on Sept. 18 after attending a conference in Tehran. The Iranian judiciary has not commented on their cases or made the charges against them public. But Iran's judiciary spokesman said in January that most of the detained dual nationals were facing espionage charges. In January, Iran released four Iranian-American dual nationals and an American in a prisoner swap negotiated between the United States and Iran coinciding with the implementation of a landmark nuclear deal with six major powers in 2015 aimed at curbing Tehran's nuclear program in return for the lifting of economic sanctions. The United States also released seven Iranians, six of whom also had dual U.S. nationality. (Additional reporting by Mohammad Zargham in Washington, Writing by Parisa Hafezi,; Editing by Ralph Boulton) ISTANBUL (Reuters) - Turkish President Tayyip Erdogan called on NATO to do more to fight the threat of global terrorism, saying the 28-nation alliance needed to "update" itself to better adapt to new security threats. NATO leaders are meeting at a summit in Warsaw on Friday where they are expected to display their resolve towards a resurgent Russia - despite what some see as a weakening of the West due to Britain's vote to leave the European Union. Speaking to reporters before his departure to Warsaw late on Thursday, Erdogan said he would press the leaders of fellow NATO countries to do more to fight militant attacks like the triple suicide bombing last week that killed 45 people at Istanbul's main airport. "As we have seen from the terrorist attacks first in Istanbul and then in Iraq and Saudi Arabia, international security is becoming more fragile," he said. "The concept of a security threat is undergoing a serious change. In this process, NATO needs to be more active and has to update itself against the new security threats," he said. The Istanbul bombing, the deadliest in a string of similar attacks in Turkey this year, is believed to be the work of Islamic State militants from the former Soviet Union, Erdogan has said. It was followed by major attacks in Bangladesh, Iraq and Saudi Arabia, all apparently timed for the run-up to Eid al-Fitr, the holiday that this week marked the end of the holy fasting month of Ramadan. NATO member Turkey faces multiple security threats. It is a member of the U.S.-led coalition against Islamic State in Syria and is fighting a violent insurgency in its mainly Kurdish southeast. It also faces attacks from leftist militants. Turkey has taken in nearly three million refugees fleeing the war in neighboring Syria, at a cost of $11.5 billion, Erdogan said. "As a NATO country, we want fellow members not to forget about Turkey," he said. (Reporting by Humeyra Pamuk; Editing by David Dolan and Gareth Jones) We value your privacy. Focus Taiwan (CNA) uses tracking technologies to provide better reading experiences, but it also respects readers' privacy. Click here to find out more about Focus Taiwan's privacy policy. When you close this window, it means you agree with this policy. Mission to keep those little hearts beating We can do our bit to help the premier childrens hospital in Sri Lanka achieve its goal of building a dedicated 10-storey centre of excellence for childrens heart health and other critical illnesses. Kumudini Hettiarachchi reports View(s): View(s): It is not only a national need but should be viewed as a national priority, for the little beneficiaries would be Sri Lankas children with heart trouble as well as any other critical illness. The expertise, skills and care are available in abundance, on par or even better than centres across the world, with the dire need being a building, within the premier Lady Ridgeway Hospital (LRH) for Children in Colombo, to deal with the tiny hearts that are not beating as expected, in all corners of the country. A dedicated 10-storey building, a centre of excellence for childrens heart health and other severe illnesses, is the vision. As the poet said, Little drops of water and little grains of sand make the mighty ocean and the beauteous land, Consultant Paediatric Cardiologist Dr. Duminda Samarasinghe is appealing to everyone to make this vision a reality by giving anything they can spare. (See box for the achievable vision) Vision to save 200,000 children in 20 years The plans are ready for the 10-storey state-of-the-art building costing Rs. 2 billion which will house little cardiac patients on four floors, the Medical Intensive Care Unit (ICU) on three floors, the Neonatal ICU on two floors and training facilities in critical care on the remaining floor. It may seem a huge sum of money but when looking at the bigger picture it would help save the lives of 200,000 children in 20 years. Then a childs life would have been saved at just Rs. 10,000, reiterates Dr. Duminda Samarasinghe. The Cardiac Complex will comprise four dedicated operating theatres (OTs), a catheterization laboratory, a 36-bed ICU, a high dependency unit and wards. Not only heart patients but also newborns and children with other critical illnesses will be treated in this building. Giving the backdrop in which such a centre of excellence is needed, Dr. Samarasinghe says that Paediatric Cardiologists are stationed at the Jaffna Teaching Hospital, the Anuradhapura Teaching Hospital, the Kurunegala Hospital, the Peradeniya Teaching Hospital and the Karapitiya Teaching Hospital. Children from all over the country are referred to the LRH Paediatric Cardiac Unit for surgery and interventions as nowhere else are there Paediatric Cardiac Surgeons. Currently, heart surgery on these children is performed in two cardiac operating theatres, which had earlier been general theatres refurbished about 10 years ago. Once the surgeries are done, these children are transferred to an 18-bed ICU. A major issue is that the ICU beds are inadequate, points out Dr. Samarasinghe, explaining that only about 40% of children who need surgery can be accommodated. Meanwhile, even the OTs have not been custom-made for heart operations. Pointing out why such centres of excellence cannot be built all over the country, Dr. Samarasinghe says that the current thinking is for expertise and skill to be concentrated at one centre, according to lessons learnt from other countries. A high-volume centre with better resources results in less morbidity and mortality Citing the example of the United Kingdom, he adds that it has reduced the 11 Paediatric Cardiac Centres spread across the country to seven. Sri Lankans are givers, he says, quoting the countrys top 8th position in the World Giving Index, quite sure that the target is achievable. Every rupee contributed to this worthy cause will help, urges Dr. Samarasinghe, requesting not only men, women and children to give of their mite but also schools, non-governmental organizations, institutions and large corporate companies to lend a hand. The need is Rs. 2 billion for the building with four floors dedicated to more than 3,000 babies, from all strata of life, born with congenital heart disease every year. We at LRH need to perform 2,000 heart surgeries and 1,000 catheter interventions every year, for we service the whole nation. Unfortunately, though, we are able to perform only 1,000 heart surgeries and 700 interventions, he says, adding that when the public makes contributions to such large-scale projects they too become the owners and guardians and not only the beneficiaries. Even in developed countries, such projects get immense public support. The parents of three from among thousands of children who are going about their routines and leading normal lives thanks to the gifted hands and care of the LRH heart team are eager to tell their stories to the Sunday Times. In Katana on Tuesday, it is seven-year-old Shevon who keeps a look out for our vehicle and points out the gate through which we should enter. As we chat to his mother, Samanthi Perera, and her tears flow at the very thought of the agony they went through with the fear of losing her younger son, he climbs onto her lap and looks lovingly at her face. For Samanthi and her husband, it had been double agony. Their first-born, Shane, who is now 9 years old had been diagnosed with a heart issue when he was about three months. Back then in 2007, the LRHs Paediatric Cardiac Unit had limited facilities and beds. A date would be given for the intervention but if a critically-ill baby was brought in, the staff would be compelled to use those facilities to save that baby. So in desperation, the family sold whatever they had and begged the benevolence of kith and kin to collect the large sum of money needed to get Shanes heart repaired at a private hospital. The second time round, as soon as baby Shevon was placed in her arms after the Caesarian birth, Samanthi realized that all was not right with his heart. The family was devastated and Samanthi was distraught, for this time it was much worse and if they had to pay private hospital bills they would have to sell the very roof above their heads and end up as paupers. Puduma manasika peedanayak thibbe, murmurs Samanthi, adding that there was unimaginable mental trauma. The hospital rounds began this time, however, all the necessary tests such as echocardiograms for Baby Shevon were carried out at the LRH. The LRH heart unit took the family into its fold, while both Dr. Samarasinghe and Paediatric Cardiac Surgeon Dr. Kanchana Singappuli reassured them. We never had to run after the doctors, they would stop by us and explain everything, says Samanthi, with tender looks at the statue of Jesus Christ which has pride of place in their home as she showers blessings on the heart team. Implicit faith, Samanthi had in the doctors and the events are now a very stark but happy memory Shevon undergoing the first lengthy surgery for a major heart defect plus a hole in the heart in January 2011 and another one two weeks later to close a second tiny hole in his heart. We leave the home of Shevon after he has recited Sinhala and English poems, told us that he wishes to become an engineer and how fond he is of completing jigsaw puzzles. Next Sajeewa Priyankara and Sumithra Kumari of Dankotuwa tell us about 10-year-old Sakila and Sushen Ranatunga of Colombo about his beloved son who will turn six in September. Soon after birth, Sakila would turn blue when he cried and was sent from Negombo Hospital where he was born to the LRH. This was in 2005 and LRH did not have the facilities to perform the necessary interventions and surgery. So the baby was taken to the Cardiology Institute of the National Hospital and then to Sri Jayewardenepura Hospital. The waiting was unbearable and Sajeewa and Sumithra begged and pleaded for the money needed to attend to his heart at a private hospital. One intervention and two open-heart surgeries at a private hospital and nearly Rs. 1.5 million which they could ill-afford later, Sakila was still constantly ill. They could not collect any more money to do another open-heart surgery that was needed. It was then that Sajeewa and Sumithra heard that LRH had commenced heart operations. Since Sakilas birth, we had been in hospital for 114 days, remembers Sumithra, while Sajeewa creates the image of the family having to spread a cloth and beg by the roadside if succour had not been forthcoming from the LRH heart team. The same sentiments about the wonder that is the heart team are expressed by Sushen, an IT expert who had lived abroad and had the means to take his little son to any centre of excellence across the world, be it Australia, Singapore, India, England or America. However, he had opted to get a device closure procedure done on his sons tiny heart at the LRH. Mihipita devivaru or gods on earth, is how these and numerous other parents who pass through the portals of the Paediatric Cardiac Unit, with heavy hearts but leave with joy as their children have got a new lease of life, describe the doctors. While the team will remain in the very hearts of the children and families they have touched, worldwide recognition came last year when they were placed No. 1 in the Cardiology Team of the Year category at the prestigious British Medical Journal (BMJ) South Asia Awards. Teamwork has been the secret of success of around 200 people including Consultant Paediatric Cardiologists, Consultant Paediatric Cardiac Surgeons, Consultant Anaesthetists, doctors, nurses and minor staff. Going beyond the call of duty and certainly not watching the clock to rush off to private practice, they man and woman LRHs heart unit. (With plans underway to organize a major fund-raiser in a few weeks, please call: 0766411730 for information on how to support this project) Now they can look to the future without fear For many young men and women affected by the war in the north and east, the new SLGTI is another stepping stone to better their lives View(s): View(s): The girls in the room at Xstream SEO in Vavuniya stand up hesitantly when we enter the room. Their initial shyness is quickly gone and they share their stories, plans and hopes for their future. The young girls belong to the Don Bosco Home and Vocational Training Centre in Vavuniya. When I came to Don Bosco, I didnt have anything. I had lost my family and my home. The war had taken everything away from me and I had no hope for my future, explains one young girl. But today Ive found a family with the Sisters of Don Bosco and all the other girls at the centre, completed my vocational training in ICT and Im receiving on-the-job-training (OJT) at Xstream SEO. I feel that the past few years have given me my life back, explains another young girl. Run by Don Bosco nuns, this vocational training centre has 19 orphaned girls all of whom are currently studying ICT up to NVQ Level 4 at the centre, and receive lessons on cooking, sewing and other social skills from the nuns. The vocational training programme at the centre is facilitated by the GIZ Vocational Training in the North and East of Sri Lanka (VTN) project. The VTN project is implemented by the Ministry of Skills Development and Vocational Training on behalf of the Government of Sri Lanka with financial assistance through The Federal Ministry for Economic Cooperation and Development (BMZ) via the German Development Bank (KfW) and technical support from the GIZ. The main objective of the VTN is setting up a new vocational training institute in Kilinochchi the Sri Lankan-German Training Institute (SLGTI) which will provide vocational education and training to meet the needs of the labour market. The SLGTI is a national level state of art vocational training institution with exceptionally equipped classrooms, work spaces, labs and facilities. The Institute, which will open next week, connects 14 satellite centres scattered throughout the North and East of Sri Lanka, offering students a chance to further their vocational education up to NVQ level 6 at the SLGTI. These centres are run by the Vocational Training Authority (VTA), National Apprentice and Industrial Training Authority (NAITA), Don Bosco and ORHAN (Organization for Rehabilitation of the Handicapped) and provide Technical and Vocational Education and Training (TVET) up to NVQ level 3 and 4. Dushyanthan Jeyaratnam is one of the technical trainers in the automobile department at the NAITA training centre in Kilinochchi. Together with Kirubakaran Katheeshan, a former graduate of NAITA Kinniya who returned home to Kilinochchi after working at DIMO in Anuradhapura, Jeyaratnam teaches automobile repairs to the students. Not just giving them the technical know-how but also instilling values of discipline, punctuality and professionalism. After the initial training period at the training centre, the students go on to OJT placements around the country with companies like DIMO, Sampath Motors and Swami Motors, with many of our students finding employment immediately upon completing their NVQ certification. To-date about 100 students have passed out since the centre was launched, explains Jeyaratnam. After getting my certificate I want to help develop my fathers automobile business, explains Abheshan, one of the students at the NAITA Kilinochchi. One of my friends said this was a good place to learn automobile technology and Ive learned a lot already. Madushan Udayarajan leaves home at 3.30 a.m. every day to make it to Kilinochchi in time for lessons to start. But the four-hour commute wont stop him from completing his training. I leave home early at about 3.30 a.m. and its usually about 8 p.m. when I get back. Its not easy but the education and the opportunities that are available to me here make it worth the while. I wanted to learn and this was the best place to come to, he claims. Twenty-two year old Fathima Sheriff studies computer graphic designing at the NAITA Kinniya, despite receiving a university placement. My home is in Polonnaruwa but NVQ level 4 certification isnt available there so I came here, she explains. Even though she comes from a conservative background, her family has supported her dreams of getting a further education she says. Fathima is confident that the sky is her limit. After getting my NVQ level 4 qualification I want to join the SLGTI and study up to live 6. Maybe I can even get a degree or study abroad after that. I chose graphic designing because I think its a good profession and one that has a lot of opportunities. I can see myself going far in this career, she adds. The NAITA Kinniya has many success stories. Currently, many of the past students are pursuing higher qualifications at the College of Technologies in Colombo, Jaffna and Batticaloa. Some of them have found employment in the Middle East and many of them are either working in the local government in Trincomalee or have started their own businesses, explains Faroos Salam, the manager for NAITA Kinniya. About 97% of our students whove gone on to study at the University of Technology in Colombo have been female. Most of them are Muslim and its incredible to see the support they receive from their husbands and families. For many, vocational training is considered to be a second option: one to fall back on, if they dont gain entrance into a university. But for the young men and women at these vocational training centres, it has been a beacon of hope guiding them towards a brighter future. Papamoa College principal Steve Lindsey is today warning against social media speculation about the identity of a college student who is the victim of sexual offending. Gregory Allan Ross Sayers, 42, pleaded guilty in Tauranga District Court last week to sexual offending against a young person who was at the time a student at Papamoa College where Sayers worked there. A man who sparked an AOS callout in the Lower Kaimai last month has been denied bail after appearing in Tauranga District Court today. Tane Kyle Douglas Gibbons has pleaded not guilty to a raft of charges including threatening to kill, possession of explosives and possession of utensils for methamphetamines. Changes to accommodation support for studying sole parents means more parents are accessing higher rates of the support, says Social Development Minister Anne Tolley. The maximum accommodation assistance is $60 a week, but under changes to the student system which came into effect last year on July 1 studying sole parents could receive up to $165 extra per week. The next generation of Kiwi kids will grow up without knowing who Bert and Ernie are, why Oscar is such a grouch or how ticklish Elmo is. Yes, Sesame Street could be gone for good from New Zealand television. Bay of Plentys young fruit grower champion is set to battle it out next week for the national title of Young Grower of the Year 2016. Chris Clement, 29, from Apata Group triumphed over five other young fruit growers to be take home the Bay of Plenty title last month. As the dairy industrys spring calving kicks off, the Bobby Calf Action Group is reminding everyone who handles calves of the important role they have to play. The rubber hits the road now, its up to everyone across the supply chain to meet the required standards of care for bobby calves this season, says Ministry for Primary Industries deputy director general Scott Gallacher. Eight organisations make up the BCAG, which was formed at the end of 2015, to accelerate and add to existing measures aimed at ensuring everyone involved with bobby calves applies best practice in their handling and care. The BCAG is made up of DairyNZ, Dairy Companies Association of New Zealand, Meat Industry Association, Federated Farmers, New Zealand Petfood Manufacturers Association, Road Transport Forum, New Zealand Veterinary Association and the Ministry for Primary Industries. Scott says farmers, industry and government have been working for some years on lifting the standard of care for bobby calves. We would like to acknowledge the majority of farmers, transporters and processors who care for and handle bobby calves appropriately. But we urge those who need to make improvements to step up this season and demonstrate that as an industry, we care about bobby calf welfare. The BCAG organisations are ready to work together should any issues arise this season. We encourage people who are aware of bobby calf welfare issues to call the MPI hotline 0800 00 83 33 so we can investigate. Actions and improvements delivered by the BCAG include: DairyNZ has led consultation with farmers to develop practical solutions such as updated bobby calf handling guidance resources. This has been supported by online resources and fit for transport education posters distributed to all dairy farmers. DairyNZ, the New Zealand Veterinary Association and the Society of Dairy Cattle Veterinarians have worked together to deliver more than 60 training workshops and programmes to dairy farmers throughout New Zealand. The bobby calf workshops cover handling skills, staff training, shelter, feed, engagement with transport operators and loading facilities. The Petfood Manufacturers Association has updated its code of practice for managing bobby calves and established a verification regime to ensure requirements are met. Theyve also put in place a voluntary agreement for its members to install CCTV to monitor the unloading and slaughter of bobby calves at all petfood premises and developed a pre-season training programme for processing staff. The Meat Industry Association is working closely with industry partners to ensure consistent messaging for farmer suppliers and transporters to improve animal welfare outcomes. In addition we are working closely with MPI to better understand the causal factors across the value chain that are influencing animal welfare in order to ensure continuous improvement. Dairy Companies Association of New Zealand member companies have reviewed their individual terms and conditions of supply for farmers with respect to animal welfare, and collaborated with other organisations to support the flow of information to farmers for calving. The Road Transport Forum has reviewed the NZ Livestock Transport Assurance Programme to ensure it remains fit for purpose, has engaged constructively through the Bobby Calf Action Group to improve calf holding and loading facilities where necessary and has communicated the requirements of the new regulations to the road transport sector. Federated Farmers is communicating with members about new rules so requirements are clear. In addition to supporting the development of the new regulations for young calves, MPI: Mount Hot Pools will be closed for three days this month as part of their refurbishment project. Depending on the weather, the proposed dates for the closure are July 25, 26 and 27. Communications Minister Amy Adams today released an options paper which seeks feedback on the Governments new utility-style model for regulating fixed line communications services after 2020. Every aspect of our lives is being transformed by changes to technology, innovation and digital convergence. The regime governing our telecommunications industry is now 15 years old and our review is critical to building a system that supports growth, investment and innovation, says Ms Adams. We want to ensure high quality and affordable broadband services are available for New Zealanders. To achieve this, the Government is proposing to establish a durable and flexible framework that supports competition, innovation, and efficient investment for consumers. In April, the Government announced high-level decisions to set up a new utility-style regime for fixed line communications services provided on the Ultra-Fast Broadband network and Chorus copper network after 2020. The options paper seeks more detailed feedback from industry and consumer groups on how this new regime will work in practice. The new regime is designed to increase long-term certainty in the telecommunications sector and reduce volatility. It also aims to support ongoing innovation and investment which will result in better services for consumers meaning consistently improving speeds and quality at affordable prices, says Ms Adams. New Zealanders are already benefiting from world-leading broadband connectivity and speeds thanks to the roll-out of Ultra-Fast Broadband and the Rural Broadband Initiative, and this is set to continue with our extensions to these programmes. These changes are designed to deliver a more stable and fit-for-purpose regulatory regime, which will deliver better broadband for New Zealanders. I encourage interested parties to provide feedback on the options presented in this paper. Submissions on the options paper close on August 19, 2016. For more detail and to make a submission visit: www.mbie.govt.nz/telcoreview. Source: Office of Amy Adams. An action group against the Governments proposal to sell off state houses in Tauranga are planning a public protest on Saturday. Tauranga Social Housing Action Network spokesperson Vanessa Kururangi says there are some serious questions arising over whether the large scale sale of government owned houses in Tauranga is justified. Taipei, July 11 (CNA) The government has collected NT$1.227 trillion (US$38.08 billion) in taxes during the first six months of this year, up 2.7 percent from the corresponding period last year and NT$77.9 billion more than the targeted amount, according to statistics released by the Ministry of Finance on Monday. Two years after the announcement and one year before her scheduled delivery, The Beast (real name Ngoni) is already taking up a lions share of the Royal Huisman facilities as the project pushes ever closer to launch. We take a look at the journey of The Beast, and how the project is shaping up to become a modern classic in the making. True Sailing Pedigree The first collaboration between Ed Dubois and Royal Huisman, two influential names in the offshore racing world, was in 1979. Some 30 years later, the two iconic sailing experts were given a game-changing brief by an owner who expressed their need for a no-holds barred performance yacht. The famous first lines of this brief reflect the owners desire for a yacht like no other: Build me a beast. Dont build me a wolf in sheeps clothing. This has to be an edgy and innovative weapon; fast and furious. Working to this dramatic brief, Ed Dubois designed a fast, ocean-going cruiser that promises to be lightyears ahead of the curve in terms of performance, comfort and style. His work on the sensational 58m Ngoni built the foundation of a highly progressive project, underlining the natural ability behind a world-class designer whose iconic career sadly met a premature end. Building A Beast For Royal Huisman, their task was to bring this revolutionary design to life. With aluminium work now completed, the dramatically inflected sheer line, plumb bow and sculpted superstructure of this progressive design are now visible with the project remaining on schedule for delivery in spring 2017. When you look at our past projects, it is very noticeable - aside of company standards - that none look or behave alike, explains Alice Huisman. Instead, they exemplify the nature of bespoke yacht building. With her distinctive modern looks and high performance, Ngoni sends a clear message that she is no ordinary custom yacht, built by no ordinary custom shipyard. The long and slender hull combined with a hugely powerful 71m (234ft) Rondal mast and style to order performance boom, complements Ngonis exterior style and maintains cutting-edge performance systems due to world-class design from Dubois Yachts and expert construction. Godfrey Cray, acting as the owners project manager, stated; He wanted a fast, uncomplicated and comfortable boat optimized for weight and performance that is going to make a statement - not a science project. It seems that the small coastal town of Torre del Mar in the Axarquia can do nothing wrong when it comes to music festivals and even residents dont seem to mind three nights of music and crowds. 33,000 people attended the Weekend Beach festival on each of the three days and many more who didnt have tickets turned up to make the most of the free stage located just outside the main ground and to listen to the music from the beach as well as soaking up the atmosphere. Meanwhile those inside the large festival ground, situated on Playa del Poniente, to the west of Torre del Mar, were treated to an international and eclectic lineup, from American rapper Wiz Khalifa on Thursday night, through to the political messages asking for world peace of Ivory-Coast born Alpha Blondy. Through his reggae music, sung in his native Dioula, French, English and mixing words in Arabic and even Hebrew, he asked the crowd to make the peace sign and denounce hatred between religions as well as violence in the name of God. Also on Friday Spanish rock band, Loquillo, who supported the Rolling Stones when they last performed in Spain, attracted a big crowd, with their repertoire of good old fashioned rock and roll; and the popular Love of Lesbian demonstrated to music fans that Torre del Mars Weekend Beach festival can already draw the big names in Spanish contemporary music. Saturday night started off with an amazing set from Valencia-born Bebe, once again showing off the Weekend Beachs capacity to pull in the very best of Spanish music. For those less familiar with national bands, Saturday night also proved that this event, which only started in 2014, is even gaining a name for itself on the international scene, by attracting names such Gentlemen and Ky-Mani Marley, son of Raggae legend Bob Marley, as well as American DJs Skrillex and at 7am on Sunday morning Dutch DJ, Hardwell, closed a spectacular third Weekend Beach Festival. A relaxed atmosphere prevailed among Weekers', the affectionate name given to those attending the festival, of which the average age is 19. However, the event wasnt exclusively for the under-20s and looking at the crowd it was clear to see that many seasoned festival-goers had dug out their Guns n Roses T-shirts and Doc Martens and joined in the fun. With a budget of over three million euros this year, of which 1.5 million was spent on 92 artists, Velez-Malaga Town Hall estimates the economic benefits to the area to be between 2.5 and three million euros. Nearby bars and restaurants were packed, hotels and campsites at 100 per cent occupancy and local shops also welcomed the influx of festival goers. Festival organiser, Fatima Rodriguez, said that in only three years, Torre del Mars Weekend Beach festival has positioned itself as one of the most important summer festivals in Spain. She added that they are already beginning to think about 2017, which she said she is absolutely certain will happen. DEWITT, NY - In March, town of DeWitt officials announced the old DeWitt Howard Johnson's - an eyesore along Carrier Circle - would be torn down by June. Hampshire Hospitality LLC, the hotel developer, got a signed contract for an easement on the adjacent McDonald's restaurant property to allow construction of an access road to the hotel property. Officials said at the time that was the last stumbling block. And so it seemed. Now, however, the hotel developer is having trouble securing financing from a bank for the project - putting plans for the demolition and new construction at a standstill. Ash Patel, who represents the hotel developer, has said a four-story Home 2 Suites by Hilton with 78 rooms is planned for the site. Ed Michalenko, DeWitt town supervisor, said the town has reached out to CenterState, Syracuse's economic development arm, for help in securing the financing necessary for the project. Rob Simpson, CenterState chief executive officer and president, said he's shared the prospectus for the new hotel with about a dozen financial institutions, and no one is biting. Most have told him they are not in the market for any more hotel projects in the Central New York area until they see how others perform. "No one is critical of the project,'' Simpson said, "but the financial institutions say they are carrying more hotel debt than they are comfortable with." That leaves the town of DeWitt in the driver's seat if the town wishes to have the building torn down. Michalenko said the town is starting condemnation procedures for the property, but will wait about six months to take action to see if the project secures financing. If the town knocks down the Howard Johnson's building, the cost of that will go back to the developer if the project moves ahead, or the taxpayer if it doesn't. That's what Michalenko said he hopes to avoid. Condemnation by eminent domain is a power usually used by governments to take ownership of private property for roads, schools or economic development projects. The town has been discussing tearing down the building for at least seven years. Michalenko says the building will come down one way or another. Bradley J. Moses joins Mackenzie Hughes LLP as an attorney in the firm's business department. His practice areas include focus on business consulting, alcoholic beverage control law compliance, public law and policy, and real estate. Previously, he ran a successful private practice in Cazenovia focusing on alcoholic beverage control law, real estate and civil litigation. Moses holds a Juris Doctorate from the Syracuse University College of Law, and a bachelor's degree from The Pennsylvania State University. He is a member of the Madison County and New York State Bar Associations. Moses also serves as a board member at the Cazenovia Country Club, and is a member of the Madison County Republican Committee. He resides in Cazenovia. dell from court.JPG Amy Dell sobs in court during sentencing July 11, 2016. (Dennis Nett | dnett@syracuse.com) Amy Dell Syracuse, NY -- The drunken wrong-way driver who killed a father of three was sentenced today to the maximum possible punishment, 8 1/3 to 25 years in state prison. Amy Dell, 33, of Rome, was convicted by jury May 31 of aggravated vehicular homicide, among other charges. Dell, going northbound in Interstate 81's southbound lanes, crashed her Ford Escape head-on into a Lexus sedan carry Bruce Ham. The impact killed Ham, 58, of New Jersey. After the crash, she gave the middle finger to sheriff's deputies and hurled vulgar insults at investigators while refusing to take an alcohol breath test. Ultimately, she was forced to give a blood sample under order from a judge. Prosecutor Chris Bednarski said during trial that Dell was bar-hopping downtown -- at one point collapsing in front of Corner Bar in Armory Square -- before refusing help and getting behind the wheel. She crashed into Ham sometime after 3 a.m. on May 8, 2015. The bar's manager, John Hanus, admitted to removing part of the security video footage showing Dell collapsing that night. He later pleaded guilty to a misdemeanor and retrieved the missing clip for authorities. Check back to Syracuse.com later for a full report. 2016-07-11-dn-dell2.JPG Amy Dell reads a statement before her sentencing in Judge Anthony Aloi's court for aggravated vehicular homicide in the death of Bruce Ham. Dell drove the wrong way on route 81 while intoxicated. Dennis Nett | dnett@syracuse.com (Dennis Nett) Syracuse, NY -- Bruce Ham was an ordained minister who always taught his children to forgive and love their enemies, his son said today. So son Paul Ham said he's forgiven Amy Dell, 33, for driving drunk last year in a wrong-way crash that killed his father. The younger Ham choked up with emotion as he talked about his father, who he called the best man he'd ever known. He believes Bruce Ham would have forgiven his killer, too, saying his father was one of the rare individuals who meant the biblical teaching. "He knew God loved him as much as he loved Miss Dell," Bruce Ham said. Dell, of Rome, was sentenced today to the maximum punishment under law, 8 1/3 to 25 years in prison, for the May 8, 2015 crash that killed the elder Ham, of New Jersey. For her part, Dell sobbed -- sometimes audibly -- during today's 45-minute sentencing. She admitted she needed help, promised never to drink again and apologized profusely to the victim's family. "I will do absolutely everything I can to turn my life around in a positive way," Dell said between sobs, addressing Ham's family. "I hope your family and society know that I need help." But prosecutor Chris Bednarski suggested Dell's tears were for herself, not for her victim. He noted that Dell has previously blamed everyone else -- claiming that police and her friends lied -- for her actions. She portrayed herself as the victim, he said. Dell was bar-hopping downtown the night of the fatal crash. She collapsed in front of Corner Bar in Armory Square, then refused to let others call a cab for her, instead getting behind the wheel of her SUV. After going the wrong way on Interstate 81 and killing Ham, she gave the middle finger to deputies, told them her mom was a Skaneateles judge and hurled obscenities at them while refusing to cooperate. Dell had a blood-alcohol content twice the legal limit that night, Bednarski said. Paul Ham reads a statement on behalf of his family before the sentencing of Amy Dell in Judge Anthony Aloi's court for aggravated vehicular homicide in the death of Bruce Ham, Pauls father. Dell drove the wrong way on route 81 while intoxicated. Dennis Nett | dnett@syracuse.com And though Ham's family expressed forgiveness, Paul Ham also said that Dell should serve the maximum punishment. Ham, speaking softly from prepared remarks, noted Dell's history of "selfish and reckless decisions" included one previous drunken-driving related conviction and a second arrest. The safety of society and Dell's need for help required the long prison sentence, Paul Ham said. But he added he didn't want to her suffer. Paul Ham shed tears as he described his father's difficult life: an immigrant from South Korea, Bruce Ham had a Master's in Divinity from Fuller Theological Seminary in California, but never made a lot of money and ended up running a laundry business. His 58-year-old father would describe the pains of doing manual labor in a hot environment every day. But then he'd thank God for helping him understand what others did to survive, Paul Ham said. County Court Judge Anthony Aloi noted that Dell talked a lot about her life. That's not something the victim could ever do again. "He is dead. He is dead forever," the judge told her. "You have a life. You have the opportunity to do good. That's up to you." The judge said that Dell knew better when she got behind wheel drunk. In her last DWI arrest, she was accused of driving the wrong way as well -- on Route 365 near Rome, he said. Dell's license was suspended after that arrest, meaning she shouldn't have been driving at all the night Ham died. "That is inexcusable," the judge said. Aloi said that Dell should never be able to legally drive again. The state Department of Motor Vehicles will decide that. The judge said Dell earned every day of her maximum prison sentence. Marcus M Miller.jpg Marcus M. Miller, 33, of Oswego, was charged with attempted robbery in the first degree, a felony, state police said. (Provided photo) TOWN OF VOLNEY, N.Y. -- An Oswego man was charged with first-degree attempted robbery early Thursday morning when he tried to rob a man at gunpoint, according to New York State Police. The man robbed was driving Marcus M. Miller, 33, on state Route 481 in the town of Volney to a residence in the city of Fulton. While en route, Miller pointed a handgun at the driver and demanded he take him to the driver's house and give him a TV and other electronics, police said. When they arrived at the driver's house, the driver was able to escape and contact police, police said. Miller, of 37 E. 9th St., was arraigned in Volney Town Court and taken to the Oswego County Jail without bail. He is scheduled to return to Fulton City Court Court on July 27. SENECA FALLS, N.Y. -- Seneca Falls police say a Geneva man impaired by drugs and alcohol, and on his cell phone, crashed into a man on a lawn mower Sunday afternoon. Marcus Kellar, 27, of Geneva, was charged with driving while intoxicated, driving while ability impaired by drugs, operating a motor vehicle while on a cell phone and failure to keep right. Kellar's car struck a Grasshopper lawn mower, which 58-year-old Charles Barbay was riding around 2 p.m. Sunday in a crosswalk on state Route 5, also known as Fall Street in Seneca Falls, police said. North Seneca Ambulance took Barbay, of Seneca Falls, to Geneva General Hospital, where he was treated for a broken pelvis. Barbay was then released from the hospital, police said. Kellar was treated at the scene for hip and leg pain, but refused to go to the hospital, police said. Both the car and lawn mower were badly damaged and towed from the scene. Kellar was released on traffic tickets. He is scheduled to appear at 10 a.m. July 28 in Seneca Falls Town Court. blacklivesmatter_edited.JPG A Black Lives Matter protest is planned for 9 a.m. July 18 from the Everson Museum to Syracuse City Hall. (Provided) Shaunna Spivey-Spinner SYRACUSE, N.Y. -- One week from today, a 29-year-old Syracuse activist will lead the city's first Black Lives Matter protest. Shaunna Spivey-Spinner said she hopes hundreds will join her in a peaceful rally from the Everson Museum to the steps of Syracuse City Hall. Protesters will start marching at 9 a.m. July 18 with hopes that Syracuse Mayor Stephanie Miner and others at City Hall will come outside to hear what the group has to say, Spivey-Spinner said. Protesters in cities across the nation have marched -- many peacefully, although some protests have turned violent and hundreds have been arrested -- to decry police brutality after the recent police killings of two African-American men. "Black lives matter because there are a lot of black lives being lost to violence," Spivey-Spinner said. "It's about violence. It's about harassment. It's about misconceptions, miscommunications and hate," she said. "We refute with anger, but at the same time we need to change things and recognize where the problems are stemming from in our own community. Change starts with us." Spivey-Spinner, who founded Project X, a non-violent suicide prevention campaign in 2010, said she is organizing the Black Lives Matter protest to bring the community together to "peacefully -- without anger -- understand what is going on with us killing each other." So far this year, there have been 16 homicides in the city of Syracuse, including two over the Fourth of July weekend. "If your brother has a gun in the house, you have to speak up and tell," Spivey-Spinner said. "It starts here, with us," she said. "We have to stop pointing fingers at everybody else and take responsibility for what's happening in our own city." But at the same time, Spivey-Spinner said, "we can't stop the violence if we don't know where the problems are coming from in our city. "We're passionate and want to live freely and safely, but we need to change things," she said, "and recognize where the problems are stemming from." 2011-01-26-db-Airport2.JPG The Syracuse Common Council today approved a $3 million settlement to resolve a dispute over police overtime at the airport. The independent Syracuse Regional Airport Authority will reimburse the city for the cost. (Dick Blume) SYRACUSE, N.Y. - The city council today approved a $3 million settlement that will be paid by the Syracuse Regional Airport Authority to resolve a 4-year-old overtime pay dispute with the city police union. The deal will result in payments to police officers ranging from about $400 to nearly $30,000, depending on how much airport security work each logged during the affected period. The council voted unanimously today to approve the deal, which resolves a grievance filed by the Syracuse Police Benevolent Association in 2012. The union filed the complaint when Syracuse's independent airport authority started hiring private security officers instead of city police to provide airport security. Before the change, Syracuse police had provided security at the airport after their regular work shifts, earning overtime pay. A Public Employment Relations Board hearing officer ruled last summer that the city did not have the right to relinquish control over airport security until it transferred full control of the airport to the authority in March 2014. But the airport authority took on oversight of airport security in July 2012. The $3 million payout was negotiated to make officers whole for that 20-month transitional period. About $1 million will be paid to supplement the wages of cops who worked airport security during that time, said Jeff Piedmonte, union president. Another $1.2 million will be split evenly by the roughly 450 police officers to compensate them for the reduction in airport OT work. About $800,000 of the settlement will be set aside to cover pension benefits tied to the additional pay, Piedmonte said. Most officers will receive about $2,500 from the settlement, Piedmonte said. The PERB decision found no problem with the airport authority hiring private security personnel since it took over airport operations in 2014. The authority, which contracts with G4S Secure Solutions, has saved about $1.9 million a year compared with what the city formerly paid for police overtime, said Christina Callahan, airport director. Even before the airport authority was created in 2011, airport security costs were paid for through the aviation enterprise fund, which is funded by airlines. Contact Tim Knauss anytime | email | Twitter | 315-470-3023 SHARE By Elliott Jones of TCPalm Retail gasoline prices continue to decline, ranging from a drop of 18 cents in Fort Pierce to 10 cents in Stuart since a peak in early June. City Monday Week ago Month ago Sebastian $2.17 $2.21 $2.30 Wabasso $2.18 $2.24 $2.32 Vero Beach $2.16 $2.24 $2.33 Fort Pierce $2.16 $2.19 $2.34 Port St. Lucie $2.26 $2.31 $2.40 Stuart $2.31 $2.34 $2.41 Sunday was the 33rd day of declines and "it doesn't show any signs of letting up" this month, said AAA spokesman Mark Jenkins. He didn't predict how long it will last. It is the longest drop since August 2015, when prices started going downhill for 37 days in a row. The price of a gallon of regular unleaded in Florida now averages $2.20, versus $2.66 a year ago. That is far below a peak of $4.07 on July 16, 2008. Along the Treasure Coast, Vero Beach and Fort Pierce have the lowest current average price: $2.16 a gallon. Jenkins attributed the ongoing decline to "increased gasoline supply and low oil prices." A barrel of unrefined crude oil is selling for $45.41 versus $52 at the beginning of June, when gas prices were higher. Since then, "Americans are driving more than ever and refineries have been running hard for months to ensure there is enough fuel to meet market demands," he said. "It has reached the point now that there is so much gasoline in the market that refineries are backing off on the amount of oil they purchase. One long-range trend is that North America has increased crude oil production from shale, making the United States less dependent on imported oil. "While prices may continue to slide through the remainder of July, they could rise" because of unforeseen factors, Jenkins said. Oil prices can be affected by a wide number of other things, from a hurricane disrupting oil production to international political tensions, he said. SHARE By Lamaur Stancil of TCPalm INDIAN RIVER COUNTY A stolen handgun belonging to a Port St. Lucie police officer still has not been recovered two weeks after some teens stole it, the Indian River County Sheriff's Office said. That officer could face a misdemeanor crime if it's concluded he didn't properly secure the gun by leaving it in an unlocked car. Sheriff's spokesman Lt. Eric Flowers said detectives are still investigating the car burglary at the officer's home in Vero Beach. Two boys, ages 14 and 16, were charged last week with entering Officer Joseph Byrne's unlocked personal car and stealing the Glock firearm and several other pieces of police equipment, according to affidavits. "We're still interviewing suspects," Flowers said. The other items, including a Taser stun gun, a baton, handcuffs and several rounds of ammunition, were recovered, Flowers said. The teens, who live in Vero Beach, said they sold the gun for $250 and provided the name of the buyer to detectives. The teens told investigators they were walking in the officer's neighborhood about 5:41 a.m. on June 28 and saw a car with a door ajar. Fingerprints on the car were tied to one of the teens, the Sheriff's Office said. Detectives took the boys into custody Thursday. Port St. Lucie police spokesman Lt. Frank Sabol said his agency will wait until after the case in Indian River has been prosecuted before a review is done to determine if Byrne did anything wrong. Guidelines for the Port St. Lucie Police Department require officers, when they aren't carrying their guns, to keep a locking device on the gun or to have it secured in a locked container. There was no information available about whether Byrne's gun had the locking device on it when it was stolen. The guidelines note that failing to secure a firearm is a misdemeanor offense. The department guidelines also state that conducted electrical weapons, such as a stun gun, should not be exposed to prolonged or excessive heat, such as leaving the device in a car. No information was available about how long the stun gun and the other items were inside Byrne's personal vehicle before they were stolen. The accused teens were charged with two counts of grand theft, dealing in stolen property and armed burglary. They were being held at the Juvenile Justice Center in Fort Pierce. Treasure Coast Newspapers customarily does not name juveniles accused of crimes, but does name some juveniles depending on the seriousness of the crime, their age, aggravating circumstances and other factors. SHARE Sandro Morales, 27, 1400 block of Southwest Glastonberry Avenue, Port St. Lucie; possession of marijuana of more than 20 grams. Angel Ellis, 20, 1700 block of Coronado Avenue, Fort Pierce; destroying, tampering with or fabricating physical evidence; possession of a controlled substance (hydromorphone) with intent to sell; possession of cocaine; possession of a controlled substance (adderall) without a prescription. Edward Achille, 36, 1100 block of South Ocean Drive, Fort Pierce; possession of cocaine; possession of a controlled substance (Viagra) without a prescription.possession of a controlled substance (Cialis) without a prescription.possession of a controlled substance (Arimidex) without a prescription. Kelly Wise, 31, 600 block of Roselyn Avenue, Fort Pierce; possession of cocaine. Kevin Rodriguez, 22, Miami; warrant for violation of probation, possession of a controlled substance without a prescription, possession of marijuana, possession of drug paraphernalia. Lee Michaud, 19, 1100 block of York Avenue, Fort Pierce; warrant for battery on an officer, resisting arrest with violence. Javier Garcia, 26, 200 block of 15th Lane, Vero Beach; warrant for robbery with a deadly weapon. Christopher Lott, 18, 300 block of Northwest Bayshore Boulevard, Port St. Lucie; warrant for altering or tampering with an electronic device. Robert Suit, 49, 4600 block of Sunrise Boulevard, Fort Pierce; destroying, tampering with or fabricating evidence; possession of cocaine. Marc Robinson, 33, 700 block of Southwest Andrew Road, Port St. Lucie; possession of a controlled substance (heroin) without a prescription. Johnny Mccoy, 21, 1600 block of North 42nd Street, Fort Pierce; possession of marijuana with intent to sell, manufacture or deliver. David Spivey, 32, 3600 block of Deberry Road, Fort Pierce; warrant for burglary of a conveyance, grand theft. Amber Crumbley, 35, 1200 block of Southwest Goodman Avenue, Port St. Lucie; warrant for organized fraud. William Culpepper, 49, 1900 block of Southwest Golden Avenue, Port St. Lucie; battery or domestic battery by strangulation. Joshua Morrison, 25, Gainesville; warrant for violation of community control, grand theft. Corey Allen, 26, Scranton, South Carolina; larceny/retail theft; use of an antishoplifting control device countermeasure. Lauren Balbi, 30, 3300 block of Southeast Evergreen Avenue, Stuart; possession of a controlled substance without a prescription. Andrew Tortora, 42, 7200 block of Hibiscus Road, Fort Pierce; warrant for violation of probation, grand theft. Michael Bono, 19, 400 block of Northwest Dover Court, Port St. Lucie; child neglect without great bodily harm; destroying, tampering with or fabricating evidence; fleeing/attempting to elude an officer. Lanze Fraser, 19, 400 block of Southwest Prado Avenue, Port St. Lucie; possession of a controlled substance (alprazolam) without a prescription. Todd Bilecki, 47, 2500 block of Southeast Petit Lane, Port St. Lucie; DUI - alcohol or drugs, 4th or subsequent offense. Kimberly Carlson, 51, 1600 block of West Royal Tern Lane, Fort Pierce; warrant for court order to revoke release on own recognizance, possession of cocaine. David Shavers, 44, 200 block of North 23rd Street, Fort Pierce; aggravated assault with a deadly weapon without intent to kill; destroying, tampering with or fabricating physical evidence; possession of a weapon or ammunition by a convicted federal felon; crime against a person - corruption by threat of a public servant or family member. Thomas Ward, 39, 1800 block of Southeast Elkart Terrace, Port St. Lucie; possession of cocaine. Emelio Sanmiguel, 23, 1500 block of Yosemite Court, Fort Pierce; destroying, tampering with or fabricating physical evidence; possession of cocaine; possession of a controlled substance; fleeing/eluding an officer with lights/siren active. Lucio Rosiles, 34, 700 block of Ninth Street, Fort Pierce; battery (domestic violence) by strangulation; possession of cocaine. Arrested in Indian River County. Jonathan Byrne, 23, 2400 block of Third Street, Vero Beach; giving false ownership I.D. to a dealer; grand theft; dealing in stolen property. Ryan Serrano, 20, 1000 block of Southwest Jerico Avenue, Port St. Lucie; re-admit, burglary of a dwelling, grand theft, giving false information to a pawnbroker, dealing in stolen property. After reports of a greenish substance on the surface of the canal off of Bahama Drive in Indian Harbour Beach over the weekend, there were some remains of a multi-colored trail in the water on the canal off of Bimini Lane parallel to South Patrick Drive Monday morning. (MALCOM DENMARK/FLORIDA TODAY) SHARE By Jim Waymer, Florida Today Several canals in Indian Harbour Beach turned milky shades of green over the past few days, prompting state water tests and concern for some residents. "I just feel like it looked really bad," Catherine Vecchio of Indian Harbour Beach said of the greenish-brown water she saw Sunday in a canal north of Bahama Drive. Water near Oars and Paddles Park also took on a greenish tint this week. The discolored water is in the area near where Brevard County discharged more than 1 million gallons of raw sewage on May 11, but it's uncertain whether that causes the current discoloration in the canals. To prevent sewage backups at homes and businesses during the May incident, the county diverted more than 600,000 gallons of raw sewage into a pond near Sea Park Elementary School and more than 1 million gallons of raw sewage into Anchor Drive Canal, which connects via other canals to the Banana River. Brevard County had to pay a $1,000 state fine in connection with the incident. On Monday, staff from Fish and Wildlife Research Institute sent staff to sample the discolored canal water. A brown tide algae that killed countless fish in the Banana River this past March had mostly faded, water quality experts said last week, but patchy blooms of the algae remain. And Brevardians have watched nervously as a toxic blue-green algae has turned waters in St. Lucie and Martin counties hideous shades of green and gray in recent weeks, raising fears of toxic effects in humans, pets and wildlife. Sampling Monday by Florida Institute of Technology scientists did not turn up any brown tide, the blue-green algae devastating South Florida, or the type of algae that caused the "superbloom" in the lagoon in 2011 linked with hundreds of manatees, dolphins and pelicans dying. The algae was mostly a mix of two types of algae called dinoflagellates, Kevin Johnson, an FIT biologists said via email. Dinoflagellates are a type of algae that propel through the water using whip-like tails. The algae is considerably larger-celled than most of the harmful algae blooms the lagoon suffers from, Johnson added, making the algae have a higher visual impact at much lower densities. July ushers in the most dangerous time of year for the bacteria levels in the Indian River Lagoon, lakes, rivers and other waters, biologists say. So on Monday, state health officials in Volusia County urged residents and tourists to take precautions to avoid exposure to Vibrio vulnificus, a potentially deadly saltwater bacteria that has killed four people so for this year in Florida. Vibrio infections tend to happen between May and October, according to the Centers for Disease Control and Prevention. Warm water and moderate salt levels can increase the number of V. vulnificus organisms in shellfish. Broward, Citrus, Palm Beach and Santa Rosa counties each had a death attributed to the Vibrio vulnificus bacteria this year. The naturally occurring bacteria can cause disease in those who eat contaminated seafood or expose an open wound to warm, brackish seawater. Ingestion of the bacteria can cause vomiting, diarrhea and abdominal pain. It also can cause a skin infections when people who have open wounds. Vibrio dies at salt levels typically seen in the ocean but thrives at lower to moderate salt concentrations, such as those found in the lagoon. Every year Brevard has a few cases due to water exposure. Half of Vibrio vulnificus infections are deadly, and mostly that's when people eat a contaminated oyster or other seafood. Eating a single contaminated oyster can kill. Or even an ant bite or any tiny wound can allow an entry point for the bacteria. Vibrio is found in higher levels in stagnant, inshore waters during warm, rainy months. People most at risk of contracting an infection are anglers and swimmers in brackish water, Dr. Paul Rehme, DOH-Volusia disease control director, said in a release. People with weakened immune systems or other chronic illnesses are most likely to develop severe infections or die from contracting Vibrio. People with chronic liver disease are at risk for Vibrio infection when they eat raw shellfish, especially oysters. People with these pre-existing medical conditions are 80 times more likely to develop Vibrio vulnificus blood infections than healthy people, state health officials say. Its an old wives tale that we should rinse wound infections in salt water to clean them, Rehme added. Thats the wrong thing to do especially during warm months of the year. Area Muslims pray June 13 at the Islamic Center of Fort Pierce on West Midway Road in Fort Pierce. (XAVIER MASCARENAS/TREASURE COAST NEWSPAPERS) By Will Greenlee and Nicole Wiesenthal of TCPalm FORT PIERCE In the month since city resident Omar Mateen went on a shooting rampage at an Orlando gay nightclub, the local Muslim and lesbian, gay, bisexual and transgender communities have been thrust into the spotlight. With national attention and safety threats, there was a need for additional security measures and a call on the Treasure Coast community for support. In some cases, the groups grappled with the turmoil and tension and emerged stronger. That's evident at the local mosque where Mateen sometimes worshipped: The Islamic Center of Fort Pierce on West Midway Road. Muslims there have since strengthened their relationship with the St. Lucie County Sheriff's Office. Mateen, 29, left 49 dead and dozens injured in Orlando June 12. In speaking with crisis negotiation officials, he called himself an "Islamic soldier." He was killed after a confrontation with law enforcement officials. The Fort Pierce mosque where he went is small and lacks the organization and formal structures of larger mosques, said Wilfredo Ruiz, mosque spokesman and communications director for the Council on American-Islamic Relations in Florida. The imam's full-time job is as a physician, and they were not prepared to handle the sudden attention, Ruiz said. "Some of them think they are living a dream, that this cannot be true because now they're put on the spot, suspected as a place where people are radicalized or that people don't do enough to stop that from happening or that people are disconnected from society," Ruiz said. Instead, Ruiz said, the members are normal people who contribute to society and are civically engaged. Ruiz said Mateen's parents were mosque regulars, but Mateen wasn't there often. He was known as "the son of Mr. Mateen." Ruiz said there has been some support, noting letters from churches and neighbors outside the Muslim community. Still, there's been some unrest provoking fear: a 25-year-old man was charged with felony battery July 2 following a mosque member's report that he'd been punched several times in the face and head. That incident ultimately helped strengthen the relationship between the mosque and the Sheriff's Office, Ruiz said. Later that day, sheriff's and mosque officials met for almost an hour to mend miscommunications that led CAIR-Florida to file a public records request to find out why the sheriff's office refused to provide detail after Mateen's attack. "There was a coming together of the minds," Ruiz said. "The ultimate goal is really that the community and the sheriff work together and they contribute to each other." Mosque members said they were frustrated about the lack of law enforcement presence around the mosque and asked that the battery incident be viewed as a hate crime, Ruiz said. In return, deputies began conducting a hate crime investigation, a direct Sheriff's Office contact line was established for mosque members and patrol vehicles appeared more often. CAIR-Florida dropped its public record request, Ruiz said. Sheriff Ken Mascara did not respond to an interview request, but said via email that his agency continues to help the FBI with the federal agency's investigation. "The Sheriff's Office continues to have 'close patrols' on venues within St. Lucie County, which we feel might be at threat due to the horrific event in Orlando," he stated. LGBT COMMUNITY At ONE Treasure Coast, the local LGBT nonprofit, changes are in the works, said group President Ana Santana, 52, of Port St. Lucie. With a new board that went from five members to nine elected near the end of June, the members have set their sights on fundraising with a goal to have a place for LGBT support groups to meet within a year. "We're just really focused on getting a space so that we can start getting services out there to the community, like education," Santana said. "We're focusing on that and the fundraising and starting a youth program of some kind and providing support groups for transgender people." Since the shooting, Santana said, they've heard from more people interested in getting involved with ONE Treasure Coast. So, they've channeled their efforts on becoming more visible in the community. "We've gotten more comments and heard more voices from people who want to know more about the organization and volunteer," Santana said. At TattleTails, the Port St. Lucie LGBT nightclub, security has increased, Santana said. They're checking backpacks and taking extra precautions to ensure people feel safe. BLOOD DONATIONS Along the Treasure Coast and throughout Florida, blood donation numbers have returned to normal, said Susan Forbes, OneBlood spokeswoman. OneBlood still recommends appointments, but they aren't necessary. The donation and collection process hasn't changed either, Forbes said, but 40 percent of the people who donated in the first six days following the Orlando shooting were first time donors, and she wants to see them return. "We need them to come back in 56 days when they're eligible again," she said. "They're needed year round. It's people donating Friday who will save the lives on Sunday, and it's like that every day of the year." Every good conversation starts with good listening. Anonymous Ever since 9/11, parts of America have been afflicted by a phobia, an exaggerated fear and hostility toward Islam that is perpetuated by negative stereotypes resulting in bias, discrimination and the exclusion of Muslims from social, political and civic life. The condition has become widely known as Islamophobia. Yet many of those stereotypes are exaggerations or simply wrong. A 2015 Gallup poll found 'since 9/11, the Muslim community has helped security and law enforcement officials prevent nearly two out of every five al-Qaida terrorist plots threatening the U.S.' Such statistics, however, might fail to persuade some Americans that the vast majority of Muslims adhere to a peaceful religion, not one based on hate. The June killing of 49 people at the Pulse night club in Orlando by an American-Muslim from our own community, Omar Mateen, has inflamed some residents' feelings of mistrust about the motives of Muslims the vast majority of whom have lived peaceably alongside us for decades. In the weeks since the Orlando shooting, there has been a great deal of miscommunication, including some between local law enforcement and representatives of the Islamic Center of Fort Pierce, which Mateen attended. St. Lucie County Sheriff Ken Mascara and mosque leaders initially failed to fully appreciate each other's needs and priorities. Communications ground to a halt. It took an intermediary a Broward County deputy who is a Muslim to bring the two sides together. Law enforcement officials and mosque members began talking and, even more importantly, listening to each other. Mohammad Malik, an American-Muslim from Port St. Lucie and a mosque member, wrote a recent letter to the editor which illustrated the gulf between Muslims and Americans. But Malik turned that divide on its head by issuing an open invitation to non-Muslims to visit his mosque. 'You will be welcomed ... (and have) the opportunity to have a dialogue rather than just posting comments and arguing online,' Malik wrote. We hope non-Muslims take advantage of that invitation. Perhaps the mosque should go further and consider opening its doors with an open house event to formalize Malik's invitation. The more people know and listen to each other openly and without prejudice the faster cultural barriers will come down. We need to start that conversation now. Good listening, on both sides, is more critical than ever. Algae coats the water at Outboards Only in Jensen Beach on Saturday, July 9, 2016, which is off the St. Lucie River. (MOLLY BARTELS/TREASURE COAST NEWSPAPERS) SHARE By Carl Hiaasen They say a picture tells a thousand words. If it's a picture of an algae-covered beach, it can also cancel thousands of hotel reservations. That's the new dread in Florida, as photos and news videos of gunk-covered shorelines are making headlines all over the world. Why would anyone bring their families to vacation on Playa Guacamole? And do they even make hazmat suits in children's sizes? The likely cause of the algae outbreak that has slimed beaches on both Florida coastlines is fouled fresh water from Lake Okeechobee, which the Army Corps of Engineers began discharging after heavy rains in January. Nitrogen and phosphates from massive agricultural dumping into the lake fuel algal blooms, which worsen in the summer heat. This latest outbreak is spectacularly ugly, toxic to health and devastating to local marine and tourist-based businesses. Hardest hit is Martin County, on the Treasure Coast, where scummy Lake O water has poured into the saltwater estuary from the St. Lucie River. Gov. Rick Scott has reacted with unwavering impotence, declaring one state of emergency after another while blaming the federal government for failing to upgrade the dike surrounding the lake so that more dirty water can be retained. Cleaning up that dirty water is not a priority for this Republican governor or this Republican-led Legislature. In exchange for a deluge of campaign donations, they have worked steadfastly to gut pollution regulations and put the biggest polluters in control of state water policy. This year their shameless sellout was complete. One of the worst water management bills in Florida history was passed and quickly signed by Scott, basically allowing big agricultural operations to police their own chemical discharges with little or no enforcement by the state. Meanwhile, most of the millions of dollars earmarked by voters for the purchase of conservation lands continues to be diverted by lawmakers for other expenses. The constitutional amendment overwhelmingly approved almost two years ago by Floridians is now toilet paper in the governor's mansion. The whole world is now seeing the result of Scott's execrable stewardship of our priceless natural resources. It's an ecological nightmare for the state, and a political nightmare for him. He'll be running for the Senate in two years and desperately wants not to be tagged as Governor Algae. But because he won't get tough with the special interests that are funding his campaign, there will be no reinstatement of water-quality enforcement measures for those flushing agricultural and urban wastewater into Lake Okeechobee. Instead, Scott is refocusing on underground septic tanks, promising matching funds to help communities switch to sewage-treatment systems. This is a sensible and long-overdue plan, but it's mainly designed to divert attention from Big Ag and other polluters. Scott seems to be synchronizing his strategy with the Florida Chamber of Commerce, which in March announced a "partnership" with Florida Atlantic University to work on "strong, science-based water quality standards." Specifically the Chamber mentioned its interest in the impact of septic tanks on toxic algae blooms. Ordinarily it would be startling to see an environmental conscience exhibited by the Chamber, one of the most slavishly pro-industry, anti-regulatory groups in the state. However, the rising outcry about the algae outbreaks and the economic wreckage being caused compelled the Chamber to try something to redirect the public's anger. Septic tanks in residential neighborhoods are a serious source of nutrient pollution in Florida, but they're not the main culprit of the current front-page water catastrophe. It's no mere coincidence that huge algae blooms have erupted in the same area where the Lake Okeechobee discharges have been directed. The smelly blue-green tide that's smearing the beaches and clotting the waterways is coming straight from the lake. You can see it on NASA satellite photographs. For decades Lake O was the cheap sewer-of-choice for nearby cattle ranches, citrus groves and sugar cane growers, nutrients accumulating at harmful levels in the muck. As some farm operations have worked to improve the quality of their wastewater, the lake in recent years has received a growing torrent of urban effluent from Central Florida, via the Kissimmee River. Consequently, the water is still grossly unsafe to release yet more than 150 billion gallons has been pumped just to the Treasure Coast this year. Scott wasn't around back when the fateful defiling of Lake O began, but since taking office he's done nothing but weaken clean-water rules, skeletonize the Department of Environmental Regulation and forbid state officials from using the term "climate change." Now, thanks to this epic algae epidemic, he'll be known as the greenest non-green governor in America. Carl Hiaasen is a columnist for the Miami Herald. Readers may write to him at: The Miami Herald, 3511 N.W. 91 Avenue, Doral, Fla. 33172; email: chiaasen@miamiherald.com. Photos by Candace Moore An assortment of hand-made Italian pastries: cannoli. tiramisu, napoleon, sfogliatell, cream puffs, rum baba and lobster tails. SHARE A variety of freshly made Italian cookies and pastries are available daily at Carmela's Italian bakery in Vero Beach. Pickering named the bakery after her great-aunt Carmela DeCristafaro whom she loved dearly. Carmela's Italian Bakery is open for business. For owner Brandye Pickering operating Carmela's Italian Bakery is a life-long dream come true. By Candace Moore, The Newsweekly Carmela's Italian Bakery had a few bumps in the road some of them literal during their first year in business. First was the case of mistaken identity: Carmela's is in the same shopping plaza where the well-known Ferrara's Italian Bakery was located it closed four years ago after a 25-year run. "We get people every day that come in and say 'We just saw the sign driving down U.S. 1 and didn't even know you were here' or 'We thought you closed years ago,'" said Carmela's owner-operator Brandye Pickering. And just before her grand opening, road construction began on South U.S. 1. A mound of dirt was piled in front of the building, along with a line of parked bulldozers. "There was so much construction right here that people had enough of a hard time just driving on U.S. 1, let alone trying to figure out how to get to a business," said Pickering. Publicizing her new business was a challenge, too. "We put up little signs along the road announcing our opening and the county made us take them down," she said. "So our first year was difficult." Neapolitan roots But Pickering has persevered, continuing to fill her bakery with sumptuous homemade Italian pastries and breads baked fresh daily, six days a week. Despite her oh-so British last name, she traces her ancestral roots to the town of Avellino, 26 miles northeast of Naples. She uses family recipes passed down for generations. "Esposito and DeCristafaro were my grandmothers' last names," said Pickering, who remembers sitting on the kitchen counter when she was 2-years-old to watch them cook and bake. "They made everything from scratch and through the years I learned to love food the way they did the way all Italians do." Carmela's Italian Bakery is named in honor of her great-aunt Carmela DeCristafaro, whom she adored and describes as fun-loving and always smiling a kid at heart. Long hours Pickering has worked in the restaurant business for more than 15 years as a server opening a bakery is a dream come true. "I love food; I love to cook and bake; and I love people," she said. "I graduated college with business management and marketing degrees, so one day I just said 'I can do this.' And I did and here I am." A day in the life of a bakery shop owner-operator is not an easy task: it takes many long hours in the kitchen to fill the cases daily with freshly baked goods. She does have help: a baker comes in at 3 a.m. to bake the breads, and Pickering's mother Kathy Roberts drives up from Miami a few times a week to make homemade meatballs, sausage with sauteed peppers and onions, ribeye roast beef and chicken parmigiana for subs. Pickering also hired Kylie Navarro, who moved to Vero three months ago from Tampa, to train as a baker's assistant. "Brandye hired me my second day in town," said Navarro. "I've wanted to be a baker since I was 8 years old and I'm thankful for this opportunity. She is a very talented and creative pastry chef." Baking bonanza The staff of four works in a small 600-square-foot space. They say maneuvering in such close quarters is like playing the puzzle video game Tetris. Every move is strategically planned and requires constant communication: "Watch your back," "Head's up," "Hot muffins," "Overhead, 12 o'clock." Nonetheless, this little bake shop pumps out the volume. Cases are filled with Italian pastries: cannoli, tiramisu, Napoleons, sfogliatelle, cream puffs, and rum babka. They also feature a variety of Italian cookies: biscotti, pistachio and strawberry leaf, chocolate or raspberry rugalach and more. The too-pretty-to-eat cakes and pies include New York cheesecake, ricotta cheesecake, Italian rum cake, chocolate mousse cake, apple walnut coffee cake and seasonal made-to-order pies, including apple, blueberry, coconut custard, pecan, rhubarb and peanut butter. They also make special requests. Breads are baked fresh daily and include the Italian loaf, baguette, ciabatta and hoagie rolls for their great selection of Italian subs: prosciutto di Parma, mozzarella Caprese, Carmela's Ribeye Sandwich, chicken and meatball Parmigiana, sausage peppers & onions, the Angry Sicilian and Sinatra's Italian Sub, among others. Full of love A portrait of ''Ol Blue Eyes" hangs on the wall and only his songs are played in the bakery because Pickering's husband, Trevor, loves Frank Sinatra. But for his wife and mother-in-law, Sinatra represents all Italian immigrants who came to the United States through Ellis Island to begin a new life. The family eventually moved to Miami where Pickering was born and raised. She met her husband while attending college at Florida State University, but admits he is a University of Florida Gator through and through. Trevor Pickering is a land surveyor for the family business, Pickering & Associates, a company started by his father Harold Pickering 26 years ago. "Our plans are to grow the business and to get everybody to know us," said Pickering. "We're a small, friendly, family business full of Italian love. Come in, have a cup of coffee and a pastry, and make yourself at home." Carmela's Italian Bakery is located at 1229 S U.S. 1 in the South Ridge Square shopping plaza. Hours are: Monday through Friday, 9 a.m.-4 p.m., and Saturdays 10 a.m.-2 p.m. Closed Sundays. Visit www.carmelasvero.com or Facebook page www.facebook.com/Carmelas-Italian-Bakery or call 772-453-9195. Verizon on Monday said it has completed its 5G radio specification and is conducting pre-commercial testing in multiple locations in Massachusetts, New Jersey and Texas. Verizon said in a press release that the specification will provide guidelines to test and validate technical components, thus allowing industry partners such as chipset vendors, network vendors and mobile operators to develop interoperable solutions and contribute to pre-standard testing and fabrication. Adam Koeppe, Vice President of Network Technology Planning at Verizon, described it as a key milestone toward the development of a complete 5G specification. Koeppe further noted that the level of collaboration they're seeing exceeds what they saw during 4G. Late last year, Verizon formed its 5G Technology Forum in cooperation with partners such as Samsung, Cisco, Ericsson, Intel, LG, and Nokia, just to name a few. The goal of its pre-commercial trials, Verizon said, is to fast-track innovation and accelerate when the industry can deliver benefits of fiber functionality wirelessly to customers. The country's largest wireless provider said it has validated numerous 5G technology enablers such as wide bandwidth operation of several hundred MHz in size, multiple antenna array processing and carrier aggregation capabilities that are substantially different from 4G. What's more, Verizon said propagation and penetration testing across single and multi-dwelling residential units has validated the feasibility of millimeter wave systems. Verizon says it will continue full system trials with plans for commercial deployment in 2017. That may be a tough goal to hit, however, as many in the industry don't expect 5G networks to crop up until 2020 at the earliest. Image courtesy noolwlee, Shutterstock Space travel simulator Kerbal Space Program will be landing on the PlayStation 4 in North America on July 12, as confirmed by its game developer, Squad. The title, which was launched for the PC in 2015 after a long early access period that started back in 2013, has received praise as one of the most notable games from an independent developer in recent video game history. "If you're into space travel, flight simulators, or sandbox games, there's no way you can miss this," wrote Squad CEO Ezequiel Ayarza in a post on the official PlayStation blog that announced the arrival of the title to the PlayStation 4. Kerbal Space Program is a physics-based space flight simulator that has been recognized for its focus on realism and its keen attention to detail, along with its fun and rewarding gameplay. The title puts the player in charge of the Kerbals, which is an alien race, and their newly launched space travel program. The version for the PlayStation 4 will maintain Kerbal Space Program's mission of building rockets, spaceships and other kinds of vehicles to send Kerbals into space. However, it will feature redesigned controls and gameplay to fit into the PlayStation 4 experience. Gamers will be treated to a new way to fly spacecraft in the PlayStation 4 version of Kerbal Space Program using the DualShock 4 controller, as the sticks and triggers will allow for more precision in making complicated maneuvers. The title comes with three game modes. The first one is Sandbox, wherein players are given the free reign to create anything that they can think of. The second is Science Mode, wherein players perform experiments to advance Kerbal knowledge in order to gain access to new technology. Lastly, in Career Mode, players take control of the space program, including the building of reputation, the management of the crew and the chance to take on side missions to earn funds. The price for Kerbal Space Program for the PlayStation 4 has not been revealed. The PC version is being sold for $40 on Steam, so it would be a good guess to say that the PlayStation 4 version will cost about the same. There is no information on whether the title will also be launched in other regions on July 12. However, it is also set to be released for the Xbox One this month, and then on the Wii U but with no release date yet set. While waiting for Kerbal Space Program, PlayStation 4 owners with a PlayStation Plus membership can first check out the free games for the month of July. 2022 TECHTIMES.com All rights reserved. Do not reproduce without permission. Alibaba has been the subject of probes by various United States agencies due to its massive growth rate and its relationship with affiliated companies. Among the controversies surrounding the company was an instance in December 2015, when the United States issued Alibaba a warning regarding the proliferation of counterfeit products on the online sales websites of the e-commerce giant. The Securities and Exchange Commission also launched a probe on Alibaba earlier this year to investigate the company's accounting practices to check if there are any violations of federal laws. Alibaba executive chairman and founder Jack Ma, however, is unfazed by all the allegations, as he said in an interview that lawsuits and such investigations present an opportunity for the company to be better understood. "If you want to sue us, sue us," said Ma, adding that he had complied with the requests of the SEC but had no idea on when the agency would be responding with findings on their investigations. Apart from his wide-reaching business ventures, Ma has also dived into nonprofit causes in China, spending the weekend as a speaker at a philanthropy conference by the Alibaba Foundation. In 2014, Ma and fellow Alibaba founder Joe Tsai donated to the foundation about $3 billion in share options, which stands as the largest donation in the history of China. Ma, with a net worth of $21.8 billion according to a 2015 Forbes report, said that Chinese culture issues a warning that there will be nothing good to come from having a high profile. Ma added that he received a message from his father to maintain a low profile. Ma's efforts to maintain such a low profile, however, are suspect, as the Alibaba executive chairman has been one of the few billionaires in China who have been very open with their corporate spending. According to estimates by Dealgate and Internet Retailer, Alibaba has spent between $30 billion to $40 billion since 2010 on more than 100 acquisitions and investments. Examples of such actions by Alibaba include leading an $800 million funding round for augmented reality startup Magic Leap, investing a total amount of $1 billion in Southeast Asia-focused e-commerce website Lazada, and inking a deal for $1.25 billion worth of funding for Chinese food delivery startup Ele.me. These movements certainly do not fall under keeping a low profile for Ma and his company, and is probably why United States agencies are launching investigations into the Chinese e-commerce giant. 2022 TECHTIMES.com All rights reserved. Do not reproduce without permission. Thanks in part to the popularity of Netflix's Jessica Jones series, the character is now getting her own new ongoing comic book series. Marvel Comics announced the new series, which will reunite Jessica Jones' creators Brian Michael Bendis and Michael Gaydos, as well as cover artist David Mack. The three men previously worked together on the Jessica Jones-based Alias, which was released in 2001 and served as the primary inspiration for the Netflix series. Although Marvel has considered a new series dedicated to the character for some time, this news comes after the success of the Netflix show that made Jessica Jones a household name. Now, the whiskey-swigging superhero-turned-detective gets some brand new story arcs that could potentially offer Netflix some ideas for the second season of the show, which the streaming service confirmed in January. Jessica will also show up on Netflix's The Defenders series. Jessica's last appearance in comic books occurred in Spider-Man #5. In that story, Jessica investigated Miles Morales at the behest of his grandmother. However, things are going to get a little crazier for the detective in her new book. "We're going to open up a book where Jessica's world has blown up in her face," Bendis told IGN in a phone interview. "She's at a place you'll least expect her. You're going to find all of her relationships have altered. And we're slowly going to find out why. I do like writing Jessica where we have to figure out 'why this has happened.'" Jessica also now has a child with Luke Cage, which changes the dynamic of the character from what fans have previously seen, both in comics and on TV. "This is not the safest place for a baby," Bendis said. "So your perspective changes, and as an investigator and a detective her perspective will change because she's a mother. I know some people are worried about that baby being dragged like a sack of potatoes that holds down the story or something, but I can think of many ways to tell the story [to] celebrate this part of her life and at the same time complicates it to a degree that a lot of people can relate to. I think a lot of people can relate to trying to 'do it all.' Lord knows I can." Jessica Jones #1 arrives in comic book shops and online in October. 2022 TECHTIMES.com All rights reserved. Do not reproduce without permission. OLED Screen On The Cards For iPhone 8? | TechTree.com Image via Deccan Chronicle A report by the Wall Street Journal claimed that Apple is unlikely to bring out any major changes in the iPhone 7, launching in September. Word on the street is that the company will offer a serious overhaul next year with the iPhone 8. The practice of the company so far has been to revamp the phone every two years. But this year, that pattern will be broken as the iPhone 7 is not expected to show any groundbreaking changes. The WSJ also reported that the iPhone 8 may come with an OLED (organic light-emitting diode) edgeless display. Furthermore, the home button/ fingerprint sensor may not exist as a physical portion of the new phone's design, but may be integrated within the display itself instead. Credit Suisse analyst Kulbinder Garcha has said that he expects muted sales increases for the forthcoming iPhone 7 because they will be a modest upgrade to the existing models. Mr. Garcha said he expects a super cycle for the following year. Translation: the iPhone 7 may not sell as much, because customers might decide to wait for the iPhone 8, which will offer a bigger revamp than the small changes expected in this years phone. You could, of course, buy both. Just a suggestion. TAGS: Apple iPhone, iPhone rumours A 40 anos de Malvinas "Revisar el pasado es pensar el futuro". La frase de la presidenta de Telam, Bernarda Llorente, resume el espiritu del documental coproducido entre la agencia de noticias y el canal publico de TV sobre la cobertura que los medios de comunicacion hicieron del conflicto, plagada de censura y mentiras. Una autocritica necesaria para mirar hacia adelante en un (ya viejo) contexto de fake news y negocio informativo. President Fernandez emphasized the need to promote international cooperation to avoid dependence on a few providers of health-related goods and services. | Read More Some 60 percent of Vietnam's lychee crop is exported to China, according to official figures. A bevy of workers are carefully sorting and grading an enormous pile of lychees at a packing house in northern Vietnam. The best of the bunch will go to China. "China is by far our largest market," fruit trader Tran Van Sang, 42, told AFP at his warehouse in Luc Ngan -- a small town just north of Hanoi which is taken over by lychees during the tropical fruit's short six-week season. Some 60 percent of Vietnam's lychee crop is exported to China, according to official figures. But the trade is highly vulnerable to political bickering between the communist neighbours, driving a shift into new markets with Vietnamese lychee exported to Australia and America for the first time this year. Last year, peak season arrived in the middle of a bitter maritime standoff, sparked after Beijing moved an oil rig into waters claimed by Hanoi in the South China Sea. "It was difficult -- we had a bumper crop but the Chinese buyers didn't come," said Sang. Vietnam's fruit crop is mostly consumed domestically, but exports are growing -- up from $622 million in 2011 to over $1.4 billion in 2014, according to official figures. China is Vietnam's largest trading partner and the countries' volatile relationship typically doesn't impact overall trade and investment links. But it has a dramatic effect on some sectors, like the lychee, a fruit native to northern Vietnam and southern China. Last year, traders said whole unsold consignments of lychee were simply left to rot in border areas. This year, although maritime tensions remain high over Beijing's island-building projects in disputed waters, the Chinese buyers are back in Luc Ngan, with exports up 50 percent year-on-year to 40,000 tons, according to official figures. But in response to the problems of 2014, some farmers and traders have moved on -- hoping to find higher prices and more stable markets elsewhere. Boosting fruit exports The volume exported to Australia and the US was small -- just 35 tons combined -- but it was a significant step, experts say. "Vietnam could have a real breakthrough in the export of lychee in coming years," Mai Xuan Thin, the CEO of Red Dragon, a fruit exporter, told AFP. Australian importer Alex Alexopoulos (right), seen here with vice president of Vietnam's Hai Duong province, Nguyen Anh Cuong, said freshness was a key reason for buying Vietnamese lychee. If the country focuses on food security and meeting higher US and Australian standards "exports will certainly be higher next year", he said. For Australian importer Alex Alexopoulos, there are clear benefits to buying Vietnamese lychee, which will be flown to Melbourne. "It's a lot quicker to get fruit from Vietnam (by air) than from top of Australia to the bottom, which would take four days by truck," he told AFP. "So it's fresher to eat lychees in Melbourne from Vietnam... and freshness is our main concern," he added. Vietnam, already a major coffee, rice and catfish exporter, is slowly turning its attention to fruit. For now, it is a relative minnow on the global stage, the eighth largest fruit exporter in Asia, behind leaders China, Thailand and India. The country's fruit crop is mostly consumed domestically, but exports are growing -- up from $622 million in 2011 to over $1.4 billion in 2014, according to official figures. Vietnamese lychee have been exported to Australia and America for the first time this year. The government is targeting a ten-fold increase over the coming years, with crops like longan, dragon fruit and lychee being given priority. Overall, Vietnam has good potential, said Robert Guillermo, a preclearance specialist at the US Department of Agriculture. "On a scale of one to ten, I'd give them eight. They're very good. The people are very good... they listen to suggestions we make," he said. Opening up new markets will help reduce reliance on China, said Nguyen Van Tuat, vice president of the Academy of Agricultural Sciences. "Vietnam must diversify its export markets to be more active and more economically profitable," he said. Vietnam is part of the Trans Pacific Partnership, a huge US-led trade deal expected to be sealed this year, and this could both be a huge benefit to farmers, but also a risk. "The country will have to deal with a very strong competition on quality and price of products. It will be a challenge," he said. Farmers earn more Farmers selling to US or Australian buyers are getting about 20 percent above the typical market price, said Nguyen Huu Dat of Vietnam's Plant Protection Department. Vietnam's exports have faced repeated food safety issues, with the EU temporarily banning some produce over prohibited bacteria concerns and recently warning on antibiotic residue in shrimp. "Overall, prices are high and stable now compared to last year (when Chinese buyers stayed away). Farmers are very happy," he told AFP. Farmer Nguyen Thi Nghi, 56, in northern Thanh Ha province, is hoping she will double her family's income by selling her lychees to Australia. "Currently, my family earns about 20 million dong ($900) per year. And now with the new markets, we hope to see that rise to 50-60 million dong per year," she said. Farmer Nguyen Thi Nu, 55, in Hai Duong province, said the key to keeping foreign buyers happy was to stay away from pesticides. Vietnam's exports have faced repeated food safety issues, with the EU temporarily banning some produce over prohibited bacteria concerns and recently warning on antibiotic residue in shrimp. "I never use chemicals," she said. "The climate (in Hai Duong) is perfect for lychee... people come from all over to buy our fruit." A cow eating discarded dragon fruit on a road in the central province of Binh Thuan. Photo credit: Tuoi Tre A bumper dragon fruit crop in the central province of Binh Thuan has resulted in horrific losses and waste. Huge heaps of discarded dragon fruit now line the stretch of National Road 1 that crosses through Ham Thuan Nam District. Farmers and traders in the district blamed falling prices for what has turned into a virtual dragon fruit buffet for free-roaming cattle. The highest quality fruit in the district currently sells at between VND4,000 and 5,000 (around $0.2) per kilos, or a 50 percent year-on-year drop. Smaller fruits sell for merely VND2,000 ($0.1) per kilo while lower-quality fruit had a for forms sake price of just VND 1,000 ($0.05) per kilo. On other local roads leading to the dragon fruit orchards, even larger are currently rotting in the sun. Le Thi Ngoc, a local fruit trader, told Tuoi Tre (Youth) newspaper that she had bought the highest-quality dragon fruit for just VND8,000 ($0.40) per kilo only to find that Chinese traders across the border won't budge beyond a ceiling price of VND9,000 ($0.45). Traders like me have lost [VND] billions each. [We paid] laborers, packers, shippers only sell at that low price; how can we expect to earn a living? she asked. Many believe they're better off just dumping the fruit on the side of the road. At markets in Ho Chi Minh City, some 200 kilometers away, red pulp dragon fruit starts at VND15,000- 20,000 ($0.71-0.94) per kilo while the white pulp fruit goes for VND 5,000 cheaper. Heaps of dragon fruit being sold on the side of the road are offered at as little as VND10,000 ($0.47) per kilo. Vietnamese hotel booking startup Vntrip.vn has secured US$3 million in the first round of funding from Singapore-based private equity firm F&H Fund Management Pte Ltd. With the funding, Vntrip is now valued at nearly VND300 billion ($13.2 million), according to news website VnExpress. Le Dac Lam, its founder, was quoted as saying that the money would allow the company to improve and promote its business. Founded in 2014, the website is a partner of Booking.com and provides booking services at more than 6,000 hotels in Vietnam and nearly 900,000 around the world. Its mobile application is now available. F&H, founded by John Wu, former chief technology officer of Chinese e-commerce giant Alibaba, mainly invests in Asian startups in their early stages. An illegal Chinese trader who trade dragon fruits in Binh Thuan. Photo credit: Nguyen Nam/Tuoi Tre Binh Thuan authorities want the Immigration Department to deport some Chinese nationals who operate illegally as dragon fruits traders in the central province. They should also be prevented from entering Vietnam for a period, the province Peoples Committee said in the recommendation. So far this year 17 Chinese have been fined VND410 million (US$18,380) for working illegally as traders in the province without registering. The Binh Thuan Immigration Agency has also reduced their residence period. The Chinese hired or bought the facilities of indebted local traders. Binh Thuan is Vietnams largest dragon fruit growing area. Sorry, the page you requested may have been moved or deleted. You may click here to come back your homepage. A man receiving treatment at the 20-12 Hospital in Nha Trang Town, Khanh Hoa Province on Sunday. Photo: T.Ly Around 60 people who attended a party at a restaurant in the resort town of Nha Trang were rushed to hospital early Sunday with signs of food poisoning. Doctors at three hospitals told the media that the patients were repeatedly vomiting and suffered from stomach ache from 4a.m. to 4p.m. Sunday. All were employees of The Ky real estate company, which had earlier organized a tour of the town for nearly 1,000 people. After an internal beauty contest, the company threw a party at the restaurant Saturday night. Nha Trang health officials said Sunday afternoon they have taken food samples from the restaurant for investigation, adding that more than a dozen of the victims were still in hospital. Thai naval officers guard the 38 Vietnamese crewmen held after the seizure of seven Vietnamese fishing boats off Nakhon Si Thammarat province on March 24. Photo credit: Bangkok Post The Thai navy Saturday seized five Vietnamese fishing boats with 47 men on board for allegedly illegally entering Thai waters. Vice Admiral Rangsarit Sattayanukul, commander of the 1st Regional Command, said at a press conference Sunday that the crew are being held in a police station in Chonburi Province, 200 km from Bangkok. Four of the five boats are from Ca Mau Province and the other is from Kien Giang Province, both in the Mekong Delta. Just a day earlier Thai authorities had seized two Vietnamese fishing boats with 12 fishermen in Songkhla Province 968 km south of Bangkok. A Vietnamese interpreter for Thai officers told Thanh Nien that one of the crew panicked and jumped into the sea and was yet to be found. The remaining men were taken to a local police station. Vietnam has sent a diplomatic note to Thailand asking it to investigate a recent attack by the Thai Navy on three Vietnamese fishing boats in the Gulf of Thailand. According to Nguyen Hai Ngoc, first secretary at the Vietnamese embassy in Bangkok, the incident happened at around 2 p.m. on July 8. Thai vessels attacked the Ben Tre Province-registered boats with a total of 18 people on board, and two people were injured while a man went missing, he said. They also crashed into and sank two boats, he added. The injured men, Nguyen Van Teo and Nguyen Van Linh, were taken by helicopter to a hospital in Songkhla Province. The 15 others will be taken ashore Monday, but it is unclear what will be done with the remaining boat. A Songkhla court will today try the fishermen for illegally entering and fishing in Thai waters. Ngoc said that it is against international law and common practice to shoot at foreign fishing boats for such acts. The embassy has sent representatives to Songkhla to assist the fishermen. On September 11 last year Thai forces also shot at Vietnamese fishermen and killed one of them. Thai authorities are yet to respond to a diplomatic note sent by the Vietnamese foreign affairs ministry to investigate. Four men arrested with 190 kilograms of firecrackers smuggled from Laos July 9. Photo courtesy of Ha Tinh Border Guards Border guards in Ha Tinh Province in central Vietnam Saturday arrested four men for smuggling 190 kilograms of firecrackers from Laos on a passenger bus. They checked the bus at a border gate and found the contraband hidden in a makeshift storage under layers of mattresses and rice sacks. Four men aged between 24 and 38, who were in charge of the bus, were arrested for questioning. They said that on July 7 a stranger in the Laotian capital Vientiane offered to pay them VND9.1 million (US$410) to carry the firecrackers to Vietnam. A border guard officer said there were enough to blow up the bus. Early last month a bus carrying 200 kg of fireworks exploded in Laos on its way to Vietnam, and eight Vietnamese passengers were killed. Firecrackers were banned in Vietnam 20 years ago, but people in many areas still set them off during traditional festivals and celebrations, which fuels smuggling from Laos and China. A man supervises a farm breeding wingless cockroaches in the northern province of Bac Ninh. Photo by T. Quang Chinese traders are helping Vietnamese farmers breed wingless cockroaches for use as traditional medicine in China but scientists say they are an invasive species likely to spread disease. Chinese traders are helping Vietnamese farmers breed wingless cockroaches for use as traditional medicine in China but scientists say they are an invasive species likely to spread disease. Nguyen Dinh Nguyen, who has a cockroach farm in Bac Ninh Province near Hanoi, said he learnt from a neighbor working in Taiwan that cockroach breeding is popular in China. He promptly traveled there and bought 100 kg of eggs last year at 150 yuan (US$24) a kilogram. One kilogram of eggs hatch into some 16,000 cockroaches. Three traders came to teach him how to raise the cockroaches and one has stayed back,to supervise the operation -- all for free. The breeding is very simple and easy, Nguyen said. The feed is also easy to make: you just need some rice bran with chopped vegetables. Dried wingless cockroaches are offered VND11.7 million a kilo by Chinese dealers The wingless cockroach (Eupolyphaga sinensis) is native to Western China and Mongolia where it is known as tu bie chong. Nguyen said the traders also buy back the cockroaches at VND200,000 a kilogram for fresh ones and VND11.7 million for dried ones. The insects can be sold after they molt 11 times. His first batch would be sold in a few months, Nguyen added. Trong Hoang, a representative of Insects World Company in Dong Nai Province near Ho Chi Minh City, said his company provides breed stock for wingless cockroaches and buys back the roaches from farmers. One adult cockroach can produce around 400 young ones, he said. But Nguyen Van Trong, deputy head of the agriculture ministrys Breeding Department, sounded a warning. Cockroaches are dangerous insects and transmitters of many diseases like diarrhea, he said. The wingless cockroach is not allowed to be bred for commercial purposes in Vietnam, he said, adding that killing it is encouraged. This is a species Vietnam is trying to exterminate; there is no reason to bring more here to breed. Given the increasing popularity of this insect, the ministry on March 7 issued a ban on the import and breeding of the wingless cockroach. Dr Vu Duc Chinh of the National Institute of Malaria, Parasites and Insects Studies, said the wingless cockroach is a hard-shelled insect and thus hard to kill. Chinese documents refer to the insect as an ingredient in traditional medicine, but no studies in Vietnam have confirmed its use. He said it might end up similar to other dangerous invasive species like the red-eared sliders in Hanoi, which eat aquaculture species, and yellow snails (oc buou vang), which destroy paddy fields. Several pairs of the snail were imported in 1975 from South America, and they served as Vietnam's first big lesson on the dangers of invasive foreign fauna. They were bred in ponds as a potential food source, but soon went on to become the nemesis of the regions rice and water spinach crops. Chinh said: Vietnams quarantine agencies thus have to control this cockroach (breeding) tightly. The Institute of Ecology and Biological Resources has taken samples of wingless cockroaches bred by locals to test for specific dangers. Dr Khuat Dang Long of the institute said cockroaches usually carry many pathogens. People are running after quick profits, he said. That is not guaranteed yet, but the risks are. Several years ago Chinese traders also encouraged Vietnamese farmers to breed leeches to sell to China for use in medicine, but the business has slowed down after the promises of high prices failed to materialize. Like us on Facebook and scroll down to share your comment Police inspect logs at a site of Thanh Chi Company in Lam Dong Province. Photo credit: Son Binh/Tuoi Tre Environment police Sunday discovered that a company in Lam Dong Province had illegally logged a vast area of natural forest. Nearly 40 hectares in Bao Lam District had been cleared by the local Thanh Chi Company, and the police found hundreds of logs piled up, Captain Nguyen Van Dat of Environment Police Department said. Thanh Chi had been contracted to clear the forest by the forest manager, Loc Bac Forestry Company. But investigators said Loc Bac did not have a valid environment impact assessment report before felling the trees. Dat said Thanh Chis violations were egregious because it had logged protected primary forests. The companys director, Tran Sach Doan, admitted to the wrongdoing, saying they wanted to clear the forest to plant rubber trees. Police are investigating. Earlier, on July 8, police had arrested 15 illegal loggers in a nearby protected forest. They had allegedly been operating for around two years. Authorities have stepped up action against illegal logging after Prime Minister Nguyen Xuan Phuc last month ordered a total ban on clearing natural forests to protect the 2.25 million hectares still surviving nationwide. The sea has swallowed more than 300 houses and 100 more are now at risk Strong waves menace a village in the south central province of Binh Thuan. Locals said the sea has been furious the past six years as it swallowed more than 300 houses along 1,000 meters of coastline. Nearly 100 others are at risk. Photos: Pham Van Thanh/Tuoi Tre This road used to be 50 meters from the water. Nguyen Hoang Nam, 70, has seen many houses collapse. But like most other poor people in the area, he has nowhere else to go. This family now lives in a small hut after losing a bigger house to the sea. The owner of this villa has spent tens of thousands of dollars enforcing the coast to protect his property. Poorer people protect themselves with a fortress of sand bags. Local authorities have also started construction on an embankment. You can find the original Vietnamese story here on Tuoi Tre Missile destroyer Guangzhou launches an air-defense missile during a military exercise in the water area near south China's Hainan Island and Xisha islands on July 8. Photographer: Xinhua News Agency/Getty Images An international tribunal will rule Tuesday on a Philippine challenge to Chinas assertion to more than 80 percent of the South China Sea, one of the worlds busiest waterways. The Philippines contests Chinas historic rights claim based on a 1940s map that shows a dashed line covering around 1.4 million square miles (3.6 million square kilometers). China has said it doesnt recognize the jurisdiction of the tribunal in The Hague and will ignore its findings, which will binding on both countries as signatories to the United Nations Convention on the Law of the Sea but lacks a mechanism for enforcement. Whatever the outcome, China will probably respond to the ruling. And unless the report is 100 percent favorable for Beijing, its options could range from benign to aggressive: Benign Faced with a nationalistic population demanding China protect its sovereignty, the foreign ministry issues a strongly worded statement outlining its refusal to accept the courts jurisdiction and vowing to ignore the ruling. Beijing extends its media campaign, proclaiming the support of more than 60 countries for its position (a list it has so far not published in full). On the ground, however, China works to reduce tensions by pulling back on the harassment of Filipino fishermen. It refrains from adding military installations to reclaimed reefs in the Spratlys chain and leaves the Scarborough Shoal uninhabited. Military ceases its radio warnings to military aircraft from other countries flying over features it claims. Diplomatically, it extends an invitation of direct talks to new Philippine President Rodrigo Duterte. What it means: A lowering of tensions allows claimant states to put the disputes to one side to begin negotiations and potentially jointly develop mineral and energy resources. Moderately assertive China declares an Air Defense Identification Zone, ordering commercial and military aircraft to lodge flight plans with it. It announced an ADIZ over parts of the the East China Sea disputed with Japan in 2013, but in practice has had difficulty enforcing it, and the U.S. would probably ignore one over the South China Sea. Steps up patrols by its coast guard and navy, recommences harassment of fishermen and its blockade of the BRP Sierra Madre, a former naval vessel run aground by the Philippines on the Second Thomas Shoal. China leaves UNCLOS, unwilling to accept the constraints of international law when it clashes with its own interests. What it means: None of these actions would necessarily trigger a major response from the U.S., which has set itself up as policeman of the waters. But it may step up its military patrols, perhaps in conjunction with vessels from other navies. French Defense Minister Jean-Yves Le Drian in June called for joint European patrols of Asian waters. Assertive China starts building on Scarborough Shoal, which it seized from the Philippines in 2012. Radar, aircraft or missiles based there could reach Manila and bases in the Philippines to be used by U.S. forces. It also turns existing artificial islands into mini-bases by finishing runways, expanding port facilities and installing offensive missile systems and troops. Drags the Sierra Madre off its position on the Second Thomas Shoal, as suggested in a June editorial by the Peoples Daily. Specifies the coordinates of its nine-dash line, declares it to be a territorial demarcation boundary and solidifies its claim for all the waters, resources and features that lie within it. What it means: This would put the U.S. in a difficult situation. Defense Secretary Ash Carter said in June the U.S. -- as an ally of the Philippines -- would take action should China build on Scarborough Shoal, without elaborating. But the U.S. has not followed through on previous "lines in the sand in other parts of the world, including Syria, and it probably has no interest in picking a real fight thousands of miles from its own coastline. Washington could face a disastrous choice, says Hugh White, a professor of strategic studies at the Australian National University in Canberra. Either it backs down, thereby acknowledging Chinas growing regional ascendancy and the corresponding decline of U.S. leadership, or it launches into an armed clash which might well escalate into a major conflict. A Dallas police officer hugs a man following a prayer circle after a Black Lives Matter protest following the multiple police shootings in Dallas, Texas, U.S., July 10, 2016. The U.S. military veteran who fatally shot five Dallas police officers was plotting a larger assault, authorities said on Sunday, disclosing how he also taunted negotiators and wrote on a wall in his own blood before being killed. Micah X. Johnson improvised instead and used "shoot-and-move" tactics to gun down the officers during a demonstration on Thursday evening, Dallas Police Chief David Brown told CNN. It was the deadliest day for U.S. law enforcement since the Sept. 11, 2001, attacks. Brown said a search of Johnson's home showed the gunman had practiced using explosives, and that other evidence suggested he wanted to use them against law enforcement. "We're convinced that this suspect had other plans," he said, adding that last week's fatal police shootings of two black men in Minnesota and Louisiana led the 25-year-old Texas shooter to "fast-track" his attack plans. Johnson, a black veteran who served in Afghanistan, took advantage of a spontaneous march that began toward the end of the protest over those killings. Moving ahead of the rally in a black Tahoe SUV, he stopped when he saw a chance to use "high ground" to target police, Brown said. Before being killed by a bomb-equipped robot, Johnson sang, laughed at and taunted officers, according to Brown, telling them he wanted to "kill white people" in retribution for police killings of black people. "He seemed very much in control and very determined to hurt other officers," the police chief said. Surprise attack Brown said police had been caught off guard when some protesters broke away from Thursday's demonstration, and his officers were exposed as they raced to block off intersections ahead of the marchers. Johnson's military training helped him to shoot and move rapidly, "triangulating" his fire with multiple rounds so that police at first feared they were facing several shooters. Brown defended the decision to use a robot to kill him, saying that "about a pound of C4" explosive was attached to it. He added Johnson scrawled the letters "RB" in his own blood on a wall before dying. "We're trying to figure out through looking at things in his home what those initials mean," the police chief said. The U.S. Department of Defense and a lawyer who represented Johnson did not return requests for information on his military history or the status of his discharge. Several members of Johnson's former Army unit, the 420th Engineer Brigade, exchanged comments on Facebook. "Makes me sick to my stomach," wrote one, Bryan Bols. Speaking at a local hospital, wounded mother Shetamia Taylor sobbed as she thanked police who shielded her and her son as the bullets began to fly. At the Cathedral Shrine of the Virgin of Guadalupe in downtown Dallas, Roman Catholic parishioners gathered on Sunday for their weekly service and to remember the fallen officers. A Dallas police officer bows her head at the Joy Tabernacle A.M.E. church during Sunday service following the multiple police shootings in Dallas, Texas, U.S., July 10, 2016. "I would like you to join me in asking: 'Who is my neighbor?'" the Rev. Eugene Azorji, who is black, told the congregation. "Those who put their lives on the line every day to bring a security and peace, they represent our neighbor." A candlelight vigil is due to be held at 8 p.m. on Monday in Dallas City Hall plaza. Protests and arrests The mass shooting amplified a turbulent week in the United States, as the issues of race, gun violence and use of lethal force by police again convulsed the country. Even as officials and activists condemned the shootings and mourned the slain officers, hundreds of people were arrested on Saturday as new protests against the use of deadly force by police flared in several U.S. cities. Particularly hard hit was St. Paul, Minnesota, where 21 officers were injured as police were pelted with rocks, bottles and fireworks, officials said. Protesters faced off with police officers wearing gas masks on Sunday evening in Baton Rouge, Louisiana. Three countries have warned their citizens to stay on guard when visiting U.S. cities rocked by the protests. Speaking in Madrid during a European tour, U.S. President Barack Obama said attacks on police over racial bias would hurt Black Lives Matter, a civil rights movement that emerged from the recent police killings of African-Americans but has been criticized for vitriolic social media postings against police, some of them sympathetic to Johnson. "Whenever those of us who are concerned about failures of the criminal justice system attack police, you are doing a disservice to the cause," the United States' first black president told a news conference. The Medium Extended Air Defense System (MEADS) is pictured during a presentation at European Defense Group MBDA in Schrobenhausen, near Ingolstadt, Germany, June 25, 2015. Germany may not finish negotiations with Europe's MBDA and Lockheed Martin Corp on the MEADS missile defense system by the end of the year as planned, according to a document sent to lawmakers by a senior German defense ministry official. The document, dated July 8 and sent by State Secretary Ralf Brauksiepe, said it was unclear if the project could be submitted to the parliamentary budget committee by the end of this year, or if it would slip into early next year. A copy of the document was seen by Reuters. Company officials told Reuters last month they hoped to submit a final contract proposal for the Medium Extended Air Defense System (MEADS) by the end of July and wrap up negotiations on the $4.5 billion project by year's end. Lockheed officials were not immediately available to comment on the ministry document. Experts say it may be difficult to win parliamentary approval if negotiations drag on too long too close to Germany's national elections in September 2017. Tobias Lindner, a member of the Green party, said the delay made him question if the ministry had fully understood the risks involved in the project. Germany announced last year it had chosen the MEADS system over Raytheon Co's Patriot system to replace the current Patriot system fielded in the 1980s, but said the companies had to meet demanding performance milestones to retain the contract. Germany funded a quarter of the $4 billion invested by it, the United States and Italy to develop the new system as a successor for the Patriot system. The U.S. military, citing financial pressures, decided several years ago not to buy the system, while Italy has not yet announced its plans. European missile maker MBDA is jointly owned by Airbus Group, Britain's BAE Systems Plc and Italy's Leonardo Finmeccanica SpA. Raytheon, a candidate to build a separate radar system that would be integrated with the MEADS system, says it is staying in close touch with the German government in case the MEADS consortium fails to meet the milestones set by the government. A wreckage of a Sudan People's Liberation Movement armored personnel carriers (APC) is seen abandoned after it was destroyed in renewed fighting in Juba, South Sudan, July 11, 2016. Heavy fighting involving tanks and helicopters raged in South Sudan on Monday between troops loyal to the president and those backing the vice president, risking a return to civil war and further instability in a turbulent and poor region of Africa. Clashes between the forces of President Salva Kiir and Riek Machar - the former rebel leader who became vice president under a deal that ended a two-year civil war - have killed hundreds of people since they broke out in the capital Juba four days ago. The violence intensified early on Monday. A Reuters witness saw two helicopters overhead firing in the direction of Machar's headquarters, while residents reported tanks on the street. A United Nations official said heavy gunfire had erupted around U.N. bases where hundreds of civilian had fled to shelter. The fighting broke out last week as the world's newest nation prepared to mark five years of independence from Sudan at the weekend. The U.N. Security Council on Sunday demanded Kiir and Machar rein in their forces and end the clashes. It was not immediately clear what the objective of either side was, but the violence has raised fears of a return to the civil war that erupted in late 2013 and broadly ran along ethnic lines, pitting Kiir, an ethnic Dinka, against Machar, a Nuer. The conflict killed thousands of people, forced more than 2.5 million people from their homes and left almost half the population of 11 million people struggling to find food. Oil production, by far the biggest source of government revenue, has plummeted. A new flare-up risks driving yet more people to refugee camps in neighboring nations and further destabilizing a region in the center of Africa already plagued by myriad woes. Central African Republic is riven by conflict, the eastern region of the Democratic Republic of Congo is contending with a patchwork of militias and rebels groups, and Burundi is embroiled in a violent political crisis. Laying the blame Much is not clear about the latest violence in Juba, where mobile communication is unreliable and officials have proved difficult to contact. This includes whether either side is gaining an upper hand or how much control Kiir and Machar have over their forces. South Sudanese policemen and soldiers stand guard along a street following renewed fighting in South Sudan's capital Juba, July 10, 2016. Machar blamed the president for a heavy helicopter bombardment of his forces on Monday. "This tells (us) that our partner is not interested in peace," he wrote on Twitter, but he also said he had not lost hope for the future of a nation that "needs all of us". "I urge calm and restraint throughout these skirmishes. I'm safe. No one should take laws in their own hands to destabilize this country," he added. There was no immediate comment from Kiir's side. On Sunday, the president's information minister, Michael Makuei, had said the situation was under control and urged people to return home. There has been no official death toll but at least five soldiers died on Thursday and a Health Ministry source said 272 people, including 33 civilians, were killed on Friday alone. United Nations mission UNMISS said gunfire had erupted on Monday around the U.N. headquarters in the Jebel area of Juba and also around a base near the airport. U.N. bases were hit by small arms and heavy weapons on Sunday. China's foreign ministry said two Chinese peacekeepers had been killed. The second died from wounds later after both were caught in attacks over the weekend, a U.N. source said. "We urge an end to these hostilities and hope they (political leaders) will return back to taking up all the action points of the peace agreement," UNMISS spokeswoman Shantal Persaud told Reuters by telephone. UNMISS said it was "outraged" by the violence. Looting Highlighting lawlessness on Juba's streets, one resident said he saw police officers trying to loot a shop in his neighborhood by shooting off the padlocks and firing in the air to scare away people, echoing similar reports by residents on Sunday. South Sudan's independent Eye Radio quoted an army spokesman saying any soldier found stealing civilian property or looting would be arrested, and shot if they resisted. General Dau Aturjong, a senior military officer in the armed opposition faction of the Sudan Peoples Liberation Movement (SPLM-IO) under the leadership of the first vice-president, Riek Machar, addresses a news conference after he defected to SPLA loyal to President Salva Kiir in South Sudan capital Juba, July 10, 2016. The U.N. Security Council, after Sunday's emergency meeting, told the two leaders to "do their utmost to control their respective forces, urgently end the fighting and prevent the spread of violence" and commit themselves to their peace deal. Attacks on civilians, U.N. personnel and U.N. premises might amount to war crimes that would need investigation, it said. Kiir and Machar have long been rivals, both in politics and on the battlefield. The civil war that erupted in December 2013 came a few months after Kiir sacked Machar as his deputy. The pair signed a peace deal in August 2015, but then spent months wrangling over details. Machar finally returned to Juba in April, at the time seen as a step toward cementing peace. But experts say the failure to swiftly implement key elements of the deal, such as integrating and demobilizing their forces, has allowed tension to fester and risked igniting a new conflict. Neighboring Kenya has urged South Sudan's leaders to end the clashes. The chairman of ceasefire monitoring group the Joint Monitoring and Evaluation Commission, Festus Mogae, called for the peace deal to be implemented. But through the two-year civil war world powers and regional states struggled to find leverage over the warring factions, despite U.S. and European sanctions on some military leaders and African threats of punitive actions.A wreckage of a Sudan People's Liberation Movement armored personnel carriers (APC) is seen abandoned after it was destroyed in renewed fighting in Juba, South Sudan, July 11, 2016. An exit of Seoul's Gangnam station is turned into a shrine for a 23-year-old woman who was stabbed to death by a stranger in a nearby public bathroom The suspect in a murder of a young woman in Seoul's upmarket Gangnam district was indicted Sunday, in a case that triggered a public outcry over fears of violent misogyny in South Korea. Hundreds of mourners attended a candlelight vigil after the 23-year-old victim was stabbed to death by a stranger at a public bathroom in May. Police have said the murder suspect, a 34-year-old man identified only by his surname Kim who remains in custody, had told them he carried out the attack because he felt "belittled by women". Prosecutors said Sunday they believed a key trigger behind his murder of a random female victim happened two days before the killing, when the suspect said a cigarette butt tossed by a passing woman had landed on his shoe, Yonhap news agency reported. The suspect told prosecutors women had caused him a lot of stress, according to Yonhap. Kim was diagnosed with acute schizophrenia in 2009 and had been repeatedly admitted to hospital. However, he had stopped taking medication in January when he discharged himself. Seoul Central District Prosecutors alleged Sunday the suspect murdered the woman because he suffered from an untreated mental illness, Yonhap reported. Prosecutors added their investigation showed Kim was mentally unstable at the time of the murder and should have been in hospital. But they said it was "difficult" to categorise the murder as a hate crime against women. "The defendant does seem to have hostility against women, but there was no evidence of him holding indiscriminate prejudice against women," an unnamed prosecutor was quoted by Yonhap as saying. However, prosecutors said Kim had showed no signs of remorse or guilt. South Korea generally has a low violent crime rate, but cases of sexual assault have increased in recent years and women's groups argue that entrenched gender discrimination -- rooted in a strong Confucian tradition -- is a prime cause. A subway station exit near the scene of the stabbing was turned into a mini shrine by thousands of mostly young South Koreans leaving piles of flowers and covering its outer walls with messages written on post-it notes. The murder and public reaction received wide media coverage, with the mass circulation JoongAng Daily running an editorial entitled "Women at Risk". In 2013, President Park Geun-Hye directed the police to focus on combating four "social evils" -- the first two being sexual violence and domestic violence. Pope Francis gestures as he speaks during a special Jubilee audience with 'vulnerable' pilgrims from the French dioceses of Lyon in the Pope Paul VI hall, at the Vatican, on July 6, 2016 Pope Francis issued a decree Saturday aimed at boosting transparency over Vatican finances, which have been under scrutiny since leaks of secret documents revealed serious irregularities that may have masked corruption. The move follows months of tension between the body charged with managing the Holy See's assets and the Vatican's powerful economy ministry. The Argentine pontiff's "motu proprio" reverses a 2014 bill which had transferred the competencies of the authority in charge of the Vatican's real estate -- known as ASPA -- to the Secretariat for the Economy, headed by finance czar Cardinal George Pell. It essentially left Pell's department both in charge of managing the assets and keeping watch over asset management. "It is of the utmost importance that bodies responsible for vigilance are separated from those that are being overseen," Francis said in the new document published by the Vatican. The decree stresses that the Catholic Church's "earthly assets" are "intended for divine worship, the honest maintenance of the clergy, the apostolate and works of charity, especially in service of the poor". It emphasises "the need to pay the utmost attention to ensuring the administration of economic resources always serves these ends". It comes two days after a prelate and PR expert were found guilty of playing a role in leaking documents which revealed gross financial mismanagement within the Vatican bureaucracy that Francis inherited three years ago. The heads of Mehr Iran, Mellat, Refah and Saderat banks have been replaced on the orders of the economy minister for receiving unconventional salaries and loans. Among the most striking revelations was that fewer than 20 percent of donations made by believers around the world under the Peter's Pence scheme ended up being spent on good works. The rest was swallowed up by the Vatican bureaucracy, reportedly helping to subsidise the luxurious lifestyles of certain cardinals. Vatican expert Andrea Tornielli, writing in La Stampa's Vatican Insider supplement, said Francis had made a significant addition to the 2014 statute by including in his motu proprio the phrase "on the basis of the criteria established by the Superior Authority". "These words... reiterate the pope's role in assessing the transactions of greatest importance", limiting the reach of both Pell and Cardinal Domenico Calcagno, who is charge of APSA (the Administration of the Patrimony of the Apostolic See), Tornielli said. "Francis trusts in the 'mutual collaboration of superiors of the two dicasteries (Vatican departments) concerned,' in other words Pell and Calcagno," Tornielli said, describing the pontiff's reference to collaboration as "significant." The logo of Swiss bank UBS is seen on a building in Zurich, Switzerland December 19, 2012. Switzerland's politicians have done too little to protect the country's banks from demands for data from foreign governments, UBS Chief Executive Sergio Ermotti said in an interview published by the SonntagsZeitung newspaper on Sunday. Since the financial crisis, cash-strapped governments around the world have clamped down on tax evasion, with authorities investigating Swiss banks in Germany, France and the United States. But Switzerland's attempts to negotiate with other governments have not provided legal certainty or closed the book on issues of the past, Ermotti said. "This is unacceptable and opens the door for a new offensive against Swiss banks," he told the paper, adding that the government had been too ready to hand over customer data and that it is perhaps too late to get a better deal after years of negotiations. "On some issues, the train has left the station," he said. Last week UBS said it had been ordered by Switzerland's tax agency to provide France with tax information and it expected other countries to file similar requests. CEO Sergio Ermotti of Swiss bank UBS smiles before an annual news conference in Zurich, Switzerland February 2, 2016. The request related to current and former French-domiciled clients and was based on data from 2006 and 2008, the bank said. Switzerland's tradition of banking secrecy has helped to make it the world's biggest offshore financial center, with more than $2 trillion in foreign wealth kept with the country's banks. In 2014 French authorities placed UBS under formal examination over whether it had helped clients to avoid tax and investigating judges ordered the bank to provide bail of 1.1 billion euros ($1.22 billion). UBS was forced in 2009 to pay a fine of $780 million and deliver the names of more than 4,000 clients to avoid indictment, providing U.S. authorities with information that allowed them to pursue other Swiss banks. The long, long election campaign took its toll of human attention spans. But ornithologist and creative photographer Geoffrey Dabb saw and overheard a Canberra pair of Satin Bowerbirds taking a keen interest. They, the feathered political scientists, even noticed a lack of lustre in the plumage of the two major parties, an observation since borne out by the results. One in four voters spurned the lacklustre major parties in exactly the way in which a peahen rejects peacock suitors whose displayed tail feathers lack the gloss of radiant health. Presentation of a bike to the top paper boy at Johnstone's newsagents in Northbourne Ave City in 1974. Credit:Canberra Times The astute birds noticed, too, the relatively lustrous plumage of a politically healthy Nick Xenophon (whose black hair is always uncannily lustrous anyway, almost blue-black like a raven's, without a trace of grey). We wondered if there might even be a LEGO Nick Xenophon or Pauline Hanson at this year's looming seventh Brick Expo, but those expectations seem to have been (as the tabloids might put it) hosed down. Instead, though, Expo spokeswoman Mel Bezear is promising that star exhibits will include a 15-metre replica of San Francisco's Golden Gate Bridge. Next to Normal. Music by Tom Kitt. Book and Lyrics by Brian Yorkey. Directed by Kelda McManus. Musical director Rhys Madigan. Choreography by Annette Sharpe. Phoenix Players. ANU Arts Centre. Until July 23. phoenix.contact.com.au or 6253 1454. Next to Normal might be billed as a musical about modern mental health issues and it certainly has a lot to say about medical attempts at treatment. But it seems also to be echoing older tropes about families and madness. Hamlet comes to mind and Eugene O'Neill may not be very far away. From left, Joel Hutchings, Janelle McMenamin and Grant Pegg in Next to Normal. Credit:Michael Moore It's about a modern American family beset by mental illness, death and dysfunction. Mother Diana (Janelle McMenamin) is in a long battle with mental illness which has repercussions for her daughter Natalie (Kaitlin Nihill) and husband Dan (Grant Pegg). But she is also dealing with a medical profession that at times seems just as clueless as the court of Denmark is about Hamlet. It doesn't matter if the doctor is Dr Fine or Dr Madden (Joel Hutchings radiating bearded medical earnestness in both roles). Diana continues to deal with delusions and mood swings that make family life very difficult as she searches for treatment that works. She hardly notices that her daughter is being courted by the gentle Henry (Daniel Steer) or that her husband seems to have put his whole life on hold. Then there's the question of her son Gabriel (Will Huang). After four decades in the Civil Service, Sir John Chilcot is not given to strong emotions. But his Iraq report lapses into exasperation when he considers Tony Blair's failure to prepare for the invasion's aftermath. "The planning and preparations for Iraq after Saddam Hussein were wholly inadequate," says Sir John. He is particularly struck by the fact that Britain ended up running four provinces without so much as a "ministerial decision". Even before Sir John delivered his verdict, almost everyone who joined the Iraq debate thought they knew one thing: the failure of America and Britain to plan for life after Saddam was a central reason for the country's descent into turmoil. The first seeds of today's catastrophe were sown by the absence of a masterplan. As someone who witnessed the looting that engulfed Baghdad after Saddam's downfall, I am not so sure. The truth may be worse: even if America and Britain had drawn up the most elaborate, skilful and lavishly funded plan in history, Iraq today would probably look much the same as it does now. By the time the dictator was toppled his forces had largely dissolved themselves. Credit:Jerome Delay Sir John's mistake is to assume that there was a solution to the problem of how to occupy and rebuild Iraq after Saddam. He thinks that Mr Blair's government failed to find the answer because it did not look carefully enough at the problem. I think the reality is even more sobering. The whole business of two Western powers occupying and governing Iraq was doomed to fail from the outset. True enough, the situation would have been less terrible if America and Britain had possessed a proper plan. Yet the fundamental problem was not the absence of preparation, but the nature of the enterprise. I happened to arrive in Baghdad on April 11, 2003, less than 48 hours after Saddam's statue had been pulled down. All around, thousands of Iraqis were busily engaged in pillaging their capital. The streets were thronged with looters, laden with whatever they had managed to steal. Saddam's old palaces were stripped bare and that was understandable but so were schools, ministries, hotels, police stations, army bases and government offices. Just about everything that Iraq needed to rebuild itself was pulled to pieces with terrifying speed. The lawns of Canberra's Parliament House have been damaged in an apparent political protest during the federal election. Lawn on the House of Representatives side of Parliament House, adjacent to the building's central forecourt, has been covered with tarpaulins after vandals used an unidentified chemical to write political messages on the grass. Restoration efforts are under way, making the messages difficult to read, but one appeared to include references to "hemp" on Monday. taxslave said: All government employees are paid more than they earn. Click to expand... Some are, some aren't, ts. If you consider, as I do, that our Armed Forces are in reality government employees they then fall into the latter category being highly underpaid both while serving and after retirement.However, when it comes to bureaucrats in general, I am a great believer in the Peter Principle which implies that a great many are very probably overpaid considering their inadequacies for the position they hold. Paedophiles who target children with disabilities escape punishment because authorities view the victims as incompetent or unreliable, a royal commission has heard. A woman whose teenage daughter allegedly suffered a horrific assault at a school for children with intellectual disabilities in Sydney's south west told a public inquiry the case was never investigated properly and the suspect remains a free man. Children with disability are at higher risk of being sexually abused. Credit:Getty Images The woman, given the pseudonym CIC, told the Royal Commission into Institutional Responses to Child Sexual Abuse her then 14-year-old daughter was allegedly raped by a staff member at the Mater Dei School in Camden in 1991 but her complaints went nowhere. "It is so easy for people in positions of trust to abuse children under their care because they know a disabled child may not be able to communicate and report their abuse as easily as others can," she said. A northern beaches police officer allegedly assaulted a prisoner in a cell and then told his colleague, "I shouldn't have gone in there", a Sydney court has heard. Leading Senior Constable Shaun Moylan, 41, is charged with assault occasioning actual bodily harm after he allegedly twice pushed a man in a Dee Why police station cell in April last year. Senior Constable Shaun Moylan (right) allegedly punched a man in a northern beaches police station. Credit:Melanie Kembrey The prisoner Mark Adamski, who had been taken into custody earlier in the evening, allegedly hit his head on the back of the cell wall both times. Officers who where working at the station with Senior Constable Moylan at the time of the alleged assault gave evidence at a local court hearing in the Sydney Downing Centre on Monday. Rescuers have found a man whose car broke down in the Tallaganda State Forest near Captains Flat on Sunday. The 25-year-old man called police about 6pm to report he was lost and his four-wheel-drive had broken down in the state forest close to two-and-a-half hours south-east of Canberra. The man was believed to still be with his vehicle with access to water. Police, State Emergency Service and Rural Fire Service volunteers searched for the man until the early hours of Monday morning. The search began again about 8am Monday. A Whitsunday jetski tour group had a "once in a lifetime" encounter on Friday when a baby humpback whale decided to stop by and say hello just centimetres away from the tourists. Whitsunday Jetski Tours were taking a group of jetski riders out to Daydream Island when tour guide Ian Wilson spotted a blowhole in the distance. He told everyone to shut down their engines, including 19-year-old Travis Poland who was on the tour with his friend Ryan, who was visiting from South Africa. Just 10 minutes later the young inquisitive humpback whale was within 60 metres of the group. Leaked documents reveal the department's settlement offer, conditional on the carers signing a confidentiality clause, was for the installation of infrared security cameras and motion detector flood lighting worth more than $4000. The major security breach has prompted the Department of Health and Human Services to undertake a risk assessment in consultation with police, and it has offered to pay for a sophisticated security system at the carers' home in country Victoria. A father jailed for violently attacking his sons was accidentally handed a confidential court report by Victoria's child protection agency containing the exact location of the other children removed from his care due to abuse and neglect. The carers had asked for compensation and financial assistance to move to a new rental property in the event the father came to their home, but the department rejected their request. "The department does not agree to cover the cost of relocation should you move from your current residential address in the event [the father] attended your home," a DHHS senior staffer wrote. "In the event [he] attends your current residential address, the department will investigate the matter and take the necessary steps to ensure the safety of the children and yourselves." Fairfax Media has confirmed DHHS gave the father a confidential Children's Court file that included the carers' home address and phone number as well as the names and mobile numbers for the two traumatised children's counsellors and doctor. The children are on an undisclosed placement, meaning the father was not allowed to know where they lived. Undisclosed placements are ordered when a child's safety is in jeopardy or when caregivers are at risk of violence from parents. Often their mail is redirected through their foster care agency. The father, who has a number of children who have all been under state care, is described in DHHS documents as a man who relies on physical punishment for discipline. He has not seen the children in question for years but is fighting an application for them to be placed with the foster carers long-term. He has previously been convicted for violent assaults against his children. The boyfriend of a woman whose baby died in Gippsland has been charged with murder in the sixth suspicious baby death in the past year. It is also the second death of an infant in the small coastal town of Paynesville. Jason Noy has been charged with the murder of his girlfriend's 11-month-old baby. Credit:Facebook Just over a year ago, a newborn was found dead in a house a block away from the latest incident. Last Tuesday night, emergency services were called to a report of an unresponsive baby at a home in Digney Street, Paynesville. Multiple shots were fired at a South Melbourne gym on Monday morning. Victoria Police spokeswoman Leonie Johnson said staff arrived at a Whiteman Street gym to find a number of shots had been fired into the side of the building and roller door shortly before 6.30am. The Platinum Athletic Fitness gym opened recently. The gym, Platinum Athletic Fitness, was closed at the time of the incident. "We came into work at 5am and discovered some senseless violence had taken place at the back of our business," Shane, the gym's owner, said. Police are hunting a man who pretended to be sifting through a woman's hard rubbish pile before he pushed her into his car and sexually assaulted her. A 50-year-old woman was approached by a man who was collecting hard rubbish on North Road in Lilydale back on Wednesday 27 January. Detectives have released a facial composite of a man they believe may be able to assist with their enquiries. The pair struck up a conversation and the man said he collected and sold hard rubbish. The man forced her into a grey 4WD and drove to a nearby street where he sexually assaulted her. The offender then drove the woman home where she raised the alarm. Sexual Crime Squad detectives are appealing for witnesses. The offender is aged between 50 to 60-years-old, is about 175cm tall and has an olive complexion. He spoke in broken English with a European accent and was wearing navy overalls. Anyone with information is urged to contact Crime Stoppers on 1800 333 000. A 15-year-old boy has been taken to hospital and two police cars have been damaged after a dramatic car chase that started in Point Cook in Melbourne's west and ended an hour later in Carlton. Police spotted the four teenagers in a stolen silver car about 12.20am on Sanctuary Lakes Boulevard in Point Cook on Monday, following them for an hour before intercepting the car. Ranjana Sharma looks out of the window through which her home in Williams Landing was invaded overnight. Credit:Darrian Traynor Police stopped the Nissan in Palmerston Street, Carlton, at about 1.20am and arrested the four teens in relation to an alleged aggravated burglary and the theft of the car. Two police cars and the Nissan received minor damage during the intercept. PLATTSMOUTH Several area residents were sentenced in Cass County District Court Monday for their involvement in separate drug cases. The first case involved Omaha resident Anthony W. Brammer, 21. Brammer pled guilty to a Class IV felony charge of possession of controlled substance-methamphetamine. He requested to proceed to immediate sentencing following his plea. Deputy County Attorney Richard Fedde told the court law enforcement transported Brammer to Cass County Jail on a warrant in December. Authorities searched Brammer and found a small baggie that contained 1.531 grams of a substance. The state crime lab tested the substance and determined it was methamphetamine. Brammer was transported from the Nebraska Department of Corrections for Mondays hearings. He is serving a three-year sentence for a felony charge of possession of deadly weapon by a prohibited person. He was convicted of that charge in Douglas County District Court earlier this spring and began serving his sentence in April. Judge Jeffrey Funke sentenced Brammer to a one-year term in state prison for the Cass County methamphetamine charge. Brammer must serve at least nine months in a post-supervision release program after he completes his time in state prison. The second case involved Plattsmouth resident Peggy S. Dormer, 51. Dormer pled guilty to one Class IV felony charge of possession of controlled substance-methamphetamine in May. She appeared in court Monday for a sentencing hearing. Plattsmouth police officers and Cass County Sheriffs Office deputies received information about drug-related activity at Dormers residence in August 2015. They conducted a search warrant at the property and located methamphetamine-related items there. Deputy County Attorney Steven Sunde told the court the state was concerned because Dormers actions affected other members of her family. He asked the court to impose Specialized Substance Abuse Supervision (SSAS) requirements on Dormers probation. Funke agreed with the states request. He ordered Dormer to serve 36 months of SSAS probation and complete 50 hours of community service. She must also pay all court costs within one year and complete a cognitive behavior therapy program. The third case involved former Plattsmouth resident Joshua C. Warga, 27. Warga pled guilty to one Class I misdemeanor of attempted possession of controlled substance-methamphetamine in May. He appeared in court Monday for a sentencing hearing. Plattsmouth police officers and CCSO deputies conducted a search warrant at Wargas former residence in August 2015. Warga was taking a cellphone out of a pocket in his pants when a plastic baggie fell out at the same time. An officer located the baggie and retrieved it. The state crime lab identified a substance in the baggie as methamphetamine. Sunde told the court he would not be recommending probation because of Wargas criminal history. He said Warga had compiled driving under the influence of alcohol offenses in 2009, 2012 and 2013. He had also been charged with reckless driving, open container, procuring alcohol for a minor, second-degree forgery and shoplifting in the past seven years. Defense attorney Michael Ziskey said he believed Warga would be a suitable candidate for probation. He said his client had recently been promoted in a new job in Lincoln and had removed himself from his former living environment. Funke placed Warga on 24 months of probation. Warga must complete an intensive outpatient treatment program and cognitive behavior therapy sessions. He will also be required to complete 40 hours of community service and pay all court costs within one year. A BMW and Mercedes have been stolen from a Point Cook home after another home invasion in Melbourne's west. Police believe multiple offenders broke into the Pasadena Circuit home at around 3am on Tuesday, gaining entry through an unlocked garage door. A BMW and Mercedes have been stolen from a Point Cook home after another home invasion in Melbourne's west. A laptop, handbag and keys to the luxury cars were taken and the residents didn't realise they had been robbed until they heard the cars a blue BMW coupe and a white Mercedes sedan start. When they got downstairs, the home owners saw that both cars had been stolen. Three people have been shot dead and a police officer has been injured in a courthouse shooting in Michigan in the US, police say. The shooting occurred at the Berrien County Courthouse in the town of St Joseph, in south-western Michigan, about 2.30pm on Monday, local time. Berrien County Sheriff Paul Bailey said a man who had been in custody at the courthouse managed to get hold of a gun and opened fire, killing two court bailiffs. You can love Donald Trump. You can hate him. But what you cannot dispute is that the way he has run his campaign since sealing the Republican nomination two months ago has been absolutely disastrous. Campaigns are complicated things. No one gets every piece of them right. Some candidates are great at big rallies. Some are good only at small events. Some are terrific TV communicators but bad on the stump. Some delegate well, and others don't. Some never waver from a message, while others can't seem to find one with a 10-foot pole. It's a high-wire balancing act every day with tens of millions of people watching. But there are basic blocking and tackling elements of any campaign that are less complex - and absolutely necessary to do if you want to win. The most basic of all? If your opponent is having a bad day or a bad week, let them have it. Just get out of the way. Put This Story In Context Hydrogen Fuel Cell Vehicle Archive (Text, Video, Images and Databases) WASHINGTON, July 11, 2016 -- Hyundai and the U.S. Department of Energy (DOE) are extending their fuel cell vehicle confirmation program, originally from 2013 through 2015, to its second phase, from 2016 through 2017. The program involves Hyundai providing a number of Tucson Fuel Cell CUVs for daily use and confirmation by the DOE using existing hydrogen infrastructure. This phase of the program will make significant use of a newly-opened hydrogen refueling station in the Washington D.C. region. Phase one of the Hyundai/DOE program focused exclusively in the Southern California region, where the earliest hydrogen infrastructure existed. Phase two further expands the program's reach to Northern California, Washington D.C., Michigan and Denver. Phase two starts in July 2016 in conjunction with the opening of the newest D.C.-based hydrogen station. Tucson fuel cell vehicles will use this newest DOE-developed hydrogen station extensively. The Hyundai/DOE partnership effectively continues preparation for the rollout of fuel cell vehicles nationwide in the near future. Some key advantages of hydrogen fuel cells over battery-powered electrified vehicles is their quick refueling capability, longer range, more flexible vehicle size scalability and maintenance of range performance in colder climates. HYUNDAI MOTOR AMERICA Hyundai Motor America, headquartered in Fountain Valley, Calif., is a subsidiary of Hyundai Motor Co. of Korea. Hyundai vehicles are distributed throughout the United States by Hyundai Motor America and are sold and serviced through more than 830 dealerships nationwide. All Hyundai vehicles sold in the U.S. are covered by the Hyundai Assurance program, which includes the 5-year/60,000-mile fully transferable new vehicle limited warranty, Hyundai's 10-year/100,000-mile powertrain limited warranty and five years of complimentary Roadside Assistance. Hyundai Blue Link Connected Care provides owners of Hyundai models equipped with the Blue Link telematics system with proactive safety and car care services complimentary for one year with enrollment. These services include Automatic Collision Notification, Enhanced Roadside Assistance, Vehicle Diagnostic Alert, Monthly Vehicle Health Report and in-vehicle service scheduling. Now You Know: TEGNA, Gannett go separate ways as print spin off is completed (USA Today 6/29/2015) CHICAGO, July 11, 2016 -- Buying a car is one of the most significant purchases consumers make in a lifetime, so shoppers need to do their homework. Now, Cars.com is providing a study aid: The site is expanding its coverage with a TV segment that covers Car Shopping 101. To kick off one of the busiest car shopping days of the week, "Car Smarts" will air on Saturday mornings on more than 30 TEGNA Media stations across the country starting July 16, 2016. Cars.com is a part of TEGNA's digital portfolio. Combined, TEGNA Inc. reaches more than 90 million U.S. consumers each month across broadcast and digital media. "Tens of millions of people visit Cars.com every month looking at new and used vehicle inventory, researching deals and special offers, reading consumer reviews about dealerships and seeking car shopping advice from the experts," said Patrick Olsen, Cars.com's editor-in-chief. "There's an extensive library of content on Cars.com and text and images are still very important in the research process, but we know video is increasingly popular because it's quick and easy to consume. We already receive millions of views on our YouTube channel and 'Car Smarts' is just one more way to reach even more consumers and share our objective, trusted information on car shopping and ownership." According to a recent study by J.D. Power, 68 percent of vehicle shoppers reported that video viewed on third-party websites, like Cars.com, is "important" in their online shopping process.1 "We are excited to be working with our colleagues at Cars.com to deliver their award-winning editorial content to a wider audience," said Lynn Beall, executive vice president and chief operating officer of TEGNA Media. "These segments fit our mission to inform, engage and empower the people we serve across platforms. Cars.com is the leading destination for car shoppers and owners and these segments will provide an invaluable service to our audience." "Car Smarts" segments will be produced by Cars.com's in-house multimedia department, and will feature a number of experts from Cars.com's Editorial team, which has a combined 330 years of experience in automotive journalism. The 90-second episodes will cover topics such as car comparisons, ownership and maintenance tips, advice on selling a car and breaking automotive industry news that is important to car shoppers or owners. The segments have the potential to reach one-third of all U.S. households across TEGNA Media. "We hope that as consumers get ready to hit dealer lots over the weekend, Cars.com can help provide the advice and confidence they need to make one of life's biggest purchase decisions," said Olsen. For a list of stations and air times and to view all past "Car Smarts" episodes, visit www.cars.com/tv. ABOUT CARS.COM Launched in 1998 and owned by TEGNA Inc., Cars.com is a leading online destination that offers information from experts and consumers to help car shoppers and owners buy, sell and service their vehicles. With more than 30 million monthly visits to its web properties, Cars.com offers millions of new and used vehicle listings, expert and consumer reviews, side-by-side comparison, build and price tools, unbiased editorial content, service and repair resources, multiple options to sell a vehicle and much more. For more information, visit www.cars.com. ABOUT TEGNA TEGNA Inc. is comprised of a dynamic portfolio of media and digital businesses that provide content that matters and brands that deliver. TEGNA reaches more than 90 million Americans and delivers highly relevant, useful and smart content, when and how people need it, to make the best decisions possible. TEGNA Media includes 46 television stations and is the largest independent station group of major network affiliates in the top 25 markets, reaching approximately one-third of all television households nationwide. TEGNA Digital is comprised of Cars.com, the leading online destination for automotive consumers, CareerBuilder, a global leader in human capital solutions, and other powerful brands such as G/O Digital and Cofactor. For more information, visit www.TEGNA.com. 1 J.D. Power 2014 Third-Party Automotive Website Evaluation StudySM PLATTSMOUTH A Greenwood man admitted Monday that he had committed his third driving under the influence of alcohol offense in northwestern Cass County. Kevin L. Dougherty, 52, pled no contest to one Class W misdemeanor of DUI-third offense. He also pled no contest to one Class IV felony charge of driving under suspension while revoked from DUI. The state agreed to reduce its original Class IIIA felony charge of DUI-third offense-.15 or above in exchange for the pleas. Deputy County Attorney Colin Palm told the court emergency dispatchers contacted the Nebraska State Patrol on Oct. 24 for a report of a two-vehicle car accident. The trooper arrived at the accident scene east of Greenwood on Highway 6. Two victims told the trooper they were traveling eastbound on Highway 6 when their car was rear-ended by Doughertys vehicle. Palm said the trooper found Dougherty lying down in a ditch near the accident scene. Dougherty told the trooper he was in the ditch because he knew he was in trouble because of the accident. He also told the trooper he was tired and had too much to drink that day. The trooper smelled the odor of alcohol on Doughertys breath and saw he had difficulty maintaining his balance after leaving the ditch. The trooper arrested him after learning he had been driving without a valid operators license. Cass County Jail authorities administered a chemical breath test after Dougherty arrived at the facility. Palm said Doughertys blood-alcohol content level was above .200. Dougherty had been convicted of DUI in Cass County in both 2004 and 2006. His drivers license was revoked for 15 years following a conviction in March 2006. Dougherty remains free on bond. The court set a sentencing date of Oct. 3. Final test results returned Sunday morning from the Nebraska Department of Health indicate no bacteria or contaminants in Fremonts water supply. The (precautionary boil and bottled water) period has been lifted, said Brian Newton in a brief phone call Sunday Morning. The water is safe to drink. Residents may resume regular usage of water for drinking and cooking. The precautionary warning came just as thousands of people descended on Fremont for the annual celebration of John C. Fremont Days. Vendors arrived at their designated sites in JCF and other various parks around the city to discover their usual access to the water system had been cut. The situation create a slight crimp in operations for those vendors as they initially scrambled to make adjustments for other water sources (bottled) to accommodate their operations. However, vendors and festival goers endeavored in the face of inconvenience with the same enthusiasm that intrepid Pathfinder John C. Fremont most likely mustered up before each exploration into the unknown West. The numerous young faces hidden behind mounds of shaved ice, bottles of Root Bear or tall glasses of lemonade served as testament to that enterprising spirit. Mayor Scott Getzschman thanked residents and businesses for cooperating with the City's recommended boil warning over the past several days. "I know it was an inconvenience for many folks (especially over John C. Fremont Days), but the safety to the public was paramount. Fremont is known for great-tasting water and we didn't want to jeopardize our reputation by providing anything less than the best," Getzschman said. After suffering from several direct lightning strikes early Thursday morning, Fremonts water system lost pressure for about 30 minutes. When water pressure drops that low, the State recommends that community leaders issue a boil warning until the water is thoroughly tested. Testing Fremonts water represents a scrupulous and vital task for the employees with the Department of Utilities. State regulations require two sampling periods spaced 24 hours apart in order to confirm and ensure the accuracy of final result. Starting immediately on Thursday, DU official conducted a multitude of sample collections from 16 different designated water sampling zones in the city. From each zone a total of five samples were taken for a total of 80. Employees then transported those 80 samples to Lincoln for testing in the State certified lab a process that takes 24 hours. Those result were returned all clear Friday afternoon. However the precautionary period was not lifted at that time because of the State regulation mentioned above; a second set of 80 samples still need testing but DU officials were required (by the state) to wait 24 hours before sending the new samples to labs in Lincoln. The second set of water samples reached Lincoln Saturday morning. On Sunday the lab verified the results and returned the all clear on Sunday. All told, DU employees collected nearly 200 samples of Fremont's water since Thursday and drove them to the Department of Health in Lincoln for testing. DU General Manager, Brian Newton said he's pleased with the results. He said the decision to recommend boiling Fremont's water was not popular, but was absolutely necessary to protect the public until testing was complete. "Our employees take great pride in providing quality water and we want to keep it that way," Newton said. More information can be found at the City of Fremont website at fremontne.gov or the City of Fremont/Police Facebook pages. PARISThe reports circulating in the Swedish and international press are disturbing. On the weekend of July 2, gangs of "foreign youth" are reported to have sexually assaulted dozens of women and girls at two music festivals in Sweden. The incidents reportedly occurred at the Bravalla Festival in Norrkoping, and at Karlstad's free annual Putte i Parken (Party in the Park), where the groups of men reportedly raped five teens and young women and groped some 40 others, the youngest victim just 12 years old. "'It was creepy," one 17-year-old victim told the Daily Mail. "Someone stood around me and groped me and I had no idea who it was. It was sick." "They were probably immigrants," she added. "I hate to say it. But it is the truth." These incidents came in the aftermath of a major scandal about "immigrant" groping and sex assaults in Germany at the new year, and earlier incidents in Sweden as well. Indeed, the extent of the sex assaults in Germany, according to a police document leaked to the German press, may be even more stunning than originally thought, with as many as 2,000 young men involved in the aggression. But in both countries the balance between blinding political correctness and outright race-baiting has proved a difficult line for authorities to walk. Shortly after the Putte i Parken assaults, police also attributed the crimes to "foreign youths" in a statement posted on the Varmland regional police website. There is no doubt, the statement read, about who takes these liberties." The perpetrators are then named as "a gang of seven to eight boys belonging to the group of unaccompanied children," referring to the young male refugees who have arrived in the country without a parent or guardian. However, the statement was quickly removed from the site after police admitted that of the seven men arrested, only two were young men who resided in the type of home for troubled youth that often houses young refugees without parents. "The wording was unfortunate and we will take that to heart," the head of Varmland police, Lars Wiren, told Go teborgs-posten. "We should not generalize and point at a group like this. We should handle it on a case-to-case basis." The incident arrives on the heels of another sexual assault scandal back in January, when police came under fire for withholding information regarding nearly four-dozen complaints in 2014 and 2015 during a popular Stockholm music festival. In that instance, officials were accused of failing to publicly release crucial details surrounding the assaults, namely that the majority of those behind the attacks were believed to be young Afghan refugees. However, Reuters reported that the police documents the news agency reviewed did not, in fact, mention the perpetrators' ethnicity. The Swedish prosecutor's office ultimately decided not to open an investigation into the alleged cover-up, but the story created a firestorm in the media, and contributed to a debate as to whether identifying details about those accused of crimes should be made public in Sweden, where an alleged criminal's ethnic background is not typically released during police investigations or reported by the press. Responding to the January incident in an editorial published by the Swedish magazine Ma nsklig Sa kerhet, Martina Lindberg, a former lecturer on women, peace, and security matters at Stockholm's Swedish Defense University, notes a skewed gender imbalance among asylum seekers in Sweden, with an estimated two-thirds of refugees being male. However, she argues that while the Swedish government should acknowledge this imbalance and adapt appropriate integration measures, it should not allow individual sex crimes to form what she calls "the basis for a simplified approach to the asylum-seeking man." "Violence against women in the public sphere has been more or less constant in recent years," she wrote. "It seems as if the debate today depends more on who is assumed to be the perpetrator." Indeed, according to official statistics on file with The Swedish Crime Survey, the sexual violence rate in Sweden has remained about the same between 2005 and 2014. In fact, it actually decreased by .3 percent between 2013 and 2014. That said, the country has the highest rate of rape in Europe, a statistic that has been partially attributed to both Swedish law, wherein rape is given a wider definition than in other countries, as well as a higher tendency among women to report the crimes to the police. "It is much more complicated than the way the media are normally presenting it," Jerzy Sarnecki, a professor of criminology at Stockholm University, told The Daily Beast. "According to studies which I have done on general crime, most of the differences in recorded crimes between immigrants and Swedes are explained by socioeconomic factors. It doesn't mean of course, that one, a few, or several other incidents of that kind [sexual assaults perpetuated by immigrants] didn't happen. " The xenophobic fear of the "other" is not limited to Sweden, certainly. In the United States, presumed Republican nominee Donald Trump made headlines around the world after describing Mexican immigrants as "rapists." "One of the ghosts of all kinds of racial prejudices is allegations of sexual crimes against women," Sarnecki said, noting that in the 19th and 20th centuries many African-American men were executed based on (often unproven) allegations of raping white women. "It's an old, very well-used argument against immigrants." "Young men coming from the Middle East confronting the much more open way of behavior of Swedish women may have, from time to time, committed acts which are criminal," he added. "But then the whole discussion is extremely exaggerated because of the political dimension of it." In recent years, the Nordic nation of less than 10 million people has taken in thousands of asylum seekers, many fleeing the bloody civil war in Syria. More than 163,000 applications for asylum were received in 2015 alone double the amount submitted during the Balkan wars in the 1990s. With the influx of refugees, concerns have mounted regarding whether the country possesses the social resources to absorb so many newcomers. "It has been a very difficult autumn where our ability to handle the task has been tested to the absolute limit," the Swedish Migration Agency's Director-General Anders Danielsson said in a statement on the agency's website earlier this year. As Sweden has grappled with ways to successfully integrate the thousands of new immigrants, the country has seen a surge in support for the far-right Sweden Democrats party, which has called on leaders to keep refugees out. "Border controls are a step in the right direction but we want to see border closures, the partys spokesman for migration and citizenship, Markus Wiechel, told The Guardian back in November shortly after the terror attacks in Paris. As anti-immigrant sentiment has spread in the country, crimes targeting refugees have likewise increased. Dozens of asylum centers have been torched in arson attacks in recent months, and in late January around 100 masked demonstrators marched into downtown Stockholm, where they distributed leaflets that read: "Its enough now" and threatening to punish the "north African street children who are roaming around." The recent rise in support for the onetime fringe party and its hostile stance on immigration is a factor in why the police and the press were quick to jump to conclusions following the music festival attacks, Michael Williams, a founding member and vice-chairman of FARR, a non-profit network of refugee support groups told The Daily Beast. "I think a number of people have been influenced by the kind of lunge in Sweden over the last three or four years to an anti-immigrant party," Williams said, referring to the Sweden Democrats. "And people's prejudices can sometimes affect their professional judgment." The news of the festival assaults has provoked outrage in Sweden, and the British rock group Mumford and Sons, who performed at Bravalla, took to Facebook to say that they planned to boycott the event. "We won't play at this festival again until we've had assurances from the police and organizers that they're doing something to combat what appears to be a disgustingly high rate of reported sexual violence," the group wrote. In the meantime, Swedish Prime Minister Prime Stefan Lofven announced on Tuesday that the government will review the country's current sex assault laws and look into tightening them. Sarnecki said that sexual assault is often a crime of opportunity, and both he and Williams cite music festivals themselves, with their vast, tightly packed crowds and free-flowing booze, as places where sexual predators can operate with a lower risk of getting caught. Indeed, some of the victims in this instance were unable to identify their attackers, and, in such an environment, its not difficult for an assailant to grope his victim and then quickly melt away into the throngs of revelers. "There is a complex discussion emerging from this incorrect assignation [to refugees] about the frequency of sexual assaults in public places," Williams said. "I've heard middle-aged women saying that when they were in their teens this kind of behavior did take place, but at that time it wasn't openly mentioned." Susanna Udvardi, the director of the Southeast Skane Womens Shelter, who heads up a volunteer group that assists refugees with integration in Sweden, told The Daily Beast that men need to be educated to respect women from a young age, regardless of cultural background. Only then will incidences of sexual assault in the country, and elsewhere, diminish. She also pointed out that the "refugee-as-rapist" emphasis in certain press outlets also obscures the larger issue of sexual violence against women, whereby the victims themselves are forgotten and the pervasive global issue of sexual assault is minimized. "The focus in the media is wrong," Udvardi said. "The focus is not on the victim." She added: "There are idiots who rape women in every culture, not just refugees." There are. And if incidents of sexual violence are approached with the taint of pre-conceived prejudices rather than objective facts, the damage can be long lasting, not least of all to victims, who won't see justice if police nab the wrong guys. As for the ethnic communities of those accused, suspicions and fear of "the other" can linger even after the truth has come out. "When the policemen in Karlstad went out and said that these were unaccompanied minors, it was accepted as a proven fact for a number of days," Williams said. "And it's always the first headlines that people remember. They don't remember the corrected version." Watch out, Meryl Streep. Bryan Cranston, who transformed himself into President Lyndon B. Johnson on Broadway and then on HBO in All the Way, is ready to take on another presidential hopeful. Asked by the Today shows Carson Daly on Monday if there are any other historical figures he would like to play, the Breaking Bad star gave a sly smile and said, Id like to play Donald Trump at some point. Hes huge. Hes this Shakespearean character, this serio-tragic-comedic character, he continued. Who wouldnt want to take a bite out of that? Practically unprompted, Cranston, who was on the show to promote his new film The Infiltrator, launched into an uncanny Trump impression. Nailing the hand gestures and puckered duck-faced expression of the Republican nominee, he said, This I can tell you, it is yuge. More than a simple caricature artist, Cranston is an avid political junkie, as he has demonstrated both in interviews and on talk shows such as Real Time with Bill Maher. Earlier this spring, he gave Hillary Clinton some wise advice on how she can make sure she beats Trump in the fall. Hillary should stay focused on the issues and take the high ground so it shows greater contrast to her opponent, Cranston told Bill Maher. Dont get sucked into his energy. If you get sucked into that vortex, youll swirl down the toilet. Stay calm and stay confident. Even if Trump does not succeed in his quest to become president of the United States, there will no doubt be a biopic in the works about his unlikely rise. Assuming Meryl Streep is unavailable, Cranston seems like he just became the leading contender. Marvin Gaye always kept evolving as an artist: cool, confident, and unselfconscious, digging deeper for inspiration. In the early 60s, he was the prince of soul at Motown, blessed with classic good looks and a three-octave range. Part choir boy and part bad boy, Marvin rocked tuxedos and mohair suits from How Sweet It Is (To Be Loved By You) to his classic duets with Kim Weston and Tammi Terrell. The result was jukebox pop, a joyful noise. But innocence gave way to social unrest at the hinge of the late 60s and early 70s. Gaye rebelled against police violence, race riots, Vietnam, and losing his good friend Terrell to cancer. In 1971, he released the instant classic Whats Going On and with it he debuted a new look that communicated a new seriousness. The album cover shows Gaye in an empty playground in the rain, wearing a wide lapel leather jacket and suit: a gentleman concerned about the future, connected to reality. This was no time for fooling around. Marvin Gayes music endures, hitting notes from soul, jazz, rhythm and blues, and anticipating aspects of hip-hop, as hundreds of samples attest. But in a career of fearless change, his most enduring look came with the album cover 1973s Lets Get It On, an ode to sex with a side order of activism. This is the look that lives on, classic cool thats eternally hip: his snap-front western shirt, layered over an army green t-shirt, pale jeans, boots, and a knit beanie that a Brooklyn barista would immediately steal. Gaye looks authentically himself, not dressed for the camera but deeply comfortable in his own skin. And perhaps thats why this uniform of rebellion remains beloved to this day. Designers from Tokyo to Kansas City to New York work painstakingly to recreate these iconic pieces, because they are classics that act as a blank canvas, allowing different types of men to express their own style. Overdyed t-shirts, salvage denim, worn-in work boots are all classic items that allow men to get away with saying, I care about looking good, but I just threw this together. The look is comfortable but not precious, cool but unpretentious. Four decades later, in a decidedly more diverse America, weve still Got to Give It Up for Marvin Gaye. An officer shot during a traffic stop in a town near St. Louis, Missouri, was described as kind-hearted, outgoing, and a centerpiece of the police department by friends and colleagues. Michael Flamion was shot in the neck during a traffic stop in Ballwin, about 20 miles from Ferguson, on Friday, shortly after a sniper killed five police officers and injured seven others in Dallas, Texas. Flamion is expected to survive. Make no mistake, we believe... that [Flamion] was ambushed, period, St. Louis County Police Chief Jon Belmar said. It is an unfortunate state of events. Ballwin police chief Kevin B. Scott said in a video that Flamion was ambushed and shot. Officer Flamian is highly regarded by everyone in our department, Scott added, thanking the community for the outpouring of support. His sense of humor and professional demeanor make him a centerpiece of our department, Scott said. Mike is an outstanding officer whom the citizens of Ballwin should be proud to call their own. According to police, Flamion was followed and shot during a routine traffic stop on Friday. After taking down the information of a car hed stopped for speeding, Flamion returned to his police vehicle. At that point, authorities say, a man exited the vehicle and fired at the police officer three times. The suspect has been identified as 31-year-old Antonio Taylor, whose rap sheet includes weapons charges. He spent more than half of the last decade in prison and was on probation when he allegedly shot Flamion, FOX2 reported. Taylor fled the scene but was swiftly apprehended. He faces charges of assaulting a law enforcement officer, armed criminal action, and unlawful possession of a firearm. All of the charges are felonies, and his bail has been set at $500,000. A woman who lives near the scene of the shooting found the bleeding officer and offered him help. She held a towel to his neck wound until a nurse arrived to perform CPR. I tried to help the officer, the unidentified woman told the St. Louis Post-Dispatch. I just hope hes OK. Reached by phone, friends of Flamion told The Daily Beast they were waiting for the familys permission to release information about the officer. But a GoFundMe page set up by Flamions police department colleagues raised nearly $70,000 by Sunday afternoon. Flamion served with the De Soto police department for seven years before joining the force in Ballwin two years ago. While Mike was here, he was truly an asset to our agency and community; he is the type of man that would give you the shirt off his back, De Soto Police Department Chief Rick Draper said in a statement. In an interview with the Leader newspaper, Draper said that many of the De Soto officers remained close to Flamion after he left the department. This is someone were still close to. Hes a friend, hes someone weve shared beers with and laughs with, Draper said. Ive talked to my officers and stressed that this can happen anywhere, and that they have to stay vigilant. They have to be extra cautious when theyre making traffic stops. For the time being, were having two units respond to every call. We cant be too careful, he added. On Facebook, family members wrote that Flamion was in critical condition and really bad shape after the shooting. Friends posted about the officer as a kind and family-oriented man. Im sorry [youre] living out your truest nightmare, friend Erin Priester wrote to his parents. Mike you are lucky to have one of the strongest and largest support systems behind you. Im praying for your recovery. Chuck and Martha Ann Kaczmarczyk documented everything. Chuck was meticulous with his digital camera, snapping pictures of the crime scene every time he moved a gun or repositioned Robert McClancys corpse. Martha uploaded all the pictures to her computer and took McClancys military medals for good measureadding them to a growing collection of forged or pilfered documents. This was May 15, 2006. Martha was still married to Robert McClancy, who lay dead in his recliner, murdered with a lethal dose of his own post-traumatic stress disorder medication. Martha and her eventual second husband Chuck had killed him in a successful scheme to receive the Vietnam War veterans military benefits. On June 24, 2016, more than 10 years after the murder, Martha Kaczmarczyk was finally sentenced: 50 years in prison, the maximum sentence for what a Monroe, Tennessee, judge called the most heinous crime he had ever seen. And those who knew Martha and Chuck say the public doesnt know the half of it. Its been a very quiet case for them, Sean McGavic, Marthas adopted son from the first of three marriages, told The Daily Beast. I think the main reason it was so quiet all along for the last couple years was because they had gotten away with this murder. Until the late 2000s, in fact, the Kaczmarczyks had gotten away with nearly everything: social security fraud, impersonating veterans, suspected arson, confirmed theft. Chuck Kaczmarczyks criminal record is murky. Aside from a short stint in jail for stealing radios from two Air Force bases in 2000, charges against him never seemed to stick. Those who know him say his exploits are better traced through a trail of forged documents and mysterious fires that seemed to follow him for decades. Nobody trusted him, said Bill Walter, a retired Chief Master Seargeant who served with Chuck in the Air Force during the late 70s. He was shady back then. We always knew he was scammin on something, but you couldnt really put your finger on it. He loved to play games. Chuck never served in combat. He would pass out during training flights, and was quickly moved to a stateside role in the Air Force records division, Walter told The Daily Beast. But Chucks fixation with titles and valor seemed to linger. While working as a firefighter in 2001, Chuck was suspected of setting numerous fires, only to allegedly report them and lead the battle against them himself. Hes always wanting to be a hero, McGavic said. Thats how Chuck is. Chuck was brought up on arson charges, which were dropped, although a judge asked him to seek counseling to determine if he had a chronic arson problem. The judges suspicions appear to be correct. Chuck used arson to gain access to McClancys home and woo Martha in the couples early days, McGavic says. Robert McClancys Air Force friends called him Irish McClancy. In rural Tennessee, this group of veterans stayed close, attending reunions and mental health workshops. It was in one such PTSD management course where McClancy met Chuck Kaczmarczyk. According to doctors, Chuck had 100 percent PTSD. He had the papers to prove it: classified documents and valor awards from an alleged Air Force career in Vietnam. Veterans like Bill Walter, who had known Chuck in the military, thought the trumped-up war record was just a tall tale, the fantasy of a small-time solider. They didnt know Chuck was using their names to collect disability, or that he would soon conspire to kill one of their own. We had no idea that it wasnt just a bullshit story, Walter said. What he had done is he had actually made a bunch of fake records up, used some of our names, real names, real socials, real everything He was receiving like $3,200 a month in disability because of all that, because he had 100 percent PTSD. Get that. A hundred percent PTSD and hed never even been in combat. Wielding his PTSD status, Chuck worked his way into a six-week, live-in clinic sponsored by the Department of Veterans Affairs. Robert McClancy was one of the four other veterans in attendance. These men really had PTSD problems, McGavic says. But the course ended early, without a graduation ceremony. Chuck had begun disappearing without leave for multiple days at a time. When VA coordinators threatened to suspend the class if Chucks behavior did not improve, McClancy and the other veterans dropped out in solidarity with their supposed comrade. With the six-week course over early, McClancy invited the rest of the group over to his house. There, during a picnic dinner, Chuck met McClancys wife Martha. The fires started shortly after. Chuck had struck up a friendship with McClancy. They bonded over handywork and home improvement jobs. But many times Chuck would come by to report wildfires in the woods around the neighbors homes and their home, McGavic said. Its pretty apparent that it was Chuck. He was setting fires and then he would reappear and say I saw a fire in the woods. We need to go put it out. I used to be a fireman. *** Chuck became a common presence in the McClancy home, sometimes spending the night. Soon, Robert McClancy became seriously ill, and Chuck began ferrying him to doctor appointments with Martha. Theyd take Bob to the VA and say hes overdosing on his medication. We cant get him to quit taking all his medication at once, McGavic said. And Bob is saying, Im not taking any medication. I dont wanna take it. I dont wanna take it. Im not taking it. McClancy had a prescription for antipsychotic drugs, part of his long-term PTSD treatment. Chuck also had an antipsychotic prescription, obtained with other veterans war records. Slowly, over time, some combination of two drugs was introduced to McClancys food in increasingly dangerous doses. When medical examiners tested McClancys body, the veteran had two antipsychotic drugs in his system. One drug was present at four times its healthy dosage, a medical examiner testified during Marthas November 2015 trial. The other drug was present at 20 times the recommended amount. Police suspected Chuck and Martha from the beginning. Answering a confused 911 call from Martha, police arrived at her house on that May 2006 evening to find McClancy slumped in his recliner, a bottle of pills in one hand, a gun next to the other. The scene was both too perfect and seemingly physically impossible. McClancys body had been positioned at an unnatural angle as though he had been placed there as an afterthought. A nearby digital camera seemed to explain everything. Clicking through the pictures, police found shots Chuck had taken as he obsessively staged the scene of McClancys death. [Chuck] moved his body around a couple different places. Sometimes he would put a bottle of pills in one hand, and nothing in the other hand. He would put a revolver in one hand and nothing in the other hand, McGavic said. I think he enjoys documenting stuff like that, and going back and going over it. Hes a sicko. Hes a creep. But Chucks own meticulous documentation wasnt enough to indict him or Martha. A judge ruled the camera inadmissible as evidence, as police had handled it without a warrant. (In fact, police ended up literally paying for the nights events, after Martha won an out of court settlement claiming a sheriffs deputy had pushed her when she tried to reenter the crime scene.) Members of McClancys family had their own suspicions. McGavic learned of his stepfathers death the night before the funeral, when Martha called to say McClancy had suffered a heart attack. At the funeral, other family members said theyd heard it was a stroke. The discrepancies nagged at McGavic, who knew his mother to have her own complicated history with the law. Sean McGavic is unsure of his actual parentage. He knows he was adopted with almost no waiting period for a fee one-tenth of what it would have cost to adopt a baby boy at the time of his birth. He knows his adopted parents (Martha and a local Florida politician named Claude McGavic) opened an import-export company five months before his adoption and closed it two days after. He knows a one-armed, retired magicians publicist from New York included him in his will shortly before dying, and he suspectsthrough a series of clues too long to print in their entirety, but involving local Florida corruption case, a mysterious doll, and nearly $1,000 in DNA teststhat he might have been rescued as an infant from Argentinas Dirty War. As a child, McGavic felt his mother was seldom honest with him. Martha was manipulative, with a keen eye for legal loopholes and a powerful instinct for her own self-interest. When McGavic was hurt in a car crash and confined to a wheelchair for nearly a year, Martha won a settlement in her sons name and locked it away under a guardianship, which McGavic later sued to obtain. She divorced McGavics adopted father for Robert McClancy, absconding with three generations of McGavic family heirlooms. She hoarded everything, especially documentation. My mom is a document-keeper. Thats why she got caught, because she kept everything, McGavic said. When I go through my baby book, I have like eight pages of newspaper articles that are specifically placed, and they are of the Peoples Temple in Jonestown. The Peoples Temple, they were the ones where like 800 or 900 people drank the Kool-Aid and cyanide and they all committed mass suicide in Guyana. Ive got eight pages of articles in my infant baby book of this. *** In their own way, through a mutual yearning for documents and things that never belonged to them, Martha and Chuck were a perfect match. When McGavic moved from Florida to Tennessee with his wife and children in 2007, he didnt mean to move near his mother or her new husband Chuck. He didnt even know shed remarried after McClancys death, although Walter says the Kaczmarczyks likely eloped to Vegas five months after the murder. We met Chuck, and he seemed a little off, McGavic recalled of a meeting his new stepfather. I dont know how to describe it. He had just had cold in his eyes, maybe. A cold stare. The reunited familys relationship was patchy. Chuck and Martha always wanted to take McGavics two oldest children on weekend trips, a prospect that made McGavic and his wife uncomfortable. After a bad argument over the weekend trips, someone (and McGavic is certain it was Chuck) firebombed the office where McGavic and his father-in-law worked, nearly razing the building. Someone started calling the McGavic childrens schools, asking where the children went after classes ended. Neighbors reported a car driving around and around the McGavics cul de sac. McGavic learned that his mother kept life insurance policies on him and his adopted father, despite having divorced the elder McGavic 20 years earlier. But the largest shock came when McGavics wife worked out how to spell Kaczmarczyk, a name they had never seen in print. For some reason, I think on July 24, she happened to figure out how to spell their name and earlier in the day there was a story that broke on WBRR in the local news, McGavic said. They had been arrested for these eight federal indictments of stealing public money by pretending to be a war hero. This arrest was largely the doing of Bill Walter and other veterans, who had long suspected Chuck of faking his war record. If Chucks earlier claims of PTSD had seemed unlikely, his newest stories seemed fantastical. He had begun lecturing at colleges and ROTC programs, telling tales of his bravery during the Iranian Hostage Crisis, and other special operations in which he was completely uninvolved. And Martha began to join him, claiming that she served in the Air Force and earned a Purple Heart in the 9/11 Pentagon attack. In fact, her only Purple Heart was McClancys, which she presented as her own. My mom was just a master at secretarial work and creating documents and forging peoples names, said McGavic. She probably helped him get his paperwork a little better. Together on their mountain of forged titles, the Kaczmarczyks were living large, raking in at least $100,000 in false claim benefits. They split their time between luxury cruises and visits to the Department of Veterans Affairs, where they would share a wheelchair, McGavic says. One day Martha would play disabled and have Chuck wheel her to appointments. The next day theyd switch roles for Chucks checkups. Suspicious of the Kaczmarczyks wild spending, Walter and other veterans began documenting the couples most egregious claims. The groups eventually drew the attention of federal investigators, who slapped the couple with an eight-count indictment on charges of social security fraud and stealing public money in July 2012. Afraid of forfeiting her belongings to the state, Martha began turning over possessions to McGavic. She gave him clothes, and the baby books containing articles on cult suicides, and boxes of documents, and computers. They were for his children, she said. On these computers, which Martha was so adamant that McGavic give to his kids, he found the photos of his stepfathers murder. It was everything police had wanted six years previously, now finally admissible in court. When Martha went to trial in November 2015, McGavic testified against her. Bob was my kids grandpa, he said. I asked the judge for the maximum sentence. For years, the mens swimwear market had flatlined. The choices seemed to be either Euro-style Speedos or baggy, surfers swim trunks. In the last few years, though, men have started to look for the option of more tailored European cuts and are welcoming prints into their wardrobes. Brands like Thorsun, Onia, Solid & Striped, Faherty, Orlebar Brown, Retro Marine, and Charlie by Matthew Zink have flooded the market in recent years to meet this new demand. I felt there was a need for short that was tailored, but still sporty, versatile, and above all practical, says George Sotelo, founder of Thorsuns printed and solid shorts, which are produced in New York City. Sotelo came up with the idea for the brand when he was in Rio for Carnival and needed a short that could take him through the day in style. With deep front pockets that tilt forward when youre sitting down to prevent items from falling out, an internal hidden security pocket, and back zip pocket, the suits are practical and hold a lot. I wanted to be able to carry my phone, keys, and other items without the short looking bulky, says Sotelo of the suits that work from the beach to the bar. Before I started Solid & Striped, the market was cluttered with flashy prints and surf-inspired designs, says founder Isaac Ross. I wanted to create a product that would stand out for its simplicity, be wearable for yearsif not decadesand never chased trends. But its not just about how the swimwear looks on the rack. Swimwear is the least amount of clothes that most people are going to wear in public, so you want them to feel good in it, Ross says of his brands quick-drying, mesh-lined trunks. Matthew Zink, of Charlie by Matthew Zink, agrees that men want to show off their bodies more now than they may have previouslythank you, CrossFit. There has been a continual surge of men taking great pride in their health and fitness, and swimwear is a way to flex your accomplishments. Of course, with the proliferation of swimsuit brands, guys also find themselves with more options to choose from. Now that there are so many options in the swim category, men are more aware of the differences in the fits offered, and theyre making really informed decisions about what looks best on them, said Bergdorf Goodmans mens fashion director Bruce Pask. And while both high-fashion labels like Etro and Givenchy are also embracing this dynamic market, boutique brands are seeing great returns. Does Pask have any favorites? Tomas Maier built his longstanding namesake brand with a few well-designed, great fitting swimsuits that has now grown into an entire sportswear fashion collection, he says. Adam Brown at his U.K.-based brand Orlebar Brown has also been a great innovator, creating a sleek, more tailored swim short with pockets and a sportswear aesthetic. The shopping habits of men are also unique in this category. Men buy swimsuits quite differently than women, says The Websters Laure Heriard Dubreuil. While most women prepare in advance of their trip, men buy their swimsuits on the spot, more impulsively. And mens options used to be so limited and conservative, now vibrant prints from Thorsun and Orlebar Brown are our top sellers. Other brands are diversifying into the clothing arena from purely swimsuits. The well-known Cote DAzur brand Vilebrequin, which has been in business for 45 years, and its bright signature prints and matching father-and-son styles have become ubiquitous on beaches around the world in the past decade. CEO Roland Herlory cites the launch of a new swim-to-wear collection, including swimming pants and Bermuda shorts which look great with a linen shirt and can get wet and also a pair of water-repellent tuxedo shorts as areas of growth for the brand. Model Garrett Neff, who founded the New England-inspired swimwear brand Katama, sought to offer men shorter options that are still sophisticated, and longer options that dont make them feel like theyre wearing a teenagers baggy board shorts. As a consumer, Neff was disillusioned by the offerings at stores. Ive always shyed away from bright, elaborate prints so I set out to design a collection of timeless trunks that seek longevity. The brand is also adding tops to round out its 2017 resort collection. Retromarines business (the brand is known for its clean cuts, mosaic and geometric prints, and SPF 50 fabrics) is branching out in other ways this summer: Founder Juan Pablo Jaramillo is opening two flagships for the brand in Dubai and Miami. More reasons why mens swimwear has gone from afterthought to thriving category in just a few years. Sometimes when Sarah Shourd met with prisoners, looking at them through a thick pane of glass or in a cage, both of them would just start laughing because they were so excited they had managed to connect. Its pretty empowering to defy a draconian and opaque system, Shourd said, recounting how she once took a plane to New Jersey, then a train, then the towns only taxi to the prison to visit someone there. I asked him to tell me a joke, and he told me this hilarious joke. Then I took it back through a snowstorm and worked it into this play, so soon 5,000 people could be laughing at his joke. The play Shourd is talking about, The Box is at San Franciscos Z Space, and plays through the end of the month. Shourd knows firsthand about solitary confinement having spent 410 days in solitary in a prison in Iran after she and two companions were arrested in Iran in 2009 and accused of being spies. Shourd tapped into her own experience in prison to write The Box, along with corresponding with people in solitary and visiting 13 prisons around the country to talk with people locked up there, as well as their families and prison officials. The plays characters, as its publicity notes elucidate, make their journeys against all odds: from racist to revolutionary, from tough-guy to suicide victim, from guru/teacher to frightened, lost soul, and from father to friend. Shourd, who calls herself primarily a journalist, says colleagues at web project Solitary Watchwhere she is a contributing editorknowing about her background in agitprop theater, suggested she write a play. She wasnt allowed to bring a pen and paper into the prisons, so she would spend hours in her car afterwards, writing notes. Shourd, who co-wrote a memoir, A Sliver of Light: Three Americans Imprisoned in Iran, says this play gives her the freedom to tap into whats universal--the need for human connection. That need is so profound that even in solitary people find it. Shourd says she and other women in her prison would yell back and forth. She thought the system she devised of sending notes with another prisoner, involving maxi pads, a stolen pen, and knocks on the wall was ingeniousbut during her interviews with other prisoners she heard about all kinds of ways of communicating, including flushing notes or sailing them under doors. When Shourds interrogators told her she might not ever get out, she went back to her cell, sat in the corner, and heard some screaming. I thought, Whoever is screaming, I need them to stop, I cant listen to it anymore, she said. The guards burst into my cell and I realized it was me screaming. I saw myself in that moment and I thought other people have gotten through this--there must be a way and Im going to find it. From that point on I had a completely disciplined regime. It was about not letting any idle time creep in because then despair, terror, and doubts just overwhelmed me. Part of that regime was making up stories and telling them to invisible audiences. Shourd told herself she had to change the narrative in her head that told her the experience would break her, and decide she was going to be stronger and make something meaningful out of her imprisonment. Shourd said she didnt want to write a play in which everyone is in solitary for their political views. She wanted to include violent characters. Carlos Aguirre plays one of themVictor Santiago, a gang member who has murdered someone. Aguirre, who has been teaching songwriting and improv to people who are locked up for years, says he thinks Victor is trying--to change and to be a good father--and those are the people we should focus on. Its this old-school colonialism and treating people like savages, Aguirre said. It made me feel super-connected to my ancestry and its really deep for me. Whether people have done what theyre accused of or not, are we going to treat them like animals? It makes no sense from the purpose of rehabilitation. Theyre there to be punished, and it isnt useful. Its something that needs to be talked about. Another actor in the play, Chris Herbie Holland, plays Rocky, a kid whos been shuffled in and out of foster care. Holland, an activist in New York, agrees it needs to be talked about. These are people, often of color, whose bodies serve as fuel for the empire we live in today, he said. These bodies are often left out of the dialogue as to how we make a better world. The director of The Box, Michael John Garces, says Shourd has managed to find the drama in what he calls societys profoundly disturbing way of cutting people off from human contact. She has a real sense of authenticity of voice and an understanding of these men because of her own experience and her sensitivity and empathy as a writer and interviewer, Garces said. This is essentially a play about a group of people who try and become activated, and she handles that journey well. Garces says hes been pushing the actors in what is an emotionally exhausting play. Its really hard to imagine what these people go through, he said. But that is our task to imagine it and to create it on stage. Shourd is hoping the audience will imagine it as well. Thats the power of stories, she says. When you hear statistics and numbers about solitary, theyre completely abstract, she said. Those numbers dont have a family and they dont have a childhood. Thats why I believe storytelling plays such a crucial role in actual lasting cultural change. In 1968, as President Lyndon Johnson and the Senate were in a pitched battle over Chief Justice Earl Warrens replacement on the Supreme Court, I wrote an article for the New York Times Magazine entitled Nine Men in Black Who Think White. My argument: One vote did not matter that much because the Court had already retreated from enforcing the civil rights of black Americans and was rubber stamping all but the most blatant forms of racism. Today the rise of the Black Lives Matter movement illustrates how far from equality the nation remains, and how dangerous the racial divisions are to our nations health. Because the Supreme Court has the last word on the meaning of the Constitutions Fourteenth Amendments Equal Protection clause as well the nations civil rights laws, and because the Court is deeply divided with one chair to fill due to the death of Antonin Scalia, I realize just how much one vote matters. Depending on that vote, we can either slide back toward the time when blacks were marginalized in this country or move forward to a time when blacks will be equal citizens and we can heal centuries old wounds. I was an attorney for the National Association for the Advancement of Colored People when I wrote my article. The country was mired in the Vietnam war. Martin Luther King Jr. and Robert F. Kennedy had been assassinated. Blood ran in the Chicago streets during the Democratic national convention, and most Americans thought the Warren Supreme Court was a liberal bastion. Along with the NAACPs general counsel, Robert Carter, I thought the Court had settled for the most superficial reading of our Constitution, and as result African Americans were locked into ghettoized neighborhoods with vastly underfunded and understaffed schools and facilities. The NAACP leadership, however, defended the Court and I was fired, leading to the resignation of the entire legal staff. I was wrong, however, on one point: a single vote did make a difference. Six years later, in 1973, the Court ruled that Texas did not have to finance San Antonios public schools to equalize what the poor schools received from local taxes compared to schools in more prosperous areas. One year later, a five Justice majority decided that the state of Michigan and the white suburbs surrounding heavily black Detroit had no responsibility for the segregation of the citys schools absent proof that they intentionally caused the schools to be segregated, and so it was not their obligation to fix. In the years since then, the Court often by a five-Justice majority has continued to display its indifference to racial progress. For example, in 1991 five Justices effectively put an end to a process of desegregating Oklahoma Citys schools. Then in 2007, the Court turned its attention to attempts by school officials who actually wanted to integrate their public schools. Chief Justice John Roberts Jr. and Justices Antonin Scalia, Anthony Kennedy, Clarence Thomas, and Samuel Alito struck down integration plans approved by the Louisville and Seattle school boards. In 2013, the Court, again by five to four, crippled enforcement of the 1965 Voting Rights Act by striking down as unconstitutional a critical section that required Justice Department approval before Southern states with proven track records of discrimination could change their voting rights law. The Court, just as the dissent predicted, opened the door to the passage of voter identification laws, reduced early voting days, and gerrymandering at will. In December 2015, the Court heard arguments involving affirmative action in Fisher v. University of Texas. Because Justice Elena Kagan recused herself, as she had worked on the case as the solicitor general, the affirmative action supporters were reduced to three. Court watchers saw Justice Kennedy, who had never supported affirmative action but at least had written that under extremely limited circumstances it might pass muster, appeared to have the deciding vote, and the ability to bring about a four to four tie, which would save the issue for another day. With Justice Scalia passing away before the Court rendered a decision, Justice Kennedys vote became even more crucial. Now there appeared to be three votes committed to the opposing sides, for and against affirmative action. Whichever side Kennedy joined would prevail. Again, the deciding vote held either the possibility of progress or further retreat in the effort to integrate the nations educational institutions. To the surprise of court observers, Kennedy, on June 23, joined the affirmative action minded justices, giving them the narrowest of victories. Once again, one vote made all the difference. In crucial ways, Justice Scalias death puts us back where we were in 1968, and filling that seat takes on an urgency that was not imaginable to me in 1968. A fifth conservative Justice joining what now is a four-person conservative bloc would be a disaster for racial equal opportunity. A liberal or even moderate appointment might stop the retreat, but on some fronts like public school segregation and voting rights the damage has already occurred. Whether faced with racial integration, voting rights, the death penalty, or cases involving other minorities like this terms immigration casewhere the Court recently deadlocked four to four, effectively striking down an executive order issued by President Obama, and as a result stripped away the protection from deportation that order had given to millions of mainly undocumented Latino parents of American born childrenthe new Justice will step into many hotly contested areas where one vote matters. America still needs a meaningful adjustment of political power and a redistribution of wealth to bring about substantial progress toward the kinds of equality of opportunity that I argued in 1968 was criticalbut now more than ever every Supreme Court vote matters. Author of the just released memoir The Butlers Child, written with Beau Friedlander, Lewis M. Steel has worked as a lawyer for the NAACP and is now senior counsel to Outten & Golden LLP. He works on a range of class action cases involving sexual and racial discrimination and overtime claims. His precedent-setting decisions include Sumitomo Shoji America, Inc. v. Avagliano, 457 U.S. 176, which established that American subsidiaries of foreign corporations must obey American civil rights laws. He lives in New York. Weve just lived through three gun-related tragedies in one weekincluding for the first time in recent memory the slaughter of police officers, which is the kind of thing you might think would get Republicans attention. But despite Rep. John Lewis and the Congressional Black Caucuss commitment to meaningful gun reform, Speaker Paul Ryan wont budge on the two measures Democrats are requesting votes on. In fact, Lewiss action should be a welcome development during a time of national crisis. Yet Republican obstructionism, and demonization of the Democrats civil disobedience, will probably remain the status quo. A memo sent by CBC members to Democratic offices asked its members to be as disruptive to Speaker Ryan as possible and called for a day of action on the floor in regards to gun violence. And not surprisingly, Republican legislators and conservative media were up in arms at this attempt to impede congressional proceedings, but their predictable outrage only showed anew how out of touch and destructive conservatives have become. Conservative media interpreted the CBCs strategy as nothing more than a pointless, disruptive stunt, and Ryan said that he would not tolerate any more disruptions from House Democrats. House Republicans argue that Democrats have violated Rule XVII, which governs decorum and debate. But the issue at hand isnt about decorum or debate. It is about whether Republicans, who control this so-called house of the people, will allow a vote on something that 80 percent or more of the people supportgun-control legislation. Sadly the GOP prefers to prevent a vote by any means necessary, for reasons that are entirely political and have very little to do with governing. Republicans are no dummies. They know what Democrats would do if the GOP allowed votes on those amendments and Republicans voted nay, said Chad Pergram of Fox News. Democrats would immediately empanel [sic] political allies and the [DCCC] to document how endangered Republicans cast their ballots. Democrats would then run ads and generate a public outcry against Republicans who voted no. In addition, any Republican who voted for a gun-control measure would probably face an NRA-backed primary opponent. So there is no ambiguity, even among conservative media outlets, that the GOPs motivations are primarily focused around self-preservation and not about addressing the gun violence epidemic that continues to engulf America. Conservative outlets also argue that the Democrats bill is unreasonable, even though the foundations of their bill actually came from a bipartisan gun control bill in the Senate that was drafted by a Republican. The Democrats support a bill that would grant the Attorney General the power to prevent a person on the no fly list from purchasing a gun. This provision originally appeared in a bipartisan gun control bill drafted by Republican Sen. Susan Collins, which actually passed in the Senate by 52-46. But the GOP-controlled House still refuses to allow a vote. [UPDATE: The above paragraph originally said there was no Senate vote, which was incorrect.] Also, the bill that House Republicans support, which calls for a three-day waiting period before the AG can prevent a person on the no fly list from purchasing a gun, was previously introduced in the Senate by Republican Sen. Ron Johnson, and it only won the support of 31 senators. Essentially, Democrats are being prevented from holding a vote on a Republican-endorsed policy because Republicans are fearful of the political fallout from voting against an idea that sprouts from one of their own number. And House Republicans instead want to vote on a bill that Senate Republicans do not support. Yet the Democrats are supposedly bringing Congress into disrepute, and are engaging in actions that should not be tolerated. Seriously? Despite conservative declarations of impending Democratic incivility, Reps. Lewis and John Larson decided to meet with Ryan this week to constructively discuss if Republicans could allow votes on the Democrats gun proposals. To no ones surprise, their meeting merely continued the political impasse, and the threat of Democratic civil disobedience is still in play. This impasse speaks to how insolvent Congress has become. Sixty years have passed since Lewis marched across the Edmund Pettus Bridge and staged sit-ins alongside Dr. Martin Luther King Jr. in an act of civil disobedience to prevent disenfranchisement and ensure that America worked for all Americans regardless of the color of their skin. This was the only way black Americans could make their voice heard within a society that disregarded their existence. Yet today the same protest methods are required in the highest echelons of our society to implore elected officials to vote on legislation to combat gun violence. Following the Orlando massacre, which killed 49 people and injured 53, House Democrats decided to take drastic, dramatic measures by orchestrating their sit-in to combat Republican inaction and obstruction. Guns have already killed over 7,000 people and injured twice as many in 2016. And on average, 89 people die everyday from gun violence. Following a two-week recess Democrats have returned with continued resolve, and Republicans remain committed to preventing votes in Congress. House Republicans unabashedly have an agenda that has nothing to do with governing, but is entirely focused around self-preservation and maintaining their control of Congress. Their agenda is to undermine the institution they claim to hold dear, so long as their actions allow them to hold onto power for a little bit longer. We have a Republican-controlled legislature, which is incredibly unpopular with Americans, that essentially bans votes on bills supported by the Democrats, and regularly votes against their own bills. Innocent Americans, including five police officers, were killed last week from preventable gun violence. Reforms are clearly needed. Conservatives want to claim that the Democrats led by a black civil rights leader are destroying the fabric of our democracy by staging sit-ins and peaceful protests so that they can exercise their ability to vote. America has heard this narrative before, and advocating for disenfranchisement to sustain political power no longer resonates with our body politic. The fact that Republicans still defend this indefensible tactic shows how out of touch and destructive they have become. Today U.S. Congressman Jeff Fortenberrys office open, 9 a.m. to 1 p.m., 641 N. Broad St., Fremont. Alcoholics Anonymous meeting, 10 a.m., Chapter 5 Club, 136 N. Main St., Fremont. Alcoholics Anonymous meeting, noon, Chapter 5 Club, Fremont. Hormel retirees potluck lunch, noon, Union Hall, Fremont. Bingo will be played after lunch. Fremont Jaycees board meeting, 12:30 p.m., Valentinos, Fremont. Alcoholics Anonymous meeting, 5:15 p.m., Chapter 5 Club, Fremont. Open houses, 6-7 p.m., Grant Elementary School and Washington Elementary School. TOPS 58, 6-7 p.m., St. Timothy Lutheran Church, Fremont. Weigh-ins are from 5:30-6 p.m. For more information, contact Nancy Wit at 402-727-6745. Narcotics Anonymous It Works Group, 6:30 p.m., Good Shepherd Lutheran Church Education Building, west of the church, 1440 E. Military Ave., Fremont. Enter through the rear door. Narcotics Anonymous open meeting, 6:30 p.m., Bethlehem Lutheran Church, 540 W. Eighth St., Wahoo. Al-Anon meeting, 8 p.m., Chapter 5 Club front room, Fremont. This support group is for families and friends of alcoholics. Narcotics Anonymous open meeting, 8 p.m., United Faith Church, 218 W. Gardiner St., Valley. Wednesday Alcoholics Anonymous meeting, noon, Chapter 5 Club, Fremont. Alcoholics Anonymous meeting, 5:15 p.m., Chapter 5 Club, Fremont. Fresh Hope Support Group, 7 p.m., Trinity Lutheran School, 16th Street and Luther Road, Fremont. The support group offers faith-based help for those with mood disorders and for loved ones trying to understand. For more information, call David and Wray Lynn Trost at 402-480-1777. Narcotics Anonymous Library Group, 7 p.m., Keene Memorial Library East Building, Fremont. Stained Glass Windows of Nebraska, 7 p.m., First Lutheran Church, 3200 E. Military Ave., Fremont. Barbara Johnson will speak about the history of stained glass and various stained glass windows in public buildings and the Nebraska State Capitol. The program is free and open to the public. Alcoholics Anonymous, 8 p.m., Chapter 5 Club, Fremont. Thursday Alcoholics Anonymous big book study, 10 a.m., Chapter 5 Club, Fremont. Storytime, 10-10:30 a.m., Keene Memorial Library auditorium, Fremont. Alcoholics Anonymous meeting, noon, Chapter 5 Club, Fremont. Fremont Kiwanis Club, noon, Fremont Golf Club. American Red Cross blood drive, noon to 6 p.m., North Bend City Auditorium. To schedule an appointment to donate, visit www.redcrossblood.org or call 800-733-2767. Alcoholics Anonymous meeting, 5:15 p.m., Chapter 5 Club, Fremont. Narcotics Anonymous It Works Group, 6:30 p.m., Good Shepherd Lutheran Church East Building, west of the church, 1440 E. Military Ave., Fremont. Enter through the rear door. Bingo, 7 p.m., Veterans of Foreign Wars Post 8223, 742 N. Main St., North Bend. Everyone is welcome. Civil Air Patrol, 7 p.m., 1201 W. 23rd St., in yellow hangar at Fremont Airport. Two Memphis, Tennessee police officers have been placed on suspension after one allegedly posted a Snapchat picture showing an officer aiming a gun at an emoji of a black man. The image showed the white officers hand pointing a gun at an emoji of a black man running, according to the police department. The officer allegedly uploaded the Snapchat on Thursday, the same day protesters and police officers came under fire at a Black Lives Matter demonstration in Dallas. One of his fellow officers posted the picture to Twitter shortly after it surfaced on Snapchat. Memphis police were quick to condemn the image. In a Friday press conference, Memphis Police Department Director Mike Rallings called the Snapchat a disturbing image supposedly posted by an MPD officer, adding that the image is disgusting and will not be tolerated. But the Memphis police department has a history of racial tension among its ranks. Rallings predecessor Toney Armstrong made headlines in some right-wing news outlets after he invoked the All Lives Matter mantra at a Memphis officers funeral in August 2015. Earlier that year, the department threatened to demote some of its black members over a class-action lawsuit which claimed pay and promotions discrimination against black officers. One of the officers involved in posting the offensive Snapchat told a local Fox affiliate that he had been disgusted by the image, only uploading it to Twitter to draw attention to its racist overtones. So I came across this on my Snapchat from a fellow officer, he told Fox. I dont know how to take it? Police sources tell the station that this officer might face a reduced punishment, while the officer who initially uploaded the picture to Snapchat faces termination after Memphis Police conclude their investigation. The Memphis Police Departments social media policy requires "employees must avoid any conduct which could compromise the integrity of the Department. This includes conduct related to materials posted on personal websites, social media, twitter, Facebook ... etc. Speaking alongside Memphis Mayor Jim Strickland, Rallings urged unity within his department and decorum on the computer. Im angry, frustrated and disappointed that we continue to go down this path, Rallings said during the Friday press conference, telling the public that we cannot survive if we do not work together. We are not your enemy, we are your ally. DALLAS Micah Johnson sought to join a black militant group two years before he targeted white police officers for death but was turned away after a background check. After being sent home from Afghanistan for stealing womens underwear , Johnson was discharged from the Army in late summer 2014 just as the deaths of Michael Brown and Eric Garner were energizing the nascent Black Lives Matter movement. With his Army identity shattered, as his mother told The Blaze, Johnson then sought a new one in the black power movement by joining one of several groups that believe in armed resistance against white society, especially police. Before he could join, though, a tipster asked a man in the Dallas-area movement to screen Johnson for involvement in black activist groups. Ken Moore of the Collective Black Peoples Movement (CBPM) said that he was asked to look into Johnson by an unidentified black activist group. When he discovered the Army veteran was discharged for sexual harassment, he labelled him unfit for recruitment. Malik Shabazz, former chair of the New Black Panther Party, told The Daily Beast that the background check system described by Moore effectively blacklisted Johnson from membership in black nationalist and black liberation groups across the country. Once youre blacklisted by the alert that we put out, thats a wrap, Moore told The Daily Beast. Though shunned from groups like the Peoples New Black Panther Party and the Black Riders Liberation Party, Johnson continued attending protests and events in the area, according to multiple people within the Dallas activist community. Online, Johnson was loosely affiliated with the African American Defense League , the Black Riders Liberation Party, the Huey P. Newton Gun Club, and South Dallas Muhammad Mosque Number 48, which is run by acolytes of Louis Farrakhans Nation of Islam. Both the Houston and Dallas chapters of the Peoples New Black Panther Party said unequivocally that Johnson was never a member of either group. He was basically seen as a loner, a sympathizer, Moore said. Moore was speaking at a Black Lives Matter rally in Forth Worth on Thursday when he heard from the CBPM network of a non-sanctioned shooting of police. He was already ostracized [by the blacklisting], Moore said, speculating that Johnson had a psychological break after Alton Sterling and Philando Castile were killed by white police. One of the groups Johnson followed on Facebook issued a call to arms over Sterling and Castiles deaths just hours before he attacked. Its on in 2016! Black Riders leader Lakesia Washington posted to Facebook on Thursday afternoon. R.I.P. to Alton Sterling in LA and Philando Castile in Minnesota! Washington continued with language that couldve come from Johnsons lips, according to Dallas police who say he told them he was avenging black mens death at the hands of cops and that he wanted to kill white officers . We assert the right of self-defense by whatever means necessary, and reserve the right of maximum retaliation against our racist oppressors, no matter what the odds against us are, she wrote. From here on in, if we must die anyway, we will die fighting back and we will not die alone! We intend to see that our racist oppressors also get a taste of death! The very same Black Riders post answers the cryptic message Johnson wrote in his own blood just before he was killed by a police robot . RB was scrawled on a wall inside the building where Johnson was holed up, according to Dallas police chief David Brown. It is likely that Johnson was writing RBG, a favorite acronym of the Black Riders that stands for red, black, and greenthe colors of the Pan-African flag. Brown was asked about The Daily Beasts reporting during a Monday press conference and would not say whether police believe Johnson was attempting to write RBG before he died. Until you told me we've exhausted every lead, I'm not going to be convinced that we know everything about what happened, the chief told reporters. If RBG was the slogan he was trying to write, one of Johnsons final acts was to pay homage to the movement he wished so badly to join. Ignored in life, Johnson has been embraced in death. One of Johnsons final acts was to pay homage to the movement he wished so badly to join. Ignored in life, Johnson has been embraced in death. R.I.P. Micah...X...Johnson !!!... RBG 4 LIFE! Washington wrote on Saturday. RIP, you represented the few brave African soldiers wrote another Black Rider follower. Nat Turner of Our Time. Remember Him with All Revolutionary Ancestors, posted another. Other members changed their profile photos to Johnsons photo at his time of death: a dashiki, a raised fist, and a cold stare . Moore claimed on Sunday not to know which organization had asked him to look into Johnson, saying only that the message came from Oakland, California, where the Black Riders has a chapter. Several members of the group did not respond to requests for comment, and Shabazz would not confirm or deny that Johnson had been trying to join the BRLP. When asked if Johnson was a member of the Huey P. Newton Gun Club, which advocates blacks take full advantage of their right to bear arms, leader Makio Shakur said he could neither confirm or deny Johnsons role. Shakur did condemn the killing of police officers, however. Thats not what were about, he said. We support the Second Amendment rights of all people, and will be there to defend them whether they are white, black, Hispanic, Asian, whatever. But gun club co-founder Babu Omowale told Breitbart News he wasnt a stranger to us adding that Johnson was well-known by members of the gun club and the Peoples New Black Panthers Party. As for Johnsons relationship with the Nation of Islam mosque, another gag order is in effect. When asked on Saturday if Johnson attended services there, two men monitoring the parking lot repeated that they had no comment and asked The Daily Beast to leave. Much like the secrecy around Johnson after he died, his public life became ever more attenuated as he barreled towards mass murder. High school classmates remembered him not as a loner but as a fun-loving, goofy guy, as one told The Wall Street Journal. The military had been a big part of his future, too: Johnson was a cadet in the Junior ROTC and enlisted in the Army Reserve in March 2009 before he graduated high school, according to Defense Department records. Despite his Army life unraveling, Johnson kept hanging out with high school friends in his hometown of Mesquite, according to former classmate Julius Young. Young told The Daily Beast he and Johnson and several other friends would casually drink together in summer 2014 but then something changed. Young couldnt remember exactly when he last saw Johnson, but he was certain it was before Ferguson. He chalked Johnsons disappearance up to life simply pulling people apart. Kids, a new job, moving away, Young said. But Johnson had none of those reasons, and was working as an in-home caregiver for his disabled younger brother, receiving paychecks from the state in the same town as Young. At the same time, he was seeking acceptance in the movement that shunned him. Young said he now realizes his friend was quietly becoming radicalized. Everyone got secrets, he said. Editor's Note: This story has been updated with Brown's response to this reporting. It was a peace that few expected to last, but as South Sudan celebrated its five-year anniversary for independence this weekend, violence came quicker and more ferociously than nearly anyone imagined. On Monday the capital city of Juba experienced running street battles between government and opposition armies, witnesses told The Daily Beast. It caused around 7,000 South Sudanese to flee to the nearby UN-protected camp, the United Nations said. South Sudan signed a peace deal in August after fighting broke out in December 2013. In April, President Salvia Kiir formed a unity government with opposition leader Riek Machar. Under the peace deal, troops from both armies were stationed in the capital city, a formula which proved to be toxic on Sunday. Fighting between both the government and rebel armies began in Juba on Thursday and Friday, but Sundays brutality was on another scale altogether. It was impossible to gauge how many casualties there were because the fighting was ongoing. We have been attacked by [government] forces in the morning around 8 oclock until now. It is still going on, said Gen. James Koang, a top opposition military commander in an interview Sunday afternoon. They bombed Riek Machar's position, his residence, Koang said, and added that Machar survived the attack. We went through heavy bombardments by Pres Kiir helicopters. This tells that our partner is not interested in peace a Twitter account that appears to be run by Machar said. The fighting appeared to be most intense around a United Nations complex in Juba, which holds more than 25,000 South Sudanese seeking protection. U.N sources inside the base said soldiers of an unknown affiliation entered at one point, but apparently left and did not target officials. It did not appear that UN peacekeepers, mandated to use force to protect civilians, responded. Both UN camps in Juba have sustained impacts from small arms and heavy weapons fire, said Ellen Loj, head of the UN in South Sudan, in an audio statement. At least two Chinese U.N. peacekeepers have died in the fighting. In the early part of Sunday, security sources described gains made by the opposition forces. But in the afternoon, the government troops apparently regrouped, and took back momentum. On Monday, there were reports of fighting and troop movements across the country. Caught in the middle were thousands of South Sudanese, some of whom fled with what belongings they had. Confusion reigned between political and military leaders of both sides. At a press conference with journalists in Juba around midday, top opposition politician Taban Deng pleaded with both armies to respect a ceasefire. Directly contradicting that statement immediately after, the government spokesperson Michael Makwei said that no ceasefire had been announced. On Monday, President Kiir issued a cessation to hostilities around 6 clock. Witnesses said that fighting continued hours later, and it appeared that the government did not have full control of its forces. Any soldier or any member of the regular forces that will be found loitering about with his rifle without reporting to his unit will be arrested said Minister for Information Michael Makwei on state television. "Both the SPLA [Kiir] and the IO [Machar] leadership have used the lack of control over their troops to explain their atrocities, says said Clemence Pinaud at Indiana University, an expert on South Sudan. Yet there are different ways to control or unleash troops. Instigating hatred of a particular ethnic group amongst troops while unleashing them resembles more organized chaos that benefits armed leaders in every way, than complete lack of control. What it means for Juba residents though, is that reprisals against them have already started." Since civil war broke out in December 2013, the United States government has essentially blocked actions to impose an arms embargo on South Sudan at the United Nations Security Council, diplomats, experts, and members of congress say. Some of the weapons used in Sundays attack, like the MI-24 helicopters that were apparently used to bomb Machars house, probably wouldnt have been available if there were an arms embargo on the country, a weapons expert told The Daily Beast. Those helicopters, sources said, continued to fly on Monday. Even if sanctions were done after delivery of the helicopters, it would have reduced the risk of resupply and the Ukrainians servicing them would have had to withdraw, said Luuk van de Vondervoort, a former member of a UN panel of experts. In all likelihood the MI-24 helicopters couldnt have flown if the embargo was adopted even two months ago. On Monday, Secretary General of the U.N. Ban Ki-moon took the unusual step of requesting an immediate arms embargo on South Sudan. It is inaccurate to suggest that the U.S. government is blocking an arms embargo, wrote Mignon Cardenty, a spokesperson in the office for the U.S. State Departments Special Envoy to Sudan and South Sudan in an email. When the Daily Beast followed up and asked if the United States supported an arms embargo on South Sudan, Cardenty refused to answer. We have called for an arms embargo, we think that this [violence] absolutely underlines the need for that and we are prepared to look at any measures that are necessary in order to stop this violence, Britains deputy U.N. ambassador, Peter Wilson, told Reuters after an emergency meeting at the U.N. Security Council. I have been a supporter for the arms embargo, particularly for the larger arms. There is already plenty of guns there, said Rep. Michael Capuano, chair of the Congressional Caucus on Sudan and South Sudan. Helicopters, armored vehicles they really change the face of the conflict for the worst. Its been an unbearably cruel summer: a dystopia straight out of the 80s, as if were all trapped in the film Do the Right Thing with a racist Gordon Gekko vying for Leader of the Free World. Were in need of a respite from this deluge of tragedyand oh-so-tragic hot takesbut with Westeros held in abeyance and our collective PTSD from that horrific Orange Is the New Black death having finally subsided, where will those of us averse to Pokemon GO turn? Enter The Night Of. Though its title screams Christmas flick, HBOs absorbing new miniseries is a pitch-black procedural that combines the system-is-broken outrage of Making a Murderer, the menacing atmosphere of Oz, and the shameless topicality and plot twists of Law & Order: SVU. And the first of its eight hour-long chapters plays like an elegant, extended version of the first three minutes of SVU. Our tragic hero is Nasir Naz Khan, the bookish college-aged son of Pakistani immigrants. He is played by Riz Ahmed, the versatile young actor who brought hilarity to the role of a bumbling suicide-bomber-to-be in Four Lions and devastated as Jake Gyllenhaals eager assistant in Nightcrawler. Naz is invited to a party by one of the athletes he tutors, inveigled by the prospect of coeds. So he steals his fathers taxicab and drives it from Queens to Manhattan for the soiree. Along the way, he accidentally picks up Andrea (Sofia Black DElia), a young woman whose eyes seduce Naz with their sadness, and before you can chant DUN DUN theyre back at her Upper West Side townhouse shooting tequila, sniffing drugs, playing with knives, and knockin boots. Naz passes out, and emerges in a haze to find the mystery woman stabbed to death, the walls a bloody Jackson Pollock. Naz hightails it out of there and is picked up by the police, surrounded by an orgy of evidenceincluding a bloody knife in his jacket pocket. The lingering camera technique is used to point to possible clues: Whats up with the blood-smeared moose head? Or the ominous-looking black man at the gas station who followed them in the hearse? Or the other ominous-looking black man accompanying his racist pal who glared at the couple entering the apartment, and was absent when the heckler returned to the scene? Whether or not these are red herrings remains to be seen. At the precinct, Naz is interrogated by Detective Dennis Box (Bill Camp), a world-weary gumshoe on the brink of retirement, and scooped up as a client by John Stone (John Turturro), an ambulance-chasing subway-ad attorney eager for a shot at the big-time. Im not sayin hes a bad copon the contrary, hes very good, Stone says of Det. Box. And like all good cops, he does ya over just inside the rules. Hes a talented oppressor; a subtle beast. Stone suffers from a nasty case of eczema on his feet, a not-too-heavy-handed metaphor for the perversion of justice, and provides a solid counterweight to Det. Box, who suffers from a surfeit of compassion. Co-created by the celebrated scribes Steven Zaillian (Schindlers List) and Richard Price (The Wire), and with all but one episode directed by Zaillian, whose playful usage of shadows and tight shots conveys the claustrophobic nightmare that is Nazs Dante-like descent into hellish Rikers Island, the bloody rectum of the U.S. criminal justice system. Jeff Russos operatic score hits all the right foreboding notes as well. The Night Of is technically a remake of Peter Moffats BBC series Criminal Justice, which starred Ben Whishaw as the unlucky young man who steals his parents black cab, is hailed by a girl, pops ecstasy, and wakes up to find her a bloody corpse. It was also meant to star the late James Gandolfini, who has still been given an executive producer credit, and then Robert De Niro, before Turturro filled in. Though after viewing seven of the eight episodes, its hard to imagine Gandolfini or De Niro as this sad sack attorney; Turturro makes the role decidedly his. Whereas the first few episodes unspool the mystery, the middle ones trace Nazs suspenseful time at Rikers dodging beatings and shankings. He falls under the wing of Freddy (Michael K. Williams, always brooding, always brilliant), the prison capo, and his frightening evolution from wide-eyed Muslim college kid into full-blown convict recalls the journey of A Prophet. Though The Night Of lacks the star power of a True Detective, it is all the better for it. Each of its characters is richly-drawn, and bleeds into the gritty sceneryfrom A Separations brilliant Peyman Moaadi as Nazs beleaguered-yet-devoted father, to The Darjeeling Limiteds Amara Karan as an attorney who believes in Naz, to House of Cards Paul Sparks (you know, the guy with the only head of hair to rival Claire Underwoods) as the victims poker-faced stepfather. And each episode leaves you starving for more. Zaillian and Prices series paints a disturbing picture of the criminal justice systemand how its intrinsic financial and psychological pressures tear away at ones soul. Or as Hunter S. Thompson put it: Justice is expensive in America. LONDONWhen Margaret Thatcher was elected leader of the Conservative Party in 1975 she was seen as a shrill housewife nowhere near ready to lead the country. She even joked with the crowd at her first party conference by pretending to do a little housework on stage. The Iron Lady was born later. On Thursday, Theresa May will be installed as leader of the Conservative party and Britains second female prime minister already forged in steel. For six years she has run Britains security, law enforcement and border control agencies without a major public slip up. The role of Home Secretarywhich almost universally ends in scandal or humiliationis so tough that it has become a notorious political graveyard. May is the longest serving Home Secretary for more than half a century. She became famous for her unflinching approach to foreign relationsshe refused to American requests to extradite a British hacker with Aspergers but booted hook-handed radical Islamic cleric Abu Hamza out of the country despite his human rights appeals. A senior security source told The Daily Beast that her track-record was even more impressive as the public is totally unaware of most of the great work she has accomplished. She has thwarted more terror plots than you will ever know, he said. With Britain plunged into its greatest political crisis since the Second World War by David Camerons Brexit gamble, May was able to obliterate her Conservative rivals in the race to succeed him. Boris Johnson, Michael Gove, and Andrea Leadsom all lacked the gravitas and experience to calm the financial markets and help steer Britain through a series of negotiations that will remake its relations with Europe and the world outside the European Union in the coming years. Speaking to the press outside the House of Commons on Monday after Leadsom, her last remaining rival pulled out of the race, she was happy to admit that she had won because she was seen as a safe pair of hands. [My campaign was about] the need for strong, proven leadership to steer us through what will be difficult and uncertain economic and political times, the need, of course, to negotiate the best deal for Britain in leaving the EU, and to forge a new role for ourselves in the world. Brexit means Brexit, and we are going to make a success of it, she said. It was hardly the kind of stirring historical quote recited by Margaret Thatcher when she was made prime minister. May is practical to the last. Camerons tribute was the same. She is strong, she is competent, he said. Like being prime minister, the role of Home Secretary means being confronted by a constant stream of decisions to make. Many involving security assessments and the potential loss of life. A Home Office official told The Daily Beast that she had proven to be a superb decision-maker. No matter what advice shes getting, she never seems to get it wrong, he said. In an unguarded conversation that was caught on camera last week, Ken Clarke, one of the Conservative Partys most senior politicians, described May as a bloody difficult woman. Her unflinching response says much about her style of politics. Ken Clarke might have found me to be a 'bloody difficult woman'. The next person to find that out will be [President of the European Commission] Jean-Claude Juncker, she said. This wasnt her first run-in with Clarke, who was a member of Margaret Thatchers government. She has carefully cultivated a reputation for cracking down on criminals and attempting to limit civil liberties if they interfere with counter-terror strategy. Clarke, who was Justice Secretary, has a somewhat more liberal approach. She told him: "I lock them up, you let them out. May had little choice but to throw red meat to the party base after a controversial speech made in 2002 when she was Conservative chairwoman. She chose to aggressively attack her own party which had lost consecutive elections to Tony Blairs Labour. Twice we went to the country unchanged, unrepentant, just plain unattractivetwice we got slaughtered, she said, describing her own party as the nasty party. The name stuck, and Mays popularity inside the party plunged. It was the first example of what would become a recurring theme; taking on the vested interests on her own side. As Home Secretaryoverseeing law enforcementone of her most high-profile engagements was a speech to the Police Federation, which should be a natural ally. In 2014, she tore them apart: I am here to tell you that it's time to face up to reality," she said. The hall, which had booed her for failing to stave off cuts two years earlier, was stunned into silence. There was almost a wildness about her, Ian Pointon, chairman of the Kent Police Federation later told the Financial Times. May is likely to form a remarkable trio of formidable world leaders with Hillary Clinton and Angela Merkel, but they should beware. Shes not afraid to stand up to her own. If there is one thing that Black Lives Matter protesters and the nations cops can agree on, it is outrage over the utter uselessness of the current political leadership in Washington. Top law enforcement representatives, still struggling to process the murder of five police officers in Dallas Thursday evening, turned the heat up on the nations politicians. In the past five years, not a single firearms measure has been passed by Congress. On top of that, the president issued an executive order to limit a program to give the military surplus they have said they desperately need . The Congress and the president have collectively been dysfunctional this session, so the voice of law enforcement has been but an echo in an empty chamber, vented Jon Adler, the president of the Federal Law Enforcement Officers Association Foundation. The president blames every active-shooter atrocity on guns, and not the madness that triggers it. The donkeys and elephants urinate on every tree to mark their turf, but conduct no meaningful business in their kingdoms. With the exception of a few members, Congress has been totally AWOL, said Jim Pasco, the executive director for the National Fraternal Order of Police. The facts back up their frustration: more than 100 gun control proposals have been proposed in Congress since Rep. Gabrielle Giffords was shot by a madman in Tucson in January 2011, per a CBS analysis and not a single one has been passed into law. I dont think Congress has figured out a way to do business in a bipartisan way with the best interest of the country in mind, said Darrel Stephens, the executive director of the Major Cities Chiefs Association. We have not been as effective in recent years in accomplishing policy objectives such as universal background checks on firearms purchases or a response to the challenge of Going Dark and funding has suffered. But that has as much or more to do with the gridlock in Congress than it does with how effective our voice might be. No assault weapons ban. No background checks. No work on the terrorist watch list. In fact, hardly any progress to speak of on the federal level. The most important gun issue for law enforcement is trafficking and straw purchasers, and the Congress discards this like an irritating insect buzzing in their ear, Adler added. One of the factors contributing to law enforcements inability to press some initiatives into law is that law enforcement associations are fragmented. Currently, there are some 20 major national associations representing law enforcement interestsfor sheriffs, for executives, for black officers, for SWAT teams, and so on. Congressional leaders are engaging in some self-flagellation themselves. Republican Rep. Dave Reichert, a career law enforcement officer who is the chairman of the GOP Law Enforcement Task Force, sounded almost ashamed to be a federal politician. We set the example, for crying out loud. What is wrong with people. Were leaders and we have to act like leaders, and theres responsibility there, Reichert told The Daily Beast. And there was also the predictable petty partisanship. Democratic Rep. Al Green accused Republican Speaker Paul Ryan of abdicating his responsibilitiesCongress has refused to act on issues of importance to the American people. The speaker of the House has to be called on what he has failed to do. He has the political power here to call... the head of the FBI and demand that he account and [investigate]... that is his responsibility. I almost feel uncomfortable even talking about it right now, because I want to cry, said GOP Rep. Kevin Cramer, in the Speakers Lobby right off the floor of the House of Representatives. When I walked out this morning, I couldnt even walk by our cops here without being emotional. But, in the wake of the shooting in Dallas, there might a glimmer of hope that some of their concerns may soon be addressed. It is hope that, if the past is any indication, will be swiftly stomped out. Reichert said that GOP Majority Leader Kevin McCarthy approached him during voting Friday and said that he wanted to talk more next week about reducing gun crime. Reichert also talked to civil rights icon Rep. John Lewis, a Democrat, on collaborating on some effortperhaps an op-ed. If nothing could bring us together on all sides to talk about police and police violenceif this wont do it, nothing will do it, Cramer said. The prevailing feeling on Capitol Hill was a combination of helplessness, shame, and grief. Theres no criminal record on this perpetrator, so gun laws wouldnt have stopped the shooting, Rep. Steve King told The Daily Beast. National Tactical Officers Association Executive Director Mark Lomax praised Dallas Police Chief David Brown, who had a reputation for being proactive in community relations. The shooting, he said, was not something that could have been prevented from a law enforcement perspective. You cant stop crazy, Lomax said. You can hopefully mitigate it. It was out of control of the positive things that Chief Brown was doing down there. With that said, it all sounded like empty rhetoricespecially considering Congress record of utter inaction. We need a leader who possesses the spirit and honor of Dr. Martin Luther King to step up with heartfelt conciliatory messaging and initiate meaningful steps to have all parties work together to defuse the bomb of hatred, Adler told The Daily Beast. Leadership matters, and unfortunately there aint none of it. with additional reporting by Betsy Woodruff and Alexa Corse. Donald Trump has praised repeatedly the former Iraqi dictator Saddam Hussein for being a ruthless killer of terroristsand Saddam was indeed a ruthless killer, perhaps even an architect of genocide. But he was much more a patron of terror than a counter-terrorist. Under Saddam, Iraq was for decades one of the principal state sponsors of terrorism. In the 1970s and 1980s, Iraq was a major backer of the most extreme and violent Palestinian terror groups, including those affiliate with Wadi Haddad and the infamous Abu Nidal. Haddad was the man responsible for the hijacking of an Air France jet that ended on July 4, 1976, when Israeli commandoes rescued the hostages in Entebbe, Uganda. The next year his group hijacked a Lufthansa jet that German commandoes stormed in Mogadishu, Somalia. Both plots were orchestrated from Haddads base in Baghdad. The Israeli intelligence service Mossad finally poisoned Haddad in Baghdad by sending him toxic Belgian chocolates. In June 1982 when Saddam's disastrous invasion of Iran had turned sour and Iran was about to invade Iraq, Saddam sponsored an Abu Nidal attack in London against the Israeli ambassador Shlomo Argov. The plan was to blame the attack on Yasir Arafat, thus provoking an Israeli invasion of Lebanon. Saddam hoped Iran would spare Iraq from invasion to join in resisting the Israelis instead. Amb. Argov was badly wounded outside the Dorchester Hotel in London. The lead assassin was an Iraqi intelligence officer Col. Nawaf al Rosan, and the would-be killers fled to the Iraqi embassy. Abu Nidal's Iraq-based gang went on to carry out airport massacres in Rome and Vienna before he died in Baghdad in 2002 under mysterious circumstances. Saddams 1982 plot did provoke the disastrous Israeli invasion of Lebanon that ended in the seige of Beirut and the Sabra and Shatilla massacre but it did not deflect Ayatollah Khomeini from invading Iraq. Saddam's war with Iran ended up killing a half million people but very few of them were terrorists. At the end of the war Saddam gassed his Kurdish population. He called them terrorists. When Saddam invaded Kuwait in 1990, his army freed Hezbollah prisoners wanted for attempting to assassinate the Emir of Kuwait and bombing the U.S. embassy there in the previous decade. As the United States prepared to liberate Kuwait in 1991 Saddam plotted a wave of terrorist attacks on American and allied targets to coincide with the start of Operation Desert Storm. A well-planned counter terrorism operation led by the CIA foiled Saddams plots and shut down Iraqi embassies full of weapons and bombs. In 1993 Saddam ordered the Iraqi intelligence service to assassinate former President George H. W. Bush when he visited Kuwait. The car bomb they built was identical to one found in the counter terrorism sweep two years earlier in an Iraqi embassy. President Bill Clinton sent cruise missiles to destroy the Iraqi intelligence service headquarters in Baghdad. It is true that Saddam had nothing to do with the 911 plot or al Qaeda. It is also true that he praised the 911 attack as just revenge for Americas liberation of Kuwait and containment of Iraq. It's also true that the Iraq war in 2003 was a major mistake, perhaps the worst foreign policy decision in American history, and Hillary Clinton was on the wrong side of that decision. But the notion Saddam was fighting the terrorists who targeted America in 2001 is fanciful. Osama bin Laden hated Saddam and his Baathist regime but Saddam never took any action against bin Laden. Bin Laden and his henchman Abu Musaib al Zarqawi laid a trap for George W. Bush and Tony Blair in Iraq, which they ran into with enthusiasm. The al Qaeda leaders planned for the day after Saddam with deadly precision. They attacked the sectarian fault line of Iraqi politics, the Sunni-Shia divide, and the civil war they created is still burning the Middle East. Saddam is no terrorist-killer; instead he is the delusional monster whose disastrous track record of stupid decisions helped create the firestorm we are going through today. Why are we all hating each other? Black nationalist terrorism appears to have struck in Dallas as 12 policemen were shot by a sniper. Five brave officers lost their lives. Only last monthbut last month seems so long ago already the world reeled in shock at the biggest mass shooting in modern U.S. history in Orlando by a jihadist, while across the Atlantic, British Member of Parliament Jo Cox was hacked to death by a suspected neo-Nazi terrorist. Only last week, jihadist terrorists did everything they could to stain the holy month of Ramadan in blood. Istanbul suffered 45 deaths at their hands; Yemen lost 43; Dhaka saw the deaths of 20 hostages; while Baghdadpoor Baghdadmourned the loss of over 280 to ISIS directed or inspired terrorists. Then there was Dallas, a slaughter of police coming in the aftermath of videos showing police killing black people, but not those police, not in that place. They were murdered as symbols, not as people. And there is only one word that can possibly describe what has afflicted us the world over. Hate. Global Hate is well and truly on the march, so ascendant that the last worst ever atrocity pales in insignificance to the current one. Extremist Muslims are hating on kuffar infidels. Neo-Nazis and their Populist Right bed-fellows are hating on the multicultural Left. And the Hard Left are hating on neo-liberal globalists. The extremes are on the rise the world over as many people are wondering what on earth is going on? Great change is afoot, and a consequence of this change is great upheaval. We often hear that globalization has disenfranchised people, but its most obvious and pernicious effect right now is that it has empowered parochialism. Due to historically unparalleled connectivity and mobilization, globalization has stratified entire populations. Average Muslims now feel more connection and affinity with their religious counterparts across the world than they do with their fellow countryman at their local social club. For all their talk of anti-globalization, nationalismand even Brexitthe Populist Right, too, are forging transnational global alliances with similar nativists the world over, while hating on their liberal elite neighbours. Meanwhile, the globalist Left protests neoliberal capitalism everywhere, while using the very technology neoliberal capitalists invented. Globalised localism is what I call this. And as these political strands draw in on themselves due to connectivity, they grow further apart from each other. All of this is happening across borders that were drawn for another time, during another era. Some seek to identify common cause amid all this rebellion. The truth is, these disparate and competing strands have nothing but anger and hate in common. The contradictory aspirations of global hate suffice in demonstrating this. Depending on who you talk to, we are simultaneously witnessing the end of progressivism and the return of state socialism. The end of borders and resurgent nationalism. The sidelining of religion and a revival of theocracy. Immigrants are stealing our jobs and unjustly claiming welfare. Indeed, the only thing this Hate has in common is the overwhelming narcissism of its most devout adherents. Everything must revolve around us, only we are the victims. Nobody elses pain matters, unless of course it can be used to teach them how much we have been suffering, too. No, there is no single Great Rebellion against The Establishment worth talking about. There is only the stratification and polarization of society. None of these groups have anything in common but hate for the status quo, and hate for each other. This Hate plays on common human emotions that are easy to exploit. The uncertainty caused as borders and barriers break down is easily comforted by a time-tested retreat into the unholy trinity of victimhood, tribalism and cultural supremacy. But those who plunge down such ideological rabbit holes must look at history. For Islamists, ISISs neo-caliphate is the logical consequence of theocratic ideology. For the Far Left and Right, Fascism and Nazism provide recent lessons for us all. To travel down this path is to return to the 1930s, before World War II devastated entire generations with state orchestrated hate. We must take heed. The new recruit in each group may start with the good intentions and seek to solve real grievances. But Ive yet to witness evil rear its demonic head in this world without first beginning with good intentions. African-Americans and other minority communities have suffered, and are suffering still. Yes, Muslims globally are suffering, too. The white working classesespecially the underemployed men among themare in dire straits. Police and veterans have lost some of their bravest for merely doing their jobs. But if the shootings by a Black Nationalist terrorist in Dallas this week, if the jihadist killings in Ramadan, and if the suspected neo-Nazi murder of Jo Cox can teach us anything, it is that the only winner from our perpetual state of victimhood is death, not life. Reciprocal human rights, individual liberty and mutual respect are values much subscribed to but seldom adhered to in todays hate-filled world. We have become quick to demand our rights while slow to afford those same rights to others. If all blacks are not thugs, if all Muslims are not terrorists, likewise all policemen cannot be racist killers. Only a new commitment to the universality of human rights and human dignity can lift us out of this current quagmire. But that will require foot soldiers of peace who preach what we have in common rather than how we are different; what we have gained, rather than what we have lost; and what we seek to achieve rather than what we have failed to accomplish. These foot soldiers of peace must be able to transcend their own victimhood while pulling people together. Love and empathy must win over hate and vengeance. Everyone is a victim, and everyone is an aggressor. After the tragic, calamitous events in Dallas this week, the obvious must be restated. There are good and bad blacks. There are good and bad whites. There are good and bad Muslims. There are good and bad police. We are all good and bad humans, and we are all everything in between, too. Righteousness breeds nothing but certainty, which breeds moral outrage, which breeds personal judgment, which breeds intolerance, which breeds bigotry, which breeds hate and violence. I ask everyone the world over, please, lets just all calm down. CARACAS The sense of abandonment in the streets of Venezuela these days is hard to describe. It goes far beyond the queues outside every single supermarket, the pharmacies stocked with trinkets and toys instead of medicines, the streets made dark and lonely by the self-imposed curfew of fear. Hardworking people wander about, aimless and hopeless, simply asking for help from anyone who can spare, at least, the time to listen to their laments. Theres a feeling that anything could happen at any moment. There could be a police raid or there could be looting, or you could get shot or robbed, or the National Guard may decide to beat you or put you into custody. Its the absolute absence of justice, the absence of the rule of law that one feels, a sense of defenselessness engengered by the same institution tasked with giving citizens order and security: The Venezuelan Supreme Tribunal, the TSJ. Broadly, the role of the tribunal since 1998, when the late Hugo Chavez came to power, has been tied ever closer to the whims of the Executive Branch. First, during the early days of chavismo, it green-lighted the political reform that would eventually allow President Chavez to control the other branches of government. This was an act of institutional suicide if there ever was one. Later on, the TSJ became a political instrument for the government, and this is well documented in every single one of its decisions. Antonio Canova, a constitutional lawyer based in Caracas, along with three of his colleagues, took on the challenge to review over 45,474 rulings of the Venezuelan Supreme Tribunal to determine whether it was biased in favor of the government. They published their work in a 2015 study entitled, succinctly, The Supreme Tribunal at the Service of the Revolution. And the results were staggering. The team of lawyers came to the conclusion that in an eight year period between 2004 and 2013 the Supreme Tribunal had not once ruled against the Executive Branch. The consequence is that the natural users of the justice system have flocked away from it, since theres no guarantee that there will be any sort of impartiality or expeditiousness. Plus, the complete disregard for public accountability has enabled the corruption network that is eating away at public organs. It has infected other instances of the judiciary, such as the criminal courts, and administrative offices of the government like those that control prices and the foreign exchange market. When Hugo Chavez died and President Nicolas Maduro took office, it was the TSJ that allowed him to run in the elections even when the Constitution explicitly stated that he couldnt. The court was acting on Chavezs dying wish. Late last year, the already subservient Supreme Tribunal was re-packed with chavista judges by the lame duck National Assembly a few days before the new one took oath. The opposition had just won a supermajority in the legislative elections after a landslide vote. But now the TSJ could use its vast powers to check the parliament to reverse almost every legislative initiative pushed forward by the opposition majority. Just now, the TSJ ruled that the parliament cannot have its own legal representation in court (to fend off challenges before the court) but that it must rely on the Attorney General appointed by the Executive. The current National Assembly is on a choke hold. Canova believes the Supreme Tribunal has been spearheading this crisis, and that it is crucial that we take a look not at the decisions that the TSJ has been taking lately to annul the activities of the parliament, but at who is taking those decisions. Take Calixto Ortega, for instance. Ortegas militancy with the government party goes back to the beginning of the Chavez years. In those days, Ortega held a spot in the National Assembly for the government party. It was said of him that he was one of two government party deputies the other one being Nicolas Maduro who had the good sense to tend bridges with their opponents. He was easy to talk to and negotiate with, while stirring his scotch and soda with his little finger. His diplomatic manner may have been the reason the Venezuelan government appointed him charge daffaires in the United States in 2013. They needed someone who wasnt allergic to capitalism, and who could serve as liaison with the US when things got tough: someone loyal who could do some damage control when it was required. And he did. When Hugo Carvajal, a retired general who was appointed to run the Venezuelan Consulate in Aruba, was apprehended by Aruban authorities in 2014, it was Mr. Ortega who was sent to deal with the diplomatic scandal. The Aruban police reportedly had acted on a U.S. warrant for Carvajal related to an indictment in on drug trafficking charges. He was taken into custody, and the Aruban authorities were about to start the extradition process to the U.S. Ortega intervened and brought Carvajal back to Venezuela with him. Today, Carvajal holds one of the few seats the government party has in the National Assembly and, in consequence, hes invested with parliamentary immunity. Even when he was not a visible politician, except for the Aruba incident, the United Socialist Party of Venezuela decided to give him one of the spots they were certain they wouldnt lose to the opposition. Ortega could be considered the Tom Hagen, the consigliere, of the chavista regime. There is no doubt of Ortegas loyalty to the government party, and yet he holds one of the highest spots on the Venezuelan judiciary. And Calixto Ortega is just one of many characters hand picked by the government party, skipping all protocols and constitutional procedures, and who doesnt have the merits or experience to hold that post. In recent days, the government party filed a motion to shut down a referendum put forward by the opposition to recall President Maduro. Considering the tense environment in Venezuela, the referendum has been seen as an escape valve to ease the pressure, and serve as a pathway to resolving the critical economic and political situation. For the opposition this recall must take place during 2016 in order to be able to call for a new Presidential election. For some factions of the government party close to Maduro, it must be delayed until after January 2017 so the current VP can end Maduros term. The government tries to buy time by saying dialogue is on the table, but as long as it is not conditioned. The opposition agrees to sit down, as long as their conditions are accepted. Even when the Supreme Tribunal has been systematically blocking every single attempt to resolve the crisis, shutting down the referendum is a card they may want to keep in their sleeve. The elections authority has until the 26th of July to announce whether the process moves forward or not. If its stalled or blocked there is no doubt that the country will be immediately ignited. The end starts to become clear on the horizon, and it is not so much a matter of when anymore, but how. The Judicial power may be sleeping with a finger on the trigger of an unprecedented social conflict. Strip Club Death Trial Delayed by Lawyer Dying in Same Club WHAT ARE THE CHANCES? The second death at Shotgun Willies in Colorado was called kind of weird by the attorneys co-counsel. LOS ANGELES This may be what the start of a water war looks like. Drought is draining the Wests largest reservoir, Lake Mead, to historic low levels. Forecasts say climate change will make things worse. Headlines warn of water shortages and cutbacks. Members of Congress are moving to protect their states supplies. Yet if war is really imminent, why is one of the regions most experienced water managers doing the same thing he has done for years: tinkering? I like to describe this as another incremental step, said Tom Buschatzke, director of the Arizona Department of Water Resources. Buschatzke was talking about a plan he is helping develop, along with water managers in California, Nevada and Mexico, that would voluntarily reduce water allocations from the Colorado River to those three states and Mexico. They hope to have it in place in time to avoid steeper, mandatory cuts that could begin as soon as 2018. Would their plan change everything? Would it finally fix the increasingly inadequate blend of canals, conservation and compromises that somehow keeps water flowing to more than 25 million people, including a substantial chunk of those in Southern California? Not even close. But for Buschatzke, who has spent decades efficiently providing water for a desert population Arizona uses less water now than it did 60 years ago even though the population has soared from 1.1 million to 6.7 million the big fix is actually in the accumulation of all the little fixes he and others are constantly making. A federal grant for new technology that will better measure water use. Paying a farmer to fallow a field. Saying nice things about your colleagues across the state line and the fine folks in Washington. Keeping things collegial. Sharing. Saving. Preserving the process and the peace. I dont think a water war is inevitable, he said. I think weve proven over the last 20 years that we can effectively work together to find solutions that really work. And as long as we continue to do that, the water war wont happen. The current project, called the drought contingency plan, is a tweak to a previous tweak. Nearly a decade ago, water managers recognized that Lake Mead was draining faster than predicted. They recalibrated plans for how they could handle cutbacks. Now, with Lake Mead dropping even faster, they are recalibrating again. The goal, as has been the case for years, is for water users to limit how much they take out of Lake Mead. The less they take, the less likely the lake will drop to levels that prompt mandatory cuts. Yet the cuts would not apply evenly. Under an agreement reached in the 1960s, California is not required to make any cuts, even if the lake drops so low that Arizona and Nevada lose everything. But now, even California is willing to conserve because officials know that, in the event of catastrophe, they will be forced to share anyway. It is better to try to prevent the catastrophe in the first place and keep the federal government from taking over a process the states want to control. There is no firm draft of the drought contingency plan, but the gist of it has been floated at meetings for several weeks. Under the plan, Arizona and Nevada would take cuts before California, but California eventually could take cuts too again, with the goal of staving off even more severe cuts if it does nothing. Buschatzke, who speaks weekly with managers in other states, said he thinks California has a new appreciation for Arizonas endless search for efficiency. I think the drought in California, the severe impacts on the state water supply, have made them feel what its like to be Arizona, he said. That said, Arizona does not completely trust California. Nor does California necessarily go looking for ways to help. California has resisted legislation sponsored by Sen. Jeff Flake, R-Ariz., that would ensure that any water Arizona chooses to leave in Lake Mead to prop up elevation levels actually stays in the lake, that another state cannot take it. Arizona has an elaborate underground storage system and some residents want to fill it by drawing down all of the Colorado River water the state is allowed to take under the law. Water managers choose not to do so, believing it will help the state in the long run to safeguard Lake Mead. The Flake legislation would add protection for taking that approach. We have a finite amount of water to put underground, Buschatzke said, And so every gallon that we dont put underground, that we put into Lake Mead, makes a difference. Our ability to continue to add to our underground storage is decreasing over time. There are expensive and controversial ideas for solving the Wests water problems: desalination; transforming sewage into tap water; scaling back agriculture; water markets. But until a cure-all comes along and somebody agrees to pay for it expect more nipping and tucking. We are offsetting that first shortage declaration by the action we are taking, Buschatzke said. There is strong interest in completing the drought contingency plan before top officials at the Bureau of Reclamation and the Department of Interior, agencies that oversee the Colorado River, are replaced by the next presidential administration. If an agreement is reached, it may only delay the inevitable. But delaying a war is no small feat. WASHINGTON When President Richard Nixon signed the Controlled Substances Act in 1970, the federal government put marijuana in the category of the nation's most dangerous drugs, along with LSD, heroin and mescaline. In legal parlance, pot is a Schedule 1 drug, with a high potential for abuse and no medical purpose. Forty-six years later, the law might soon change, as the Obama administration prepares to make what could be its biggest decision yet on marijuana. Suspense is mounting after the U.S. Drug Enforcement Administration missed its self-imposed June 30 deadline to decide whether to reschedule the drug and recognize its potential therapeutic value. Twenty-six states already have legalized its medical use. For Christine Gregoire, the former Democratic governor of Washington state, a decision has been a long time coming. In 2011, she and Republican Lincoln Chafee, who was then the governor of Rhode Island, filed a 106-page petition with the DEA, arguing that the categorization of marijuana was "fundamentally wrong and should be changed." In an interview, Gregoire said she "naively had such high expectations" that the DEA would act long before now, but she predicted the agency will approve the rescheduling. "To be honest with you, I'd be shocked if they didn't," Gregoire said. "Frankly, in five years the entire world has changed in Washington state. Today we have recreational marijuana, and the Justice Department's nowhere to be found." Voters in Washington state and Colorado became the first in the nation to legalize recreational marijuana in 2012, a year after the governors filed their petition. With the Obama administration adopting a policy to "just look the other way" in states with recreational marijuana, Gregoire said it would be hard for the DEA to justify keeping marijuana on the Schedule 1 list. Opinions differ on what exactly might happen when the DEA responds to the petition, but a move to reschedule marijuana would be a major milestone in the decades-long push to legalize pot. Among other things, it could pave the way for pharmacies to fill marijuana prescriptions and allow universities and others to conduct more medical research. Many pot entrepreneurs hope that Congress would respond by helping marijuana businesses, allowing them to deduct their expenses from their federal taxes and giving them access to banks so they can phase out their all-cash operations. Some predict that rescheduling could even make it easier for marijuana users to challenge policies that allow employers to fire them for positive drug tests. Allen St. Pierre, executive director of the National Organization for the Reform of Marijuana Laws, said the DEA's decision would be "remarkably consequential," adding: "It will really cast the direction one way or the other." To be sure, there are plenty of skeptics who doubt the DEA will change anything at all. "I'll believe it when I see it," said Gregory Carter, medical director of St. Luke's Rehabilitation Institute in Spokane, Washington, who helped write the petition. The DEA has given no indication of how it might rule, and President Barack Obama has said that any decision to reschedule marijuana should be left to Congress. In the long run, many say, the best solution is not to reschedule marijuana but to "deschedule" the drug, putting it in the same category as tobacco and alcohol. As the DEA prepares to act, the man in the hot seat is Rosenberg, who infuriated pot advocates last year by dismissing the idea that smoking marijuana has any medical value. "We can have an intellectually honest debate about whether we should legalize something that is bad and dangerous, but don't call it medicine. That is a joke," he told reporters at a briefing. But as more states vote to legalize medical or recreational marijuana, the issue is winning more support on Capitol Hill. Senators will debate the potential medical benefits and risks of marijuana Wednesday, when the Judiciary Subcommittee on Crime and Terrorism takes up the issue. When Rosenberg appeared before the full Senate Judiciary Committee last month, North Carolina Republican Sen. Thom Tillis urged him to back his bill that would make it easier to research the medical effectiveness and safety of marijuana. Tillis said he was particularly interested in more study of cannabidiol, or CBD, a form of cannabis oil that has been shown to reduce seizures. "I've said over and over if it turns out that we find something in that plant that helps kids with epilepsy, I promise you, I will be at the front of the parade, leading the band," Rosenberg replied. Whenever Rosenberg announces the decision on rescheduling, pot activists plan to gather in front of the White House for a "smoke-in," either to celebrate or protest. They'll assemble at 4:20 p.m., in honor of 420, the popular code for marijuana. It might be a big day for Gregoire, who laughed at the notion of playing a role in getting marijuana off the list of Schedule 1 drugs and helping to change a Nixon-era law. "You know I grew up in those times. I remember those times, the '60s," she said. She said she first saw the value of medical marijuana in the late 1970s, when her legal secretary was diagnosed with cancer and found help by using marijuana. Gregoire said rescheduling the drug would allow safe access to medical marijuana for more patients in states where it had not been approved, while clearing the way for more much-needed research. "That was my whole intent," Gregoire said. "Let's not knee-jerk react to some yesterday's '60s proclivity against marijuana." Micah Johnsons journal opened a portal into a madmans mind. In handwritten scrawls and crude sketches, police learned about the 25-year-olds gun lust, his fascination with shoot-and-scoot tactics, and increasing interest in black nationalism. But his writing also showed something else, according to a Dallas Police Department officer with access to evidence in the investigation. His words aren't an intricate manifesto. They were fleeting thoughts that bounced around inside a brain that never really grew up. On Sunday, three days after he slaughtered five Dallas police officers and wounded seven others and two civilians in a rampage fueled by racism and rage, a more nuanced portrait of the killer began to take shape. His journal included riffs about assault rifles, but they were interspersed with rap music lyrics. A dispassionate sentence about ways to inflict maximum carnage, might be followed by one with complexity and heart, about being both African and American during a time where those identities often seem to conflict. This guy might have been a loner, said the officer, who requested anonymity because he isnt authorized to speak publicly about the case. But he was smart. Dallas Police Chief David Brown said Sunday morning on CNN's State of the Union an injured Johnson wrote the initials R.B." on the walls of the downtown parking garage where he was barricaded last week. Brown later ordered officers to use a remote-controlled robot armed with explosivesto kill Johnson. The investigation suggests Johnson had long prepared for the attack, according to the chief, but fast-tracked his plans after the protest march against recent police shootings of two black men in Louisiana and Minnesota was announced. Brown said police are "convinced this suspect had other plans and thought that what he was doing was righteous" and was determined to "make us pay for what he sees as law enforcement's efforts to punish people of color." But the police official close to the investigation who spoke to The News said Johnsons written ramblings did not contain a direct threat or outline definite plans. Johnson was studying all these different disciplines and you cant make heads or tails out of it, he said. Brown did not return recalls from several reporters from The News on Sunday. But he told CNN that police are still analyzing the Army veterans laptop and cell phone to figure out whether other people helped Johnson plan the ambush after a peaceful march through downtown Dallas. Johnson was in JROTC at John Horn High School in Mesquite and enlisted in the Army reserve shortly after he graduated in 2009. He also enrolled for four classes at Richland College in the spring of 2011, but did not complete any of them, the school said. He served in Afghanistan, but was discharged last year after being accused of sexual harassment, according to his attorney. Those who knew Johnson in the Army say they dont recognize the pathologically violent racist described in news accounts. He openly spoke of his Christian faith in Afghanistan and often chose to socialize with white soldiers. It's not racism guys, Heather Brooks wrote on Facebook. Hate what he did, but don't hate the man ... it was mental sickness unchecked and untreated. He had good in him, we all know he did. Brooks, of Odessa, declined to comment when reached by phone. aaIf you didnt hang around him then you wouldnt understand him, said a black soldier who served in the same unit with Johnson. He wasnt weird, he wasnt off, he was goofy. He was almost like a class clown. But he said the militarys sexual harassment investigation curdled his friends good humor. He just wasnt as talkative, the soldier said of Johnson.He lost his funny spirit.a"a He said it probably never occurred to Johnson that the cops he fired on included his brothers and sisters who had served in the military. Other friends said Johnson showed little interest in conversations about racial injustice or the shooting of Trayvon Martin. Soldiers wondered out loud whether the stress of serving in a combat zone got to their friend. Mortars exploded in the area four or five times a day. Back in the states, a former co-worker said Johnson seemed very affected by officer-involved shootings of black men in recent years - something he told police before negotiations failed. Johnson also told police he had been training for the deadly ambush. A neighboring family said he performed what looked like military training exercises in his yard. Johnson took self defense classes at the Academy of Combative Warrior Arts in Richardson for six months to a year. Justin Everman, who owns the business, said the classes only involved hand-to-hand defensive measures, nothing related to weapons training. He said Johnson's round of classes ended more than a year ago. Everman denounced the shootings, which he called atrocious. "We are very depressed," he said. "It's just disgusting." This story was written by Scott Farwell. It was reported by Farwell, Brittney Martin, Brandon Formby, Jennifer Emily, Brandi Grissom, Holly K. Hacker, Katie Leslie and Christine Schmidt. Four weeks into the campaign and the Australian Department of Environment was caught removing all references to the Reef from a joint UNESCO (United Nations Educational, Scientific and Cultural Organisation), United Nations Environmental Program (UNEP), and Union of Concerned Scientists report on climate change and World Heritage sites. The Australian Department of Environment, which having seen an earlier version with an entire chapter dedicated to the Reef, requested a redaction of any reference to Australia's three World Heritage sites, Kakadu National Park, Tasmanian forests and the Great Barrier Reef. The department's justification was this was solely a preventative measure against causing panic and confusion, which could adversely affect tourism. Reasoning that the orginal report title, Destinations at Risk: World Heritage and Tourism in a Changing Climate would be misleading, and with the Reef being taken off the at risk' list the year before, the word risk' the department argued could confuse people as to the status of the Great Barrier Reef. Australian Greens Party Deputy Leader and Queensland Senator, Larissa Waters warns "The Government will stop at nothing to cover up the devastating impact its inaction on global warming is having on our World Heritage Areas like the Great Barrier Reef and our magnificent Tassie Wilderness". Damage Limitation and Dollars. Damage limitation saw the two main parties (Liberal National and Labor) pledging billions of dollars to save the Reef. Prime Minister Turnbull promised $5bn over ten years to improve water quality from agricultural run-off. Yet, the Great Barrier Reef is worth $5bn a year to the Australian economy. And a 10 percent investment for activists and others who care, is little more than a rebranding exercise. GetUp! Action for Australia's Campaign Director Sam Regester says the money is simply being redirected from investment in renewables claiming "We're highly dubious of the government's decision to rebrand money already earmarked for renewable energy to farmers to make irrigation more efficient". He adds,"the government is still handing out $7 billion in taxpayer's cash to pay for the coal and gas industry's fuel. And they're still cutting over a billion in renewable investment from the Australian Renewable Energy Agency". A seemingly unwillingness to invest in renewables contrasts with a continued push to expanding coal and mineral exports. Boom Or Bust: A Nations Love Affair with Coal. The Great Barrier Reef sits off the coast of Queensland. Covering two thirds of the States' coast. It stretches from Cape York at the tip of Australia down past the pristine white sands of the Whitsundays and into the sub-tropical southern half of the state. Sitting astride the tropic of Capricorn is a proposed mega-mine the Gaillee Basin project. End-to-end the basin measures almost 200 miles (300 km); covers an area (247,000 km2) larger that the UK (243,610 km2) and holds over 25 billion tonnes of coal. Incorporating the Adani and Carmichael mines, coal will be shipped out of nearby Abbott Point Port and through the Great Barrier Reef. The Australian Climate Council estimates the mega-mine will emit an "estimated 705 million tonnes of carbon dioxide each year - more than 1.3 times Australia's current annual emission". Australia's PM has bipartisan support and with all but the Greens Party objecting, means the mega-mines will go ahead. Australia will be relying on independent MP's for checks and balances if the government is to seriously address climate change and the nations relationship with the mining industry and renewables if they want the Great Barrier Reef to survive. http://oceanservice.noaa.gov/education/kits/corals/coral02_zooxanthellae.html This Author: Maxine Newlands is a Political Scientist at James Cook University, Queensland, Australia. Her research centres on environmental governance, politics, protest movements and political communication in the media. Maxine is a regular political commentator for both print, TV and radio, and has been writing for the Ecologist since 2012. Maxine.newlands@jcu.edu.au @Dr_MaxNewlands Des Moines County landowners unhappy with proposed land lease program Facing budget cuts, Des Moines County Conservation would lease FEMA-owned land bought out after the 1993 and 2002 floods in order to make some money. This is a carousel. Use Next and Previous buttons to navigate Fresh off Bernie Sanders' run for presidentand the rhetoric against Wall Street and the "one-percent" movementForbes recently looked at which schools in America were the country's "wealthiest." To do this, Forbes considered each endowment of the more than 3,000 universities and colleges in the country. "Endowments" are considered the financial resources each school holds to support its goals. Perhaps to no surprise, Yale University made the cut. In fact, it was only second to Harvard University. Related: Yale, Wesleyan named among country's 'top schools' Of those at the top of the list, nineincluding Yalehad endowments over $10 billion, which also adds up to about one-third of all endowment income of America's universities. At Yale, the endowment rate was measured at about $2 million per student, about 80 times more than the average endowment rate across all American higher education. "These schools earn probably the better part of $100,000 in income for every studentseveral times the average spending per student at American universities," Forbes said. Forbes also noted that these incredible endowment amounts are leading to questions about the role of taxpayers in funding rich schools. "The extreme variation in endowments across the academic landscape are leading some to question federal policies that give wealthy schools tax advantages (e.g., exemption from capital gains taxes) that the rest of us do not have," Forbes said. Related: The best high schools in Connecticut in 2016 In March 2016, Connecticut lawmakers were considering a proposal to tax the net investment profits of Yale's endowment in order to deal with the state's budget shortfall. The proposal, introduced by Senator Martin Looney, would have only taxed investment profits that the school chose not to re-invest in higher education or the economy. The proposal at the time led to an invitation from Florida governor Rick Scott for the university to move south. "If Connecticut lawmakers are seriously considering another tax on Yale, businesses and families should be concerned about the other tax increases their Legislature will consider. We would welcome a world-renowned university like Yale to our state and I can commit that we will not raise taxes on their endowment. This would add yet another great university to our state," Gov. Scott said at the time. However a Yale spokesman quickly shot down any further speculation, citing the school and state "have been on common ground to great mutual benefit for 300 years. We're looking forward to reaching even greater heights in education, research and civic engagement over the next three centuries and more.'' Contributed photo / Contributed photo NORWALK A Norwalk man was arrested early Saturday after, police said, he attempted to enter a former girlfriends apartment through an open window. Patrick Priest, 32, of Avenue C, was charged with two counts of second-degree burglary and breach of peace. NORWALK The Stanley H. Stroffolino Bridge, which spans the Norwalk River and connects South Norwalk to East Norwalk, was stuck in the open position Sunday evening into Monday morning about seven hours. The bridge carries vehicular traffic across Norwalk Harbor. It is not far from the Walk Bridge, which carries trains across the water and has gotten stuck several times in recent years. Weve been conditioned to believe that it is experts like Hillary Clinton and James Comey who maintain the social conditions necessary for our health and happiness. We are all now familiar with the decision by FBI Director James Comey not to seek an indictment against Hillary Clinton for her email scandal. In his announcement, not only did Director Comey contradict a number of Secretary Clintons claims, he laid out the case of gross negligence and extreme carelessness that would have landed anyone of us in prison. But not Hillary Clinton. Director Comey fabricated what Charles Krauthammer called a completely, irrelevant new standard which is malicious intent, as a way of absolving her from indictment. You can be grossly negligent and even lie about it, but if you didnt really mean it, its cool. So whats really behind all of this? First, we need to understand that the modern age is comprised of two main classes of people, what C.S. Lewis called the conditioner class and the conditioned class. What he meant by that is because the modern age operates according to complex technological and scientific processes, it requires a class of experts and engineers who have the specialized competency and expertise to govern this technocracy. And so within such a modern matrix, the wider population is conditioned to believe that their health and happiness is dependent upon this ruling class of experts and engineers. Second, we also have to understand that technology-based societies tend to reject traditional moral conceptions of life. This is because technology is organized and governed by modern scientific processes which are considered value neutral and thus devoid of moral frames of reference. What this means is that traditional conceptions of law and order are increasingly replaced with modern conceptions. So while traditional societies viewed human law as something reflective of transcendent divine law, modern societies actually invent law, they make it up in accordance with the needs of social conditions as the elite class of conditioners understands and interprets them. And so, in modern societies, there are two fundamentally different relationships to the law. While the conditioned are always under the law, in that we dont invent but are called only to comply, the conditioners are always above the law, since they are in a position to invent law in such a way that complies with their own social management and engineering. You see, in a society where laws are made up by a class of elites, its not a coincidence that those elites tend to benefit from those laws and their variant interpretations. But wait a minute: why arent people up in arms about this? Arbitrary laws? Where are the mass protests over the injustice of such a thing? Ah, dont forget: Were the conditioned class. Weve been conditioned to believe that it is experts like Mrs. Clinton and Mr. Comey who maintain the social conditions necessary for our health and happiness. So this is just a small toll that we pay for all of these wonderful benefits of living in a modern society. In fact, if you really think about it, now that law is just arbitrarily made up, deriving its legitimacy not from God or divine justice but from the state, then there is no basis for a citizen to contest the justice of a law or its application beyond the decrees of the state. So what then would we be protesting? And of course, this goes the other way; all the efforts of politicians and media commentators to exonerate Mrs. Clinton by playing the lawyer, making legal distinctions and exceptions in her favor, are in the end meaningless. There is no ultimate standard of justice behind these laws and their application; the issue is only power, whether the circumstances benefit or hurt their trusted conditioner. The good news is that there is a mass gulf growing between the conditioners and the conditioned. As we have seen in the recent GOP and to a certain extent Democratic primaries, there is a profound distrust brewing among citizens for the so-called establishment. And if global events are any indicator, this distrust will eventually reach critical mass, resulting, as it has so often throughout history, in a tectonic social and political shift. Until then, however, the modern elite will continue to have their fun engineering society for their own benefit, and at our expense. The Imaginative Conservative applies the principle of appreciation to the discussion of culture and politicswe approach dialogue with magnanimity rather than with mere civility. Will you help us remain a refreshing oasis in the increasingly contentious arena of modern discourse? Please consider donating now. The featured image is James Comeys official portrait as the Seventh Director of the Federal Bureau of Investigation, and is in the public domain, courtesy of Wikimedia Commons. The term affirmative action was first used in an executive order by President John F. Kennedy in 1961. The motivation was to favor members of a disadvantaged group that historically suffered from discrimination due to oppression of any kind. This concept has been employed in many spheres and one of those has been to promote diversity in higher education on the basis that many universities have effectively discriminated against admitting and/or promoting minorities. Two weeks ago the U.S. Supreme Court announced a decision on affirmative action that originated in higher education. The 4-to-3 decision reaffirmed the University of Texass admission policies that include race and ethnicity as one of the factors in considering students applications. To understand the significance of this decision for higher education we have to go back to 1997. Then the Texas legislature established that Texas applicants were to be admitted at public universities automatically if they were in the top (roughly 10 percent) of their graduating high school class. Since many high schools in Texas are in fact segregated (over half of Hispanic students and 40 percent of black students attend schools with 90 to 100 percent minority enrollment), students from Texas who did not make the 10 percent cut, as well as students from elsewhere, are considered for admission based on many other factors including - but not exclusively - race and ethnicity. Many other institutions of higher education in the U.S., both public and private, have similar standards. College admissions based on affirmative action have been contested in the courts in the past. For example, in 2003 in Grutter v. Bollinger (regarding the University of Michigan Law School admissions standards) the U.S. Supreme Court endorsed such programs. Writing for the majority, Justice Sandra Day OConnor said that she expected that 25 years from then affirmative action programs would no longer be necessary. The case on which the U.S. Supreme Court made the decision last month was a challenge by a student, Abigail Fisher, who sued the University of Texas at Austin in 2008 for its admissions program that denied her admission. She claimed that UT discriminated against her for being white. Ms. Fisher has since graduated from Louisiana State University. And this was not the first time the Supreme Court heard this case. The first time was in 2013 when Fisher appealed a decision by the U.S. Court of Appeals for the Fifth Circuit, which found the University of Texas not guilty of discriminatory practices. Then the U.S. Supreme Court sent the case back to the appeals court telling them to revisit the case using more scrutiny. The appeals court effectively affirmed its prior decision and Fisher appealed that judgment once again to the Supreme Court. Last months decision to uphold the admissions policies at UT had Justice Anthony M. Kennedy writing for the majority. The decision came as a surprise since Kennedy himself had hinted when the case was argued last December that it might be sent back again to the appeals court. Many expected that even after the death of Justice Antonin Scalia - who always opposed affirmative action policies - the court would strike down UTs admissions policies given that Justice Elena Kagan had recused herself because while being the U.S. Solicitor General, she had submitted a brief supporting the university. Her recusal left just seven justices to decide the case with Kennedy, who had never voted in support of affirmative action policies. Although this decision has been heralded as a victory for affirmative action advocates, the wording of the decision makes clear that it is not an absolute endorsement of such policies. Kennedy wrote that the Austin campus has an "ongoing obligation to engage in constant deliberation and continued reflection regarding its admissions policies" and needed to continue to use data to scrutinize the fairness of its admissions program; to assess whether changing demographics have undermined the need for a race-conscious policy; and to identify the effects, both positive and negative, of the affirmative-action measures it deems necessary. Therefore, although the U.S. Supreme Court has affirmed the constitutionality of a race-conscious approach in university admissions and that universities have a compelling interest in achieving the social and educational benefits that flow from a racially and ethnically diverse student body, this is not a blanket support for admissions policies that include race and ethnicity. Yet, this decision is a good one for higher educations bottom line: revenues from enrollments. One of the least discussed reasons why institutions of higher education want to widen their admissions net is because their enrollments are declining. It also helps them deflect the increasing racial tensions on campuses by showing that they are more diverse. Although colleges and universities are right to say that diverse campuses help to prepare their graduates to succeed in a diversified world, it is also true that they are failing to live up to their words when it comes to their leadership. According to a recent study by the American Council on Education, colleges and universities have decreased the number of minorities leading them. This is true even for minority-serving institutions. If universities want to attract more minority students, they should have more minorities in leadership positions. Finally, equal access opportunities are not just about race but are also a matter of socio-economic standings. With a diminishing middle class, higher education institutions should also look at creating more admission opportunities for the less financially privileged groups - regardless of race. After all, from an economic viewpoint there are more things in common between poor white and poor black Americans than between poor and middle class blacks. Only then can we say that colleges and universities are fulfilling their rhetoric of fairly representing the demographics of the nation. Dr. Aldemaro Romero Jr. is a writer and college professor with leadership experience in higher education. He can be contacted through his website at: http://www.aromerojr.net. The Edwardsville Fire Department blazes for the bronze as Fire Chief Rick Welle accepted a bronze Mission: Lifeline EMS Award from the American Heart Association at Tuesdays City Council meeting for the second year in a row, respectfully. Welle said the levels of the awards are based on quantity, not quality. We got the bronze award. The reason we got the bronze award, the third layer, is because its based on the volume. With the number of calls we had come in, there were only six STEMIs (Segment Elevation Myocardial Infarctions) that we could identify that put us at the bronze level, Welle said. The standards that they do look at though is how many people over 35 with chest pain actually have a lead ECG (electrocardiogram). They look for a 70 percent; we were at 100 percent. As stated on the American Heart Associations website, the award was initially created, to save the lives of STEMI heart attack patients by connecting healthcare providers, prehospital providers and community stakeholders in a proactive system of care - from symptom onset through cardiac rehabilitation. Welle addressed the Council and said the department was grateful for their investments that helped their treatment options. There are ways of identifying (STEMIs) through advanced life support measures that are used and that are implemented here in Edwardsville. Youve made a tremendous investment over the years for our expensive cardiac monitors and defibrillators. Were now able to, in the field, do full ECGs - the same that they have at the hospital, Welle said to the Council. Welle said with the proper equipment, the department can ensure a more sufficient response to emergencies. Its been determined that the earlier this has been identified, the quicker we can get them to the appropriate immediate care for a balloon angioplasty or whatever permanent measures need to be done to reverse this in time, Welle said. The American Heart Association awards new programs each year. Welle said the effort behind the awards is more than considerable. The whole program is to recognize those programs which have gone out and aggressively trained themselves and have improved themselves in the early recognition of the STEMIs, Welle said. The Edwardsville Fire Department is in cooperation with Anderson Hospital, located in Maryville, Illinois. Welle said there is significant trust between both organizations and thus has enhanced the care they give patients. Virtually every patient weve come across over the age of 35 gets a 12 lead ECG in the field and thats reported back to our resource hospital, which is Anderson Hospital. I would also say that the STEMI lab at Anderson Hospital is not a full-time lab. But they do have full-time on call and on just our paramedics call, they will assimilate the team before theyve ever even seen the ECG. They have the confidence in our building to read these ECGs and interpret them and sometimes they just completely bypass ER and we go straight to the STEMI lab. Just completely based on the medics call. So its a big deal and this is the second year in a row we got the bronze award, Welle said. For more information about the award, visit mlnetwork.heart.org. Edwardsville's City Council approved a resolution authorizing the Timberlake Village Planned Unit Development at Tuesdays meeting, after being passed through the Administrative and Community Services Committee. Alderman Jeanette Mallon said as long as the development follows the PUD set in place, she is in support of its approval. This amendment here is just authorizing the U.S. Bank to own their own land, which is what they wanted to do in the first place. So, as far as that goes, thats fine. But its been hinted that there may be another amendment coming to this PUD and if it does have some kind of a negative impact on the surrounding neighborhood there, I would not support that, Mallon said. This is a PUD that was first approved in 2006, and its at the intersection of 157 and Lewis Road. It was a very conscientious development at that time; there are still about three undeveloped acres available on this PUD. Formerly known as the JRG PUD, the 4-acre development will be able to be subdivided after the approval of this resolution. Although it has to follow what has already been set in place in the 2006 PUD, Alderman Tom Butts said there are a few necessary additions being added to the development. In this PUD, it certainly doesnt take away anything that was approved in 2006. There is actually a couple of improvements in it, which is an additional sidewalk and a setback of 50 feet, Butts said. City Planner Scott Hanson said the setback requirements need to be adjusted to accommodate the new additions to the development, hence the 50-foot setback. The setback requirements are what was approved in 2006, but there is a 50-foot setback from the west property line of Weber Drive to the proposed future buildings, Hanson said. As the Council proceeded to close the discussion, Mallon said although the PUD is restrictive, it will be beneficial in the long run. All the stipulations that were in the original PUD in 2006 still remain, plus the sidewalk. The whole purpose of the PUD at that point was to have services in that area that were walkable for the residents there, Mallon said. It is very restrictive for medical offices, other offices, and just one very small restaurant or sandwich shop thats 600 square feet. Yes, its very restrictive and I appreciate the councils support in those restrictions in 2006. The resolution authorizing the PUD was approved by all. In other business, an ordinance ascertaining the prevailing rate of wages for Public Works was adopted and updated. Butts requested the rules be suspended and asked for passage of a general first read. The wages have not yet been updated, but according to the Director of Public Works Eric Williams, they will be updated and processed soon. Its an annual option. They have not updated the wages through June of 2016. So when they do publish those rates, those rates will be in effect, Williams said. City Attorney Jeff Berkbigler said the wages are subject to change, which is why the update to the wages has been set back. The department of labor usually does it monthly. Most of the other units were waiting for the update of the wages for June 2016, but the Department of Labor was doing surveys, trying to determine prevailing wages and informing everybody that using July of 2015, which is the most recent prevailing wage, will be acceptable to the Department of Labor for municipal adoption. Of course, we have to establish that in June of each year, that doesnt mean its set for the whole year. If they change it in July or November, the contractors are required to pay that prevailing rate, whether it goes up or down, Berkbigler said. With all council members in approval, the ordinance was passed. Further, after passing through the Public Services Committee, the Long Range CCTV Pipe Inspection Crawler purchase from Key Equipment and Supply Co. was approved by the Council for the amount of $72,200. Alderman Mallon said this equipment is necessary to improve the citys sewer system. This will be used by the sewer division in order to assist inspection and maintenance of the citys sewer lines and it will also be used to check lot lines. Its a definite improvement over what we do now, Mallon said. After little discussion, all members were in favor. In addition to the new sewer equipment, the Public Services Committee also proposed a resolution for mosquito abatement services with the Stonebridge Home Owners Association, which was also approved. Also approved was the Adopt-a-Highway agreement and resolution between the Alliance for Substance Abuse Prevention and the City of Edwardsville, proposed by the Public Safety Committee. Fire Chief Rick Welle said the issue of substance abuse is not to be taken lightly and is fully supported by the Edwardsville Fire Department. Were trying to get to the root problem of substance abuse, particularly for high school age students. Its an excellent group. We support them fully and we get the things they are trying to accomplish. This is just one way for them to get the word out that they are out there, Welle said. As the meeting came to a close, the Administrative and Community Service Committee proposed a second reading of an ordinance vacating an easement and dedicating an easement for the property of 1115 Georgia St. in Edwardsville. The motion was granted. Lastly, a Class B liquor license was approved for Qdoba Mexican Eats, located at 2100 Troy Road in Edwardsville. All council members were in favor. In addition, the Finance Committee claims in the amount of $1,288,312.49 were approved by all as well. The next City Council meeting will be at 7 p.m., Tuesday, July 5 at City Hall. Share this article Whatsapp Facebook Twitter Linkedin Irsyadillah (The Jakarta Post) Banda Aceh Mon, July 11, 2016 The British public have just made an unprecedented decision to divorce themselves from the EU after a 43-year long relationship. One of the immediate consequences is that one of the leaders of the remain campaign, the UK Prime Minister David Cameron, has to resign. Cameron will officially leave 10 Downing Street in October. The pledge made in the manifesto to hold an EU referendum has cost David Cameron his job and political career. Prior to Brexit, he has been recognized as one of the Torys greatest Prime Minister after Margaret Thatcher when the Conservative Party formed a majority government after the 2015 general election. Some have argued that the strategy of scaremongering chosen by David Cameron and the remain campaigners greatly contributed to the Brexit. Instead of providing a proper debate, they waved around threats to scare voters. Even before the formal campaign started, the voices of remain campaigners piled in to warn the British people about the nightmare of leaving the EU, whereas the good things of remaining were abandoned. The UK Treasury under George Osbourne scared the public that a Brexit would hit living standards, increase goods prices, decrease house prices and damage the UKs gross domestic product significantly. The treasury even published an analysis that average households would be 4,300-a-year worse off and hundreds of thousands of jobs will be lost in the event of a Brexit. Moreover, with the collaboration of former chancellor of the Labour Party, Alistair Darling, the Treasury continued frightening the British public by illustrating an austerity budget for the UK outside the EU. George Osbourne stated that the UK government would have to fill a 30 billion black hole in public finances in the event of a Brexit, by increasing income tax by 15 billion-a-year and cutting 15 billion of public spending. The remain camp was also able to drag many independent institutions such as the Bank of England and IMF to scare voters. US President Barack Obama was also accused to have been influenced by Downing Street to famously say that Britain outside EU would be at the back of the queue in relation to free-trade agreement negotiations. Furthermore, the public was frightened with the threat of terrorism in the event of a Brexit, in which the remain campaigners explained that Britain will lose the opportunity for collaboration and coordination with EU countries regarding safety and security in Europe. The economic analysis and the illustrative austerity budget produced by the treasury were massively challenged by the public and the Brexit camp as baseless and lacking academic judgement. Some even directly pointed out that their analysis was based on lies. Even the Institute for Fiscal Studies (IFS), an independent research organization in the UK that is pro-EU, challenged the judgments made by the treasury and remain campaigners. Actually, the project fear campaign has also been used by the Conservative Party in Londons recent mayoral election. Tory mayoral candidate Zac Goldsmith alleged that Sadiq Khan would not be tough on crime as he provided cover for extremists. Zac Goldsmith even explicitly stated that Sadiq Khan was unfit to protect the UK capital from a terrorist attack because of his link to Islamic extremists. In addition, David Cameron openly attacked Sadiq Khan in the Prime Minister Questions (PMQs) in the House of Commons, in which Sadiq Khan was accused of having strong links with supporters of the Islamic State (IS) radical movement. In general, the Conservative Party wanted to send a message to Londoners in the mayoral campaign that Sadiq Khans faith was a threat to London. Therefore, the campaign run by the Conservative Party during the mayoral campaign was widely regarded as divisive, outrageous, nasty and dog-whistling. This accusation was even made by Tories. The Tories even blamed Zac Goldsmith for specifically targeting Sadiq Khans Islamic faith. They explicitly said their candidate was racist and Islamophobic, and those were the main reasons he lost to Sadiq Khan, the first Muslim mayor of London. It is important to highlight that scaremongering worked in the Scottish referendum back in September 2014, in which the United Kingdom managed not to fall apart. However, Brexit as the result of the latest project fear has ignited another fire within Scotland for the second referendum. Brexit has also triggered something deeper in Northern Ireland for Irish reunification. Seeing that some voters have regretted voting leave and markets have crushed (British Stocks lost 125 billion), it can be said that the project fear campaign has resulted in a tragedy for the UK. Lessons for Indonesia The results of project fear in the UK provide many lessons including for Indonesia, which is the third largest democratic country in the world that has consistently been holding many general elections to select members of parliament, president, governors, mayors and regents. The lessons that should be learned from Great Britains experience is that better campaigning should happen in elections held in Indonesia. Indonesia should learn not to launch scaremongering project in any elections. Proper debates based on factual and reliable information, analysis and judgement should be advocated. Lies, racism and focus on religion should be abandoned in order to provide a better election result that will not be regretted in the future. In the case of the EU referendum in the UK, there were three crucial issues in which the British public were most concerned about including immigration, economics and free trade. As discussed earlier, instead of properly debating and scrutinizing the issues, the campaign was dominantly scaremongering through made-up facts. Politicians in Indonesia should be able to pick up important issues and then engage the nation to properly scrutinize them. Nonetheless, the most important of all is that voters in Indonesia should be more sensitive on how candidates and political parties run their campaigns. It is important to punish those candidates and political parties whose campaigns are based on lies, racism and hatred. *** The writer is an alumni of the University of Dundee, UK, and currently a lecturer at Syiah Kuala University, Banda Aceh. --------------- We are looking for information, opinions, and in-depth analysis from experts or scholars in a variety of fields. We choose articles based on facts or opinions about general news, as well as quality analysis and commentary about Indonesia or international events. Send your piece to community@jakpost.com. Disclaimer: The opinions expressed in this article are those of the author and do not reflect the official stance of The Jakarta Post. Share this article Whatsapp Facebook Twitter Linkedin Yaniv Zohar (Associated Press) Ashkelon, Israel Mon, July 11, 2016 An archaeological discovery announced on Sunday in Israel may help solve an enduring biblical mystery: where did the ancient Philistines come from? The Philistines left behind plenty of pottery. But part of the mystery surrounding the ancient people was that very little biological trace of them had been found until 2013. That's when archaeologists excavating the site of the biblical city of Ashkelon found what they say is the first Philistine cemetery ever discovered. They say they have uncovered the remains of more than 200 people there. The discovery was finally unveiled Sunday at the close of a 30-year excavation by the Leon Levy Expedition, a team of archaeologists from Harvard University, Boston College, Wheaton College in Illinois and Troy University in Alabama. The team is now performing DNA, radiocarbon and other tests on bone samples uncovered at the cemetery, dating back to between the 11th and the 8th centuries B.C., to help resolve a debate about the Philistines' geographical origins. The archaeologists have not announced any conclusions, saying they are taking advantage of recent advances in DNA testing to get the most accurate results. "After decades of studying what Philistines left behind, we have finally come face to face with the people themselves," said Daniel M. Master, professor of archaeology at Wheaton College and one of the leaders of the excavation. "With this discovery we are close to unlocking the secrets of their origins." A few human remains at Philistine sites had been discovered in past years, but they provided too small a sample to draw conclusions, he added. The archaeologists kept the discovery a secret for three years until the end of their dig because of a unique hazard of archaeology in modern-day Israel: they did not want to attract ultra-Orthodox Jewish protesters, Master said. "We had to bite our tongues for a long time," Master said. In the past, the ultra-Orthodox have staged demonstrations at excavations where human remains are found, arguing that the remains could be Jewish and that disturbing them would violate a religious prohibition. The Leon Levy Expedition itself faced ultra-Orthodox demonstrators in the 1990s, during the excavation of a Canaanite burial site. (Read also: Insect poop threatens to damage marble at India's Taj Mahal) In the Bible, the Philistines are depicted as the ancient Israelites' archenemy, a foreign people who migrated from lands to the west and settled in five main cities in Philistia, in today's southern Israel and the Gaza Strip. The most famous Philistine was Goliath, the fearsome warrior who was slain by a young King David. The Philistines' legacy lives on in the name Palestine, the term the Romans gave to the region in the 2nd century, and which is used today by Palestinians. Archaeologists and biblical scholars have long believed the Philistines came from the Aegean region, based on pottery found in excavations of Philistine sites. But scholars have debated where exactly in the Aegean region the Philistines came from: mainland Greece, the islands of Crete or Cyprus, or even Anatolia, in modern-day Turkey. The bones might hold the answers, said archaeologist Yossi Garfinkel, an Israeli expert on the period who did not participate in the dig. He called the cemetery find "a very significant discovery indeed." The excavation of the cemetery has also shed light on Philistine burial practices. The Philistines buried their dead with perfume bottles, placed near the face. Near the legs were jars that likely held oil, wine or food. In some cases, archaeologists found the dead were buried wearing necklaces, bracelets, earrings, and even toe rings. Some were buried with their weapons. "This is how Philistines treated their dead, and it's the code book to decoding everything," said archaeologist Adam Aja, a participant in the dig. Finds from the cemetery went on display Sunday in an Israel Museum exhibition held at the Rockefeller Archaeological Museum in Jerusalem. __ Associated Press writer Daniel Estrin in Jerusalem contributed to this report. Share this article Whatsapp Facebook Twitter Linkedin Gregory Gondwe (Associated Press) Blantyre, Malawi Mon, July 11, 2016 Madonna in Malawi on Sunday visited the construction of a children's wing at a hospital that her charity is funding in this southern African country's largest city, Blantyre. The pop star has been in Malawi for a week and is to meet President Peter Mutharika and health minister Peter Kumpalume. Madonna went to visit Queen Elizabeth Central Hospital to view the pediatric unit where construction started in May 2015 and which is expected to open in early 2017. "This new facility is the first pediatric surgery and intensive care unit in any Malawi hospital. It will have an enormous impact on saving the lives of children," said Madonna, in a statement to The Associated Press. "In addition to raising the quality and availability of health care, we are also preparing for the future by training more Malawian medical staff in specialized pediatric care." Answering questions from the press, Madonna said that she does not yet have a name for the new children's wing. "We don't have a name," she said, "but we have a building and we have dreams and they are going to be manifested very soon." (Read also: Madonna responds to critics of her Prince tribute) Madonna is accompanied by her two children adopted from Malawi, David and Mercy, and her son Rocco. Madonna said she will continue to work to get the unit the staff and equipment needed. "It's going to be my responsibility to make sure that this place has the equipment and is fully operational on a regular basis," she said. "So I have a big job ahead of me. Wish me luck." Asked if she has any more projects for Malawi, she said: "I would like to do one thing really well than many things half-assed, as they say in America." The children's unit will include Malawi's first pediatric intensive care unit, three operating rooms dedicated to children's surgery, a day clinic and a 50-bed ward. It will enable Queen Elizabeth hospital to double the number of surgeries for children and will provide critical pre-operative and post-operative care. Raising Malawi was founded in 2006 by Madonna to address the poverty and hardship endured by Malawi's orphans and vulnerable children. Raising Malawi partners with local organizations to provide Malawian children and their caregivers with critical resources including education and medical care. Share this article Whatsapp Facebook Twitter Linkedin Callistasia Anggun Wijaya (The Jakarta Post) Jakarta Mon, July 11, 2016 Jakarta Governor Basuki Ahok Tjahaja Purnama hosted a halal bihalal gathering at City Hall on Monday, an event to celebrate the Idul Fitri Holiday in which, as per tradition, civil servants shook hands and asked for each others forgiveness. Deputy Governor Djarot Saiful Hidayat, City Secretary Saefullah and Jakarta Police chief Insp. Gen. Moechgiyarto also attended the event. Civil servants and other guests formed a queue to shake hands with Ahok and other city leaders. Dismissed officials such as former head of housing Ika Lestari Aji and the former head of city planning Iswan Achmadi, removed from their positions mere days before the Idul Fitri holidays, were also in attendance at the event. Ahok ordered Djarot to carry out an impromptu inspection on Monday to make sure that all civil servants had returned to work after the week-long holiday. Ahok had earlier stressed that the Jakarta administration would fine any civil servant who failed to return to work on Monday. We will cut the allowance of undisciplined employees. We already have regulation that establishes punishment in the form of allowance cuts, demotion and dismissal. Supervisors will be in charge of monitoring their subordinates, Ahok said, adding that incompetent supervisors would lose their jobs. (bbn) Share this article Whatsapp Facebook Twitter Linkedin Callistasia Anggun Wijaya (The Jakarta Post) Jakarta Mon, July 11, 2016 Despite the densely populated capital, Jakarta Governor Basuki "Ahok" Tjahaja Purnama welcomes thousands of newcomers flocking to the city after Idul Fitri in search of a better life, so long as they do not add to the citys woes. The Jakarta administration predicts that around 70,000 newcomers will arrive in Jakarta in the returning wave after the mass exodus during the long Idul Fitri holiday last week. "We don't dispute the right of newcomers to come to Jakarta. The city is the capital where anyone can challenge their fate. However, if they dare to live in illegal buildings, we will demolish the buildings and expel them back to their hometowns, Ahok told journalists at City Hall on Monday. No raids on the newcomers would be imposed by the administration, Ahok said, adding that he only expected newcomers to be disciplined in the city. He welcomes the new additional residents as long as they have places to live and have money and jobs. Ahok's administration plans to build more low-cost apartments to accommodate citizens living in slum areas or illegal settlements. The city will also provide various services for the residents such as education and healthcare services. (rin) Share this article Whatsapp Facebook Twitter Linkedin Nani Afrida (The Jakarta Post) Mon, July 11 2016 Dual function: A Military Police officer stands guard at the Halim Perdanakusuma International Airport, East Jakarta. The airports function as a commercial and an Indonesian Air Force base has sparked security concerns in the supposedly restricted area. (JP/Wendra Ajistyatama) So you want to catch a flight at Soekarno-Hatta International Airport but you are too intimidated by the horrendous rush-hour traffic and the forever full parking lots? Then the Halim Perdanakusuma International Airport in East Jakarta could be an option. Its more accessible but understandably offers fewer destinations because it permanently shares the space with military aircraft. There, you can travel on budget airlines, Citilink and Batik Air and regular flights of Citilink, Batik Air, Susi Air, Pelita Air, TransNusa Air Service and Wings Air. Halim Perdanakusuma is a military airport that was opened for commercial flights in 2014 with the aim of easing air traffic at Soekarno-Hatta. It serves scheduled and charter flights and cargo for domestic and international routes. The commercial flights are managed by state air operator Angkasa Pura II and the military by the Indonesian Air Force; both use the same runway. The commercial terminal building is located next to the military air base compound. At Halim [as the airport is better known] we serve 50 roundtrip flights a day, Angkasa Pura II president director Budi Karya says. The idea to use Halim to serve as both commercial and military functions has caused security concerns. As an air force base, the airport should have been earmarked as a restricted area but the government has been insistent. Former Air Force chief of staff Chappy Hakim sees the governments policy to mix commercial and military interests at Halim as a solution to the mismanagement of the overly crowded Soekarno-Hatta as a silly idea. The problem with Soekarno-Hatta is that the facility is thought to be unable to accommodate the fast-growing number of passengers despite the recent expansion. Solving the overwhelming passenger growth by sharing the burden with the [Halim] military airport puts national security at risk, Chappy says. How could policymakers put bigger national interest after business? As a crucial part of the countrys armament system, Halim houses at least three jet fighter squadrons, special air force battalions and a military aircraft maintenance facility. It is the headquarters of the air defense command. No doubt, the presence of the commercial flights intrudes military activity, especially in times when both have to use the runway at the same time. Besides, Halim is used for VIP and VVIP personalities, including the president, vice president and foreign dignitaries, meaning that the facility needs top security and the civilian activity makes the arrangement even more difficult. Top-secret missions and major rescue operations also use Halim as their base. Thats not to mention other handicaps: Halim has a single runway and has no taxiway, while the apron is very narrow, increasing chances of accidents. In April, for example, a Batik Air aircraft was forced to abort takeoff after it clipped wings with a TransNusa Air. As a result, Batik Airs wing caught fire. According to the Transportation Ministry, 35 out of 233 airports in Indonesia are built on the property of the Indonesian Military (TNI) and, like Halim, most are used for both commercial and military purposes. Predictably, the facility sharing system can create complications. In times of high urgency, such as when the military has to use the airport as its base for secret missions or emergency humanitarian operations, the Air Force is entitled to priority. ----------- to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin News Desk (The Jakarta Post) Jakarta Mon, July 11, 2016 The flow of passengers returning home after the Idul Fitri holidays through the Soekarno Hatta International Airport in Tangerang, Banten, reached its peak on July 9 and 10, airport operator PT Angkasa Pura II (AP II) announced on Monday. The state-owned airport operator said that about 182,700 passengers arrived at the airport on July 10. Most employees started work on Monday. So they returned home the day before, AP II chief official Wigiharso Langlang told The Jakarta Post. According to AP II data, 2.89 million people passed through the airport during the mass Idul Fitri exodus, a 6 percent increase from last year. (vny/dan) Share this article Whatsapp Facebook Twitter Linkedin Callistasia Anggun Wijaya (The Jakarta Post) Jakarta Mon, July 11, 2016 Head of the National Narcotics Agency (BNN) Comr. Gen. Budi Waseso may challenge Governor Basuki Ahok Tjahaja Purnama in the 2017 Jakarta gubernatorial election as the Gerindra Party has hinted at nominating him as its gubernatorial candidate. Budi was not among the three initial potential candidates former deputy defense minister Sjafrie Sjamsoeddin, former justice and human rights minister Yusril Ihza Mahendra and businessman Sandiaga Uno who were put forward for consideration earlier, but his name was later mentioned by a party politician. Gerindra deputy chairman Sufmi Dasco Ahmad has said the party may pair Budi with Sandiaga Uno to challenge Ahok and Heru Budi Hartono who will likely run together on an independent ticket. Ahok and Budi have also received support from three political parties Golkar Party, NasDem Party and Hanura Party. Their main support group, Teman Ahok (Friends of Ahok) announced that its volunteers had managed to collect one million copies of Jakarta voter identity cards, required by the law for them to run as an independent pair. Gerindra recruitment team chief Syarif said the partys gubernatorial candidate would be announced by party chairman Prabowo Subianto sometime this month. Budi has a great personality and significant accomplishments, whether as a police officer or BNN chief. We also see Budi as a prominent figure. We are waiting for the decision of the partys chairman Prabowo, Syarif told thejakartapost.com on Monday. Meanwhile, Sufmi said his party had communicated with Budi about the latters possible nomination as the partys candidate. Budi was formerly with the National Police's Criminal Investigation Department (Bareskrim) in 2015. During his leadership in the department, the National Police named two commissioners with the Corruption Eradication Commission (KPK) Abraham Samad and Bambang Widjojanto as suspects, a move that forced them to leave the anticorruption body. Later, the Attorney Generals Office ceased the investigations into them. Syarif praised Budi Waseso for demonstrating strong leadership in any position he had held. His strong character will be suitable to lead the capital, Syarif said. (bbn) Share this article Whatsapp Facebook Twitter Linkedin Siswo Pramono (The Jakarta Post) Jakarta Mon, July 11 2016 China might feel compelled to revert to a historic approach when it comes to asserting its right to fish in the so-called traditional fishing grounds outlined according to Chinas nine-dash line. This is because China is likely to lose to the Philippines in a case brought by the latter before the Permanent Court of Arbitration in The Hague. The Permanent Court of Arbitration is scheduled to issue a decision on the dispute by July 12. Among the questions the Philippines has asked the arbiters to address is the validity, if not the legality, of Chinas nine-dash line. One of the questions is, in effect, whether or not Chinas nine-dash line, which is not measured from a land base line as required by the United Nations Convention on the Law of the Sea (UNCLOS), to which China is a party, can encroach on the exclusive economic zone (EEZ) of other coastal states bordering the South China Sea. Due to such encroachment, several ASEAN countries are currently embroiled in maritime disputes with China. In Vietnam, the disputed zone covers 50 percent of Vietnams entire EEZ. Thirty percent of Indonesias Natuna Islands, a potentially disputed zone, falls within Chinas nine-dash line and 80 percent of Malaysias Sabah and Sarawak EEZ is disputed. Eighty percent of the Philippines West Sea EEZ is also disputed. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin (The Jakarta Post) Mon, July 11 2016 The series of deadly terrorist attacks in the Muslim world at the end of the holy month of Ramadhan last week in Istanbul, Dhaka, Baghdad and cities in Saudi Arabia, Indonesia, Malaysia and southern Thailand amounts to the clearest unilateral declaration of war against all Muslims. The Islamic State (IS) movement, which took responsibility for some of these attacks and with its handprints visible on those it did not claim, including the one in Indonesia, is clearly the perpetrator. In Indonesia, with the suicide bomber being the only casualty, an attack on Tuesday failed to disrupt the festive mood of Idul Fitri, the celebration that comes at the end of Ramadhan. But in Istanbul, Dhaka and Baghdad, the attacks caused countless horrific deaths. In Saudi Arabia, one of four simultaneous attacks took place near the Nabawi Mosque in Medinah. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin (The Jakarta Post) Mon, July 11 2016 Indonesias directorate general of customs and excise, which is under the Finance Ministry, used to focus on state revenue collection by controlling the flow of goods into and out of the country and imposing levies on goods that are considered harmful for the publics health, such as tobacco and alcohol. Now, however, the office has a new priority, which is to create a climate conducive for international trade and to support industries through its policies, its director general Heru Pambudi told The Jakarta Posts Prima Wirayani and Tassia Sipahutar in his first media interview after putting on the director generals hat in July last year. Here is an edited excerpt. Question: What is Customs plan for the future? to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin News Desk (The Jakarta Post) Jakarta Mon, July 11, 2016 The Gerindra Party is finalizing the selection process for its candidate to compete for the position of governor of Jakarta in next year's gubernatorial election. The party will announce the contender this month, a part official said on Sunday. The selection process had been discussed by the party's central executive board. The final call will be in the hands of chief patron Prabowo Subianto, Gerindra election team chairman Syarif said, "We will announce [our candidate] in the second or third week of this month," he said as quoted by kompas.com. The team had handed over three potential names to go against the incumbent and popular independent candidate Jakarta Governor Basuki "Ahok" Tjahaja Purnama. The three names are former deputy defense minister Sjafrie Sjamsoeddin, Gerindra politician and businessman Sandiaga Uno and chairman of the Crescent Moon Party Yusril Ihza Mahendra. However, in the past few days, new names have been suggested by party cadres, such as National Narcotics Agency (BNN) chairman Cmr. Gen. Budi Waseso and Gerindra deputy chairman Sufmi Dasco Ahmad. Still, the decision will be taken by Prabowo. Gerindra has declared that it will support its own candidate and collaborate with other political parties to compete against Ahok in the election. (rin) Share this article Whatsapp Facebook Twitter Linkedin Liza Yosephine (The Jakarta Post) Jakarta Mon, July 11, 2016 Jakarta has intensified coordination with its foreign missions in the Philippines and Malaysia after three Indonesian sailors were kidnapped by suspected Abu Sayyaf militants, a minister has said. "The Ministry is coordinating with the Indonesian Embassy in Kuala Lumpur, the Consulate in Tawau, the Embassy in Manila and the Consulate in Davao," Foreign Minister Retno LP Marsudi said in a press conference in Jakarta on Monday. The three Indonesian sailors were on board the LLD 113/5/F fishing boat sailing in Felda Sahabat, Lahad Datu, Malaysia, when five armed militants ambushed them on July 9. There were seven crewmen aboard the Malaysian-flagged boat but the militants released the other four. One of the four freed sailors is an Indonesian citizen, Foreign Minister spokesperson Arrmanatha Nasir said, adding that the authorities were still looking into the nationality of the other three. Retno said the hostages were taken to Tawi-Tawi province in the southern Philippines, an area known to be dominated by the Abu Sayyaf group. Retno did not confirm if the Abu Sayyaf terrorist group, linked to the Islamic State (IS) militant group, was responsible for the latest abduction. The identity [of the kidnappers] is becoming clearer, she said, without elaborating further. (ary) Share this article Whatsapp Facebook Twitter Linkedin (The Jakarta Post) Jakarta Mon, July 11 2016 JAKARTA: The Jakarta administration has issued a policy to rescind administrative sanctions on motor vehicle taxes (PKB) and vehicle-ownership transfer fees (BBNKB) from July 2 to Aug. 2. Jakarta Tax Agency head (DPP) Agus Bambang Setyowidodo said the policy aimed to increase regional tax revenues. It is to get people to pay outstanding taxes on motor vehicles, he said as quoted by beritajakarta.com. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin (The Jakarta Post) Jakarta Mon, July 11 2016 JAKARTA: Businessman Sandiaga Uno has welcomed the idea of running on the same ticket as National Narcotics Agency (BNN) chief Comr. Gen. Budi Waseso in the 2017 Jakarta gubernatorial election. Following the suggestion of Gerindra Party deputy chairman Sufmi Dasco Ahmad, Sandiaga said on Saturday that Budi would make a good candidate as he could protect younger generations from the threat of drugs. Ive heard the suggestion and Pak Budi is a phenomenal and capable figure [for the position], he said as quoted by kompas.com. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin (The Jakarta Post) Jakarta Mon, July 11 2016 After one month of fasting, Muslims from all corners of the archipelago engaged in peaceful Idul Fitri holiday celebrations. Some engaged in annual rituals infused with local traditions like the Grebeg ritual in Yogyakarta. Others celebrate dthe special occasion on more general terms, irrespective of their ethnic backgrounds. During the holiday people visit relatives, enjoy feasts with their loved ones at home or go on picnic swith family members. While activities may come in an array of different forms, the holiday is celebrated in the spirit of togetherness. Forgive and forget: Royal servants at the Yogyakarta sultanate perform the sungkeman tradition in which they kneel before Sultan Hamengkubuwono X and ask for his forgiveness. (JP/Tarko Sudiarno) to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin (The Jakarta Post) Mon, July 11 2016 Recent incidents of international passengers being dropped off at domestic terminals in Jakarta and Bali, busts on transnational crime syndicates and terror attacks on transportation hubs elsewhere in the world should compel Indonesian authorities to enhance airport security. The Jakarta Posts observations and interviews with authorities, lawmakers and observers have revealed vulnerabilities at airports that the government should immediately address. Inconsistencies in security standards among the hundreds of airports across the country, poor coordination between responsible authorities, outdated air traffic control systems, staff shortages and an imbalance between passenger growth and infrastructure development are but some of the major problems. Although terror attacks on airports and airplanes are admittedly rare in Indonesia, it is no reason for authorities to be complacent. Respected terrorism observer Al Chaidar has warned that airports and aircraft are highly tempting targets to homegrown militants. If they [radicals] have the logistics and weapons, they will do it; its a question of timing. Think of ways to minimize casualties, he says. In Jakarta, Soekarno-Hatta International Airports overcapacity has forced the government to move some commercial flights to the Air Force air base at Halim Perdanakusuma, despite the obvious security risks. In the regions, airport security is far from adequate. In small towns, airports are wide open for both people and cattle to roam around. In 2013, a Lion Air Boeing 737-8000 ran off the runway after it struck a cow in Gorontalo, northern Sulawesi. At the Indonesian Flying School in Curug, near Jakarta, a training plane hit a motorcycle that was trespassing on the airstrip as it was landing. International passengers entering a country without fulfilling immigration procedures as well as livestock and motorcycles moving freely around airports are like elements of a tragicomedy that happen only in Indonesia. Last months attack on an Istanbul airport prompted Indonesian authorities to beef up airport security too. State airport operator Angkasa Pura II has also promised to reform coordination between the police, the military, immigration and customs and excise. JP ------------- To receive comprehensive and earlier access to The Jakarta Post print edition, please subscribe to our epaper through iOS' iTunes, Android's Google Play, Blackberry World or Microsoft's Windows Store. Subscription includes free daily editions of The Nation, The Star Malaysia, the Philippine Daily Inquirer and Asia News. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin Marguerite Afra Sapiie (The Jakarta Post) Jakarta Mon, July 11, 2016 Indonesia has called on its neighbors to immediately come up with concrete solutions to the hostage-taking crisis in the region after suspected Abu Sayyaf militants abducted 10 of its citizens in less than a month. Foreign Minister Retno LP Marsudi said Monday that Defense Minister Ryamizard Ryacudu was scheduled to meet with his Malaysian and Philippine counterparts in Kuala Lumpur on Tuesday to discuss the issue. "We have negotiated and we have made a deal, now I assert that it is very urgent for us to immediately realize the security cooperation on the field," she said. The meeting between the three ministers in Kuala Lumpur would follow up the trilateral agreement made in May, Minister Retno Marsudi said. The trilateral agreement, made amid heightening threats in the regional waters, aimed to increase cooperation between the three countries on maritime security, including to tackle piracy and hostage-taking in the region. Aside from concluding immediate actions, Retno called for Kuala Lumpur and Manila to enhance security in their territories since the abduction of Indonesian sailors occurred in the two countries' territorial waters. The urgency of immediate conduct of joint sea patrols and stepping up security in the regional waters became apparent on the heels of a string of kidnapping of Indonesian sailors in mid-2016, marking the third and fourth incidents of hostage-taking this year. Seven tugboat crewmen were kidnapped in late June during coal delivery in the waters of southern Philippines, while three others were abducted last Saturday in Malaysian waters during fishing activities. (ary) Share this article Whatsapp Facebook Twitter Linkedin Evan A. Laksmana (The Jakarta Post) Jakarta Mon, July 11 2016 Following yet another incident with Chinese fishing vessels several weeks ago around the Natuna Islands exclusive economic zone the third time this year President Joko Jokowi Widodo and his ministers personally visited the area. As he led a meeting on board a warship, the Defense Ministry was reportedly preparing to upgrade the Natunas military facilities. In a subsequent Cabinet meeting in Jakarta, Jokowi announced that defense capability improvements in the area was his third priority (after boosting the development of marine and hydrocarbon resources). Lawmakers also signed a 10 percent surge to this years revised defense budget (topping it up to US$8.25 billion). Some of the extra funds will be used to obtain a new military communications satellite and provide major upgrades to the military facilities in the Natunas. The defense minister also claimed that advanced jet fighters would be deployed to the area. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin (The Jakarta Post) Bandung Mon, July 11 2016 BANDUNG: A traffic crash involving a bus, two cars and three motorcycles on Jl. Kolonel Masturi in Cipageran subdistrict, Cimahi, West Java, on Friday afternoon has claimed at least nine lives. West Java Police spokesperson Sr. Comr. Yusri Yunus said the crash occurred after the brakes failed on a bus that had been speeding in the direction of Cimahi from Cisarua. The bus crashed into the barrier of The Orchard residential complex before hitting a nearby tire workshop. The bus flipped upside down, later colliding with the other vehicles. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin (The Jakarta Post) Surakarta Mon, July 11 2016 SURAKARTA: Muslim group Laskar Umat Islam Surakarta (LUIS) has criticized the Surakarta Police for requesting that Siti Aminah, the wife of suspected suicide bomber Nur Rohman, remove her veil and headscarf during police questioning. LUIS spokesperson Endro Sudarsono said that police had violated both the code of ethics for the questioning process and an Islamic regulation that requires adult women to cover themselves in the presence of non-family people. Such a thing should have never been happened, Endro said on Saturday. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin Callistasia Anggun Wijaya (The Jakarta Post) Jakarta Mon, July 11, 2016 Prison guards allegedly failed to monitor inmates receiving guests during Idul Fitri at the Salemba Penitentiary, resulting in a convicted rapist and murderer to escape by posing as a woman in Muslim attire, an official said on Monday. Prison guard negligence was to blame for the escape of Rizal aka Anwar, 26, on the second day of Idul Fitri celebrations on Thursday, the Law and Human Rights Ministry's director general for correctional facilities, I Wayan Kusmiantha Dusak, said. The director general had ordered the Salemba prison in Central Jakarta to require hijab-wearing visitors to wear special bracelets. However, the prison officers failed to distribute the bracelets. The incident would be further investigated further, he added. "We assume the officers believed that he was a woman, Wayan told thejakartapost.com on Monday, adding that the police were searching for Rizal. Meanwhile, Minister Yasonna Laoly also blamed the overcapacity of the prison for the jail break. There were only 20 guards on duty to secure around 3,000 visitors at the penitentiary during Idul Fitri, he said as reported by kompas.com. Rizal was serving time for raping and murdering his 12-year-old niece in Jasinga, Bogor, West Java, on Oct. 23, last year. His wife, Ade Irma, visited Rizal on Thursday, bringing him Muslim attire and a hijab, which he wore to escape the prison. (rin) Share this article Whatsapp Facebook Twitter Linkedin Mari Yamaguchi (Associated Press) Tokyo Mon, July 11, 2016 A resounding election victory for Japanese Prime Minister Shinzo Abe's ruling bloc has opened the door a crack for his long-cherished ambition to revise the constitution for the first time since it was enacted in 1947 a behind-the-scenes agenda that could over time change Japan's future. Gains in parliamentary elections Sunday mean that Abe's ruling Liberal Democratic Party, with the help of coalition partner Komeito and fringe groups supporting constitutional change, now can cobble together the crucial two-thirds majority in the 242-member upper house needed to propose revision and put it to a referendum. The LDP and Komeito already have a two-thirds majority in the lower house. Holding a so-called "supermajority" in both houses is rare, and the LDP's long-term goal of constitutional revision has never seemed so realistic. Once the excitement subsides, however, the road to constitutional revision remains long and difficult. Fundamental change is unlikely in the remaining two years in Abe's premiership, though he may angle for another three-year term. But if Abe sets his sights low, he may be able to win approval for a modest revision that could lay the groundwork for deeper change later. Abe told a parliamentary session in March that he was hoping to achieve a revision during his term, which expires in September 2018, but he hasn't said specifically what change he would seek. After the election victory, he seems game to test the waters. "We will move on to a next stage and start discussing which articles should be revised and in what way," Abe said late Sunday, saying he would launch a parliamentary research committee. As a grandson and admirer of Nobusuke Kishi, a former prime minister who despised the US-drafted constitution, rewriting the charter is an important goal for Abe. For Abe's Liberal Democratic Party, the 1947 charter is the legacy of Japan's war defeat and an imposition of the victors' world order and Western values. Japan's postwar constitution renounces the use of force in international conflicts, and limits its military to self-defense only, although Japan has a well-equipped modern army, navy and air force that work closely with the US, Japan's top ally. Abe's government adopted a new interpretation of the war-renouncing Article 9 in 2014 so Japan can take on a bigger military role internationally, and parliament enacted a set of security laws last year to allow Japan to defend also the US and other allies in certain circumstances. Some Japanese agree with Abe's views on security because of growing fears about terrorism, North Korea's missile and nuclear weapons ambitions and China's military assertiveness, while others appreciate the charter as a symbol of postwar democracy and take pride in the war-renouncing pledge. Besides Article 9, the ruling party proposed changes in a 2012 draft revision that would restore pre-war traditions and family values centered on the emperor, and to balance the national interest with the constitution's "basic human rights." That kind of fundamental revision would be difficult to get through parliament, not to mention win approval in a referendum. The LDP would have to win over Komeito, a Buddhist-backed party not keen on that kind of change, or it would have to find other supporters in parliament. Stephen Nagy, a professor of international politics at International Christian University in Tokyo, writes that "despite a two-thirds majority in the upper house, constitutional revision is unlikely," citing public support for the pacifist constitution and divided views on both revision and legislative priorities within the ruling party. "Lawmakers are interested in prioritizing economic growth and a bolder commitment to structural reform instead of wasting valuable political capital on changing Article 9 of the Constitution," Nagy said in an online article for the Asia and Pacific Policy Society. The LDP's election success largely reflects the choice of Japanese voters for stability and a lack of opposition alternatives, rather than an endorsement of constitutional change. Many voters said in exit polls they were unaware of the significance of a two-thirds majority, suggesting their disinterest in the revision issue. Abe could decide to pursue a less controversial change. Komeito, for example, prefers the addition of privacy and other rights to adapt the charter to societal changes since 1947, rather than revisions to the existing language. There is also growing speculation that Abe may seek an extension as party leader, though LDP leaders generally step down after two terms to give a chance to others. "If he can continue another three years, which is until September 2021, then he might be able to accomplish the amendment. On top of that he can welcome the Olympic Games in 2020 as prime minister," said freelance political analyst Takao Toshikawa. "Perhaps that's his ambition, even though he doesn't openly talk about it." Asked about the possibility at a post-election news conference Monday, Abe didn't comment one way or the other. Ultimately, it would depend on whether he can cement his grip on power within the party, and persuade his colleagues to grant him an unusual third term. Share this article Whatsapp Facebook Twitter Linkedin News Desk (The Jakarta Post) Jakarta Mon, July 11, 2016 President Joko "Jokowi" Widodo is scheduled to inaugurate Comr. Gen. Tito Karnavian as the new National Police chief on Wednesday at the State Palace, a minister confirmed on Monday. The inauguration will take place at 1:30 p.m. on Wednesday, State Secretary Ministry Pratikno said as reported by Antara news agency. Tito, who is currently serving as head of the National Counterterrorism Agency (BNPT), will replace Gen. Badrodin Haiti. Tito was the sole candidate proposed by Jokowi to the House of Representatives. Lawmakers unanimously agreed that Tito was a suitable successor to Badrodin during a House plenary meeting on June 27. Widely praised as a terrorism expert, Tito is the youngest three-starred general in the National Police. The former Jakarta Police chief will also be the first to be granted a four-star rank among his 1987 police academy peers. (rin) Share this article Whatsapp Facebook Twitter Linkedin Bram Janssen & Dominique Soguel (Associated Press) Aboard the Aquarius on the Mediterranean Sea Mon, July 11, 2016 The young Liberian man went through hell, but when he reached Libya he hoped that there he'd be able to make a living and get an education. Instead, he entered another hell of imprisonment, then near death at sea. The ordeal of Laye Donzo is a cautionary story for the tens of thousands of Africans each year who take the migrant road to Libya, seeing it as the gateway to life and prosperity in Europe. Instead, for many the war-torn country has meant only torture, imprisonment, rape or death. It also illustrates the problem for European countries trying to stop the stream of migrants to their shores. By trying to prevent them from taking the dangerous sea journey across the Mediterranean, they are dooming the migrants to prolonged abuse in Libya at the hands of authorities and the country's many militias, rights groups warn. Donzo was among dozens of Italy-bound, would-be migrants rescued off the coast of Libya on June 23 by the Aquarius, a boat chartered by the charity Doctors Without Borders and the rescue group SOS Mediterranee. On that day, the Aquarius rescued two boats, one of them after nightfall when the captain happened to spy it in the spotlight just as he was giving up the search. Then it took on hundreds more from an Italian navy ship so it could deliver them to shore while the navy vessel continued the search. So as it headed back to Sicily, the Aquarius was swelling with more than 650 migrants, well over its official capacity of 400. The exhausted migrants men, women and young children crowded on the ship's decks and in the halls, wearing white overalls distributed by the aid group and wrapping themselves in gray blankets. After the initial shock from days on open water subsided, the tales of trauma and torture they endured in Libya poured out. Donzo and his family had fled the civil war in his homeland Liberia to neighboring Sierra Leone. There, many in his family died in an Ebola outbreak. So Donzo made his way to Libya, where he did various jobs, mostly construction. Early this year, he was detained by armed men at a checkpoint. He spent the next five months imprisoned in a house with hundreds of other Africans, eating a single meal every three days. He's not even sure who detained him. In lawless Libya, the lines between criminal gangs, militias and the security forces of rival governments are often blurry. "They beat you like animals," he said. "As long as you're in prison they would beat you. I don't know how many times they beat me. They beat everyone." He showed scars running along his back, legs and arms from being bound and hit with rubber tubes. Then one day they blindfolded him, took him to the coast and forced him into a boat. He has no idea why. After the fall of Moammar Gadhafi in 2011, Libya was plunged into chaos, with rebel groups evolving into militias loosely aligned with various competing governments or operating on their own and carving out fiefdoms around the country. The collapse of state control and enforcement of borders proved a draw for migrants. Some militias use smuggling as a revenue source. But the chaos has also made Africans vulnerable to abuse, whether they are working in Libya or seeking to head on to Europe. Armed groups often detain Africans, ostensibly claiming to be enforcing the laws but really just to extort money or labor. Various factions run detention centers largely on their own without answering to central authorities. On the Aquarius, Baba Ali, from Mali, said he was detained by local fighters in the town of Bani Walid, east of Tripoli. He was held captive in a factory-turned-prison along with 1,500 other Africans. He sat down and hugged his knees to show how tightly they were squeezed in. "It was packed," he said. "We couldn't sleep, we had to sleep sitting down." Ali said he and the others were forced to work in construction and menial labor and were beaten regularly. Their captors fired in the air to intimidate them. Ali escaped during the holy month of Ramadan. Others had to pay to get out. "We were looking for a job and got kidnapped by people with police uniforms," says Mostafa Dumbia, a native of the Ivory Coast. He said he was held in a prison for six weeks. His captors only released him and piled him onto a boat after his family sent payment of $1,000. Erna Rijnierse of Doctors Without Borders says the accounts are consistent from dozens of survivors who passed through Libya. The scars on many survivors, including the combination of fresh and older scars, amount to evidence of "long-term torturing." "I see a lot of bruises on places it's impossible to bruise yourself," Rijnierse told The Associated Press. For the past year, EU naval vessels in the Mediterranean have been working to intercept smuggling boats in a program called Operation Sophia. In June, the operation was extended for another year and expanded, with the EU now training the Libyan coast guard and navy in stopping smuggling. The EU also asked NATO to get involved, a request that will be discussed at the alliance's summit in Warsaw that began Friday. The aim is in part to save lives. In 2015, at least 3,771 would-be migrants died in the Mediterranean, according to the International Organization for Migration. So far, 2016 is looking as bad if not worse. The IOM has so far documented nearly 2,920 deaths, the vast majority of them from African nations. Operation Sophia is also aimed at deterring migrants from coming by shutting down the smuggling routes. Europe absorbed more than a million irregular migrants in 2015, a five-fold increase compared to 2014. But in a report released Wednesday, Human Rights Watch said the effort "risks condemning migrants and asylum-seekers to violent abuse." "It's unacceptable to save or intercept people at sea and then send them back for abuse on land," said Judith Sunderland, associate Europe and Central Asia director at Human Rights Watch. "The EU soon perhaps with NATO's help is basically outsourcing the dirty work and deputizing Libyan forces to help seal Europe's border." NATO Secretary-General Jens Stoltenberg told NATO leaders meeting in Warsaw that the organization had decided to launch a new security operation in the Mediterranean, Operation Sea Guardian, which will have a broad range of missions including counterterrorism. "We intend to work closely with the European Union's Operation Sophia in the central Mediterranean, building on our swift and effective cooperation with the EU to cut the lines of international human smuggling in the Aegean," Stoltenberg said Saturday. The Human Rights Watch report and another issued in June by Amnesty International include accounts from dozens of migrants that document rampant torture, beatings and sexual abuse in Libya. HRW's Associate Program Director Fred Abrahams noted that Sudanese women migrants take contraceptives before reaching Libya because the problem has become so well-known. A 26-year-old Ivorian woman interviewed by Human Rights Watch said she was detained in June and July 2015 at an official facility in the Libyan city of Tajoura. She spoke of being forced to give oral sex to a Libyan guard almost daily. A failed attempt to escape by a group of women only led to further sexual violence. "Seven girls got away but they caught the rest of us," she said. "The guards stripped one of us, a Nigerian girl, and raped her in front of us in the courtyard." On the morning of June 26, the Aquarius pulled along the Sicilian coast and into the port of Messina. The migrants are given medical checks and registered and housed in so-called 'hotspot' migration centers so authorities can process their asylum applications. Some, however, leave the centers and head elsewhere in Europe as undocumented migrants. As the ship cruised past the Sicilian hills, women looked curiously out the portholes as others went up on deck to get a view. Like others, one man from Mali who gave his name only as Suleiman was weeping. "I'm thinking about everything I've been through," he said. And he was thinking of his parents and siblings left behind in his homeland. "My brothers and sisters, that's why I'm crying." Soguel reported from Istanbul. Associated Press writers Lorne Cooke and John-Thor Dahlburg in Brussels contributed to this report. Share this article Whatsapp Facebook Twitter Linkedin News Desk (The Jakarta Post) Jakarta Mon, July 11, 2016 A minister who banned civil servants from using state facilities for traveling during the Idul Fitri holiday known as mudik has denied using a security motorcade when he made a mudik trip to his hometown of Bandung. The security motorcade people had gone home on Friday. They were all off, Administrative and Bureaucratic Reform Minister Yuddy Chrisnandi said as quoted by kompas.com on Monday. Yuddy said he was baffled about how people could make such an accusation. How do people know that it was me [inside the car]? Whats my plate number? I dont use my ministerial plate number, he said. Previously, a civil servant claimed that he saw Yuddy use his official car and be escorted by a security motorcade when he was traveling to Bandung on July 6. The minister admitted that he did go to Bandung using his official car, but insisted that there was nothing wrong with that. He argued there was a difference between operational vehicles and official vehicles that are attached to the positions of the officials. What I used was the official car that is attached to my position. I can use it for anything I want, including mudik and to take my family, he said. On June 29, Yuddy announced his decision to ban the use of state-owned operational cars for the Idul Fitri holiday by civil servants as the government had given them holiday allowances. (ary) Share this article Whatsapp Facebook Twitter Linkedin (The Jakarta Post) Batam Mon, July 11 2016 BATAM: A letter containing terror threats regarding a number of places in Batam and Bintan during Idul Fitri and written on behalf of the Insaf Islamic group was found in Singapore recently. Batam and Bintan in Riau Islands province are major business and tourist destinations for Singaporeans. The letter was sent on July 1, based on its post office stamp, and was addressed to the manager of the Fast Ferry service at the HarbourFront Centre. The letter, which was received on July 4, stated that a number of sites would be blown up, such as the Port of Batam, Nagoya shopping district, Telani Port in Bintan and Tanjungpinang City. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin Daniella Cheslow and Mohammed Daraghmeh (Associated Press) Jerusalem Mon, July 11, 2016 Egypt's foreign minister paid a rare visit to Israel on Sunday, saying that his country remains a "steadfast and unwavering" supporter of a peace agreement between Israel and the Palestinians but warning that conditions for achieving that are deteriorating. Sameh Shoukry's trip was the first official visit to Israel since 2007 and reflected the strong but low-profile ties that have developed between the two countries in recent years. The Egyptian Foreign Ministry said Shoukry's visit was aimed at reviving the Israeli-Palestinian peace process. Peace efforts have made no headway since Israeli Prime Minister Benjamin Netanyahu took office in 2009. The last round of US-led talks broke down two years ago after the sides could not agree on the contours of a future peace deal. The Palestinians seek the establishment of an independent state in the West Bank, east Jerusalem and the Gaza Strip lands captured by Israel in the 1967 Mideast war. Netanyahu has endorsed the idea of an independent Palestinian state, but he opposes a return to Israel's pre-1967 borders and has continued to build Jewish settlements on occupied lands. Speaking at a news conference with Netanyahu, Shoukry said "the vision of the two-state solution is not far-fetched" but rather required "steps to build confidence." He warned that worsening conditions for Palestinians threatened to undermine prospects for peace. "The plight of the Palestinian people becomes more arduous every day," Shoukry said. "And the dream of peace and security moves further out of the Israeli people's reach as long as the conflict continues." After decades of wars followed by a cold peace, Israel has emerged as a discreet ally to Egyptian President Abdel-Fattah el-Sissi. In particular, the two sides share intelligence in a common battle against Islamic militants operating in Egypt's Sinai desert and the Gaza Strip. El-Sissi recently made a high-profile speech calling for a resumption of efforts to bring peace between Israel and the Palestinians. "My visit to Israel today is a continuation of Egypt's longstanding sense of responsibility towards peace for itself and all the peoples of the region, particularly the Palestinian and Israeli peoples, who have suffered many decades due to the perpetuation of the conflict between them," Shoukry said. A senior Palestinian official said el-Sissi has been trying to arrange a meeting between Palestinian President Mahmoud Abbas and Netanyahu. The Palestinians have been resisting because the Egyptians have not set any parameters for the meeting, and because Netanyahu continues to build settlements, the official said, speaking on condition of anonymity because he was discussing a confidential diplomatic issue. An Israeli government official said Netanyahu asked Shoukry for Egypt's help in releasing Israelis who are held captive or who went missing in the Gaza Strip, apparently referring to the remains of two Israeli soldiers killed in the 2014 Gaza war which are believed to be held by Hamas. An Israeli of Ethiopian descent and a Bedouin from Israel's Arab minority are also believed to be held in Gaza. The official spoke on condition of anonymity because he was not authorized to speak publicly about the meeting. He said Shoukry "answered in the affirmative." Egypt and Jordan are the only Middle Eastern countries to make peace with Israel. In a press conference with Shoukry, Netanyahu called on the Palestinians "to follow the courageous example of Egypt and Jordan and join us for direct negotiations." Shoukry visited Abbas in the West Bank last month. ___ Daraghmeh reported from Ramallah, West Bank. Share this article Whatsapp Facebook Twitter Linkedin Matthew Pennington (Associated Press) Washington Mon, July 11, 2016 A case brought by US ally the Philippines against China represents a diplomatic dilemma for far-flung nations. Ahead of a ruling Tuesday, Washington and Beijing have rallied support for their respective positions on the use of international arbitration in South China Sea disputes. The United States has been building diplomatic pressure in the West and in Asia on China to abide by the decision by a tribunal in The Hague, Netherlands. China, which maintains it won't be bound by the ruling, has been pushing back by building support from nations mostly in Africa and the Mideast. The US is not a party to the South China Sea territorial disputes, nor to the UN Convention on the Law of the Sea, under which the tribunal has been formed, but says it wants China to play by international rules. Since there is no enforcement mechanism for the ruling, any impact will depend on how the international community reacts. Here's a look at where dozens of countries stand: ASSOCIATION OF SOUTHEAST ASIAN NATIONS ASEAN has been trying for years to achieve diplomatic solutions in the South China Sea, making little progress and exposing divisions in the 10-member bloc, which includes the Philippines. It is unlikely to reach consensus on the Permanent Court of Arbitration ruling. When President Barack Obama met ASEAN leaders in February they agreed on "full respect for legal and diplomatic processes" in accordance with the UN convention. But Cambodian Prime Minister Hun Sen said in late June he opposes any ASEAN declaration to support the tribunal's decision and efforts by countries outside the region "to mobilize forces against China." Vietnam, which has fought China over competing South China Sea claims, has been most supportive of the Philippines' case and submitted a statement to the tribunal. Hanoi has said it supports "full compliance" to the procedures of the convention. But other ASEAN nations are generally wary of speaking out for fear of alienating China, the region's economic heavyweight. Malaysia and Brunei have said little about the case, though they too are South China Sea claimants. Indonesia and Singapore are not claimants but have been a bit more outspoken. Singapore's Foreign Minister Vivian Balakrishnan said last month that the ruling could have implications beyond the South China Sea and "we cannot subscribe to the principle that might is right." Indonesian President Joko Widodo has underscored his commitment to protect Indonesian sovereignty over its Natuna Islands at the edge of the South China Sea, where he flew last month after the Indonesian navy detained a Chinese fishing boat and arrested a group of Chinese fishermen. His Foreign Ministry has declined to say whether the ruling should be binding on both sides but says international law must be respected. In another indication of ASEAN discord, its 10 foreign ministers agreed on a tough statement of concern on the South China Sea issue after meeting their counterpart in China. The statement was not issued publicly, however, after one or more had a change of heart while in China and withdrew approval of the communique. Even the Philippines' position is unpredictable as a new government has just taken office. President-elect Rodrigo Duterte has expressed willingness to restart bilateral negotiations with China. RUSSIA Moscow, which shares China's suspicion of Washington, is Beijing's most prominent supporter on the issue although it has not explicitly said how it will respond to the tribunal's ruling. On a visit to China in April, Russian Foreign Minister Sergey Lavrov said Russia is against any interference from outside parties in the South China Sea a reference to the US "or any attempts to internationalize these disputes." Like China, Russia says disputes should be resolved through talks between the parties directly involved. CHINA SUPPORTERS IN AFRICA, MIDEAST China's state media has reported that about 60 countries have expressed support for China's stance on the arbitration case. The Foreign Ministry has in recent weeks given prominent mention to support it claims to have from nations principally in Africa, the Mideast and Central Asia. But relatively few of those foreign governments have issued statements independently. Some, including Fiji and NATO member Slovenia, have disavowed China's description of their position. The Center for Strategic and International Studies in Washington said it could confirm official statements from Afghanistan, Gambia, Kenya, Lesotho, Niger, Sudan, Togo and Vanuatu. EUROPEAN UNION and G-7 The EU has urged all South China Sea claimants to resolve disputes through peaceful means and "pursue them in accordance with international law," including the UN convention. The Group of Seven wealthy nations, which comprises Britain, Canada, France, Germany, Italy, Japan, the US and the EU, has called on all states to fully implement decisions binding on them in courts and tribunals provided under the convention. In June, French Defense Minister Jean-Yves Le Drian proposed that European navies coordinate patrols in Asian waters to reinforce a rules-based maritime order. He warned that if the laws of the sea are not respected in that region, they could also be challenged in the Arctic Ocean or Mediterranean Sea. AUSTRALIA In January, Foreign Minister Julie Bishop said the China-Philippines ruling will be "extremely important" as a statement of international principle and will "settle once and for all" whether artificial reefs are entitled to territorial waters. China has built up several artificial islands to advance its sweeping territorial claims in the South China Sea. Australia has been less outspoken in support of arbitration than the US, perhaps mindful of Australia's own resistance to arbitration to resolve its disputed maritime border with tiny East Timor. INDIA India has not issued a categorical position on the arbitration case, but has been broadly supportive of the application of international law. India shares US concerns about Beijing's rising ambitions in the seas of Asia. India's External Affairs Ministry says that "all countries must abide by international law and norms on maritime issues." India set an example in 2014 when it accepted a decision by the Permanent Court of Arbitration that ruled in favor of Bangladesh in a dispute over the countries' maritime boundary. JAPAN Japan was an early supporter of the Philippines' pursuit of arbitration and says both China and the Philippines should abide by the outcome. Japan sees that as upholding international law, but it also reflects concern that historic rival China seeks strategic control of vital sea lanes in the South China Sea that carry 80 percent of Japan's crude oil imports. Japan's support of third-party dispute resolution is not universal. While it has sought to take its dispute with South Korea over the South Korean-held Dokdo or Takeshima islands to the International Court of Justice, it says no such action is needed in its dispute with China over the Senkaku or Diaoyu islands, which are administered by Japan. SOUTH KOREA Like Japan, South Korea is heavily dependent on fuel imports that pass through the South China Sea, but it has closer ties with China and has been less inclined to speak out. The Foreign Ministry says South China Sea disputes should be resolved under internationally established regulations and that it is "looking with interest" at the Philippine-China arbitration case. TAIWAN Taiwan has complained that the tribunal has not solicited its views. While Taipei officially exercises the same nine-dash line claim as Beijing in the South China Sea, it is primarily concerned about Taiping island in the Spratlys. Taiwan administers that remote land feature and is concerned it could be designated as a rock without the rights granted to islands. ____ Associated Press writers Jim Gomez in Manila, Philippines, Ashok Sharma in New Delhi, Niniek Karmini in Jakarta, Indonesia, Christopher Bodeen in Beijing, Kim Tong-hyung in Seoul, South Korea, and Ken Moritsugu in Tokyo contributed to this report. Share this article Whatsapp Facebook Twitter Linkedin Indra Budiari (The Jakarta Post) Mon, July 11 2016 For the third time in three years, a prisoner has escaped from the Salemba Correction Facility in East Jakarta another incident that poses serious questions about the penitentiarys security system. Anwar bin Kiman was sentenced on June 24 to life imprisonment and sent to the correctional facility in East Jakarta for raping and killing a 12-year-old girl in October last year. However, on Tuesday, allegedly with help from his wife, the convicted rapist-murderer broke out of prison. Akbar Hadi, the spokesman of the Law and Human Rights Ministrys Directorate General of Penitentiaries, said Saturday that a large number of people visiting the prisoners compared to the prison guards present played a significant role in the escape. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin Ganug Nugroho Adi (The Jakarta Post) Karanganyar, Central Java Mon, July 11 2016 Fransisca Sisca Nila Agustin, who was among the victims of a crashed helicopter in Yogyakarta, was laid to rest on Saturday at around 2 p.m. at the Astronoloyo public cemetery near her house in Colomadu, Karanganyar, Central Java. Hundreds of people attended the funeral of the 23-year-old woman, the only civilian in the helicopter crash. Her mother Sri Widati was in grave sorrow as other relatives tried to calm her. Siscas body arrived at her house from Yogyakarta on early Saturday morning. She was one of three people killed in the crash of the Bell 205 A-1 helicopter belonging to the Indonesian Army. The two other deceased victims have been identified as Second Lt. Angga Juang Prastama and Second Sgt. Yogi Sirait. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login TheJakartaPost Please Update your browser Your browser is out of date, and may not be compatible with our website. A list of the most popular web browsers can be found below. Just click on the icons to get to the download page. Share this article Whatsapp Facebook Twitter Linkedin Tama Salim (The Jakarta Post) Jakarta Mon, July 11 2016 Making up roughly half of the population of Southeast Asia, Indonesia stands to lose a lot from the looming danger of flaring tensions in the South China Sea (SCS). Open conflict in the regions main waterway will undoubtedly become an impediment to the countrys maritime axis plans, as well as to its economic growth and development. Beyond national interests, an escalation of conflict will adversely affect a large portion of the global maritime trade passing through the SCS. Experts have hinted at the possibility of wider intervention by non-disputing parties concerned over the possible loss of freedom of navigation and overflight in the region. Indonesia is afforded a good opportunity to showcase its leadership in the region by cementing the rule of law as the prevailing basis for engagement among disputing parties. Therefore, Jakarta is being urged to take a stance on the ongoing dispute as an international tribunal is expected to rule on Tuesday. Any failure to do so could make the situation worse because it might prompt third parties to intervene by staging freedom of navigation exercises to maintain access. This would in turn encroach on the jurisdiction of states situated around the area, said Melda Kamil Ariadno, a professor of international law at the University of Indonesia. When China rejects the PCA ruling and continues to exercise its might directly and indirectly over the region, this may result in regional instability. Things will turn sensitive and will likely prompt parties from outside the region to intervene, Melda said. Jakarta can no longer afford to stay silent and let weakened regional mechanisms dictate the outcome of this ordeal as this is the chance to assert the UN Convention on the Law of the Sea (UNCLOS) as the source of law for the sea, Melda said on Sunday. Manila brought the territorial dispute to the Permanent Court of Arbitration (PCA) in The Hague in early 2013 for a legally binding settlement. However, Beijing insists that the PCA has no jurisdiction over the issue and has boycotted the proceedings. Chinese Foreign Ministry spokesman Hong Lei said Friday that Beijings position pertaining to the tribunals verdict is subject to no change and that any attempt to impose it on China would be futile. He also said the arbitration distorts the purposes of UNCLOS. Another international law expert, Eka Sjarief from the University of Padjadjarans international law alumni community (Himaint-UNPAD), insisted it was Indonesias obligation to enforce compliance with any ruling made within the purview of UNCLOS. The PCA tribunal is an UNCLOS resolution mechanism that binds all its signatories, whether the Philippines, China or Indonesia. This means that Indonesia and other states party to the convention are obliged to support all rulings under UNCLOS, Eka said. Chinas boycott of the tribunals proceedings, as well as any objections it may have, does not exempt it from being bound by the PCA award. Arief Havas Oegroseno, the Office of the Coordinating Maritime Affairs Ministers deputy for maritime sovereignty, could only hazard a guess about the final arbitration verdict, saying the ruling would likely answer questions reaffirming state obligations to UNCLOS. Havas said the PCA might also touch on the issue of making solid maritime claims while defining features that proved elusive for the community of international law experts. Hikmahanto Juwana, the head of the Association of Indonesian Lecturers on International Law, said Jakarta should urge countries to respect whatever came out of the tribunal verdict as part of a moral obligation to observe international law and to exercise self restraint, he said. ------------- To receive comprehensive and earlier access to The Jakarta Post print edition, please subscribe to our epaper through iOS' iTunes, Android's Google Play, Blackberry World or Microsoft's Windows Store. Subscription includes free daily editions of The Nation, The Star Malaysia, the Philippine Daily Inquirer and Asia News. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin News Desk (The Jakarta Post) Jakarta Mon, July 11, 2016 A South African national, identified by the initials,DH, 47, was arrested by detectives from the Jakarta Polices narcotics division at a hotel in Petamburan, Central Jakarta, on Monday at 8:30 a.m. for alleged drug dealing. "We wanted to catch him red-handed while carrying out the transaction. However, it seemed he knew that we were tailing him therefore we decided to arrest him as soon as he checked out from the hotel, narcotics division head Sr. Comr. John Turman Panjaitan was quoted as saying by kompas.com on Monday. Upon his arrest, police allegedly found more than 2 kilograms of crystal methamphetamine stored in 79 small capsules inside his stomach. He allegedly swallowed the capsules to avoid a police search. The police arrested suspected DHs alleged accomplices, AS and AD, on June 17. "DH has been on our wanted list. From his accomplices, we got statements that they got the drugs from DH, John said. DH arrived in Jakarta on Sunday from South Africa. (dmr) Share this article Whatsapp Facebook Twitter Linkedin Ayomi Amindoni (The Jakarta Post) Jakarta Mon, July 11, 2016 A South Korean company has expressed its commitment to build a shoe factory with total investment of US$150 million in Jepara, Central Java. Investment Coordinating Board (BKPM) head Franky Sibarani said on Monday the board had issued a principle permit for the company that would start construction this year. "There are some issues such as electricity supply that should be discussed. But they are serious. We expect not just one company, there are some more to come," Franky said at the State Palace after attending an open house gathering hosted by President Joko "Jokowi" Widodo. He also said the government had offered the company, whose factory is expected to create between 10,000 to 15,000 jobs in the region, some incentives such as a tax holiday. The BKPM will try to persuade more foreign companies from a number of countries like South Korea and Taiwan to invest in labor-intensive sectors, the official said. "A Taiwanese company is also interested in the footwear industry. BKPM already issued the permit," Franky said. The BKPMs office head in South Korea (Seoul IIPC), Soejoedi Imam, said he would follow up closely on the investment plan to make sure it could be materialized as soon as possible. According to the boards data, South Korea was the sixth biggest investor in Indonesia in the first quarter of 2016 with investment worth $188 million consisting of 435 projects. The investment generated employment of 28,349 workers. (bbn) Share this article Whatsapp Facebook Twitter Linkedin Nani Afrida (The Jakarta Post) Mon, July 11 2016 A string of incidents in Jakarta and Bali has exposed vulnerabilities in airport and airline security. The existence of highly active terrorist cells and recent attacks on airports and transportation hubs elsewhere in the world should prompt Indonesia to enhance security in its airports, The Jakarta Posts Nani Afrida reports. Galih Sucipto, 34, feels depressed every time his boss assigns him to fly to their infrastructure companys branch offices in Kalimantan and Sulawesi. He groans at the sluggish airport services and intrusive security screening and checks, especially at Jakartas Soekarno-Hatta International Airport. I have to spend what seems like hours at the check-in counter and more hours at the baggage claim area. Queues at the terminal are incredibly long and service is slow. For him, the security check procedures are too much to bear. I can understand why security is of the utmost importance but frankly I doubt the procedure is really effective at reducing the risk of [ordinary] crime and terrorism. Galih may be overly unhappy about airport personnel doing their job correctly. Following incidents in Indonesia and abroad, local authorities have had to tighten up security in airports, and this effort understandably results in delays for people checking in. Indonesia is among the many countries that have bolstered their airport security amid the ongoing terrorist threat. The latest incident was the June 28 attack on the main airport at Istanbul, Turkey, which claimed 41 lives. Airliners and airports have always been terrorists favored targets as incidents in recent years have proven. Last October, a Metrojet on its way from Sharm el-Sheikh in Egypt to St. Petersburg in Russia was brought down by a bomb placed in the baggage hold, killing all 224 people on board. The Islamic State (IS) group claimed responsibility. In February, a suicide bomber blew a hole in the fuselage of an aircraft in midair over Somalia. In March, the departures hall at Brussels airport was attacked, with 11 people killed and 81 injured. Indeed Indonesia was subject to air transportation terrorism as long ago as 1981 when extremists of the Komando Jihad group hijacked a domestic Garuda flight with 65 people on board and flew it to Bangkok. Four of the five terrorists, the pilot and one of the Armys Special Forces soldiers raiding the plane were killed. In 2003, a bomb blast at Soekarno-Hattas international terminal injured 10 people. Terrorism observer Al Chaidar of the University of Indonesia has warned about the vulnerability of airports and airliners to attack. Homegrown terrorists he has met in prisons and radical groups have convinced him that authorities responsible for airport security must remain highly vigilant. If they [radicals] have the logistics and weapons, they will do it; its a question of timing. Think of ways to minimize casualties, says Chaidar whose participative studies took him to the underground movement of the Indonesian Islamic State (NII). Airports are tempting terror targets because access is relatively easy. There is no security screening until passengers enter the check-in area, so weapons and explosives are likely to go undetected. If maximum casualties are the terrorists prime goal, then airports are great places to attack. Besides, authorities should also keep an eye on insiders from security officers to canteen attendants and make sure that none of them is part of any radical network. If terrorists dont have access to an area, they might use other people who do, Chaidar says. Indonesia began to tighten security checks on passengers in November last year with the Transportation Ministry raising the alert status at all airports from the normal green by one level to yellow in the wake of global terror threats, including attacks in Paris last year. Then we received credible information that our airports were on the list of terrorists targets and so we took additional security measures, The Transportation Ministrys flight security director, Nasir Usman, says. Now, airport users have to undergo thorough checks upon entering terminals and the authorities have increased patrols. Security officers also regularly check terminal buildings, car parks, cargo areas and access roads. Besides installing more CCTVs, the government is deploying more military personnel, sniffer dogs and police officers to secure airports. Soekarno-Hatta airport, for instance, is now guarded by 300 military personnel and 100 police. We just hope people will be more cooperative about the security procedures because this is for their own good, Nasir says. Aside from the terrorism issue, 192 major airports across the country are increasingly overwhelmed by the ever-swelling number of passengers. More affordable air tickets offered by budget airlines have translated into a growing number of domestic flyers. Thats not to mention the steady arrival of foreign nationals allured by Indonesias economic and relative political stability. This rapid user growth is outpacing expansion of existing airports and necessitates the construction of new ones all adding heavy pressure to services, safety precautions and security systems. ------------ Regulations on aviation security upgrade Operators to raise level alert from green to yellow. Yellow means airport has to follow security program. Operators to conduct security checks on all vehicles entering airport. Local government must take responsibility for security of VIP building at airport. If building does not have security equipment passengers should be checked at departure terminal hall before entering VIP building. Operators to conduct intensive security patrols at airport. Operators to cooperate with military and police while implementing basic principles of aviation safety. Operators to increase security checks of cargo and baggage using sniffer dogs. Operators to hold meeting with airport security committee. Security inspectorate of airport authority to be accompanied by intelligence officers. Operators to be subject to legal sanctions in event of security violations that endanger passenger safety. Source: Transportation Ministry to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin Anggi M. Lubis and Tama Salim (The Jakarta Post) Jakarta Mon, July 11 2016 Even as seven tugboat crew members taken hostage by the Filipino militant group Abu Sayyaf late last month are still awaiting rescue, three other Indonesian sailors have reportedly been abducted by unidentified gunmen off Malaysias eastern state of Sabah over the weekend. The kidnapping marks the latest of similar incidents involving a total of 25 Indonesian tugboat crew members that have occurred in the last four months in the area and the repeated abductions have raised concerns over not only the safety of the economically strategic waters located between the three countries, but also about how the Indonesian and Filipino governments are handling the situation. The possibility that ransom was paid for the release of 14 Indonesian sailors who were Abu Sayyaf hostages earlier this year might have triggered the later abductions, an expert says, and there should be a significant change in approach to put an end to the rampant abductions by resorting to hard power involving the two countries armed forces. to Read Full Story SUBSCRIBE NOW Starting from IDR 55,500/month Unlimited access to our web and app content e-Post daily digital newspaper No advertisements, no interruptions Privileged access to our events and programs Subscription to our newsletters We accept Register to read 3 premium articles for free Already subscribed? login Share this article Whatsapp Facebook Twitter Linkedin Marguerite Afra Sapiie (The Jakarta Post) Jakarta Mon, July 11, 2016 The Indonesian Military (TNI) has prepared its troops for possible involvement in military operations to release 10 Indonesian sailors held hostage by armed groups in the Philippines, even though a concrete agreement on how to conduct such operations has yet to be made with Manila. TNI commander Gen. Gatot Nurmantyo said the force was well prepared for every possible measure to assist the Philippines and Malaysia in operations to release the hostages from the hand of suspected Abu Sayyaf militant groups. Even after Saturday's abduction of three Indonesian sailors, marking the fourth incident involving Indonesian nationals this year, Philippine officials have yet to agree to allow Indonesian forces to enter its territory and join security operations should another abduction occur, Gatot said. "[The Philippines] has given the green light to allow us [to enter its territory], but the concrete agreement will be decided later by the defense minister," Gatot said on Monday. Defense Minister Ryamizard Ryacudu is scheduled to hold a meeting with his Philippine and Malaysian counterparts on Tuesday to discuss the follow-up to a trilateral agreement on joint maritime security formed in May. They will also talk about further measures to release the hostages. The matter regarding Indonesia's authority to enter the Philippines in a joint operation would be decided in the meeting, Gatot said. While deliberations over rescue operations are ongoing, the TNI has also prepared its personnel to guard every barge or tugboat traveling the regional waters, with at least four or five TNI personnel in each vessel, Gatot said, adding that the troops were also ready to participate in joint sea patrol. Meanwhile, Coordinating Political, Legal, and Security Affairs Minister Luhut B. Pandjaitan said Jakarta would respect the Philippines sovereignty and not encroach on its territory. Last Saturday, three Indonesian sailors were abducted in Malaysian Sabah waters while they were conducting fishing activities in the area. It was the latest incident of the kidnapping spree, occurring less than a month since seven Indonesian ship crewmen were taken hostage by two different Philippine militant groups and held on different islands in southern Philippines. (ary) Share this article Whatsapp Facebook Twitter Linkedin Sopheng Cheang (Associated Press) Phnom Penh Mon, July 11, 2016 A well-known critic of Cambodia's government who was a known voice in the country's independent media was shot dead Sunday morning in what police said was a personal dispute over money. Kem Ley, 45, was killed at a gas station mini-mart complex in Phnom Penh and his attacker was arrested shortly after, said national police spokesman Gen. Kirth Chantharith. He said the suspect claimed to have shot Kem Ley, a high-profile political analyst, because he failed to pay him back for a loan. Hundreds of people gathered at the site of the killing, including the victim's widow and at least one of their four children. They set up makeshift memorials with flowers and refused to let ambulances take away the body, instead carrying it in a procession to a Buddhist temple. Some onlookers said they feared the authorities would try to cremate the body without having a proper investigation, or even without a funeral. The killing comes at a time of political tension that began last year with legal and other pressures on the opposition Cambodia National Rescue Party by the government of Prime Minister Hun Sen. Kem Ley was often critical of the government, and was widely known because he was frequently heard on the popular Cambodian-language services of Radio Free Asia and Voice of America, US government-funded services that are among the few independent news sources in Cambodia. He was also frequently quoted in the country's handful of independent newspapers. One of his most recent commentaries was about a report issued last week by the London-based research and advocacy group Global Witness that alleged that Hun Sen and his family have enriched themselves and kept power through corruption. "We are calling for a thorough and independent investigation into Ley's murder that will ensure that the real perpetrators are brought to justice, not just the hitmen," Global Witness said in a statement. "Cambodia has a long history of political, human rights and labor activists being killed, with murderers rarely brought to justice." A statement from the Interior Ministry condemned the killing and identified the attacker as Chuob Samlap, 38, one of the many migrant Cambodian farm workers in neighboring Thailand. It promised a serious investigation to determine whether the attack was part of a conspiracy, and pleaded with the public to refrain from premature speculation on the motive. In a Facebook posting, Hun Sen condemned the killing and said he had ordered a thorough investigation. Video of the police questioning the suspect, posted on the pro-government Fresh News website, shows the man claiming that Kem Ley borrowed US$3,000 from him to help poor people, but that he failed to return it. It was not clear whether his assertion was related to Kem Ley's involvement in grass-roots political organizing in rural areas. The suspect also said that he purchased the pistol he used to kill Kem Ley in Thailand. Violence has long played a prominent part in Cambodian politics, though it often is carried out in the countryside, where it gets little attention. Activists and members of the political opposition are frequent targets, and attackers are rarely brought to justice. Kem Ley is the most prominent Cambodian government critic to be killed since trade union leader Chea Vichea in 2004. In 2012, conservation activist Chut Wutty was gunned down by a soldier. In 1997, a grenade attack on a rally held by opposition leader Sam Rainsy killed at least 16 people and wounded more than 100, with no one brought to trial. Last year, two opposition lawmakers were dragged from their cars and badly beaten by members of a pro-government mob. In both cases, critics charged that members of Hun Sen's personal bodyguard unit were involved in the attacks. Rainsy is currently in exile to avoid what he asserts is a politically motivated prosecution, and his deputy, Kem Sokha, has been living for weeks at party headquarters trying to avoid what he also says is a specious case against him. Rainsy's party issued a statement mourning the death of Kem Ley, lauding him as someone who worked to promote Cambodia's economy and democratic system. Share this article Whatsapp Facebook Twitter Linkedin Sopheng Cheang (Associated Press) Phnom Penh Mon, July 11, 2016 Cambodia's prime minister said Monday that authorities will conduct a vigorous investigation to uncover any conspiracy behind the shooting death of a government critic, and urged the opposition not to use the assassination to incite people. Kem Ley, 45, was killed at a gas station mini-mart complex in Phnom Penh on Sunday and his attacker was arrested shortly afterward. Police said the suspect claimed to have shot Kem Ley, a high-profile political analyst, because he failed to pay him back for a loan. But Cambodia's opposition, which has long been suppressed by Prime Minister Hun Sen, have suggested that Kem Ley, 45, was a victim of a conspiracy for his outspoken views about government corruption. His friends and supporters have decided to keep his body at a Buddhist temple for 10 days before holding the funeral to allow people to pay their respects. Speaking at the inauguration of a new military police headquarters, Hun Sen condemned the killing and offered his condolences to Kem Ley's family. "I have ordered the competent authority to conduct a vigorous investigation into the attacker and the conspirators to face justice," he said. The killing comes at a time of political tension that began last year with legal and other pressures on the opposition Cambodia National Rescue Party by Hun Sen's government. Kem Ley was often critical of the government, and was widely known because he was frequently heard on the popular Cambodian-language services of Radio Free Asia and Voice of America, US government-funded services that are among the few independent news sources in Cambodia. He was also frequently quoted in the country's handful of independent newspapers. One of his most recent commentaries was about a report issued last week by the London-based research and advocacy group Global Witness that alleged that Hun Sen and his family have enriched themselves and kept power through corruption. The Interior Ministry identified the attacker as Chuob Samlap, 38, one of the many migrant Cambodian farm workers in neighboring Thailand. Hun Sen said he hoped the killing is not used to incite the public. "Hopefully, our people will give authorities time to perform their duties by not turning this tragedy into something politically motivated," he said. Violence has long played a prominent part in Cambodian politics, though it often is carried out in the countryside, where it gets little attention. Activists and members of the political opposition are frequent targets, and attackers are rarely brought to justice. Kem Ley is the most prominent Cambodian government critic to be killed since trade union leader Chea Vichea in 2004. Kem Ley's body will be moved from the Buddhist temple in Phnom Penh on July 19 and taken to his hometown Takeo in the south for cremation, said Sum Po, one of the funeral organizers. For now, his body was placed on a bed covered by a Cambodian flag up to his neck. Buddhist monks sat nearby to pray for his soul. Share this article Whatsapp Facebook Twitter Linkedin Gillian Wong and Jim Gomez (Associated Press) Beijing Mon, July 11, 2016 China has intensified the drumbeat of its opposition to an international tribunal's ruling expected Tuesday that could threaten its expansive claims in the South China Sea. How Beijing responds to the ruling in the case filed by US ally the Philippines could chart the course of global power relations in an increasingly dangerous hotspot. It comes as the US has ramped up its military presence in the region and could seek to marshal world opinion to pressure Beijing into complying with the verdict. A new Philippine leader who appears friendlier to Beijing could also influence the aftermath of the ruling. The Hague-based tribunal will decide on the 2013 case that challenges the so-called nine-dash line that China uses to claim virtually the entire South China Sea and which Manila opposes because it infringes upon its own 200-mile exclusive economic zone. The dispute centers on waters through which an estimated US$5 trillion in global trade passes through each year and are home to rich fishing stocks and a potential wealth of oil, gas and other resources. The Philippines has also asked the tribunal to rule on whether several disputed areas are outcrops, reefs or islands, a move aimed at clarifying the extent of territorial waters they are entitled to or if they can project exclusive economic zones. More than merely about the sovereignty over the rocks and reefs or the actual waters, the South China Sea dispute has become a testing ground for a rising China to challenge the US's leadership in the Asian strategic order, analysts say. Beijing wants to use this dispute to show how "China's own growing maritime power and its economic significance to the United States and the global economy have reached the point where the United States can no longer afford to stand up to China," said Hugh White, professor of strategic studies at The Australian National University. "That calculation might prove to be wrong." China has boycotted the case, arguing that the tribunal has no jurisdiction and saying it won't accept the ruling. It has insisted that bilateral talks between Beijing and other claimants is the only way to address the dispute. Some experts have speculated that China could respond to an unfavorable ruling by establishing an air defense identification zone over all or part of the South China Sea. There is similar speculation that China might militarize a reef off the Philippine coast, the Scarborough Shoal, where a standoff with China prompted the Philippines to initiate the tribunal case in 2013. Beijing has given no direct indication of a tougher response, saying it remains committed to bilateral negotiations with Manila. Tuesday's ruling might further pressure China to clarify what exactly it is claiming with its "nine-dash line" boundary. Findings of the tribunal are binding on the parties, including China. But the court - without police or military forces or a system of sanctions at its disposal - can't enforce its ruling, so its potential impact remains unclear. Still, in recent weeks, China has spared no effort to denounce the proceedings as unlawful, publishing state media commentaries and deploying senior military officers, current and former top officials and academics to relentlessly convey Beijing's opposition. On Monday, the day before the verdict, the overseas edition of the ruling Communist Party's mouthpiece, the People's Daily, urged the Philippines to return to talks with China and the US to stay out of the dispute. The arbitration outcome, known as an "award," was dismissed by former Chinese state councilor Dai Bingguo, in a conference in Washington, D.C., last week, as "nothing more than a piece of paper." Beijing has faced mounting calls to observe international law. At a US congressional hearing last week, Abraham Denmark, deputy assistant secretary of defense for East Asia, urged both parties to comply with the ruling. Denmark said it was a chance to determine whether the region's future will be defined by adherence to international laws or by "raw calculations of power." China might use strong rhetoric but not take aggressive action to avoid having the topic dominate the agenda at upcoming multilateral forums, said Bonnie Glaser, senior adviser for Asia at the Center for Strategic and International Studies in Washington, D.C. Glaser said Chinese officials she's spoken to say privately they hope the case would not rule entirely in the Philippines' favor so that Beijing can say in internal discussions that Chinese interests have not been "irreparably harmed." A key factor that could change the equation of the consequences of this ruling is how the Philippines' new President Rodrigo Duterte decides to respond. His predecessor Benigno Aquino III's government filed the case, straining Manila's relations with Beijing, but Duterte has shown readiness to mend frosty ties with China. While Duterte has made critical remarks against the US, he has pointed out the benefits of nurturing friendly relations with Beijing, including a Chinese offer of financing railway projects in the Philippines. Duterte's rise has given China an opening to make inroads in one of America's closest security allies. Last week, Duterte said his government stood ready to talk to China if it gets a favorable ruling. "When it's favorable to us, let's talk," he said. "We are not prepared to go to war, war is a dirty word." It remains to be seen, however, how far Duterte can stray from Manila's critical stance on China's territorial assertiveness, given his country's close ties with the US and growing nationalist sentiment against China's actions. Jay Batongbacal, an expert on South China Sea issues at the state-run University of the Philippines, said the government should avoid revealing its cards ahead of potential negotiations with Beijing, "otherwise you lose the leverage that you have." Left-wing activists protested at the Chinese consulate in metropolitan Manila on Monday, urging China to leave what they said were other countries' territories. "We're calling on our brothers in Southeast Asia that this call for a 'Chexit,' or China exit, now is not only for Filipinos but for all to call on China to respect our territorial integrity," said protest leader Mong Palatino. Experts say the outcome of the dispute could provide ammunition for other countries involved in disputes with China. Six governments have overlapping territorial claims in the South China Sea - China, Vietnam, the Philippines, Taiwan, Malaysia and Brunei. In addition, China's nine-dash line overlaps waters that are part of Indonesia's internationally recognized exclusive economic zone. "This is a time for China not to keep pushing forward too aggressively because they could embolden Vietnam and Indonesia to file a case as well," Glaser said. Regardless, the ruling is unlikely to stop China from continuing to pursue more effective control over the sea space and airspace of the South China Sea, Glaser said. Over the last few months, the US has held combined exercises by two Navy aircraft carrier strike groups off the coast of the Philippines and freedom of navigation cruises near China's man-made islands to assert its presence in the Western Pacific. Chinese state media have accused Washington of trying to turn the South China Sea "into a powder keg" and warned it not to underestimate China's determination to defend its territorial claims. Chinese warships, fighter jets and submarines have held live-fire war games as part of what the People's Liberation Army Navy called routine exercises in the week running up to the tribunal's ruling, drills that were seen at least in part responding to the US presence. "There's a real game of nerves going on here with China perhaps assuming that the US is bluffing and the US hoping that China will actually not test American resolve," Australian National University's White said. Share this article Whatsapp Facebook Twitter Linkedin Jim Gomez (Associated Press) Manila Mon, July 11, 2016 A landmark ruling on an arbitration case filed by the Philippines that seeks to strike down China's expansive territorial claims in the South China Sea will be a test for international law and world powers. China, which demands one-on-one talks to resolve the disputes, has boycotted the case and vowed to ignore the verdict, which will be handed down Tuesday by a tribunal in The Hague. Some questions and answers about the case: Q: WHAT'S THE CASE ABOUT? A: After years of diplomatic negotiations ended nowhere, the Philippines brought its dispute with China to international arbitration in January 2013, despite Beijing's warnings of a diplomatic and economic backlash. China wants to negotiate directly with the Philippines and each of the four other claimants in an arrangement that would give it leverage for its sheer size and influence. Beijing has steadfastly opposed bringing the disputes to an international arena, which could provide the US a chance to intervene. The Philippines asked a tribunal of five arbitrators to declare as invalid China's vast claims, known as nine-dash lines for the dashes that demarcate virtually all of the South China Sea as Chinese territory, under the United Nation Convention on the Law of the Seas, or UNCLOS. China and the Philippines are among more than 160 signatories of the 1982 convention, regarded as the constitution that governs and stipulates the rights of countries in using the world's oceans. With China's sprawling claims, the Philippines stands to lose a huge chunk of off-shore territory, said Antonio Carpio, an associate Supreme Court justice who has made extensive studies on the conflicts. "This Chinese aggression is the gravest external threat to the Philippines since World War II," he said. The Philippines also asked the tribunal to classify whether a number of disputed areas are islands, low-tide coral outcrops or submerged banks to determine the stretch of territorial waters they are entitled to under the convention. It also wants China to be declared in violation of the convention for carrying out fishing and construction activities that breached the Philippines' maritime rights. The convention does not deal with sovereignty questions, which the Philippine government says it did not raise. Q: HOW DID IT START? A: Although the disputes have simmered for decades, they gradually escalated under former Philippine President Benigno Aquino III and culminated in 2012 when China took effective control of the disputed Scarborough Shoal after a tense standoff. US officials brokered an arrangement for Philippine government vessels and larger Chinese maritime surveillance ships to simultaneously withdraw from the tiny shoal, a deal which Aquino said he followed. Chinese ships never left. Chinese coast guard ships also surrounded another disputed area, the Second Thomas Shoal, which has been guarded by Filipino marines on board a grounded rusty warship. The Chinese coast guard has tried to block Philippine vessels from bringing food, water, medicines and other supplies to the marines, sparking dangerous cat-and-mouse chases at high seas. The Philippines said it had no other choice but to elevate the dispute to international arbitration. Q: WILL THE ARBITRATION CHANGE ANYTHING? A: Any ruling will be final and legally binding on China and the Philippines. China's decision to ignore the case and the arbitration tribunal's lack of enforcement mechanism, however, have blunted the Philippines' move. Former Philippine Foreign Secretary Albert del Rosario, who spearheaded the filing of the complaint against China, said that a favorable ruling on any of the 15 issues raised by the Philippines, especially the demand to declare China's claims invalid under UNCLOS, would be a major blow to Beijing and a moral victory that could be harnessed by the Philippines to its advantage. The Philippines, Filipino diplomats said, could work with Washington and other countries to demand Chinese compliance in diplomatic forums worldwide, including before the United Nations. If it doesn't comply, China risks being seen as a rogue nation at a time it expands its political and economic influence on the world stage. Carpio painted a dire picture if the tribunal fails to rule on the validity of China's sprawling claims, saying Beijing would enforce its nine-dash territorial lines as its national boundary. The US military would intensify its patrols to promote freedom of navigation, China would try to push the Americans back and the claimants engage in an arms race. "The only defense of coastal states will be to acquire warships, warplanes and anti-ship missiles," Carpio said. "Tensions will increase. It will be a turmoil in the South China Sea." Q: WHY DID CHINA REFUSE TO TAKE PART IN THE CASE? A: China has argued that the tribunal does not have jurisdiction to handle the Philippine case, because it says it involves sovereignty issues, which are outside the tribunal's legal purview. While masking its case as an effort to clarify maritime rights under the UN convention, the Philippines is actually trying to undermine China's "indisputable sovereignty," according to Chinese officials. They ask, for example, how the Philippines can say that China's claims are excessive without first determining Beijing's territorial limits. China also regards the disputes as a purely Asian problem that outsiders like the US have no right to meddle in. Philippine officials say China refused to join the arbitration knowing that the historical basis it cites for its territorial claims has long ceased to be recognized under modern-day treaties like the UNCLOS. Q: WHAT ARE OTHER COUNTRIES SAYING ABOUT IT? A: Countries have generally taken a position on the arbitration case depending on whether they're aligned with the US or China. The diplomatic tug-of-war has put smaller countries and regional blocs in a dilemma, including the Association of Southeast Asian Nations, whose four member states are claimants. A Philippine push for the 10-nation bloc to issue a joint statement calling for China to respect Tuesday's ruling has stalled with Cambodia and Laos backing the Chinese position. Besides the Philippines and Vietnam, Malaysia, Indonesia and Singapore have also been wary of China. The regional group has a bedrock principle of deciding by consensus, meaning just one member state can stall any group effort. The US, Britain and the rest of the EU support the arbitration. China claims support of some 40-60 nations, including many landlocked African nations and Pacific islands where Beijing has economic clout. ____ Associated Press writer Sopheng Cheang in Phnom Penh, Cambodia, contributed to this report. Share this article Whatsapp Facebook Twitter Linkedin (Associated Press) Bangkok Mon, July 11, 2016 A Thai news website said one of its journalists was detained Sunday by police in central Thailand while reporting on a group opposed to the military government. It said three activists of the group were also detained. Prachatai said in a report on its website that journalist Taweesak Kerdpoka was arrested while reporting on the New Democracy Movement in Ratchaburi province. It said the four were detained after police searched the activists' car and found copies of the group's booklet. It said the booklet provides "counter information" to the junta's position on a referendum it plans to hold Aug. 7 on a new draft constitution that has been criticized by many as undemocratic. Police did not immediately comment on the arrest. Taweesak is the first journalist to be arrested under a new law that makes it illegal to criticize the referendum. The law imposes a jail term of up to 10 years, a fine and loss of electoral rights for five years on anyone who publishes or distributes content about the draft constitution that is deemed to be deviating from the facts, contains rude and violent language, or threateningly discourages voters from participating in the referendum. Prachatai said Taweesak, 24, was arrested even though he identified himself as a journalist. It said the four would be taken Monday to a civilian court where the police will request a custody petition. It said Taweesak came to the police station to report on another event in which 18 activists from Ratchaburi reported themselves to the police because they had participated in a political assembly, which is deemed illegal under the junta. It said that the three New Democracy Movement activists separately came to the police station to give moral support to the 18 activists, and that their car was searched while they were about to leave. Taweesak "was in the car because he wanted to go back to Bangkok with the group. He had gone to report on the news," Chiranuch Premchaiporn, director of the Prachatai website, said in an email to The Associated Press. She said a lawyer for a human rights group went to the police station asking for bail "but the police refused to grant bail and said it had to be done at the court. This means they will be detained overnight at the police station." Share this article Whatsapp Facebook Twitter Linkedin Estrella Torres (Philippine Daily Inquirer) Manila Mon, July 11, 2016 With four membersthe Philippines, Vietnam, Brunei and Malaysiahaving conflicting claims with China in the South China Sea and other members leaning toward China because of economic interests, Asean faces serious divisions over the ruling to be handed down by the Permanent Court of Arbitration in The Hague on July 12. Members of the Association of Southeast Asian Nations (Asean) could use this weeks ruling by an international court to make a stand on the South China Sea territorial disputes without hurting China. A statement made by former law dean Raul Pangalangan months before he was elected a judge of the International Criminal Court (ICC) circulated again last Friday, as anticipation over the ruling by the arbitral tribunal on the Philippine case against China mounted. With four membersthe Philippines, Vietnam, Brunei and Malaysiahaving conflicting claims with China in the South China Sea and other members leaning toward China because of economic interests, Asean faces serious divisions over the ruling to be handed down at The Hague on Tuesday. Most legal experts expect the ruling to go against China, depriving it of a basis for its expansive claims to almost all of the South China Sea. Game changer But Pangalangan, a trustee of the think tank Stratbase ADRi and a former publisher of the Philippine Daily Inquirer, said the Philippine case was a game changer, as it elevated the dispute to the level of international law. In his early statement, Pangalangan also argued that the Philippines would have been at a disadvantage if it pursued bilateral negotiations with China in trying to resolve the territorial dispute. David vs Goliath In a David vs Goliath scenario, the Philippines would have been helpless; by filing the case, we have shifted it from a two-party settlement and submitted it to a third-party decision-maker on the tribunal, Pangalangan said. He said the Philippine challenge to Chinas claim to almost all of the South China Sea also undermined the military aspect of the maritime disputes and instead highlighted the primacy of international law. The Philippines has asked the arbitral tribunal to void Chinas sweeping claims in the South China Sea, and demanded its right to explore resources in its exclusive economic zone, waters locally known as the West Philippine Sea, be respected. It (arbitration) will provide our neighbours in Asia and our allies abroad a neutral ground upon which to take a position on the issue. In other words, without states saying China is right or the Philippines is right, we provide even the erstwhile hesitant Asean states an opportunity to take a decision without riling Chinas sensitivities, Pangalangan said. Joint exploration Philippine President Rodrigo Duterte said on Thursday he expected the ruling to be in favor of the Philippines and, if it is, he was willing to talk with China about joint exploration of resources in the West Philippine Sea. Foreign Secretary Perfecto Yasay said Duterte and Ambassador Zhao Jinhua discussed joint exploration during the Chinese envoys visit to the President in Malacanang on Thursday. No preconditions If the Chinese, in respecting the decision of the arbitral tribunal, would like to negotiate within the context of that arbitral tribunal along with the other claimants, if at all that would be covered by the decision, to see how we can jointly use and explore the area, by all means lets pursue that, Yasay said at a news forum in Makati on Thursday. Earlier, Zhou said China hoped bilateral negotiations with the Philippines could start soon. He said there should be no preconditions for the talks, as both countries were committed to friendship and cooperation. Let me emphasize this, we have been talking too much about the disputes in the last six years. Lets focus on friendship and cooperation. Thats better, Zhou said. The Prime Minister of Canada, Justin Trudeau, recently became the first Prime Minister to march in a Pride parade. Whilst this shows great solidarity with the LGBT community and has definitely made a good impression, there is a hypocrisy surrounding the whole occasion that seems to have been overlooked. Trudeau is a pretty popular man he hugs pandas, welcomes refugees and has a cabinet that is made of equal numbers of men and women because at the time it [was] 2015. But politicians are notoriously untrustworthy. They make all kinds of promises that they can never deliver (perhaps Mr. Farage could relate?) and we constantly have to rethink everything we choose to believe. The Prime Ministers actions are undoubtedly an amazing show of support and allegiance, especially during a fragile time for the LGBT community but this meme has surfaced on the internet and, unfortunately, it makes a very good point: has gone through with an arms trade deal of $15 billion with Saudi Arabia a totalitarian state. The Canadian governmenthasgone through with an arms trade deal of $15 billion with Saudi Arabia a totalitarian state. Earlier in the year, Amnesty International called out against this, claiming that it was disturbing that weapons supplied by Canada could be used and passed on by Saudi Arabia to violate human rights. Whilst Trudeau can dance in a Parade march he is also responsible for supplying a state that is homophobic, sexist and funds international terrorism. There couldnt be anything more deceitful than selling $15 billion worth of weaponry and then joining in with an event that celebrates acceptance and individuality, and because of this it seems his image could be tarred. During the Pride parade he also confessed that his government is looking into gender neutral identity cards, according to The Independent, in an attempt to improve Trans rights. Whilst this is great, his hypocrisy continues to grow. It indicates a positive movement in working towards bettering the lives of Trans people in Canada, but what about those in Saudi Arabia? In Saudi Arabia, people receive the death penalty as punishment for being LGBT and Trans rights are non-existent. Surely politicians have a responsibility not just to make their own country a better place but to have a positive influence on other countries. We are too often pulled into a trap of immediately believing everything we see. Photographs of the Prime Minister joining in with the Pride celebrations in Toronto are amusing and happy but they hide the dark truth. He responded to controversy surrounding the trades deal by claiming its a matter of principle that the Canadian government go through with it, as reported by CTV News, but this is such a non-response and it seems that even he cant defend his own actions. While his allegiance and support of the Canadian LGBT community may be integral, he has forgotten (or ignorantly ignored) the worldwide community and its important to draw attention to this. Why isnt this being talked about? LGBT people need leaders who work for global equality and whose actions at home mirror how they deal abroad so that they can feel safe wherever they go. Its a sad thought to think that Justin Trudeaus actions at Pride were simply an attempt to further increase his popularity and its one I dont want to entertain. But its time for politicians to be stronger on a global level and to maintain a singular image. If you support LGBT rights, or even human rights, support them everywhere and in everything you do, otherwise we all lose out. Unfortunately, The Content Is Not Here You have arrived at this page because the page or post you were looking for no longer exists. Please check our main navigation pages for other content: Home Page Tentang Situs Slot Online Resmi MGS88 Nama Situs MGS88 Minimal Deposit Rp. 10.000,- (Sepuluh Ribu Rupiah) Proses Deposit 2 Menit Metode Deposit Bank Transfer, Pulsa, E-Wallet Judi Online Terbaik Slot Online, Judi Bola, Casino Online, Togel Online, Tembak Ikan Provider Slot Gacor Mudah Maxwin Pragmatic Play, PGSoft, MicroGaming, Habanero Slot Gacor Gampang Menang Gates of Olympus, Sweet Bonanza, Wild West Gold, Starlight Princess Win Rate 98% RTP Live Slot Gacor Tertinggi Hari Ini Terbaru Terlengkap Selamat datang di halaman RTP live dan informasi soal slot gacor hari ini dari situs MGS88 yang setiap hari selalu update. Berdasarkan RTP Live MGS88, Anda bisa mendapatkan informasi tentang slot online yang saat ini yang sedang Gacor atau onfire dengan persentase yang terbukti akurat, ini bisa menjadi rekomendasi anda sebelum memilih permainan slot online di situs MGS88. Cek RTP Slot sekarang juga bosku Klik Provider Slot Untuk Mengetahui RTP Slot Secara Real Time Selamat datang bagi kalian yang sedang mencari situs RTP Live terlengkap dan terkini hari ini. Sangat sesuai jika Anda mengunjungi website MGS88 RTP live untuk informasi tentang permainan slot yang lagi gacor dengan slot RTP yang terupdate. Persentase kemenangan yang kami berikan tentunya diambil dengan data yang sangat valid dan hanya untuk permainan slot yang tersedia di situs MGS88. RTP yang tersedia juga akan selalu diperbarui setiap hari berdasarkan level kemenangan yang diberikan kepada member kami. Memang sih untuk bermain slot itu tergantung hoki dari setiap pemain, Namun RTP live atau bocoran slot dari yang kami sediakan ini adalah data autentik dari banyaknya pemain yang telah bermain dan mencapai kemenangan tinggi. Sederhananya, kalau banyak pemain yang menang di dalam 1 permainan slot, karena itu permainan slot tersebut akan mempunyai persentase RTP yang sangat tinggi. Namun kami tegaskan sekali lagi, ini bukan sebuah paksaan kami situs MGS88 untuk anda bermain di game slot yang mana. Ini bisa dijadikan sebagai referensi atau tolok ukur, boleh dicoba kalau anda mempunyai feel yang kuat dalam memainkan permainan game slot. Anda dapat mengakses kapan saja dan di mana saja selama anda siap bermain. Jangan ragu untuk bertanya ya seputar pola putaran terhadap kami, sebab kami juga menyediakannya loh. Apa itu RTP Live? RTP Live ialah informasi mengenai persentase tertinggi saat ini dari hasil RTP Live dengan bocoran kemenangan pemain saat ini. RTP Live merupakan singkatan dari Return To Play atau bisa juga diartikan sebagai Return to Player. Karena itu, para pemain slot sekarang jika ingin mengetahui seberapa besar kemenangannya, bisa dengan memainkan permainan yang akan dimainkannya dan bisa untung dengan mudah dan tentunya maksimal. Apa itu RTP Slot? RTP Slot juga dikenal sebagai return to player atau pengembalian ke Pemain. RTP slot ialah persentase dari nilai pengembalian semua uang yang dipertaruhkan pemain dari waktu ke waktu. Dengan kata lain, RTP juga dianggap sebagai salah satu fitur slot yang mengembalikan uang pemain saat pemain kalah. Persentase digunakan untuk menghitung RTP dalam permainan slot. Misalnya, jika slot memiliki RTP 97%, itu berarti untuk setiap 100.000 koin yang hilang di slot, slot dapat mengembalikan 97.000. Jika Anda mengetahui RTP sebuah permainan slot, Anda dapat memutuskan permainan slot mana yang akan dimainkan tanpa kerugian besar. Apakah Angka Persentase RTP Slot Itu Penting? Biasanya pemain slot itu tidak memperhatikan RTP dalam permainan yang akan dimainkan, biasanya setelah anda mengisi saldo utama anda akan langsung buru-buru memainkannya. Yang terakhir 90-96% mempengaruhi jumlah kemenangan. Semakin tinggi jumlah RTP yang digunakan, semakin luas peluang untuk mendapatkan keuntungan. Akan namun itu segala tak secara 100% menjamin kemenangan kau dalam bermain, RTP itu cuma sebagai kalkulasi pengeluaran anda saja selama bermain slot.Dengan adanya RTP, kau dapat mengerjakan pengaturan atas uang yang akan kau pertaruhkan nanti pada ketika bermain.Untuk itu pada ketika kau bermain slot dan telah mengalami banyak kekalahan di satu permainan, direkomendasikan kau pindah ke permainan slot lainnya yang RTP nya lebih tinggi dari permainan yang tadi kau mainkan. Keuntungan Menggunakan Bocoran RTP Slot Hari Ini Situs MGS88 Akan dengan senang hati akan beberapa keuntungan yang didapatkan jika anda bermain slot dengan menggunakan RTP Live yang telah disediakan. Berikut Keuntungannya : Peluang Kemenangan Meningkat Tentu saja, saat bermain slot online, menang adalah hal yang paling penting. Di sinilah RTP berperan sebagai metode atau metode baru yang akan membantu Anda memilih permainan slot persentase tinggi. Mendapat variasi dalam Memainkan Game Slot Pastinya banyak pemain slot online yang hanya memainkan 3-5 permainan slot saja. Namun dengan RTP Live slot akan memberikan banyak game slot lain yang bisa anda coba. Tentunya semua permainan slot memiliki potensi kemenangan yang besar, jadi jangan hanya mengandalkan beberapa permainan saja. Menambah Pengalaman Dalam Bermain Slot Keuntungan terakhir adalah Anda tentu saja menambah pengalaman dan keahlian dalam permainan slot online. Dengan berbagai macam permainan slot yang dimainkan, Anda pasti mengetahui karakteristik dari setiap permainan slot yang Anda mainkan. Akibatnya, Anda pasti bisa dianggap sebagai pemain slot yang andal, yang pasti akan meningkatkan peluang Anda untuk menang besar menggunakan RTP. Daftar 8 Situs Dengan RTP Slot Live Tertinggi Hari Ini Ada banyak penyedia mesin slot online di internet. Tetapi tidak semuanya memiliki peluang tinggi atau RTP Live Slot yang sangat tinggi. Tapi jangan khawatir, berikut ini adalah situs slot gacor yang akan memberikan bocoran slot dengan RTP Live Tertinggi: RTP Live Slot Pragmatic Play (RTP Slot 97.85%) RTP Live Slot PG Soft (RTP Live 96.15%) RTP Live Slot Habanero (RTP Slot 95.89%) RTP Live Slot CQ9 (RTP Live 98.83%) RTP Live Slot Spade Gaming (RTP Live 94.99%) RTP Live Slot Micro Gaming (RTP Slot 95.39%) RTP Slot Live Top Trend Gaming (RTP Live 96.14%) RTP Slot Live JOKER123 (RTP Live 97.45%) Itulah Daftar 8 Provider Slot Gacor dengan RTP Live teratas diatas tentunya kami analisa terlebih dahulu. Anda bisa membuktikannya langsung dengan mengklik banner atau meprovider game slot yang sudah tersedia di atas. Saran kami yaitu Anda harus memainkan semua penyedia slot di atas untuk mencapai peluang kemenangan terbaik. Daftar Slot RTP Live Tertinggi Sering Kasih Jackpot Selain mempertimbangkan RTP Slot Gacor yang ada, sebenarnya ada banyak faktor penting untuk menang dalam permainan judi online. Sebab ada banyak game yang memiliki fitur dan mekanisme unik dan bisa membantu anda meraih Jackpot yang sangat besar. Berikut ini akan kami ulas daftar 5 game slot paling populer karena sering memberikan jackpot: RTP Live Gates of Olympus Gates of Olympus adalah game slot teraneh dan terbaik di Indonesia. Karena permainan mesin slot ini paling populer karena kakek Zeus dapat mengizinkan pengganda x500. Selain itu, fitur dan mekanik Gates of Olympus juga sangat menguntungkan untuk memenangkan Grand Jackpot. Secara teoritis, RTP slot langsung Gates of Olympus bernilai 96,50%, yang berarti peluang Anda untuk memenangkan MaxWin cukup tinggi. RTP live Sweet Bonanza Sweet Bonanza adalah permainan slot terpopuler kedua. Game slot bertema buah dan permen yang lezat ini sepertinya akan menarik banyak perhatian karena tergolong slot gacor yang mudah menang. Secara teoritis, slot Sweet Bonanza RTP bernilai 96,48%, yang berarti peluang Anda cukup tinggi untuk memenangkan jackpot. RTP Live Wild West Gold Wild West Gold adalah permainan slot bertema koboi yang juga populer di kalangan penggemar konspirasi. Permainan slot Wild West Gold sendiri kerap menawarkan kejutan jackpot bagi para pemainnya. Selain itu, nilai RTP Live Slot menunjukkan indeks tertinggi hari ini, yang berarti sangat layak dan sangat direkomendasikan. RTP Live Starlight Princess Slot Starlight Princess ini memiliki gaya dan fitur yang mirip dengan Gates of Olympus. Perbedaannya hanya pada desain dan karakter gamenya saja, karena memiliki fitur dan mekanik yang sama tentunya RTP slot teoritis pada game slot ini sama yaitu 96,50%. RTP Live Cash Elevator Mungkin sebagian dari Anda baru mengenal slot Cash Elevator. Namun dari data benchmark yang diungkap, ternyata banyak sekali yang menikmati permainan slot ini. Dengan fitur dan mekanisme unik seperti Lift up and down asli, slot ini juga memiliki slot RTP Live dasar 96,64% yang juga memiliki mekanisme yang sangat menguntungkan untuk memperlancar tingkat kemenangan besar. Bocoran Jam Main Slot Gacor Hari Ini Dalam bermain permainan slot online itu tidak bisa dilakukan dengan sembarangan yah. Jadi, Jika anda bermain pada waktu tertentu seperti yang akan kita bahas sesaat lagi, ada kemungkinan anda untuk mendapatkan kemenangan lebih tinggi. Jam RTP Slot Gacor merupakan bocoran jam main slot yang akan memberikan anda kapan waktu yang pas dalam bermain game slot. Tentu saja seluruh provider slot online memiliki jam tertentu dalam memberikan peluang kepada para pemainnya untuk mendapatkan kemenangan. Disini kami akan memberikan anda Bocoran Jam Slot Gacor yang Paling Akurat Hari ini: Jam Slot Gacor Pragmatic Play 02:30 WIB - Jam 05:25 WIB Jam Slot Gacor Habanero 14:26 WIB - Jam 17:38 WIB Jam Slot Gacor CQ9 00:45 WIB - Jam 05:53 WIB Jam Slot Gacor PG SOFT 14:25 WIB - Jam 17:35 WIB Jam Slot Gacor Joker123 17:41 WIB - Jam 20:42 WIB Jam Slot Gacor Microgaming 22:30 WIB - Jam 00:35 WIB MGS88: Situs Judi Slot Online Gacor Pay4D Resmi dan Terpercaya MGS88 adalah situs game slot online Gacor terbaru yang bermitra dengan Pay4D, Pay4D sendiri merupakan daftar situs game slot online terpercaya dengan berbagai macam permainan judi yang mudah dimenangkan seperti Game Bola, Casino Online, Slot Pay4D, Tembak Ikan dan Pay4D Online Permainan togel seperti Singapura, Hongkong, Sydney dan lain-lain. Tujuan utama kami adalah menjadi situs judi online Pay4D yang menyediakan layanan judi online terbaik di Indonesia. Kami juga salah satu situs resmi PAY4D di Indonesia yang pasti akan membayarkan semua kemenangan kepada semua member kami, karena kepercayaan dari semua member kami adalah prioritas utama kami sebagai mesin slot 4d Asia terbaik di Asia, khususnya di Indonesia. Dalam melakukan sistem transaksi sistem simpanan dapat dilakukan dengan mudah melalui mobile banking dan electronic banking berupa bank BCA, BSI, BRI, BNI, Cimb Niaga, Permata dan Mandiri. Selain itu, transaksi e-wallet juga tersedia melalui Dana, Gopay, LinkAja dan Ovo serta dapat digunakan untuk pulsa tanpa dipotong. Untuk mempermudah dan kenyamanan dalam melakukan registrasi atau melakukan setiap transaksi, MGS88 menyediakan layanan live chat dan Whatsapp terhubung langsung dengan customer service online 24 jam. Mengenal Istilah Dalam RTP SLOT Di slot RTP Live Anda akan melihat berbagai fitur yang mungkin tidak Anda pahami masing-masing. Namun jangan khawatir, disini sebagai situs slot gacor MGS88 kami akan memberikan penjelasan lengkap mengenai tentang istilah yang ada di RTP SLOT dibawah ini. MAZAR-E SHARIF, Afghanistan -- For years, most of Afghanistans security problems were concentrated around its southern and eastern border with Pakistan. But cross-border raids by Uzbekistans security forces highlight the concerns of Afghanistans northern neighbors as they scramble to deal with rising threats from insecurity in Afghanistan spilling over into their country. Residents of Shortepa and Kaldar, two districts of Afghanistans northern Balkh Province, which is separated by the Amu Darya River from Uzbekistan, have accused Uzbek border forces of detaining scores of young men during recent frequent raids. Some of them have been missing for more than a year now, and we dont know whether they are dead or alive, said Mullah Abdul Rahim, a resident of Kaldar. He said that because of the increasing insecurity across northern Afghanistan amid more frequent Taliban attacks, Uzbek border patrols have multiplied and border guards often enter Afghanistan across the Amu Darya River and detain Afghan fishermen and shepherds. We are seeing more economic losses and perceive these incursions as a threat to our security and well-being, he added. Haji Abdul Rashid, a resident of the neighboring Shortepa district, said at least 20 residents of six villages from the region are currently languishing in Uzbek prisons. One of them, Habibullah, is my nephew. We dont know whether he is alive or has been killed by the Uzbek forces, he said. [Afghan] fishermen, shepherds, laborers -- nobody is immune from the Uzbek forces. The Afghan authorities have not officially raised the issue with Uzbekistan. But Balkh provincial spokesman Munir Farhad acknowledged some Afghans have been detained by Uzbek border guards. Poverty forces many Afghans to eek a living out of fishing or collecting plants in the Amu Darya River. Some of them are detained by the Uzbek forces, he said. Farhad, however, said most of the Afghans detained are released after they are questioned and interrogated by Uzbek authorities. Uzbekistans 137-kilometer southern border with Afghanistan is well protected with landmines, barbed wire, and an electrified fence. Tashkent sees robust border control as a primary means of preventing potential militant infiltration from Afghanistan since the brief Taliban capture of Kunduz, a major Afghan city on Central Asias border, in September. Militants from Uzbekistan and neighboring countries played a major role in overrunning Kunduz and the overall Taliban violence in nine northern provinces bordering Uzbekistan, Tajikistan, and Turkmenistan. Abubakar Siddique wrote this story based on Mujib Habibzais reporting from Mazar-e Sharif, Afghanistan. Chinese tourist slashed by engine propeller off Phuket dies of injuries PHUKET: The 18-year-old Chinese tourist who was wounded by a speedboat engine propeller when swimming in the sea last Thursday (July 8) died from his injuries later the same day The Phuket News has learned. accidentsdeathChinesetransportpolicemarine By Tanyaluk Sakoot Monday 11 July 2016, 11:51AM Li Yuheng, 18, died from injuries he sustained when hit the the propeller of a speedboat engine last Thursday. Photo: Harsha K R/Flickr Meanwhile, one of the speedboats crew has since been charged with recklessness causing the death of another person. Lt Col Cheatpan Vichaidit, Chief Investigator at Phi Phi Police Station told The Phuket News today (July 11), I can confirm that despite all efforts to save him, Mr Li died at 11.23 pm on July 8 at Krabi Hospital due to the injuries he sustained after being hit by the propeller. The tour companys insurance has paid about B2.3 million in compensation to Mr Lis family, the tour company also covered the costs of the familys visit to Thailand following the incident, he said. One of the speedboats crew, Naradon Tongdee, 20, who was the one who started the engine causing Mr Lis injuries, has since been charged with recklessness causing the death of another person, He added. Sub Lt Suwan Tuamsri from Krabi Tourist Police was called to investigate an incident at around midday last Thursday where it had been reported that Mr Li had suffered injuries to his left leg an right ankle when hit by the propeller of a speedboat engine. (See story here.) Four arrested in Phuket anti-drug and -crime campaign PHUKET: Phuket police arrested four people in Phuket City on Friday (July 8) for drug and gun possession in part of their ongoing anti-drug and -crime campaign being carried out across the island. crimedrugspolice By Eakkapop Thongtub Monday 11 July 2016, 04:18PM From left: Wasanu Non Jindawong, 19; Wuttikhun Beer Aoumaw, 25 and Kittima Mam Sounnongkung, 19. The arrests were announced by Commander of Phuket Provincial Police Maj Gen Teerapon Thipjarean at a press conference held on Saturday (July 9) at Phuket City Police Station. Those arrested were as follows: Wasanu Non Jindawong, 19, found in possession of five homemade guns, ammunition and 0.5 litres of kratom juice. Wuttikhun Beer Aoumaw, 25, found in possession of 10 grams of crystal meth (ya ice) and drug taking paraphernalia. Police also seized from him digital scales and small plastic bags used for packing the drugs. Kittima Mam Sounnongkung, 19, 250g of marijuana. Police also seized from her a Yamaha M Slaz motorbike and a mobile phone. Jea, 17, 250g of marijuana and a homemade gun and ammunition. Non was charged with possession of a category 5 drug and illegal possession of a firearm and ammunition. Beer and Mam were charged with possession of a Category 1 drug with intent to sell. Jea was charged with possession of a Category 5 drug with intent to sell and illegal possession of a firearm and ammunition. Phuket Town, Patong gambling raids net 29 suspects, slot machine PHUKET: Gambling raids in Phuket Town and Patong over the weekend netted 29 suspects as well as gambling paraphernalia, including a slot machine and B70,000 cash. policecrimepatongmilitary By Eakkapop Thongtub Monday 11 July 2016, 02:59PM In total, 29 suspects were arrested in the raids. Photo: Eakkapop Thongtub In total, 29 suspects were arrested in the raids. Photo: Eakkapop Thongtub At the back of the restaurant in Patong was a serving window for taking bets and receiving payouts. Photo: Eakkapop Thongtub Cash totalling B70,000 was seized in the restaurant raided in Patong. Photo: Eakkapop Thongtub Among the items seized in the gambling raids was a slot machine in a minimart in Patong. Photo: Eakkapop Thongtub Among the items seized in the gambling raids was a slot machine in a minimart in Patong. Photo: Eakkapop Thongtub Officers, accompanied by police and Royal Thai Navy personnel, raided a restaurant in the Soi Saensuk area of Phuket Town yesterday (July 10), where 11 suspects were arrested for gambling on Muay Thai fights. When the raid party arrived, the suspects were watching a fight on television. The officers then raided a minimart on Thep Pratan Rd, on the east side of Phuket Town, where 12 suspects were arrestedfor gambling on Muay Thai. Some suspects attempted to flee, but were quickly taken into custody. Also arrested was shop owner Vithee Jareukan. Just after midnight on Saturday night, more than 20 officers, including police and military personnel, raided another minimart and another restaurant, this time on Phung Muang Sai Kor Rd. At the back of the restaurant raided was a serving window allegedly used for taking bets and making payouts. Among the items seized in the raid was B70,000 cash. Shop staff Surasak Kamonchaiphisit and Thongchai Inprung were taken into custody for allegedly running the gambling operation. Later at the minimart that was raided, officers seized a slot machine that was just inside the front door. Kritsada Boonchuay, 38, was arrested as the owner of the slot machine, while the shop owner, Ratree Wongkot, 58, was also arrested for at least providing the venue for gambling to take place. Another person, not named by police, was arrested at the minimart, police confirmed. All 29 suspects were taken to Phuket City Police Station and charged for gambling offenses. Ying Kai obtained psychiatric patient card BANGKOK: Monta Ying Kai Yokrattanakan, accused by former employees of lodging false theft complaints against them, was found to have requested a psychiatric patient card from a hospital in Nonthaburi. Monday 11 July 2016, 08:59AM Police say that among the phone calls Monta Ying Kai Yokrattanakan was making at the time of her arrest, one or more was to a Nonthaburi hospital in the hope doctors would certify that she is mentally incompetent. Photo: Pornprom Satrabhaya This was revealed by lawyer Songkan Atchariyasap, chairman of the Network Against Acts that Destroy the Kingdom, Religion and Monarchy, citing a police investigation. Mr Songkan said the probe, ordered by Central Investigation Bureau commissioner Thitirat Nongharnphithak, discovered Ms Monta had submitted the request for the document at a private hospital in the Khae Rai area. Lt Gen Thitirat said earlier Ms Monta may have a mental illness because she cannot control her behaviour at times and advised she seek treatment. Mr Songkan said although Ms Monta has obtained the document, the law clearly stipulates people cannot cite their illness to bolster their defence if they plan and commit wrongdoing with the understanding of the consequences. The lawyer yesterday (July 10) took Ms Montas former employees Praphawan Jaikla, her parents Chukiat Jaikla and Prapaporn Thongfuang, and Sukanya Sirimuang to petition the Crime Suppression Division (CSD), asking them to investigate officials, including the police, who may have colluded with Ms Monta to commit crimes. Ms Monta is in jail awaiting the results of various probes. Mr Songkan said some high-ranking officers, including the police and military, may have been aware of Ms Montas wrongdoing or assisted her in the offences. The CSD has been asked to investigate the matter before forwarding its report to the Public Sector Anti-Corruption Commission (PACC) and the National Anti Corruption Commission (NACC), he said. Ms Monta is facing charges of making false complaints to police, attempted human trafficking and insulting the royal institution. Ms Monta came under new scrutiny after Mr Songkan said on Friday (July 8) that her trusted driver mysteriously disappeared four years ago, as did a millionaire from whom she asked to buy an eight-rai land plot in Udon Thani in 2003. Based on the millionaires case, Mr Songkan said Ms Monta, escorted by tourist police cars, picked up the wealthy woman at her house in Udon Thanis Muang district in December, 2003, citing claims by her relatives and nearby villagers. The millionaire went missing afterwards. The land ownership was later transferred to Ms Monta and it was resold a month later. When Ms Monta applied for passports and travelled to Mae Hong Son, where she crossed into Myanmar, witnesses confirmed there were state officials in uniform assisting her, Mr Songkan added. Referring to the probe into Ms Montas assets, CSD deputy chief Chakrit Sawasdee said yesterday that the womans income only comes from her car wash service and she is not as rich as she claimed. More than B10 million worth of valuables, which Ms Monta claimed were stolen by her employee, were unlikely to exist. Lt Gen Sanit Mahathavorn, acting chief of the Metropolitan Police Bureau (MPB), said a panel had been formed to probe five Pracha Chuen police, who took up Ms Montas nine complaints against her employees on theft charges. Read original story here. Meet the four candidates vying for two District 1 House seats The four candidates running for District 1 House are all from Sisseton. They include Steven McCleerey, Tamara St. John, Kay Nikols and Joe Donnell. A surge of hot and humid air will move into southern Ontario and Quebec this week, with forecast high temperatures over 32C (90F) in Montreal, Ottawa and Toronto. 2016 First Prize winner at the Molson School Khashayar Toodehfallah. From left to right: Danielle Ker , Dobson Practicum Strategy & Marketing Director; Pierre Garceau, President of the Fondation quebecoise de la franchise; Khashayar Toodehfallah, Founder of Termelo; Ron Abraira , Dobson Practicum Coordinator. You have permission to edit this article. Edit Close Prime Minister Narendra Modi on Sunday asserted that he is aiming to take India's growth to beyond 8 per cent while commending the people of Indian origin in Kenya for maintaining their Indian culture and tradition. "At a time when the world is going through an economic downturn, India is the only bright spot, Modi said in his trademark diaspora rally at the Kasarani Stadium here soon after arriving from Tanzania on the fourth and final leg of his four-nation African tour. "My intention and desire is to take India's growth rate to 8 per cent and beyond, he said to rapturous cheers from a crowd of over 20,000 people. There are around 80,000 people of India origin in Kenya, most of whose ancestors were brought as labour for the railways the then British rulers were building or came as traders. The Prime Minister said the main driving force of India's steadfast march on the path of development was the resolve, desire and hard work of 1.25 billion Indians. Improving the quality of life for the ordinary Indian, that is the main task of my government, he said. Stating that the youth of India were intelligent, he said they have the strength to turn soil into gold in foreign lands as well. To tap into the energy of our 800 million youth, we have started a new campaign called Start Up India, Modi said. He said the world was facing two critical issuesglobal warming and terrorism. The sooner forces of humanity join hands, the sooner we can defeat terrorism, he stated. At the beginning of his speech, the Prime Minister commended the Indian community in Kenya for preserving their culture and tradition despite being away from their land of origin for generations. "There must be people of third generation or fourth generation here," he said. "They must have only heard about Ganga, Assam, Nagaland or Mizoram. Despite this, it is commendable that they have been able to preserve their culture. He said that in Kenya he has experienced the full diversity of India and all its fragrances. He also commended the Indian community for contributing to Kenya's development. Whatever be the reason and compulsions behind your ancestors coming to Kenya, you made it your own land and worked for Kenya's progress, he said. Modi got a pleasant surprise when Kenyan President Uhuru Kenyatta joined him at the venue unannounced. Welcoming Modi, Kenyatta said that he was glad and excited that Modi accepted his invitation to visit Kenya. Stating that Kenya was a multi-ethnic and multi-cultural society, he said: These people in front may be of Indian origin, but in heart, mind and soul they are truly Kenyan citizens. On his part, Modi said that it was a matter of great privilege and honour for all of us that President Kenyatta is also among us to grace the occasion. For me and for India, it is a great, great honour, he said. On Monday, Modi will hold bilateral talks with Kenyatta and also visit the University of Nairobi and interact with students there. After completing his engagements in Kenya, the Prime Minister will depart for India later in the day. This is the first prime ministerial visit from India to Kenya in 35 years after the visit of then Prime Minister Indira Gandhi in 1982. Apart from Kenya and Tanzania, Modi's five-day Africa trip also took him to Mozambique and South Africa. Israel's Attorney General Avichai Mandelblit announced on Sunday that he had ordered to open an initial probe amid criminal allegations against Israel's Prime Minister Benjamin Netanyahu. The attorney general did not specify the nature of the probe, and stressed the examination is an initial probe and not a criminal investigation, according to Xinhua. "I wish to inform you that in light of information received regarding affairs that pertain to the prime minister, among others...the attorney general has conducted a number of discussions... decided to instruct that an examination of the matter be opened," the Attorney General's office said in a statement. The statement also said that recent reports by Israeli media outlets on the matter were not accurate. On Friday, Channel 2 news reported that the new affair involved the transfer of large sums of money to Netanyahu's family member for non-political purposes. Channel 10 news reported that Netanyahu and a senior official in the judiciary establishment were involved in a corruption affair, possibly involving money laundering. "In recent days, many reports -- which are inaccurate, to say the least -- have been published in the media. Naturally, we will not be able to refer to these reports or to elaborate as to the process of the examination at this stage," the statement added. A spokesperson for the prime minister said in a statement to the press that allegations against the prime minister are unfounded. "As was the case in previous affairs, when things that turned out to be baseless were attributed to Netanyahu, there will be nothing here as well, because there is nothing," the statement said. This is not the first time Prime Minister Netanyahu and his wife have allegations surface against them. Israeli authorities have embarked last month on investigating Netanyahu's alleged connections with French businessman Arnaut Mimran, who was recently found guilty by a French court over a massive fraud case. The attorney general ordered to open a probe into the French businessman's claim, made during the trial, that he gave Prime Minister Netanyahu about one million euros ($1.1 million) in 2009 for campaigning. Such sums are considered as a violation of Israel's campaign finance law. In May, the Israeli police recommended to the Jerusalem prosecution to file an indictment against Sara Netanyahu, the prime minister's wife, for alleged improprieties at the family residence, including fraud charges. Shas MK Yitzchak Guetta told Kol Chai Radio host Mordechai Lavi that he is outraged over the response to an insulting Facebook post by MK (Bayit Yehudi) Betzalel Smotrich. Galei Tzahal (Army Radio) movie critic Gidi Ohr-Shar was attacked for what is interpreted as a derogatory social media post against Sephardim. He was suspended pending a probe into his post. Smotrich however, was not counted among the politicians that blasted Ohsher, but preferred to justify his statements, explaining, This is exactly what freedom of speech is about. Guetta explained that If a person would speak out against settlers, blame them for all that is wrong with the nation, Smotrich would have gone ballistic and in this case, Smotrichs response is worrisome and troublesome. There are three ministers in the party, none Sephardim and this points to the racism that exists in the party. Shar later spoke with Moti Lavi of Kol Chai Radio and apologized again, insisting he is far from having any disdain for Sephardim, stating he wrote on social media that he was attacked unfairly and his blood was spilt, and he apologizes again from the depth of his heart. Gidi was quick to add You will not make me a racist for I am not. However, there is a group, a small group, that acts the way it does and this is a fact, referring to MKs of the Shas party. I have nothing personal against anyone, those in or out of the party but my issue is with their actions, those who take advantage of the pain of a sector of society and live off of their pain. (YWN Israel Desk, Jerusalem) During his comments in the presence of the media at the start of the weekly cabinet meeting on Sunday, 4 Tammuz, Prime Minister Binyamin Netanyahu lauded the success of last weeks visit to a number of African nations. The Prime Minister stated, Last Friday I returned from an important visit to four African countries. The trip began with an historic summit, not just with the four leaders of these countries, but with another three leaders; all-in-all seven leaders from seven African countries, the populations of which number approximately 260 million people. The seven leaders agreed to act to strengthen our links with additional African countries. They declared that they would work to restore Israel as an observer to the African Union, which is composed of 54 countries. Even though this is a process that will take time, there is no doubt that this is the start of a long journey toward dealing with the automatic majority against Israel in international forums, an automatic majority that rests, first and foremost, on a bloc of African countries. This will not be achieved immediately. It will take a lot of time, but we have begun the process. During the visit we signed a series of agreements with Uganda, Kenya, Rwanda and Ethiopia. No less important, approximately 70 Israeli businesspeople came on the visit. They met with their African counterparts and government representatives. Many of them told me that the visit opened doors and advanced their economic interests, as well as those of the State of Israel, on the continent, in those countries. I add that this will contribute not only to economic relations, but also to diplomatic and security relations. Here I need to point out: All of these countries, without exception, and many others, are interested in enhancing relations with Israel because they see Israel and its technological capabilities as a major force, first of all, that could assist them in the war against radical Islam that is inundating all of Africa. They want to be close to us; they want our help. This is the first thing. The second thing is that they see Israels technological and entrepreneurial ability as a very major tool in developing their countries in all areas; we will discuss this later. A third point: Both during this visit and afterwards, we are receiving calls from other countries, some of which we do not have relations with, that they want to enhance relations. That is to say, there is a certain process here that is advancing toward the enhancement and normalization of our ties with African countries. Today, the Cabinet will discuss ways to advance these ties and these opportunities, which are very important to the State of Israel. I wish to thank to all those who took part in this great effort: To the staffs from the Ministry of Foreign Affairs, National Security Council, my office and those of other ministries, to the security and administrative personnel who worked hard to make possible a very complex four-day four-nation visit. I would like to especially thank Minister Miri Regev and the staff of the Information Center who labored very hard to prepare the emotional ceremony marking the 40 anniversary of the Entebbe rescue mission. (YWN Israel Desk, Jerusalem) Back in April 2015, the UNESCO Steering Committee Semi-Annual Conference voted 33 to 6 with 17 abstentions to deny any Jewish historical connection to Har Habayis. This was met with sharp Israeli condemnation and France backtracking on its vote about a month later. Israels Foreign Ministry and its representatives worldwide have been expending considerable efforts towards determining a favorable outcome to a vote on a UNESCO (United Nations Educational, Scientific and Cultural Organization) draft that once again accuses Israel for damaging Islamic holy sites on Har Habayis. The UNESCO World Heritage Committee meets once annually, made up of 21 representatives. Israel has been working to prevent another defamatory vote that speaks of Israeli aggression on Har Habayis, brazenly rejecting Jewish historical claims to the site. The draft is prepared by the PA (Palestinian Authority), Israels reported peace partner along with a peaceful neighboring nation, Jordan. While the latter is at peace with Israel and the border between the countries is not a hostile one, King Abdullah II does not miss an opportunity to point an accusing finger at Israel and to spread the Muslim propaganda of Israeli aggression against Muslim holy sites on Har Habayis. The joint PA/Jordanian draft speaks of the ongoing Israeli occupation on Har Habayis in violation of international law. This draft, if successful, would serve as the basis of a criminal case against Israel in The International Court of Justice in The Hague. (YWN Israel Desk, Jerusalem) WATERLOO -- Republican U.S. Rep. Rod Blum will skip next weeks Republican National Convention in Cleveland to spend time campaigning in the district. Given the competitive nature of the race and Blums commitment to reach most communities in the district, he decided campaigning in the First District would be a better use of his time, said Jeff Patch, communications director of Blum for Congress. The congressional newspaper Roll Call last week listed Blum, whos in his first term, as the most vulnerable incumbent House member in this years general election. He will face Democrat Monica Vernon in a district that leans Democratic. Patch said Blums decision was not due to the conventions focus on the Republican presidential nominee Donald Trump in particular but rather its focus on national politics. Congressman Blum decided he could best engage potential voters by campaigning in Iowa communities like Cedar Falls instead of Cleveland, Patch said. Iowans expect to meet and discuss issues with candidates and elected officials in their hometowns. There is an element in the Republican Party that is aiming to free the delegates, such is their strong opposition to Trump as the partys nominee. But Blum does not count himself among their numbers. Blum, a Dubuque businessman, has said he will support the Republican nominee for president, but that he does not agree with everything that the outspoken Trump has said. Iowa Republican U.S. Sens. Joni Ernst and Chuck Grassley will both attend the convention. Ernst will have a prime-time speaking slot at next weeks convention, and Grassley plans a brief appearance to meet with Iowans at the convention. Blum, however, will spend his time campaigning in the 20-county district, including stops in the Waterloo-Cedar Falls area. He will primarily focus on canvassing and making phone calls to voters in the district. Blum will also spend time during the week trying to push for 10 debates with Vernon across the district. He has previously called for those 10 debates, while Vernon has countered with two debates in keeping with the precedent set by the two previous general election cycles. Blum had not previously said whether he would be attending the convention, which will take place in Cleveland between July 18 and 21. Christinia Crippes is a reporter for the Waterloo-Cedar Falls Courier, another Lee Enterprises newspaper. Former Prime Minister Ehud Olmert will on Monday, 5 Tammuz, enjoy his first leave from Massiyahu Prison, accompanied by Shin Bet agents. Olmert was sentenced to 19-months imprisonment and will be enjoying a 48-hour leave with heavy security around him. As with other prisoners, while on leave the former PM is basically under house arrest. Former Jerusalem Deputy Mayor Eli Simchayof, also serving a sentence from the Holyland case, x enjoyed a 48-hour leave from Erev Shabbos to Sunday, spending the Shabbos with family. (YWN Israel Desk, Jerusalem) Hillary Clinton, in moves aimed at securing an endorsement from Bernie Sanders, on Saturday highlighted her support for a public option in health insurance and proposed additional funding for community-based centers championed by her rival for the Democratic nomination. Clinton, the presumptive Democratic nominee, said in a statement that she would affirm her support for allowing states to offer government-run health plans as part of the Affordable Care Act. And she said she would support allowing people 55 and older to buy into Medicare, which is available to people 65 and older. Those steps fall well short of the single-payer, Medicare for all program at the centerpiece of Sanderss presidential campaign. But on a call with reporters Saturday, Sanders praised Clinton for an important step forward toward universal health care. Clintons statement also included support for expanding funding by $40 billion over the next decade for primary-care services at community-based centers that serve largely rural areas, a long-standing priority for Sanders, a senator from Vermont. Together these steps will get us closer to the day when everyone in this country has access to quality, affordable health care, Sanders told reporters. He stopped short of confirming his widely reported plans to endorse Clinton at an event in New Hampshire on Tuesday, saying only that well have more to say in the very near future. Clintons updated health-care proposal was the second last week that incorporated elements of Sanderss agenda. On Wednesday, she announced her support for providing free tuition at public colleges and universities to families earning up to $125,000 a year a major nod to a free-tuition-for-all campaign proposal Sanders pushed that was wildly popular among younger voters. Sanders was also moving aggressively this weekend with mixed results to exert additional influence on the platform of the Democratic National Committee at a meeting in Orlando. His allies on the platform committee were seeking amendments to move the partys position leftward on issues including trade and fracking. On Friday night, the platform committee adopted language calling for a $15 minimum wage at the federal level, a Sanders priority on the campaign trail. The amendment strengthened a provision already in the partys draft document that endorsed efforts of states such as New York and California to adopt the higher threshold. But two Sanders-backed amendments on Social Security policy got speedy rejections. One would have eliminated the cap on Social Security taxes; another would have created a new cost-of-living index for Social Security benefits to replace the cost-of-living adjustment, or COLA. On Saturday morning, Sanders was dealt an even tougher blow when the platform committee rejected two amendments to put the party on record against the Trans-Pacific Partnership, a pending trade agreement among the United States and 11 Pacific Rim nations that is opposed by most Democrats and labor unions but supported by President Barack Obama. Labor leaders who supported Clinton had tried to mollify Sanders supporters with an amendment in opposition to trade agreements that do not support good American jobs, raise wages, and improve our national security. What they saw as language that subtly attacked the TPP, Sanderss allies saw as empty rhetoric that would allow presumptive Republican presidential nominee Donald Trump to seize the fair-trade mantle in November. The majority of Democrats, like the majority of Americans, are against the TPP, said Benjamin Jealous, the former president of the NAACP who introduced Sanderss amendment on the trade deal. Hillary is against the TPP. Bernie is against the TPP. Lets not be bureaucrats lets be leaders. Democrats opted against that. Just 74 members of the 187-member platform committee backed Jealouss amendment, and only 71 backed an amendment to oppose a vote on the trade deal this year, which sponsor Jim Hightower called political Viagra for Democrats. A simple majority was needed to pass the amendments. After the TPP votes, Warren Gunnels, Sanderss policy director, issued a statement: We will continue fighting to protect American jobs and to ensure Congress does not pass this disastrous trade agreement. The result stoked worry about Sanderss other priorities, including the Medicare-for-all health-care plank and a national ban on fracking, a controversial procedure for extracting natural gas. They refuse to take a stance on TPP because they wont oppose fracking, said Anthony Rogers-Wright, a Florida environmental activist. If we want to avert the worst cases of climate change, we have to have more localized economies. Free-trade agreements are anathema to localized economies. The Sanders and Clinton camps spent long portions of the day in secluded conversations, trying to avert a floor fight on climate. They succeeded, boiling down the demands of both camps into amendment arguing that carbon dioxide, methane and other greenhouse gases should be priced to reflect their negative externalities and calling for wind, solar and other renewable energy over the development of new natural gas power plants. Josh Fox, the director of the Gasland series of documentaries, took the floor shortly after 9 p.m. to declare victory. His favored change a call for an outright ban on fracking had not survived. But the party had codified criticism of the natural gas industry for the first time, and done so unanimously. In a tweet, he conceded defeat on the fracking ban but argued that we won something huge a victory for renewable energy over fracking power plants. Gunnels said in statement: As a result of this plan natural gas is no longer regarded as a bridge to the future. The future of Americas energy system now clearly belongs to sun and wind power. Though Clinton effectively clinched the Democratic nomination a month ago, Sanders has been slow to offer full-throated support of her general election bid against Trump. Instead, Sanders has sought to extract concessions on policy issues central to his campaign. In Clintons statement Saturday, her campaign went to some lengths to suggest that her positions on health care were not new. It noted, for example, that she supported a public option during her failed 2008 presidential campaign. During debate over the Affordable Care Act the following year, Congress considered including a government-run plan to compete with private insurers nationwide, but that was ultimately dropped. During the early stages of her 2016 campaign, Clinton emphasized numerous steps to build upon Obamas landmark health-care law. She later revived her support for a public option, adding a provision to the health-care proposal on her website, saying she would work with interested governors to offer their constituents an opportunity to buy into a government-run program under current flexibility provided by the Affordable Care Act. On Saturday, Clintons campaign also noted she had supported allowing people younger than 65 to buy into Medicare in 2001, when she was a senator from New York. In her statement, Clinton recounted other initiatives she has put forward during her current campaign, adding: We have more work to do to finish our long fight to provide universal, quality, affordable health care to everyone in America. The health-care announcement came as Sanderss allies in Orlando, Florida, faced growing pessimism about their odds of making further platform changes. Many gave DNC Chair Debbie Wasserman Schultz a thumbs-down when she took the stage for a short speech. I could not be more proud of the fact that this platform is poised to be the most progressive, ambitious and inclusive platform in the Democratic Partys history, Wasserman Schultz said. Some Sanders supporters scoffed at that. Others worried, however, that Clinton would simply ignore the left after winning the election, no matter what she was forced to agree to in Orlando or Philadelphia, where the partys convention will take place. Its hard to trust her words, said Cornel West, the academic and Sanders supporter who had opposed the original draft platform but lobbied for the TPP amendments. Will she really follow through? Shes against it, shes for it, shes for it, shes against it. I think theres been an openness on her side to this, but integrity has to do with execution. You can have the most beautiful words on paper, but its just sounding brass and tinkling cymbals if you dont execute it on the ground. (c) 2016, The Washington Post John Wagner, David Weigel President Barack Obama on Sunday urged respect and restraint from Americans angered by the killing of black men by police, saying anything less does a disservice to the cause of ridding the criminal justice system of racial bias. He also urged law enforcement to treat seriously complaints that they are heavy-handed and intolerant, particularly toward minorities. Id like all sides to listen to each other, Obama said in response to a reporters question after he met with Spains acting prime minister, Mariano Rajoy, during an abbreviated first visit to Spain as president. Obamas appeal for greater understanding from opposing sides of the emotionally charged debate over police practices followed the weekend arrests of scores of people in Louisiana and Minnesota who protested the shooting deaths by police of black men in both states last week. Those deaths were followed by a stunning sniper attack last Thursday in Dallas that killed five police officers and wounded seven others as they watched over a peaceful protest of the weeks earlier shootings. Among those arrested in Louisiana was an activist prominent in the Black Lives Matter movement, which gained national prominence following earlier deaths of mostly unarmed black men at the hands of police across the U.S. Obama, in his remarks, urged protesters to recognize that police officers have a difficult job. Whenever those of us who are concerned about failures of the criminal justice system attack police, you are doing a disservice to the cause, Obama said, the fourth straight day that he has commented on the distressing chain of events at home. The presidents remarks on the issue likely foreshadowed the message he will deliver Tuesday in Dallas, when he addresses an interfaith memorial service for the slain officers. He was invited to speak by Mayor Mike Rawlings, the White House said. Obama repeated Sunday that most U.S. police officers do a good job, and said rhetoric portraying them as doing otherwise does little to build support for eliminating racial bias from the criminal justice system. Maintaining a truthful and serious and respectful tone is going to help mobilize American society to bring about real change, Obama said. The president also called for balance from law enforcement. I would hope that police organizations are also respectful of the frustrations that people in these communities feel and not just dismiss these protests and these complaints as political correctness, he said. It is in the interest of police officers that their communities trust them, Obama said. Some of Obamas critics have accused him of helping create an environment for attacks on law enforcement by blaming their conduct in previous incidents and sympathizing with Black Lives Matter and other groups that complain about racial unfairness in the justice system. The president traveled to Spain after attending a NATO summit in Poland, but the sheer horror over the killings overshadowed most of his public appearances in both countries. Spain, nevertheless, was thrilled to welcome the first U.S. president to visit in more than a decade. Tourists and curiosity seekers lined some streets in hopes of catching a glimpse of Obama, and local TV aired wall-to-wall coverage of his movements. Obama was supposed to spend two days in Spain but cut the visit to about a day because of the shootings. Weve had a difficult week in the United States, he told King Felipe VI before they met privately at the Royal Palace. Obama also expressed gratitude for Spains military contributions as a fellow NATO ally, and for hosting U.S. sailors and guided missile destroyers at a naval base on the southern coast. Obama visited the base and met with troops stationed there before the flight back to Washington. It took the White House more than seven years to lock in Spain on Obamas foreign travel schedule. But the shootings and the depth of the response to them forced Obama into a more hurried visit instead. I was hoping for a longer stay, Obama told Rajoy. He pledged to return as an ex-president some day because Spains food, culture and climate are hard to resist. (AP) Former Prime Minister Ehud Olmert on Monday, 5 Tammuz, left Massiyahu Prison for his first 48-hour leave since beginning to serve his sentence. He will have the same Shin Bet protection given to all former PMs until his return to prison on Wednesday. (YWN Israel Desk, Jerusalem/Photo: Media Resource Group) On the morning of Sunday 4 Tammuz, two Jewish minors were detained in Migdal HaEmek on suspicion of involvement with a nationalistically motivated incident, taken to a Shin Bet facility, and forbidden to meet with an attorney. Honenu is representing the minors and intends to file an urgent appeal on the decision to forbid meeting with an attorney. Not allowing consultation with an attorney is a step intended for terrorists considered ticking time bombs, however in recent years it has been frequently used against Jewish youths suspected of property violations involving Arab property. Honenu in a statement said: Once again minors have been taken to an ISA facility and forbidden to meet with an attorney, an extreme step which violates their basic right to legal representation. Democratic rights in Israel are being trampled and no-one is raising even the slightest protest. We have already seen how Kochav HaShachars youth coordinator, a recipient of the Presidents Award For Excellence in the IDF, was held in remand by the Shin bet for over 10 days under severe conditions as if he were the worst terrorist and then, six months later, the Attorney Generals office formally acknowledged what Honenu had asserted from the very first moment he was detained: the youth coordinator never had any connection to any violation of the law. This situation should make anyone who cares about citizens rights lose sleep. Unfortunately, it appears that the public has not yet internalized the severity of the matter. Details of the investigation and of the detainees are under a gag order. (YWN Israel Desk, Jerusalem) IDF Sgt. Elor Azariya is on trial in the Yafeh Military Court, facing charges of manslaughter for shooting and killing a wounded Arab terrorist in Hebron on Purim. His family has incurred significant legal expenses in providing adequate defense for their son, turning to the tzibur via an NGO committed to defending IDF soldiers, setting a goal of NIS 400,000. As of Monday morning 5 Tammuz, the goal was surpassed, showing 112% was raised, NIS 449,399 in twelve hours. In fact, the authorization for the establishment of the NGO came this week, and Elor was the first client so to speak. Organizers explain there is no mechanism in place to assist soldiers like Elor, who is now in the midst of a major legal battle without any financial assistance. The NGO hopes it will be able to cover legal expenses of all soldiers who may find themselves in a legal battle during their service. (YWN Israel Desk, Jerusalem) DES MOINES John Wayne Jeffery, 31, was shot to death late Tuesday night, May 29, 1990, while sitting inside his 1970 Chevy Chevelle outside Leos Lounge, an east-side tavern in Des Moines. Patrons of the lounge heard a gunshot that night, and although one person went out and spotted Jeffery inside his vehicle, that person didnt realize Jeffery had been shot and assumed he was sleeping. Police werent notified until the following morning when the bars owner stopped by the tavern with some supplies and found Jeffery dead in his car. Police found a sawed-off .410 shotgun in front of Jefferys car. Officials reported a shotgun shell fitting the weapon was found in Jefferys hand, and that other shells were found on the seat of the car. The cause of death was a small-caliber gunshot wound to the head. Jefferys wife, Ruth, said her husband had had problems with alcohol and drugs but had completed a drug rehabilitation program the previous year. She said hed been drinking Tuesday night for the first time since completing the program. Ruths recent hospitalization had left the family facing a financial crisis as medical bills continued to pile up. Ruth reported that her husband came home agitated after seeing two friends, Jeff McNeely and Tim McGreen, drinking at Leos. McNeely and McGreen had owed Jeffery money for over a year, and Ruth said her husband reasoned that if they had money to buy alcohol, they should be able to repay him. When he confronted the men, Ruth said theyd laughed at him. Jeffery came home and retrieved a shotgun, telling Ruth he only wanted to scare the two men a little. Des Moines police picked up a material witness the day following the homicide but were unable to link him to Jefferys murder through ballistic tests. Investigators interviewed numerous individuals, including the men who owed Jeffery money, but were unable to make an arrest in the case. They believe there were witnesses to Jefferys murder who feared coming forward then with what they knew, and continue to encourage the public to contact them with any known details. There is no statute of limitations on murder. A roundup of state government and Capitol news items of interest for Monday, July 11, 2016: GOVERNORS IN IOWA: Many of the nations governors will be in Des Moines later this week for the National Governors Association summer meeting. Among the 30 or so chief executives attending will be Indiana Gov. Mike Pence who also has been mentioned among the short list of Republicans the presumptive 2016 GOP presidential nominee Donald Trump is considering for his running mate. Branstad told Iowa reporters at his weekly news conference that Pence has been a great governor and he expects to host an Iowa fundraiser for him. Another possible Trump vice presidential pick is New Jersey Gov. Chris Christie, who also has tentatively made plans to attend the NGA event in Iowa. Asked which governor would be the better running-mate choice, Branstad sidestepped the question noting its like picking between your children, you know, which one is your favorite? RFS DEFENSE: Top state leaders sent a letter to the federal government imploring regulators to increase the required amount of corn-based ethanol in the nations fuel supply. Gov. Terry Branstad, Lt. Gov. Kim Reynolds, Ag Sec. Bill Northey, economic development director Debi Durham, transportation director Paul Trombino, and natural resources director Chuck Gipp signed the letter, which encourages federal officials to revise their proposed rule changes on the Renewable Fuels Standard. The federal government hosted a public comment period on the RFS rules that closed Monday evening. Iowa leaders have continued to actively engage on this issue, which is so important to a healthy economy in rural America. There remains a strong consensus in the Heartland that the EPA needs to reverse course on their once again flawed proposal and reject Big Oils counterproductive arguments, the letter reads in part. SEWER BLOCKAGE: Officials with the Iowa Department of Natural Resources say a blockage in a sewer main caused an estimated 4,000 gallons of wastewater to overflow into Big Spirit Lake late Sunday morning. The blockage caused wastewater to backup into at least one home and out of a manhole prior to the Iowa Great Lakes Sanitary District getting the overflow stopped by noon. DNR officials say the city of Spirit Lake Water Department was notified of the bypass. Strong south winds on Sunday helped direct the overflow away from the beach and water intake but, as a precaution, the Ainsworth-Orleans beach owned by the Dickinson County Conservation Board posted a swimming advisory, according to a DNR news release. Not only has Brexit cast a shadow over the UK economy and its stock market, it has considerably added to the woes of mainland Europe. The result wiped an estimated $2trillion (1.6trillion) off global markets within 24 hours - and European stocks were some of the worst casualties. While the FTSE 100 closed 3.2 per cent down after the result, the European Stoxx 600 index fell by 7 per cent. One of the reasons for this is mainland Europe's close economic ties with the UK, which is its biggest single export market. By contrast, US companies generate about two-thirds of their revenue domestically, and have only 3 per cent exposure to the UK. Dividing opinion: Will a Donald Trump presidency be good for financial markets? The FTSE 100 with its big overseas earning firms has risen strongly since the Brexit vote, but the more domestically-focussed FTSE 250 is still down 4 per cent, while Germany's DAX is down 4.5 per cent and France's CAC 40 is down 4.7 per cent. WHY DO PEOPLE SAY THE US MARKET IS EXPENSIVE? The Shiller PE or CAPE ratio is a measure for comparing long-term stock market valuations across the economic cycle. It was created by US professor Robert Shiller and studies on past performance have shown that when markets are expensive on this measure they tend to perform worse over coming years. The US market currently has a CAPE figure of 26.2, according to Professor Shiller's data. The only time it has been higher than this was during the 1920s and dot com booms. In this environment, investing in the US starts to looks like an attractive diversifier, away from the problems currently blighting other global markets. But investing in the region is not all plain sailing at the moment. Firstly, most analysts agree that stocks trading on the benchmark S&P 500 index look expensive relative to their history. Secondly, the economic picture in the US is decidedly mixed. In June the US added an impressive 287,000 jobs to the economy, according to US non-farm payroll figures - but a month earlier that figure was just 38,000 jobs, a six year low. Meanwhile, wage growth increased by 2.6 per cent on a year earlier - putting money in consumers' pockets but pressure on company margins. And America also has its own major political event looming. In the background, the uncertainty created by the upcoming US general election has added to the volatility caused by Britain's EU referendum. Ryan Patterson is a research analyst at Thesis Asset Management. He says the fund house is neutral on the US due to the unresolved political uncertainty and mixed economic data. 'The US looks pretty expensive but we think it's likely to hold on to its safe-haven premium relative to the turmoil we are seeing in the other areas of the market. From an asset allocation perspective, it's a very difficult market and it's very muddied given this uncertainty we are facing. 'Obviously, we have elections coming up in the US in November and the race is hotting up between [Hillary] Clinton and [Donald] Trump. Trump is likely to be positive for the market and will provide a short-term sentiment boost - he has set out some fiscal packages that will benefit the economy and is very keen on protecting US businesses, which they will view as a positive, so we could get a rally off the back of that. 'Clinton has come out with some policies on pharmaceutical companies that may not be perceived as pro-business and we saw a sell off in March last year [off the back of that] so a Trump victory may provide a better upside to markets.' Bad for business: The UK is Europe's biggest export partner, a relationship that now has to be renegotiated On a corporate level, he sees companies struggling to cope with paying staff more, with many forced to take part in share buybacks to satisfy shareholders. 'Company margins are also starting to come under pressure. This strong wage growth is a headwind for these companies' earnings, especially while there is a strong dollar. 'However, the market seems quite isolated at the moment and we have seen some of it rally to the top end of its ranges after Brexit. We think it will provide support for these increased payout ratios in the economy.' David Coombs, head of multi-asset at Rathbones, is more positive on the US and has allocated a significant overweight to it for the past three years. His medium-risk portfolio is 18 per cent exposed to the country, which he said had helped shelter clients during the referendum. We think Europe is a value trap. Yes the European banks are cheap, but they are cheap for a reason. 'We haven't reduced our US exposure, which has been very helpful in Brexit. Everyone says to me that Europe is cheap but I have not changed my US position and I do not see a reason to change it - it has helped me out hugely this year. 'We think Europe is a value trap. Yes the European banks are cheap, but they are cheap for a reason - they are not in as strong a position as US banks.' Instead, Coombs says now is the time to pay up for quality companies. 'You pay for quality in a world where growth is scarce. I want to be able to find companies that can grow irrespective to the global cycle and I can find that in American companies. 'And the consumer in the US is much stronger, where unemployment is less than 5 per cent. The US is the leader of technological innovation and a lot of market-leading companies are based there. There is no European Visa, Amazon, Google - you're not comparing apples with apples.' Innovative: The US is home to many world-leading technology companies, including Apple He is not as confident as Patterson that a Trump victory would provide a market boost - but doesn't think it would spell economic disaster, either. 'One expects Clinton to win but at the moment but there is every chance Trump could win - people said Remain couldn't lose. At the moment, we are relatively sanguine about a Trump presidency - do not underestimate the power of corporate America. It has not led us to reduce our US position which is very overweight.' Adrian Lowcock, head of investing at AXA Wealth, is also turning to the US for growth. 'With valuations high and earnings outlook pretty mixed it is difficult to get excited about the US as the price you pay for something has the biggest impact on returns over the long term. 'Yet the US economy continues to look relatively healthy and there are plenty of companies able to grow.' Lowcock says his outlook on the US is 'cautious' given the current chequered economic environment, so he is looking for active managers who can stock-pick with skill. One fund he is tipping is the 322million JPMorgan US Select, which is invested in pharmaceuticals, technology and software and has Microsoft and Apple as its largest two holdings. He notes the fund follows a 'growth at a reasonable price approach', which has suffered recently as 'the focus has been on high beta stocks and the rebound in commodities' but that the managers are supported by 'a good solid research team'. The other is the 348.5million Artemis US Extended Alpha, which has the capacity to 'short' stocks by as much as 50 per cent - meaning it can take bets on which stocks it thinks will fall in price to make money for clients. It can also take up to 150 per cent exposure to the US market, meaning it is more heavily geared to the domestic economy. Recruitment firm SThree has seen its profits hit by Brexit uncertainties after firms - in particular banks - put hiring decisions on hold in the run-up to Britain's EU membership referendum. SThree saw its first half profits fall 7 per cent to 12.8million, although revenues increased by 10 per cent to 443.5million, with the firm flagging 'uncertainty in the lead-up to the EU referendum'. The group reported a 5 per cent fall in gross profit at its UK business, with a slowdown in hiring across the banking and financial sectors proving a major concern. In contrast there was rapid growth in continental Europe, where SThree's profits grew 18 per cent. Hiring freeze: Uncertainty in the run-up to last month's Brexit vote and a slowdown in the banking and finance sectors hit first half profits at small-cap staffing company SThree The small cap firm said: 'Uncertainty in the global banking markets adversely impacted our Banking & Finance business in the first half. 'Hiring freezes were put in place by a number of major banks and the industry is undergoing significant restructuring. 'The UK banking market was also adversely impacted by uncertainty in the run-up to the EU Referendum in late June which caused managers to put hiring decisions on hold. Our performance deteriorated in quarter two.' SThree, which places people with financial, energy, banking and pharmaceutical companies, said it had restructured its banking and finance and energy businesses in response to the challenging market conditions. Looking ahead, chief executive Gary Elden added: 'While it is too early to assess the impact of the EU referendum result, the effect on client and candidate confidence in our UK business will become clearer as we trade through our seasonally more important second half. 'Continental Europe was once again our fastest growing region. However, slowdowns in the global banking market and in the UK, and the ongoing weakness in energy, all impacted on our first-half growth rate.' Shares in SThree were down 5 per cent, or 10.9p, at 232.1p around lunchtime. The recruiter declared a flat interim dividend of 4.7p. Last week, a study by jobs site Adzuna showed vacancies in the financial services sector tumbled in June as fears increase that uncertainty sparked by the EU referendum outcome has resulted in a hiring slowdown. A post-vote study found that finance vacancies across the UK dropped 14 per cent in June compared with the same month last year. The number of advertised jobs in the sector fell from 92,186 to 79,693 year-on-year. Testing times: Banks and financial institutions have threatened to quit London if 'passporting' rights are lost There are also worries that the City of London could lose its status as the banking capital of Europe if the UK loses its so-called 'passporting' rights upon leaving the single market. Last week JPMorgan chief executive officer Jamie Dimon warned the US bank may relocate 'a few thousand' employees from the UK if the country's divorce settlement with the European Union hurts the sector. Speaking to Italian newspaper Il Sole-24 Ore, the New Yorker questioned whether the UK could win continued use of the 'passporting rule,' adding that a failure to do so would force him to consider shifting some of his 16,000 UK-based staff. Mr Dimon said: 'If we have that passport after Brexit, we likely would not have to make any change at all. But I think the European Union will not accept that. It will put more conditions on the UK and might force banks to become smaller in London.' Last Thursday, Chancellor George Osborne held talks with leaders of international banks including Bill Winters of Standard Chartered, Goldman's Michael Sherwood and Robert Rooney of Morgan Stanley in order to sound out their views on Brexit. Osborne has promised the leaders of the world's biggest investment banks that he would use 'every possible measure' to safeguard their industry in the aftermath of the UK's vote to leave the EU. This includes plans to cut the corporate tax rate from 20 per cent to 15 per cent. The warnings of job losses highlight the problems facing the UK's next Prime Minister in negotiating the terms of separation with the EU. With the ruling Conservative Party not set to name its new leader until September, a political vacuum in the meantime threatens to fuel more uncertainty among executives, limiting the likelihood they will invest or hire in the UK. Front runner Theresa May has declared that 'Brexit means Brexit' and said there will be 'no attempts to rejoin the EU by the backdoor'. Many other investment banks have also hinted that they could be ready to relocate staff. HSBC has said it would be prepared to 1,000 staff and Goldman Sachs has said it will shift jobs to Frankfurt. DES MOINES Two of the men reportedly on the short list of potential running mates for presumptive Republican presidential nominee Donald Trump will be in Des Moines this weekend. Republican governors Mike Pence of Indiana and Chris Christie of New Jersey tentatively plan to attend the National Governors Associations annual summer meeting, which this year is being held in Des Moines from Thursday to Sunday. Pence, Christie and former U.S. House Speaker Newt Gingrich are being considered by the Trump campaign as the GOP candidates running mate, according to the Washington Post and other national media outlets. Pence and Christie have registered for this weekends governors meeting, according to the events website. Pences office confirmed his plan to attend; Christies office did not return a message seeking confirmation. Iowa Gov. Terry Branstad on Monday praised both of his fellow Republican governors. When asked which he would recommend to Trump as a running mate, Branstad deferred, saying doing so would be like choosing which of his children he likes best. Branstad said the governors likely will discuss public safety issues in the wake of a tumultuous week that include high-profile police shootings in Louisiana and Minnesota and the killing of five police officers in Texas. Im sure that will be a topic, Branstad said. Governors take the responsibility to protect the safety of their citizens very seriously. Thats why weve had concerns about a number of issues involving public safety and Im sure there will be discussions about that. Branstad praised the work of Iowas law enforcement agencies. I just think we all as citizens need to try do to what we can to respect and appreciate the tough job (law enforcement officers) have, Branstad said. And I know that were always looking at ways that we can improve the training and prevent tragic incidents like what occurred (this past week). The governors meeting, which is for governors of all political parties, features events on the nations opioid crisis, Iowas first-in-the-nation caucus status, and food production, among others. MASON CITY | Area Education Agency 267s board of directors last week sold the Pinecrest Center school in Mason City at a bargain price. North Iowa Area Community College picked up the three small buildings that make up the school for $1. Until this spring, AEA 267 operated a behavioral disability school in the buildings, which are part of a Four Oaks residential treatment facility. The behavior disability school is now operated by Mason City Schools. AEA 267, which is based in Cedar Falls, purchased the buildings some time ago when its lease on the space was up. The land the buildings are on already belongs to NIACC. The college will work with Mason City Schools and Four Oaks on building usage for the program. AEA 267 provides special education and school improvement services for 53 districts across an 18-county area in northeast and north central Iowa. Andrew Wind is a reporter for the Waterloo-Cedar Falls Courier, another Lee Enterprises newspaper. MASON CITY Two busloads of hungry children on their way to camp took over the Mason City Fire Department on Sunday. The children were making a pit stop for lunch during their journey to Miracle Burn Camp in Spirit Lake. The camp is for children ages 8-18 who have a burn or traumatic injury requiring a skin graft. Campers said the stop in Mason City, an annual event, is a great chance to get a bite to eat and get off the bus. The food is my favorite, said Tayton Messler, 12, of Alpha. The camp is open to children from Iowa and several surrounding states. Camper Justin Mauk, 12, said the camps not only fun, but it gives kids a chance to be around other people who have gone through similar circumstances. Mauk was burned seven years ago while trying to help his mother in the kitchen. He was trying to grab a bowl of corn on the cob, but it slipped and hot water spilled onto his arm and face. Even though Mauk knows everyones story is different, the campers agreed they have a lot of shared experiences. Its like you still understand, he said. If I were to look at him (fellow camper Peyton Spreeman) about my burn, it would be different than talking to somebody that had no idea because hed know where Im coming from. Spreeman, 13, of Holland, felt the same way about others who have been through the same experience. Firefighters in Mason City began hosting the campers for lunch about five years ago. The event is put on by the Mason City Firefighters Local 41 Union and sponsored by Mason City Hy-Vee stores, Fareway and Martin Brothers. Its important to us just because we want to find ways to give back and these patients that have been involved in traumatic burn injuries, theyre similar to patients that weve had in the past, said Mason City Firefighters Union President Aaron Beemer. And, so this kind of gives us a nice symmetry to sort of get to see some of these traumatic burn injury patients getting on with their lives. First held in 1995, Miracle Burn camp was started by the St. Florian Fire and Burn Foundation. The foundation was created by the Sioux City firefighters union and nurses from the burn unit in the former St. Lukes Hospital in Sioux City. The children will spend a week at Camp Foster YMCA on the shores of East Lake Okoboji. SIOUX CITY Iowa Gov. Terry Branstad said Monday he doesnt agree with the decision by U.S. Rep. Steve King to display a Confederate flag on his congressional office desk. Sioux City television station KCAU ran a Thursday news report on a bill that King supports. The footage showed Kings desk with several flags, including a Confederate flag, which southern states used during the Civil War. The flag has been a source of pride for some Southerners and others, which King has said he understands. However, increasingly such flags have been pulled out of southern-state public displays as some contend the flags symbolize bigotry in the aftermath of the war that gave African-Americans freedom from slavery. King, a Republican from Kiron, is a 14-year officeholder. It is not known how long the flag has been on his desk, amid others that include a U.S. flag and one with the phrase Dont Tread On Me. I dont agree with that. I guess thats his decision, Branstad said about the desk flag during his Monday news conference. People have a right to display whatever they want to, but Im proud to say that (Iowa was) on the side of the Union and we won the war. Kings office put the KCAU story on the congressmans YouTube page. After several calls to Kings office Monday, his spokeswoman doubted a comment on the desk flag would be available, but provided a link to a C-SPAN video for Kings 2015 comments about the Confederate flag. In the aftermath of a mass shooting in a predominantly African-American church in Charleston, South Carolina, federal lawmakers debated whether to allow Confederate flags on some federal lands. King in a July 9, 2015, speech on the House floor cited having ancestors who were abolitionists seeking to end slavery. Nonetheless, he said he thinks the Confederate flag shouldnt be pulled down. I grew up in the North, Mr. Speaker, and the Confederate flag was a symbol of pride of the South, King said. He said the country had healed through the Civil War Reconstruction era and decades after and didnt like the division that occurred after nine people were killed. I regret deeply that we are watching this country be divided again over a symbol, King said on the House floor. South Carolina Gov. Nikki Haley, a Republican, in 2015 ordered the removal of the Confederate flag from the state capitol. King is running for re-election to the Iowa Fourth Congressional District seat and his 2016 Democratic opponent is Kim Weaver of Sheldon. Weaver said she is troubled by a congressman who proudly displays a symbol of racism and white supremacy on his desk. In a statement, Weaver said, Like a lot of Iowans, Im disgusted by his gross insensitivity to the millions of Americans for whom that flag is a symbol of racism and division, and I join them in calling on Mr. King to remove it immediately. MASON CITY | Hot and humid conditions are expected to give way to thunderstorms in North Iowa Monday night. National Weather Service forecasters say some storms may be capable of half-dollar-sized hail and wind gusts of more than 60 mph. The strongest storms are expected to hit North Iowa between 11 p.m. Monday and 4 p.m. Tuesday. The forecast calls for between a half and three-quarters of an inch of rain. More storms are possible in southern and central Iowa on Tuesday, and across the state on Wednesday, forecasters say. Check back at globegazette.com for updates on this developing story. Our previous story: MASON CITY | Increased energy use likely overloaded a power line and caused a pole to catch fire in Mason City on Monday, an official said. The fire was reported atop a pole at 15th Street Southeast and South Carolina Avenue about 11:40 a.m. Residents of North Iowa are in for a hot day on Monday. The forecast calls for a high temperature of 88 degrees, but high humidity creates a heat index even higher than that. Storms are likely Monday evening. Some could be severe. Temperatures were already getting warm when the fire broke out late Monday morning. Only the pole was affected. No one lost power. Alliant Spokeswoman Heather Hughes said residents can try to help reduce stress on the system by using power wisely. Some tips include closing the blinds to keep out the sun, setting fans to counter-clockwise so it feels cooler, turning ceiling fans off when you leave the room and raising the thermostat to 78 or 80 degrees when leaving the house. If possible, do laundry, cooking and baking in the morning or night. Check back at globegazette.com for updates on this developing story. Our earlier story: MASON CITY | A stretch of South Carolina Avenue was blocked Monday morning due to a smoking power pole. Police and firefighters were called to the scene at South Carolina Avenue and 15th Street Southeast about 11:40 a.m. Mason City firefighters also responded. Police officers blocked traffic in the 1400 and 1500 blocks of South Carolina Avenue, as well as the approach on 15th Street Southeast. Smoke came from the pole until about noon. It is unclear if anyone lost power. Residents north of the intersection who spoke with the Globe Gazette said they had not lost service. A spokeswoman from Alliant Energy said she would seek details about the cause of the fire. Police and firefighters left after an Alliant crew arrived. Check back at globegazette.com for updates on this developing story. Sign up for our amNY Sports email newsletter to get insights and game coverage for your favorite teams By Tom Allon The new buildings on campus are modern monstrosities. The path to the rocks in the gorge where we used to swim is roped off for safety reasons. Three decades after my four-year jaunt in a place called Ithaca, it is now time to tour the campus with my youngest child and I do so with bittersweet feelings. Cornell University in upstate New York is a curious mix of the American Dreama large university founded in the 18th century as both a private and land-grant collection of colleges. On its polyglot campus, with jaw-dropping views of steep gorges and serene waterfalls, you can find the son of an Ohio farmer, the scion of a Swiss hotel chain, an aspiring union activist or a preppie economics major all sitting side by side on the Arts Quad. With seven different colleges for varied academic or career interests, Cornell is truly a melting pot of cultures and a hothouse of intellectual challenges. But being situated in a quiet corner of central New York, it is subject to the whims of the climate gods. The old joke is that Ithaca has two seasons: winter and July Fourth. As I write this, on the day of our national independence, there is no more beautiful place in the Northeast than Ithaca in the summer. The winding hiking trails, the breathtaking views in the national parks, the vistas from the top of the rolling hills, these all make me yearn to dwell in a place like this. But today is not about me or my nostalgia for the past. It is about my intellectually curious and idealistic daughter, who is searching for the right fit for her upcoming four-year journey. An avid hiker and lover of nature, she was smitten by the beauty of Southern California and the laid back culture she recently witnessed at Berkeley. The schools of the South we touredUniversity of Virginia and William & Mary were probably a step too far into an unfamiliar culture for her after growing up in Manhattan (and Queens and now Brooklyn). Wesleyan and Yale in Connecticut were in the right range, so they will probably stay on the list, as will Brown in Providence, the site of a recent one-week writing program. Looking for the right college these days is a fraught adventure for both parent and child. In my day, Mom and Dad were mostly oblivious, allowing me to apply wherever I wanted. I didnt really tour schools until that few week period between acceptance and decision time. It was a mad dash then to figure out quickly where I might fit in best. I am not one of those parents who really want and need one of their children to attend their alma mater. So far, the two older ones have not and so this time around is the last chance. How to balance ones desire to present your school in a positive light without seeming pushy? This is the task at hand now and it will not be easy. Returning to Ithaca after more than three decades stirs up many emotions and memories. But its really my daughters new memory-making that lies ahead and I must allow that to play itself out with me as a mere spectator. Cant wait to see how this chapter begins. For her, the best is yet to come. Tom Allon, the president of City & State, first became interested in a career in journalism as an editor of The Cornell Daily Sun, which now appears in print only three days a week. By Coalition for Education Equality Open letter to Mayor de Blasio: We, the members of the Coalition for Education Equality, call on your administration to use the 150,000 open seats across New York City to support the expansion of more than 50 planned public charter schools that require access to quality public space over the next two school years. Collectively, the schools in the Coalition for Education Equality serve more than 40,000 children. In order to meet the overwhelming demand from our families and the 44,000 children stuck on waiting lists, we have identified the need for an additional 50-plus public facilities in the coming two years. According to your own Department of Education analysis, 150,000 seats in the citys district schools sit empty, including 185 school buildings with more than 300 unused seats. Collectively, these 185 buildings could serve an additional 95,000 students. Of these, 67 buildings are significantly underutilized with more than 500 open, available seats. Our students deserve equal access to this surplus of public space. This past year we worked together to find numerous spaces for the 2016-17 school year. However, the process was often marred by unnecessary hurdles, difficulties and delays. Sadly, in other cases, public charter schools were not provided with public facilities, leaving thousands of families stranded without a high-quality option or building. The status quo cannot continue. We want to work with your administration to find the public facilities needed for our schools, but more schools need to be sited in public facilities and in a timely manner that gives parents, students and educators the certainty they need and deserve. By failing to provide our students with timely and fair access to public space, you are denying opportunity to New York Citys highest-need children. Our schools serve a student body that is 92 percent black and Hispanic and 77 percent low-income. Public charter schools provide students with the opportunity to get an excellent education, regardless of their race, income, or zip code. These students are best served in public space, just like all other public school children. Whats more, the unprecedented demand from families on public charter school wait lists can only be accommodated by the city adhering to the intent of the 2014 facilities access law, instead of paying lip service to it. The law clearly states that the district must exhaust all available public facility options before siting our schools in private space. This has not happened and it needs to change. Charter school students are your students too, and a part of your public school system. They deserve to go to school in public space just as much as any other public school student. They should not be forced to go to school in a private space designed without children in mind and lacking basic features like lunchrooms or gymnasia. In fact, some of these spaces offered to us by the DOE are former warehouses and factories, and require massive and expensive renovations to be safe for children. Moreover, we know that providing existing underutilized space to charter schools saves taxpayer money by utilizing existing buildings to meet growing demand. Just this past week, you said that you have been working together with public charter schools to do whats best for students. We are asking that you ensure your actions live up to this by opening the doors of the citys myriad empty classrooms to public charter school students. We call on you and your administration to work with us to plan and problem solve and ensure that were making the best use of all of our public space. On behalf of the nearly 100,000 children currently enrolled in public charter schools and the more than 44,000 families waiting anxiously to attend them, we call on your administration to open up the nearly 150,000 empty seats across New York City to public charter school students. By doing the right thing and opening up the vast amounts of empty space in public school buildings to public charter school students, thousands more of New York Citys highest-need children and families will finally have access to the high-quality public schools and buildings they want, need, and deserve. Dave Levin CoFounder KIPP Jacob Mnookin Brett Peiser Ian Rowe Eva Moskowitz Dacia Toll Sign up for our amNY Sports email newsletter to get insights and game coverage for your favorite teams By Mark Hallum The Fourth of July weekend brought a number of summons for illegal fireworks violations in Bayside, according to the 111th Precinct. This account, however, was not consistent with claims from one resident who said local law enforcement was reluctant put a stop to displays in the neighborhood. Mandingo Tshaka, a longtime Bayside activist and former member of Community Board 11, voiced complaints about noise pollution and the possible fire hazard posed by the displays. He said this years 4th of July celebration found people shooting off fireworks in the streets and in playgrounds where he worries children could be hurt and houses could be set ablaze. It was not the first time he has spoken out against weak enforcement of fireworks laws. In July 2015, Tshaka wrote a letter to the TimesLedger about the same issue and criticizing the police response. On the night of July 4, I went out on my motorized wheelchair, wanting to see who was involved in setting off the explosive devices that can kill or maim, Tshaka wrote. To my surprise, I did come across a patrol car by the Community Baptist Church here in Bayside, while a block away it sounded like a war zone. Two officers were just sitting in the car claiming they were protecting the church. Who was protecting the citizens? Community Affairs at the 111th Precinct did not give specifics on the number of people who had been issued with summons notices for illicit fireworks displays over the July Fourth weekend this year, but an officer said the law was upheld in a several cases. State law signed into effect in 2015 allows for the private purchase of sparklers and other firecrackers which do not shoot upward. This law restricts fireworks from being purchased or ignited within New York City limits, while the sale to individuals under the age of 18 is not allowed. We need police reinstated in the area, said Tshaka , who wants officers walking the beat on the Fourth of July weekend instead of patrolling from squad cars. State Sen. Tony Avella (D-Bayside) said Whitestone is also a trouble area for illegal firecracker displays, and this year was the worst he had experienced. But he pointed out that the inability of the police to take action lies in the fact that precincts in the outer boroughs lose personnel to the major fireworks shows in Manhattan, and as a result lose the manpower to effectively remove widespread violations from their own neighborhoods, Avella said. Im sure Mandingo was right in terms of how bad it was in his neighborhood, Avella said. Its a hard thing for them. There isnt enough police to investigate these things. All they can do is move around and discourage it from happening. Avella compared the extent of illegal fireworks displays in his area to that of a war zone. Sign up for our amNY Sports email newsletter to get insights and game coverage for your favorite teams By Patrick Donachie Outgoing U.S. Rep. Steve Israel (D-Melville) endorsed former Nassau County Executive Tom Suozzi Monday in the general election campaign to succeed him in the 3rd Congressional District. Suozzi won the Democratic primary to become the partys nominee in the race, which includes parts of Nassau and Suffolk counties in addition to Bay Terrace, Whitestone, Glen Oaks and Floral Park. Im endorsing Tom Suozzi today because he has shown that he will be the best candidate to continue my relentless fight in Congress for New Yorks middle-class families, veterans and seniors, Israel said. Tom has also proven that he is the type of representative we need in Washington someone who will bring big and bold ideas to the table and have the courage and political skill to solve problems. In addition to Israel, Suozzi received endorsements from his challengers in the Democratic primary race, including North Hempstead Councilwoman Anna Kaplan, former North Hempstead Supervisor Jon Kaiman, Suffolk County Legislator Steve Stern and attorney Jonathan Clarke. Israel endorsed Stern during the Democratic primary race. Suozzi will face a Republican challenger in the fall. State Sen. Jack Martins claims he is the presumptive nominee, though he currently faces a legal challenge that could require a Republican primary to be held prior to the general election, which will be held Nov. 8. By Mark Hallum State Sen. James Sanders (D-South Ozone Park) held a community meeting at LIFE Camp to address the issue of police violence in response to the recent shootings in Minnesota and Louisiana as well as the attacks in Dallas, which left five officers dead. The Saturday meeting followed one at the office of Cambria Heights-based community advocate Clyde Vanel. These sessions gave residents in the predominantly black community the opportunity to talk about their fears in terms of police violence and how to prevent further killings. At LIFE Camp, located at 111-12 Sutphin Blvd., in Jamaica, the discussion turned heated as residents explored topics which struck an emotional and personal note. One mother whose son was shot by police officers spoke loudly about her experience and defied Sanders when he called for order in the meeting. Sanders called for police reform and listened intently to attendees as they offered solutions to racism within law enforcement. There was agreement toward further use of police body cams as well as an independent third-party monitoring the footage to prevent tampering. Other suggestions included educating community members on their legal rights and the proper way to file disputes as well as giving them a say as to what will be contained in the NYPD patrol guide. Some residents called for immediate action while the senator argued for organized and peaceful action. Police, you need to clean up your ranks. Police, you cant have it both ways, said Sanders. If you say many cops are good, then turn in the ones that are bad. You know better than anyone whos a racist in your midst. Dont let that blue wall of silence separate us from good policing. If you know that one of your fellows is racist or one of your fellows is breaking the law, and youre silent, then youre not a good cop either. Many children were present at the meeting and were given the microphone to take part in the discussion. Sanders said the community must assume a stronger role leading young men who may feel mistreated by law enforcement to a more peaceful solution to prevent a shooter situation such as occurred in Dallas. If you take a pot, fill it with water, put it on the stove, turn the heat on, put a lid on that pot and dont do anything about it, that pot will explode, Sanders said. Its science, its not craziness. That type of shooting does not aid our struggle. However, I dont understand why anyone would be surprised by it. We cannot ask these youth to be humiliated and expect them not to do anything. They may do the wrong thing, but they are going to do something. It falls upon us as leaders to show them a better way. Sanders also made an appearance at the community meeting held at Vanels office in Cambria Heights, where he delivered a similar message to those in attendance. Egypt\s foreign minister met Israeli Prime Minister Benjamin Netanyahu on Sunday on reviving peace efforts with the Palestinians, the first such visit in nearly a decade and the latest sign of warming ties. The trip came amid talk of renewing an Arab peace initiative and with Israel\s military having recently saluted "unprecedented" intelligence cooperation with Egypt to combat the Islamic State group. Speaking to journalists alongside Netanyahu before their meeting, Foreign Minister Sameh Shoukry said it was a "crucial and challenging juncture for the Middle East". Resolving the decades-old Israeli-Palestinian conflict would have a "far-reaching and dramatic and positive impact on the overall conditions of the Middle East region", he said. "Egypt remains ready to contribute toward achieving this goal." Shoukry also warned of the "constant deterioration" of the situation on the ground since the last round of Israeli-Palestinian peace talks collapsed in April 2014. He said the current lack of process towards peace was "neither stable nor sustainable", and stressed the need for "serious steps to build confidence" toward the two-state vision. Netanyahu welcomed Egyptian President Abdel Fattah al-Sisi\s "recent offer of Egyptian leadership and efforts to advance peace with the Palestinians and a broader peace in our region". He also called on Palestinians to engage in direct negotiations, as he has repeatedly done in the past. An Israeli official said that during the meeting, Netanyahu asked for Egypt\s help in returning the bodies of two Israeli soldiers held by Hamas in Gaza, as well as two Israeli civilians detained by the Islamist movement in the Palestinian enclave. Shoukry responded in the affirmative, the official said. Netanyahu and his wife Sara later hosted the Egyptian foreign minister for dinner at their Jerusalem residence. Palestinian leaders say years of talks with Israel have not ended the occupation, and have instead pursued international diplomacy to promote their cause. On June 29, Shoukry met Palestinian leaders during a visit to the West Bank city of Ramallah. Sisi said in May there was a "real opportunity" for an Israeli-Palestinian deal that could lead to warmer ties between his country and Israel. In 1979, Egypt was the first Arab state to sign a peace treaty with Israel after years of conflict, and it remains an influential player in the region. However, ties have been formally cold over Israel\s policies toward the Palestinians, and relations further soured after the June 2012 election of the Muslim Brotherhood\s Mohamed Morsi as Egyptian president. After president Hosni Mubarak\s ouster in the 2011 revolution, protesters stormed Israel\s embassy in September that year amid clashes with police. Morsi was ousted in July 2013 by then army chief Sisi, who was elected Egypt\s president in 2014. In June, representatives from 28 Arab and Western countries, the Arab League, European Union and the United Nations met in Paris to discuss ways to help advance peace efforts. Israel strongly opposes that initiative, which is being promoted by France. Netanyahu has at the same time spoken of reviving a long-dormant Arab peace initiative dating to 2002. That proposal essentially calls for Israel to withdraw from the occupied territories and resolve the issue of refugees with the Palestinians, leading to the creation of a Palestinian state, in exchange for normalised relations with Arab countries. But some analysts have questioned whether Netanyahu\s comments were an attempt to fend off international critics over his appointment of hardline Defence Minister Avigdor Lieberman and his opposition to the French plan. Netanyahu has said he would seek changes to the Arab proposal. The Arab League has said the terms cannot be changed. There have been repeated warnings that Israeli settlement building and Palestinian attacks are eroding the possibility of a two-state solution, especially with peace efforts at a standstill since April 2014. Violence since October has killed at least 214 Palestinians, 34 Israelis, two Americans, an Eritrean and a Sudanese. Most of the Palestinians killed were carrying out knife, gun or car-ramming attacks, according to Israeli authorities. Others were shot dead during protests and clashes, while some were killed by Israeli air strikes in the Gaza Strip. Egypt and Israel have cooperated in other areas, particularly after jihadists in Egypt\s Sinai Peninsula pledged allegiance in November 2014 to the Islamic State group. In April, Israel\s deputy chief of staff spoke of an "unprecedented level of cooperation" with Egypt, mainly regarding intelligence. Shoukry said the situation in the Middle East was "becoming ever more volatile and dangerous, particularly as the phenomenon of terrorism continues to grow and proliferate, representing an existential threat to the peoples of the region and the world at large". SOURCE: AFP Hopewell Community Park remains a 'labor of love' for local community The lush green park is a product of the combined efforts of the Hopewell Township community and a symbol of decades of conservation efforts in Beaver County. Claire Kowalick/Times Record News Joe Wargo, Alpha Omega CEO/President talks Monday to the Wichita County Commissioners about microwave technology for connectivity. On the table is an example of one of the point-to-point systems the county is considering. SHARE By Claire Kowalick of the Times Record News Wichita County and the city of Wichita Falls are teaming up to show appreciation for local first responders. Monday morning the commissioners began their meeting with a moment of silence for the Dallas police officers who died last week in a shooting. Commissioner Barry Mahler said county, city staff and many area groups will be serving a lunch and dinner Friday at the Elks Lodge in Wichita Falls. "We want to give thanks to those who serve in Wichita County. We want to say, 'We support you and we stand behind you," Mahler said Monday. Commissioner Lee Harvey said the Wichita Falls Police Department has been inundated with phone calls of support. "This is another avenue for the public to say 'thank you,'" he said. Organizers hope to get a $100 gift card for each first responder that comes to the event. "If we can give away 100 $100 gift cards, then that would be great," Harvey said. Anyone would you like to donate a gift card or funds toward purchase of gift cards or food for the event can drop it off at the WFPD station, 610 Holliday St., Wichita County Courthouse, 900 7th St., or the WFFD Central Station, 1005 Bluff. For more information contact the WFFD Central Station at (940) 761-7901 The event Friday will be split into two shifts to accommodate the schedules of as many first responders as possible. Lunch will 11-2 p.m. at the Elks Lodge and the dinner will comments from WFPD Chief Manuel Borrego will begin at 6 p.m. County and city staff are also hoping to plan a larger first responder appreciation event possibly at the Multi-Purpose Events Center in October or November. In other matters, the commissioners: - Approved regular and emergency bills. - Received a presentation by Joe Wargo, CEO and President of Alpha Omega, on wireless connectivity and the use of microwave technology. - Approved adding five part-time jail positions to the jail budget. The addition brings the number of PT positions to 10 who will work no more than 120 hours per week, keeping the item budget neutral. - Wichita County Treasurer Bob Hampton gave a report saying the county is one percent ahead of where they were in May 2015. - The court reviewed jail work orders saying there were 16 work orders complete eight jobs completed without an order for a total of 24 resolved issues. Harvey said crews are finally working on the vent-a-hood in the kitchen of the downtown jail annex. This item has been on the to-do list for nearly one year. Fixing the vent should allow better air flow into the area and decrease the humidity. Lauren Roberts/Times Record News Wichita Falls police block entrances to the River Road Wastewater Treatment Plant Saturday evening. Two city workers from the plant were transported to Parkland Memorial Hospital in Dallas in critical condition after being exposed to a gas while making routine repairs in the facility's basement. Officials said the gas was never a threat to the public. By Christopher Collins of the Times Record News The city of Wichita Falls has mounted a multi-faceted investigation into the death of one of its workers and the injury of another at a wastewater treatment plant, Deputy City Manager Jim Dockery said Monday. City employee Daniel Arredondo died Sunday from injuries he sustained July 2 at the River Road Wastewater Treatment Plant. It previously was reported that Arredondo was exposed to hydrogen sulfide gas while doing routine maintenance on a pump inside the plant. He was treated at Parkland Memorial Hospital in Dallas before he died. Another worker exposed to the gas has not been identified and apparently remains hospitalized. Dockery said a final report on the incident is expected to be finalized this week, but in the meantime a comprehensive investigation has been launched. The probe is led by the city's Risk Management Office, which falls under the purview of the Human Resources Department. "They're kind of the repository of information to make sure the proper procedures are taken," Dockery said. As part of the investigation, city employees at the scene such as police and fire personnel are interviewed. Employees in other city departments, such as Public Works, may also be contacted. Along with determining the cause of the incident, investigators may determine whether workers' compensation is applicable in the case. Hydrogen sulfide is a "colorless, flammable, extremely hazardous gas with a 'rotten egg' smell," according to the Occupational Safety and Health Administration. It occurs naturally in crude oil and natural gas but also can be produced by the bacterial breakdown of human waste, which likely explains its presence at the wastewater treatment plant. Though the primary method of exposure to the gas is inhalation, it is "highly flammable" if ignited and can travel back to the source of ignition. Contact with liquid hydrogen sulfide can cause frostbite. OSHA advises that air be tested before an employee works in an area where hydrogen sulfide gas may be present. If the gas is present, the area must be ventilated if ventilation is not possible, employees must wear "appropriate respiratory protection." Dockery said he and other city staff "hope and pray the families get through this." Patrick Johnston/Times Record News Pigeons gather along the Central Freeway overpass near the corner of Broad and 11th streets. SHARE Patrick Johnston/Times Record News Pigeons gather along the Central Freeway overpass near the corner of Broad and 12th streets. Patrick Johnston/Times Record News Pigeons gather along the Central Freeway overpass near the corner of Broad and 12th streets. By Claire Kowalick of the Times Record News City of Wichita Falls staff said there are no easy solutions when it comes to the pigeon infestation under the overpasses along Broad and Holliday streets. "It is an infestation, that's the only word for it. Just like rats or mice, they can be a problem when we see them in the volume that there are on Broad and Holliday," John Burrus, Director of Aviation and Transportation said Friday. Burrus said the large number of pigeons that gather under the overpasses has been an ongoing problem for more than a decade. First, the city asked the Texas Department of Transportation to address the issues. TxDOT told the city that they were not equipped to handle the problem, Burrus said. The next step was city council approval, several years ago, for "Slinky-like devices" that were supposed to keep the birds out, but Burrus said they did not work at all. Then, Burrus said he had his welding crew members attach metal barriers along the underside of the overpasses. "The barriers helped some, but it did not slow it (the gathering of birds) down at all," he said. After that attempt, Burrus said they backed off the issue for a while until there started to be an increase in bird strike reports at Wichita Falls Regional Airport. A bird weighing just a few ounces can destroy a jet engine, so the city needed to address the bird problem for the safety of pilots and passengers. The city and Sheppard Air Force Base regularly pair to divvy up areas of the city in an effort to control bird problems. The downtown Holliday/Broad area falls under the duties of the city, but there were still no departments equipped to handle the situation. The city has about 35-40 metal cage traps that are baited and placed near trouble areas including Broad/Holliday area, near the Jasper Water Treatment Plant, Kickapoo Airport, and near the Regional Airport. For a short time, the fleet maintenance department was setting traps, gathering the trapped birds and euthanizing them by hand. Soon it was obvious that the task was more than they could handle along with their other duties. The health department and animal control services were both also asked to assist, but again said they were not equipped to handle the situation. Because the pigeon problem affected air travel, the burden was placed on Burrus' department. He said they contracted with two different pest control companies, but had "bad experiences" with both companies not checking the traps regularly and several birds died of dehydration. "That was unacceptable. I think we (the city) do a much better job that the private companies. It is a fine balance between humanely handling the birds until they are euthanized," Burrus said. The city teamed with a new company, Pond Pest Control out of Amarillo, about a year ago for the pigeon situation and Burrus said they have been great to work with. The city fully cleans out the underpasses twice a year and Burrus said they have cleared out 18-inch thick layers of pigeon feces, dead birds and all manner of health hazards. Other nuisance birds like cattle egrets and grackles can be scared away or relocated, but Burrus said the pigeons do not scare easily and come right back to their roosting area when shooed away. "No one wants to do it (trap and euthanize the pigeons), but it's a necessary part of the job. If we do not take care of it, there are going to be much larger problems at the airport. A secondary issue, but still a huge, huge issues is the health problems where the birds drop feces," Burrus said. SHARE Black lives matter. Blue lives matter. Both statements must be made true if the heartbreaking loss of life in Dallas is to have any meaning. The killing spree that left five police officers dead and seven others wounded should be classified as an act of domestic terrorism. The shooter, identified as 25-year-old Micah Xavier Johnson, apparently believed he was committing an act of political violence. Our duty, to honor the fallen, is to ensure that Johnson's vile and cowardly act has the opposite impact from what he sought. Johnson, who was captured on video shooting one officer in the back, was killed when police, who had tried unsuccessfully to negotiate his surrender, sent a robot his way bearing an explosive device. Enough about him, except this one thing: He said he was motivated by hatred over the deaths of two more black men Alton Sterling in Baton Rouge, Louisiana, and Philando Castile in Falcon Heights, Minnesota at the hands of police. The slain police officers were protecting a lawful, peaceful demonstration to protest those same deaths. As the crowd, perhaps more than 800 strong, marched through downtown Dallas, there was anger but no real tension. Certainly there was no sense of danger; police were not wearing riot gear or riding in armored vehicles. Instead, officers chatted and took selfies with the demonstrators. They had no fear of encounter and dialogue. The great irony is that Dallas is something of a model. Mayor Mike Rawlings was right when he told reporters that Dallas is "one of the premier community policing cities in the country." Since Police Chief David Brown took over in 2010, complaints of excessive force by officers have dropped by nearly two-thirds. Police shootings have been halved, from 23 in 2012 to just 11 in 2015 and only one so far this year, according to Police Department data. Brown happens to be African-American, but that's not the most significant thing about him. What's important is that Brown was quick to understand that the chasm between police officers and young men of color was real. His officers undergo training in how to de-escalate conflicts rather than heat them up; they learn to speak calmly when approaching suspects instead of immediately barking orders. When there is a police shooting, uniformed presence around the scene is ramped down as soon as possible. The department, unlike many others, keeps track of police shootings and publishes the figures on the city's website. And Brown keeps looking for new ways to improve relations between police and the community, realizing that diversity is not a destination but a shared journey. The Dallas Police Department is not perfect, of course. But its efforts to improve the way officers interact with citizens stand in contrast to the appalling police work we saw in the cellphone videos recording the deaths that prompted protests around the country. Sterling was on the ground in front of a convenience store, restrained by officers and posing no apparent threat, when he was shot to death. Castile, pulled over in a traffic stop, was apparently reaching for his identification to hand it to the officer who shot him. The video of Castile's final moments was streamed on the internet by his girlfriend, Diamond Reynolds. In her narration, she says Castile informed the officer that he was licensed to carry a firearm. It is no stretch to imagine that to the officer, this meant Castile was an armed and dangerous black man. Which leads me to a question I shouldn't have to ask: Does the Second Amendment apply to African-Americans too? Where is the National Rifle Association statement decrying the fact that an American citizen might have been killed for exercising his right to keep and bear arms? But the solution is not more guns. Poor, troubled, crime-ridden communities are those that most want and need effective policing. But the paradigm cannot be us versus them. It has to be us with us. I hope police officers around the nation see how rapidly and completely the people of Dallas have rallied around their city's bereaved Police Department. I hope they understand that compassion for Sterling, Castile and others killed by police in no way mitigates the nation's profound sorrow for the brave officers killed in Dallas. Such tragedy is beyond color. Eugene Robinson's email address is eugenerobinson@washpost.com. Florida is a special place for Emmy- and Tony-winner Bryan Cranston, not only because his new movie "The Infiltrator" was largely filmed in Tampa Bay. There's also the fact that Cranston made his stage debut nearly 40 years ago at the Daytona Playhouse, and his cousins live in Fort Lauderdale. Then there's Uncle Bob. "Ah, yes, Uncle Bob," Cranston said backstage before Wednesday's U.S. premiere of "The Infiltrator" at Tampa Theatre. "Eighty-seven years old, and a nudist in Lutz." That's right, the Breaking Bad star's uncle resides in a nearby clothing optional community. "I can't remember the name of the place," said Cranston, 60. "But it's a nice area and he loves the lifestyle. It's just, you know, for those people who like clothing, it's a little different for us when we visit." Unfortunately, Cranston couldn't find time to drop by while filming "The Infiltrator" around Tampa Bay in spring 2015. He was too busy absorbing the traits and instincts of his character, Tampa resident Robert Mazur, a former federal undercover agent whose Operation C-Chase investigation of cocaine kingpin Pablo Escobar is the basis for the movie, which opens in theaters Wednesday. Mazur is an executive producer of the $46.5 million production, and its technical advisor, which mainly consisted of grooming Cranston in the art of undercover deception. They spent countless hours together, at work and socially, to make the portrayal as faithful as possible. "Whenever you're researching a role, you never know exactly what you're looking for," Cranston said. "But you know when you sense it." In the case of true stories like Mazur's, an actor's search can extend to locales. "To be able to come here and have him point out: 'This is where we did this, and this is where the C-Chase apartments were (where the investigation hatched), is enormously helpful," he said. The 2002 chemical release would haunt the tiny village near Rochester for years. The accidental discharge at the Diaz Chemical plant showered contaminants on the residential neighborhood surrounding the facility, blanketing homes and playgrounds with potentially toxic substances. A few months later, the U.S. Environmental Protection Agency, which would declare the plant a federal Superfund site, took over responsibility for relocating the occupants of eight homes who fled and refused to return to their residences. It took another nine years for the EPA to settle on a plan to fully clean up the site. Two weeks ago, workers finally began relocating a public water line that runs through the abandoned factory site in Orleans County. "Anytime you have a time lag like we experienced, it's always frustrating," said John W. Kenney Jr., who was mayor of the village of Holley for 10 years beginning in 2006, and a village trustee for three years before that. A 75-year-old who has lived in the village for more than 50 years, Kenney said it was frustrating that it took so long for the EPA to mobilize its cleanup plan and arrange for the eventual sale of the abandoned residences, which the EPA last week said is "being worked on in preparation to have the eight homes placed back on the real estate market." For the embattled EPA, the arguably slow response times to many environmental disasters some of which cost hundreds of millions of dollars to clean up may be tied to dwindling funding rather than a lack of urgency. A trust fund that was set up when President Jimmy Carter signed the 1980 law establishing the federal Superfund program began to run short of cash in the 1990s. The decline came after Congress and also President George W. Bush during his two terms repeatedly declined to support renewing a federal tax previously imposed on petroleum and chemical companies, which are often blamed for the nation's worst environmental disasters. About the series A Times Union investigation of polluted Superfund sites across the region focused on locations where cleanups are unfinished or people are concerned about exposure to hazardous chemicals from manufacturing or dumping. Coming Monday: Al Tech, riddled with PCBs and heavy-metal contamination in Colonie, is about a mile from million-dollar homes. See More Collapse The "polluter pays" tax, as it's sometimes called, expired in 1995 and was never restored despite urgings to Congress from every U.S. president since Carter except the most recent Bush. Without the money, many Democratic lawmakers say the EPA has been hobbled and fallen behind in its mission to clean up the nation's most severely polluted sites. In a report to Congress last year, the U.S. Government Accountability Office said that in 2013 roughly 39 million people 13 percent of the U.S. population lived within three miles of a federal Superfund site. The report said more than a third of those living near the sites were either under the age of 18 or were 65 years or older. The EPA's Region 2, which includes New York, had the largest number of people 10 million, or about one-third of the region's population living within a three-mile radius of a federal Superfund site. "We have a serious problem in our country with these Superfund sites, and nobody is doing enough to address it," said U.S. Sen. Cory Booker, D-New Jersey, who is the driving force behind a stalled congressional bill that would restore the excise tax on petroleum and chemical companies. The measure, which Booker has introduced repeatedly in the Senate, has not gained traction with the Republican majority, which opposes the measure and has been highly critical of the EPA and its administrator, Gina McCarthy. Booker, whose home state has more federal Superfund sites (114) than any other state, said the GAO report revealed that funding of the EPA has declined from $2 billion in 1999 to about $1.1 billion in 2013 while the number of Superfund sites has increased. There are more than 1,300 federal Superfund sites. "This is a problem growing worse," Booker said. "We now know the health perils for families living within three miles of these sites is extremely dangerous," he added, saying increased cases of autism and birth defects have been documented in populations living near Superfund sites. The GAO report noted that in the 15-year period it examined, the EPA saw a steady decline in cleanups and completions of remedial action plans, while experiencing an increase in the number of unfunded projects, which at one point included the abandoned Diaz Chemical company site in Orleans County. New York's U.S. senators, Kirsten Gillibrand and Charles E. Schumer, both Democrats, declined to be interviewed for this story. Still, Schumer has publicly supported Booker's bill, and in August 2014 he visited the village of Holley to boost it. "I will do everything in my power to get the funding the program needs so cleanup projects like the one at Diaz Chemical can get under way," Schumer told the Democrat & Chronicle newspaper at the time. In an analysis of the EPA on its 35th anniversary last year, the Center for Health Environment and Justice, a Washington D.C.-based environmental advocacy group, said the "decreased funding and the slowdown of the cleanup of Superfund sites have resulted in increased toxic exposures and health threats to communities across America. ... Without industry fees to replenish Superfund, there is simply not enough money to do the critical job of cleaning up hundreds of abandoned toxic waste sites and the American taxpayers are unfairly burdened by paying 100 percent of the annual costs." U.S. Rep. Chris Gibson, R-Kinderhook, who successfully urged a House congressional oversight committee to investigate the state and federal government's handling of a water-contamination crisis in Hoosick Falls and Petersburgh, said the government needs to do more to address the fallout from the toxic waste that has permeated communities across the country. Gibson also said the crisis in Rensselaer County reflected failures at the state and federal level. Health officials did not warn residents in the Rensselaer County communities for more than a year to stop drinking the water contaminated with a toxic chemical, PFOA or perfluorooctanoic acid, once used by several small manufacturing companies in that area. "We need to use all the powers of information technology to go through and sort through these unregulated chemicals and find out what risks we have," Gibson said. The congressman, who is stepping down after his current term ends in January, said the shuttering of factories should oblige regulators to determine what contaminants were left behind. "This is the legacy of the larger issue of the transformation of the economy," Gibson said. "We are left with legacy (pollution) issues. What I have been pressing government to do is ... look at the legacies of former manufacturing and industrial sites and look at the risk to human life and to the environment, and then budget for actions to properly address those risks." There has also been intense criticism of McCarthy's management of the Superfund program. In its report on the 35th anniversary of the Superfund program last year, the Center for Health, Environment and Justice accused McCarthy, who was appointed head of the EPA in 2013, of delegating Superfund duties and contributing to the slide in the cleanup of Superfund sites the group said has continued under President Barack Obama. Booker, who was the mayor of Newark before becoming a U.S. senator in 2013, said the GAO's report concluded the EPA lacks the resources to clean up all the sites on the agency's list of most polluted areas, including 89 locations that have "unacceptable human exposure" risks to substances that can cause cancer, birth defects and developmental disorders. In New York, home to 85 federal Superfund sites, state officials said they have maintained a long-term commitment to cleaning up hundreds of state-level Superfund sites, which are generally not as severely polluted as federal sites. The state Department of Environmental Conservation said that as of March there were 775 state Superfund sites "scheduled to be addressed." Of these, 449 are "class 2" sites which are in the investigation, design or construction phases of the Superfund program "being led by a responsible party or the state," the agency said in a statement. Last year, state leaders authorized the commitment of $1 billion to fund the state's Superfund program, established in 1986, for at least another 10 years. "I would say absolutely it is one of the most important tools in our arsenal," DEC Commissioner Basil Seggos said. "We are the envy of many states that don't have similar programs." Since 1986, New York has spent more than $2.3 billion on the state Superfund program, not including an estimated $10-$12 billion that has been leveraged from suspected polluters. "I can't tell you in New York state whether the lack of federal funds is impeding federal cleanups," Seggos said. "We're taking a fresh look at all Superfund sites ... and making sure there is an aggressive approach to clean-ups. That has been one of my priorities." On the federal level, Booker said the bipartisan support that once existed for the EPA and the federal taxes used to fund it have faded. Several Republican senators on the Senate's Environment and Public Works Committee did not respond to requests for interviews. "We need to get some Republican support for this bill or it's going nowhere," Booker said. "People want these Superfund sites gone from our country, especially these orphan sites where there's no businesses to hold accountable. We still need more public outrage. ... As each day goes by without actions, the health of more Americans is being threatened." blyons@timesunion.com 518-454-5547 @brendan_lyonstu This is a carousel. Use Next and Previous buttons to navigate New York Demonstrators protesting Sunday against the shootings of black men by police officers used silence, signs and raised fists to make their point, marching through midtown Manhattan without saying anything for long stretches at a time. The crowd of about several hundred people started in Times Square. Dressed in black, the diverse crowd included two young black children holding placards reading "Black Lives Matter, My Life Matters." Jashaun Sadler, of Ridgefield Park, New Jersey, brought his 6-year-old twin son and daughter to the demonstration. "I'm deeply concerned about the state of the world they're growing up in," Sadler said. "It's unspeakable to have to think of them growing up in the world where their skin color could mean the difference between life and death." The demonstrators marched south to Bryant Park and then to Herald Square before ending in Union Park, where chants of "Black Lives Matter" reverberated. At each stationary location, speakers gave short speeches, often breaking into tears as they gave voice to their fears of them or their loved ones dying at the hands of police. Erika Hardaway, 26, told the crowd she couldn't believe her generation had to do the same things her grandparents' generation did. An educator, she said she was speaking out partly because of what a student of hers had texted to her. "I'm afraid, I can't sleep because I don't know if I'm next,' Well, I don't know either but I'm going to do all I can to protect you," Hardaway said. The protest ended with demonstrators joining in for the chorus as a man sang Sam Cooke's "A Change is Gonna Come." WASHINGTON Jeremy Dudley, a.k.a. Origin, drew several enthusiastic educators to the stage when he performed rap songs at the 2016 People's March for Public Education & Social Justice on Friday. He uses his rap lyrics to send positive messages to students and educators. The rally, hosted by the Save our Schools Coalition, was held in front of the Lincoln Memorial and drew hundreds of educators and activists. They went on to march down the streets of the nation's capitol, shouting chants calling for justice and equality. Albany Estimated subsidy payments to nuclear facilities have soared to $965 million over the first two years of the Clean Energy Standard program, the Department of Public Service's staff said in a new proposal filed Friday. This calculation dwarfs initial estimates of these subsidies, which said program costs for existing nuclear facilities would range from $59 million to $658 million through 2023. Friday's release follows criticism from some environmental advocates, who, in letters filed in recent weeks, blasted the initial proposal for misjudging the potential costs of subsidizing nuclear energy plants. The proposal is the latest addition to the state's Clean Energy Standard, which would require New York to use renewable energy sources to produce half of its electric power by 2030. The initial 297-page report, released in early April, included subsidies for nuclear power plants. According to the Friday filing, there will likely be a need for subsidies at three nuclear energy plants: the James A. FitzPatrick Nuclear Power Plant and the Nine Mile Point Nuclear Generating Station in Oswego County as well as the R.E. Ginna Nuclear Power Plant in Wayne County. Gov. Andrew Cuomo is working to close a fourth plant, Indian Point Energy Center facility in Buchanan, Westchester County. Entergy Corp., which owns Indian Point and FitzPatrick, has previously indicated that a subsidy would not change company plans to close FitzPatrick by January 2017. Proponents of nuclear energy say that it releases carbon-free emissions, has low costs and is reliable. They urge government bailout of facilities around the state to save jobs and ensure property tax payments. And in Friday's release, the state estimated that supply cost savings and property tax benefits will amount to $5 billion, for a net benefit of about $4 billion over the two years. But some environmental advocacy groups dispute these figures, and they say that projected costs do not include side effects like life-cycle greenhouse gas emissions and other social costs, like environmental damage and health risks. They argue that the state should invest this money into a transition to other energy sources. The Department of Public Service did not respond to a request for comment over the weekend. Syracuse-based Alliance for a Green Economy New York and the Nuclear Information and Resource Service, based in Maryland, detailed these concerns and others to Secretary to the Public Service Commission Kathleen Burgess in a cost study filed Wednesday, in advance of Friday's revised proposal. Friday's proposal, Alliance for a Green Economy New York program director Jessica Azulay Chasnoff said, shows that subsidizing nuclear plants would be "outrageously expensive." Sign up for The Knick Get the latest news and features with our afternoon newsletter. "It's kind of horrifying to me to think the new proposal will dump even more money into this dying industry," she said in an interview Saturday. Though former state Assemblyman Richard Brodsky called the project's larger goals "ambitious, constructive and largely achievable," he wrote in a filing Friday that he feared potential legal challenges to the proposal's approach to nuclear energy, as the proposal excludes Indian Point. Brodsky, who chaired the Assembly's Committee on Environmental Conservation, warned that the proposal's terminology in justifying excluding the Indian Point facility was "ambiguous and unclear as a matter of law" and said the decision can be seen as connected to Cuomo's efforts to close Indian Point. In Friday's proposal, the Department of Public Service staff explains the decision to exclude Indian Point by saying that "the owner has not claimed that the zero-emissions attributes of the Indian Point facility are currently at risk." Entergy spokesperson Kay Jones said the company is reviewing the Department of Public Service proposal and intends to file comments by July 18. lellis@timesunion.com 518-454-5018 @lindsayaellis This is a carousel. Use Next and Previous buttons to navigate Colonie The normally placid pool of local historians has been roiled by a downgrading of the state historian position that critics consider an affront and a further diminishment of history's role in the wider culture. The controversy boiled over on the New York History blog, where commenters launched an attack on State Museum officials, who recently appointed Devin Lander, 38, of Wynantskill, as the 16th State Historian. The position was created in 1895. Their criticism centered on a restructuring of the State Museum's history department that placed Lander under Chief Curator of History Jennifer Lemak and Museum Director Mark Schaming instead of giving Lander full autonomy. "It was a huge slap in the face to us who toil out here under the misapprehension that what we do is not important," wrote Leigh Eckmair, historian of the town of Butternuts and village of Gilbertsville in Otsego County. "The State Historian needs to work more closely with local historians to do a better job of promoting New York state history. There's been a gap," said Craig Carlson, archivist at Albany County Hall of Records, where more than 1,000 people annually research genealogy and local history. "There's a real hunger for local history, but the left hand often doesn't know what the right hand is doing in the state bureaucracy," said Colleen Ryan, chair of Albany's Historic Resources Commission who organized a series of local history talks at the University Club. "The history in our region is often overlooked and we need a real champion in the State Historian to celebrate that history and culture." The online protest gathered steam and historians wrote an open letter to state Education Commissioner MaryEllen Elia on the blog that demanded an independent State Historian and called for the creation of a New York State Advisory Coalition with high-profile historians to sort out the dispute. "Downgrading the position is a major step backward that buries the State Historian in unnecessary bureaucracy," said John Warren, creator of the New York History blog, which has 20,000 monthly readers, including directors of the state's 7,000 historical societies and 1,500 local historians, most of whom are unpaid but passionate amateurs. New York is the only state in the country that requires each municipality to appoint a local historian after Gov. Al Smith signed a bill that established a network of local government historians in 1919. Local historians expressed on the blog that they feel forgotten by state officials, whom they consider unresponsive to their needs. "The sense that something is wrong runs deep among the history community and the biggest complaint is that there is no statewide leadership or state support," said Warren, who lives in the Adirondacks and has a master's degree in public history from the University at Albany. He also works as a media specialist for the New York State Writers Institute at UAlbany. Robert Weible, who retired as State Historian after seven years in July, 2015, joined the online kerfuffle and called for a restoration of the State Historian's independence to "serve the public interest rather than the internal manipulations of an entitled, mind-numbing bureaucracy." In an interview with the Times Union, he said the downgrade amounts to a muzzle that underscores micro-managing tendencies of Gov. Andrew Cuomo's administration. "It's a weird combination of paranoia and narcissism," Weible said of his experience in the state bureaucracy. The historian critics pine for the pre-1976 era when the state had a separate entity, the Office of State History, and the State Historian had sweeping statewide powers and prestige on a par with the State Librarian and State Archivist. But with an economic downtown following the state's 1976 bicentennial celebrations, the Office of State History was disbanded and the State Historian became something of an orphan and landed at the State Museum. Weible was given a dual assignment as Chief Curator of History and State Historian and competing demands and layers of bureaucracy frustrated him. "New York seems to regard local historians as the enemy. New York is blowing its opportunity to be a national leader because of the internal bureaucracy," said Weible, who spent 19 years as chief of the division of history in Pennsylvania, where he had independence. Museum Director Mark Schaming defended the State Historian restructuring as a way to free up Lander to focus on outreach to local historians and to conduct research without curatorial responsibilities. "I don't consider it a downgrade at all. I think it's a great improvement in terms of focus and outreach," Schaming said. Sign up for The Knick Get the latest news and features with our afternoon newsletter. Even the critics praised the qualifications of Lander, well-known to local historians from his previous position as executive director of the Museum Association of New York. "I understand all the pent-up frustration, but I know Devin well and he is talented, with a vision for the future," said historian Bruce Dearstyne, a retired State Archives administrator and author of "The Spirit of New York." "The impatience of the critics is getting ahead of their good judgment," Dearstyne said. "We need to give Devin a chance." Lander has a master's degree in public history from UAlbany and a job in the state Legislature helped him develop a thick skin. He appears unperturbed by the controversy. "It's a big state and I'm only one person, but I will work hard at outreach with local historians," he said, adding that he is working on a public State Historian website and will travel frequently to meetings of local historians to solicit their ideas. Lander grew up in rural Steuben County and knew as a youngster that he wanted to be a historian. At UAlbany, he wrote a research paper on the 1960s-era Millbrook Commune in Dutchess County. He cited Eric Foner and Kenneth Jackson as his favorite current historians and taped a photo and quote from Aldous Huxley on his office wall: "That men do not learn very much from the lessons of history is the most important of all the lessons of history." Lander shrugged off the online attacks. "I respect their passion and how deeply they care about history. Their hearts are in the right place," he said. "Even as historians, sometimes we have to put the past in the past and move forward." pgrondahl@timesunion.com 518-454-5623 @PaulGrondahl This is a carousel. Use Next and Previous buttons to navigate Guilderland Bill Doughman arrived at the Westmere Volunteer Fire Department in June with a solar panel and a thick, 3.7-pound tome with 910 pages of rules. The solar panel was to help Doughman teach firefighters what do when fires involve solar panels. The book was the 2014 edition of the National Electrical Code, the most recent set of guidelines for electrical installation that experts believe will make solar panels much safer when it takes effect in New York this fall. Until recently, solar panels were relatively rare on the East Coast, and many firefighters still lack experience with them. For the past year and a half, Doughman, himself a volunteer firefighter in Ulster County, has provided classes for about 850 firefighters, engineers and building code officials for the Ulster BOCES. He said he sees a generation gap between younger firefighters who are receptive to the new technology and some older firefighters who tune out the technical jargon behind solar panels. At one session, a grizzled vet came up to him and said, "We're not going near it. We're going to back off and let it burn," Doughman recalled. Concerns over solar panels led to firefighters in New Jersey allowing a 250,000-foot warehouse to burn. Even if the electricity to the building is cut, solar panels, when exposed to light, continue to generate power, which can keep firefighters from accessing a building. Solar panels on roofs may also prevent firefighters from cutting a hole for ventilation, and electricity from solar panels can potentially travel up the stream of a water hose, Doughman said. Numerous fire officials said they had received some classes on solar panel fires but require more training. "We're very, very skeptical. We're kind of winging it," said Albany Fire Deputy Chief Joseph Gregory. For example, he said it's recommended that at an active fire tarps be placed on solar panels to stop them from continuing to generate electricity. When asked if the department has the tarps, he acknowledged it does not. Fires regarding solar panels are rare but more are expected in the future as solar panels' popularity rises. Colonie, which has had two recent fires, has issued permits to 65 commercial properties for solar panel installation, along with many more for residential properties, Joseph Bisognano, senior fire protection specialist for the town of Colonie, said. Last May a fire at a dentist's office in Colonie was sparked by a restless squirrel, Bisognano said. A family of squirrels built a nest in the gap between the roof and the solar panels. One of the squirrels gnawed through the wiring from the solar panel to the home, which ignited the flames that spread to the rest of the roof. The cause of another solar panel fire was shoddy installation, Bisognano said. When workers for a national company attached the panels to a building on Johnson Road, they inserted a screw that cut through the roof into a wire in the ceiling. The wire started arcing, eventually igniting a fire the day after Thanksgiving last year. In both cases, firefighters shut down power before extinguishing the blaze. The 2014 NEC standards mandate that firefighters be able to quickly shut down the power in a solar array. The new rules also increased protection against electrical discharges and require clearer signage. "We have that ability to shut them off right at the panel. That's a new technology to emerge," said Peter Lattanzio, chief of Colonie's Department of Fire Services. "Previously, if you were out in sunlight, there was no way to turn the panel off." Lattanzio said the new standards are substantially safer. Installation companies are already generally and voluntarily following the 2014 code, Doughman said. SolarCity, Kasselman Solar and Monolith Solar said they are complying with the standards in advance of the Oct. 3 adoption date. Apex Solar of Queensbury said its systems have the newest safety components, but the firm is still using signage based on standards from 2008. The new signs would give firefighters more information about the solar panels. Sign up for The Knick Get the latest news and features with our afternoon newsletter. "We are ready to adopt that," Ben Sopczyk, Apex marketing director, said. "It just hasn't been fully necessary." Installations under the current code, which dates to 2008, are going to be grandfathered in as the new rules come into effect. Doughman said some property owners can easily add new disconnects and labeling to their systems for added safety. "If they have an older system, I would recommend that they talk to an installer about the feasibility of retrofitting it," said Steve Kasselman of Kasselman Solar in Albany. Doughman and Bisognano said with fires involving solar panels becoming more frequent, what's required is training and better communication between fire departments and code inspectors. Monolith CEO Mark Fobare in Rensselaer said he has personally given free training sessions to 10 local fire stations, and his company overall has trained 30 stations. "If you are properly trained, these systems are crazy inherently safe," Fobare said. jlawrence@timesunion.com 518-454-5467 @jplawrence3 "The most amazing thing about the 2016 elections," Roger Porter of Harvard's Kennedy School of Government told me, "is that we are likely to elect someone who close to two-thirds of the country does not trust." The choice offered to Americans this November is the largest failure of the two-party system since (at least) the democratization of the primary process in 1968. Recent events have revealed a Democratic candidate who was dangerously careless in the conduct of her public duties, deceptive in her own defense and secure in the (correct) assumption of impunity. The Republican candidate is one of the few politicians in America capable of making Hillary Clinton appear sympathetic on the worst day of her campaign. Donald Trump again falling off the teleprompter wagon accused the attorney general of bribery, obsessively defended an anti-Semitic meme and praised the late Saddam Hussein for being "really good at killing terrorists." On the evidence of Halabja, Hussein was also really good at killing women and children with mustard gas, sarin, tabun and VX. "Saddam Hussein throws a little gas," Trump mocked in December, "everyone goes crazy, 'Oh, he's using gas!' " Just to be clear: Any leader who makes light of the largest chemical weapons attack on civilians in history which, among other horrors, sent children into convulsions and respiratory failure has a moral screw loose, a sickness of the soul. Paul Ryan and Reince Priebus, meet the man you have endorsed. So these are the options offered by the main parties two of the least popular, least trusted politicians our country has recently produced. Isn't this the exact opposite of what political parties designed, presumably, to win elections are supposed to do? Is this, perhaps, a failure of the system we use to select candidates? The 2016 nomination process was a controlled experiment that undermined this hypothesis. In the Republican Party, the establishment lost. In the Democratic Party, the establishment won. Both organizations still failed at their primary mission picking a candidate of strong character and broad experience with an informed and compelling vision of the common good. If it is not the system that is at fault, it must be a trait or temper of mind found in the electorate. Every political commentator has become an amateur sociologist, trying to explain how rapid economic, social and cultural change has resulted in a populist backlash against elites. Professor Porter who is a respected professional in such matters cites a lack of sustained economic growth, the dislocations of globalization, increasing inequality of wealth and the frightening messiness of foreign affairs. The overall result, Porter says, is a "rise in cynicism and resentment." The resentment is natural, and is likely over time to change the policy profile of both parties. But cynicism is not always tied to resentment. William Jennings Bryan embodied an idealistic populism. Following the Watergate scandal, voters turned to the squeaky clean Jimmy Carter. Cynicism is more dangerous to democracy than outrage. Cynicism pretends to a kind of sophisticated, insider knowledge of institutional corruption. It says: I can see, even if you can't, how the whole ball of wax politics, economics, religion is rigged in favor of capitalist economic elites, or liberal social elites, or both. "We have a crooked system," Trump has said, "we have a rigged system." Since no one wants to appear the fool, cynicism is infectious. Many Americans feel exploited but believe that politicians who offer idealistic answers are frauds. Sign up for The Knick Get the latest news and features with our afternoon newsletter. This perspective dramatically reduces the aspirations of politics setting the ethical bar lower than we would for almost any other profession. Democrats know their candidate is not trusted, but at least she is a fighter who understands the vast conspiracy set against her. Republicans know their candidate is a world-class cynic, but at least he can get down in the dirt with the Clintons, lie for lie, threat for threat. But there are other effects as the toxic cloud of cynicism settles over American politics. No matter who wins, the other side will view the victor as illegitimate an unindicted criminal or a loopy bigot. The winner will find that a cynical public coheres like dry sand. It will be accordingly difficult to rally the whole country around hard or dangerous national goals. And a great country will continue to be crippled by its politics. The worst hell of despair is believing that hope itself is a racket. Michael Gerson's email address is michaelgerson@washpost.com. Please allow ads as they help fund our trusted local news content. Kindly add us to your ad blocker whitelist. If you want further access to Ireland's best local journalism, consider contributing and/or subscribing to our free daily Newsletter . Support our mission and join our community now. The staff at Country Choice in Nenagh were said to be devastated this Monday following the tragic drowning of one of their staff members in Clare at the weekend. The female staff member, has been been named locally as Rita Lopsiene, died after she was swept from the rocks at Ballyreen near Fanore on Sunday morning. The woman who was from Silute in Lithuania, was aged 53. She was part of a group that had been fishing in the area when a freak wave dragged her into the sea. The alarm was raised at about 8.45am when the Irish Coast Guard received a report of a person in the water. Teams from Doolin travelled to the area and the Gardai and ambulance service also responded. The Doolin Coast Guard located the woman about 1km from where she had fallen into the sea. She was brought to University Hospital Galway where she was pronounced dead. Ms Lopsiene, who was married with children, worked at Country Choice for the past four years. Peter Ward of Country Choice told the Tipperary Star: She was a great person and we really valued her as a friend and a professional colleague. We will sadly miss her. A post mortem was due to be carried out today. [July 11, 2016] Digital Benefit Advisors Acquires USBC; Opens Second Atlanta Office Digital Benefit Advisors (DBA) (digitalbenefitadvisors.com), a leading national employee benefits firm with 35 offices across the country, has acquired USBC, LLC of Alpharetta, Ga., opening the company's second DBA office in metro Atlanta. Principals Joe Leigner and Jeff Thomas, along with their team of five professionals, have joined DBA, expanding their capabilities and gaining access to enhanced resources, technology and plan solutions for their 250 employer clients. In addition, industry veteran and long-time financial executive, Mark Reed, founder of The Reed Company, has joined DBA's Alpharetta office, further strengthening the firm's marketplace position. "We're pleased to open another DBA office in the backyard of our company's headquarters and have a seasoned, well-respected team in place to expand our position across Georgia and the Southeast," says Mike Sullivan, chief growth officer for Digital Insurance, DBA's parent company. "The addition of Joe, Jeff and Mark to our DBA team further cements our strong platform and continues to drive our market share growth throughout this region." "With the ever-changing landscape o the benefits and insurance industry due to Health Care Reform, aligning with a leading national player such as Digital strengthens our capabilities," says Leigner, "and gives our customers access to greater services and expertise. This move takes us to the next level and enables us to provide the most value for our clients." "Joining DBA allows us to swim up market and offer additional products and services and provide clients with innovative technology to support daily operations," adds Thomas. "It's a win for us, but, more importantly, for our clients. And, as customer service has always been a hallmark of our agency, we see this as an opportunity to continue that steadfast commitment." "I've worked in the financial services industry for many years, and the infrastructure and culture at Digital are just a few of the areas that really stood out to me," adds Reed. "It truly is unique, in a very positive way. I'm fortunate for the opportunity to collaborate with DBA and provide the firm's astute talents and top-notch resources to my clients." About Digital Benefit Advisors Digital Benefit Advisors (DBA) (digitalbenefitadvisors.com) is a national alliance of experienced, local market experts who offer advanced solutions and expanded services to help companies meet their strategic business objectives. Distinguished by its focus on innovation, technology, advocacy and education, DBA creates greater value for employers and inspires individuals to become more engaged healthcare consumers. DBA is the leading division of Digital Insurance (digitalinsurance.com), the nation's largest employee benefits-only agency and a subsidiary of Fidelity National Financial (NYSE: FNF). Headquartered in Atlanta, Digital Insurance has been named to the Inc. 5000 List of America's fastest-growing companies every year since the honor's inception in 2007. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711005712/en/ [ Back To TMCnet.com's Homepage ] Let us not allow the tragic events of last week to create the mentality of a nation at war. We decry the horrible deaths by a lone sniper of five police officers in Dallas, and the police-shooting deaths of men in Louisiana and Minnesota, but urge that they not be used to further create divisions and pit Americans against one another. Rhetoric is coming from multiple sides and has helped fuel the nations division over race, guns, politics and policing. Its time to dial down the heated talk. The tragedies demand sober reflection by political and law enforcement leaders, as well as activists in various protest movements. Politicians and gun lobbyists are cynically and irresponsibly exploiting race and ethnic-based controversies to advance specific political causes. More fuel is being added by those who promote the terribly mistaken notion that all people wearing blue uniforms are somehow the enemy. The gun lobby has been particularly active in pushing the message that Americans need to arm up as part of a militia that must be prepared to take action against perceived government oppressors. What they never answer is this crucial question: Who are the oppressors and under what circumstances is vigilante action justifiable to confront them? They dont answer that question because there is no answer. Some on the right are using the Dallas shootings to exploit divisions with political messages aimed at creating an us-versus-them mentality, portraying the Black Lives Matter movement as villainous and requiring a harsh police crackdown. But just as not every person in blue with a badge is an enemy, neither is every person who protests racial discrimination or demands better treatment from police. Black lives do matter, and so do white, blue and brown lives. Black lives dont matter more than others, but data show African-Americans are more vulnerable to police shootings. That is a fact of life. So is the threat of police civil rights violations for African-Americans. Police treatment and judicial actions toward black citizens has become a front-burner national issue. The rise of social media and smartphones, always ready to deliver instant video records of events, has contributed to the rapid escalation of tension. Policing is not easy. Officers are under threat and have reason to be fearful. Many of the people they stop will have guns, some legal and some not. Concealed carry laws heighten concerns for officers making stops. Being a black man in America confronted by police is also not easy. Black men account for 6 percent of the U.S. population, but were 40 percent of the unarmed men shot to death by police last year, The Washington Post found in a yearlong study of fatal police shootings. The cocktail of fear, adrenaline and guns is bound to end badly. The potentially disastrous combination of police and black men afraid for their lives in a confrontation must be kept under control with training, care and outstanding leadership. President Barack Obama on Friday characterized the Dallas police deaths as a vicious, calculated and despicable attack on law enforcement. That it was. It was also an act by a lone gunman. We must not forget that. The sniper was not part of a movement. He did not represent anyone other than himself, a disturbed man with a gun. Opportunists of every stripe must not use victims deaths to justify their particular brand of hostility, or to push people further into their bunkers of fear and misunderstanding. Thats the wrong direction for us as a nation. Last weeks killings scream out for us to work toward understanding, conciliation and respect for every citizen. We truly are all in this together. St. Louis Post-Dispatch, another Lee Enterprises newspaper DriveSavers Offers Data Recovery to Victims of Historic West Virginia Flooding DriveSavers, the worldwide leader in data recovery, eDiscovery and digital forensic services, announced today that the company will offer a $300 discount to victims of the recent flooding disaster in the state of West Virginia. Last month, after a relentless downpour turned into dangerous flash flooding, a state of emergency was declared across 44 counties in West Virginia. According to several reports, the rising water has forced approximately 5,200 homes and businesses to register with the Federal Emergency Management Agency (FEMA). In the wake of what is being called a "1-in-1,000 year" storm, many families are left to assess the damage. DriveSavers, in coordination with its network of computer service providers in and around the area, is offering $300 off data recovery services through August 30, 2016 for devices that may have been damaged by floodwaters, mud or impact. "We use today's technology to hold not only important records, but also some of our most precious memories. As West Virginians begin to face difficult decisions about how to move forward, we would like to offer our assistance to ll those impacted by this devastating event," said DriveSavers President Scott Moyer. "DriveSavers has more than thirty years of experience in recovering photos, videos, contact lists, financial records and other important data that may have been kept on devices damaged or even destroyed by natural disasters." If your device has been damaged by floodwaters, do not attempt to dry out equipment or recover data using utility software. Keep the hard drive or media wet by placing it in a plastic bag with an airtight zip closure. To start the recovery process, call DriveSavers Data Recovery (News - Alert) at 800.440.1904 or visit www.drivesaversdatarecovery.com for more information. About DriveSavers DriveSavers, the worldwide leader in data recovery, eDiscovery and digital forensics, provides the fastest, most reliable and only certified secure data recovery and eDiscovery service in the industry. All of the company's services meet security protocols for financial, legal, corporate and healthcare industries, and it is the only company that posts proof of its annual SOC 2 Type II audit and HIPAA data security and privacy compliance. DriveSavers adheres to U.S. government security protocols, the Gramm-Leach-Bliley Act (GLBA) Data Security Rule, the Data-At-Rest mandate (DAR) and the Sarbanes-Oxley Act (SOX). DriveSavers engineers are trained and certified in all leading encryption and forensic technologies and operate a Certified ISO Class 5 Cleanroom. Customers include: Bank of America, Google (News - Alert), Lucasfilm, NASA, Harvard University, St. Jude Children's Research Hospital, U.S. Army and Sandia National Laboratories. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711005836/en/ [July 11, 2016] Increased Usage in Proteomics Driving the Global Western Blotting Market Until 2020, Says Technavio The global western blotting market is expected to grow at a CAGR of over 5% during the forecast period, according to Technavio's recent report. In this report, Technavio covers the market outlook and growth prospects of the global western blotting market for 2016-2020. The market is further categorized into two product segments, including consumables and instruments, of which the consumables segment dominated the market with more than 61% of the overall market share in 2015. "Western blotting, also known as protein blotting, is being widely used in proteomics, which is the study of protein structure and functions on a large scale. Western blotting simplifies the verification and functional analyses of proteins and is effective in understanding cell signaling molecules. The increase in investments in R&D of proteomic technologies to develop reliable and accurate solutions has led to an increase in the usage of western blotting," says Amber Chourasia, a lead lab equipment research expert from Technavio. Technavio's research study segments the global western blotting market into the following regions: Americas APAC EMEA In 2015, with a market share of over 49%, the Americas dominated the global western blotting market, followed by EMEA with over 30% and APAC with 20%. The Americas: largest market for western blotting The western blotting market in the Americas was valued at USD 282.7 million in 2015 and will reach USD 370.5 million by 2020, growing at a CAGR of more than 5%. With the high prevalence of HIV/AIDS, the US is expected to dominate the western blotting market during the forecast period. Numerous awareness programs have been undertaken by global organizations providing huge funds to deal with HIV/AIDS cases. These organizations target and support regional high-impact prevention strategies. Such initiatives will lead to the increase in medical research, presenting substantial growth opportunities for manufacturers of western blotting instruments and consumables. In addition, most of the R&D centers of pharmaceutical and biotechnology companies located in the region developed vaccinations to treat HIV/AIDS. Furthermore, the Americas is receiving significant funding from the National Institutes of Healt (NIH) to carry out research in the field of biotechnology, focusing on areas such as human health. Ask for a sample of this report: http://goo.gl/J2huIm High prevalence of awareness programs fueling the western blotting market in EMEA The western blotting market in EMEA is driven by the high prevalence of awareness programs in many low- and middle-income countries that are educating people about the basics of HIV. Many multilateral organizations such as the UNITAID, Global Fund, and other United Nations agencies have been providing funds for HIV-related assistance across the region. Amber says, "According to the World Health Organization (WHO), the market for western blotting products will increase in Eastern European countries during the forecast period as Europe has successfully diagnosed significant number of new cases recorded from migrants in the region from countries like Somalia, Ethiopia, and Nigeria. The migrant population generally lacks awareness due to low literacy rates in their respective countries. This is likely to increase the number of individuals diagnosed with HIV in Europe." Furthermore, MEA also has many countries with inadequate infrastructure to deliver testing and treatment solutions for diseases such as AIDS, Lyme, herpes simplex virus (HSV), and Hepatitis B virus (HBV). This issue is being addressed by international funding that enables countries to improve their testing and treatment infrastructure, thus providing opportunities for players in the global western blotting market to target countries such as Morocco, Sudan, and Somalia that have high rates of HIV, and expand their geographical presence. APAC to post a CAGR of nearly 7% during the period 2016-2020 The western blotting market in APAC witnessed sales of over 117 million units in 2015 and is expected to surpass 164 million units by 2020. There is huge growth potential for western blotting solution providers in the region, as physicians are becoming increasingly aware of the need for early detection of HIV infections among people to reduce cases of AIDS. In addition, the region is also using western blotting for gene expression studies to develop new solutions for disease diagnosis. The lack of infrastructure for diagnosis and treatment in developing countries such as India, China, and Indonesia is a major issue. The governments of these countries are investing significantly in infrastructure to improve access to testing needs. In addition, many global companies are shifting their manufacturing and R&D facilities to APAC to capitalize on the inexpensive labor and land. A large population diagnosed with HIV/AIDS in the region provides an opportunity for vendors to introduce cost-effective manual western blotting instruments, semi-automated, and fully-automated instruments. The top vendors in the global western blotting market highlighted in the report are: Bio-Rad Laboratories GE Healthcare Merck Millipore Thermo Fisher Scientific Browse Related Reports: Global Autoimmune Disease Diagnostics Market 2016-2020 Global Autoimmune Drugs Market 2016-2020 Global Hepatitis Drugs Market 2015-2019 Do you need a report on a market in a specific geographical cluster or country but can't find what you're looking for? Don't worry, Technavio also takes client requests. Please contact [email protected] with your requirements and our analysts will be happy to create a customized report just for you. About Technavio Technavio is a leading global technology research and advisory company. The company develops over 2000 pieces of research every year, covering more than 500 technologies across 80 countries. Technavio has about 300 analysts globally who specialize in customized consulting and business research assignments across the latest leading edge technologies. Technavio analysts employ primary as well as secondary research techniques to ascertain the size and vendor landscape in a range of markets. Analysts obtain information using a combination of bottom-up and top-down approaches, besides using in-house market modeling tools and proprietary databases. They corroborate this data with the data obtained from various market participants and stakeholders across the value chain, including vendors, service providers, distributors, re-sellers, and end-users. If you are interested in more information, please contact our media team at [email protected]. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711005503/en/ [ Back To TMCnet.com's Homepage ] [July 11, 2016] Inpria Announces Plan to Scale Production of EUV Photoresists Inpria Corporation, a pioneer in high-resolution photoresists for Extreme Ultraviolet (EUV) lithography, announced plans to scale production of their metal oxide EUV photoresists in a new facility in Corvallis, Oregon. This expansion was funded by the $10M+ financing round the company closed earlier this year. Inpria is executing on the first phase of a multi-part plan which will provide sufficient volumes to support customer needs during initial adoption and, later, a full process ramp. Build-out will be completed on a phased basis as customer adoption accelerates and requires higher volume manufacturing. Jim LaCasse, Inpria's Chairman of the Board and former CEO of NexPlanar (acquired by Cabot) reflected, "Having led several photoresist businesses, I believe Inpria is approaching a familiar inflection point. As such, te company is concentrated on completing the key infrastructure and process groundwork to support customer requirements now, and into the future." "We are delighted to announce this new facility as we begin to scale-up our product manufacturing," said Andrew Grenville, CEO of Inpria Corporation. "We have improved and optimized our photoresist performance and have also demonstrated manufacturing compatibility with standard fab equipment. Since customer feedback has been positive, scaling the commercial production is now an immediate focus for the company. Enabling our customers' adoption of EUV lithography is our primary overall objective, and working with ecosystem partners we are making tremendous progress." About Inpria Inpria Corporation designs photoresists to unlock the full potential of EUV lithography. Inpria's metal oxide photoresists for EUV enable superior performance with simplified processing. (www.inpria.com) View source version on businesswire.com: http://www.businesswire.com/news/home/20160711005353/en/ [ Back To TMCnet.com's Homepage ] [July 11, 2016] Merck's Healthcare Services & Solutions Invests in Majority Stake in StayWell The StayWell Company LLC ("StayWell" or "the Company"), a portfolio company of Vestar Capital Partners ("Vestar"), today announced that Healthcare Services & Solutions, LLC ("HSS"), a wholly owned subsidiary of Merck & Co., Inc., Kenilworth, New Jersey, U.S.A. ("Merck"), has invested in a majority stake in the Company. StayWell will continue to operate as an independent entity after the transaction. Vestar will retain a significant minority stake in the Company. Terms of the transaction were not disclosed. HSS offers services and solutions that help patients, providers, and payors around the world achieve improved health care outcomes more efficiently and at a lower cost, through cutting-edge illness prevention and wellness programs and care management offerings. HSS operates as a separate business unit, independent from Merck's pharmaceutical products business. Merck is known as MSD outside the United States and Canada. "Allying StayWell with a Fortune '100' global leader in health care provides access to increased resources that will propel StayWell's product development and innovation. We look forward to offering our clients improved services and solutions to address their health care challenges, business objectives, and organizational needs," said Bill Goldberg, Chief Executive Officer, StayWell. "In addition, HSS's existing portfolio of services and solutions has the potential to grow StayWell's offerings and client base," said Goldberg. "Longer term, HSS's global reach and network will enable StayWell to offer expanded support to its global customers. StayWell will be better positioned to respond to industry trends and changing client needs." "The StayWell organization offers several significant enhancements for the existing HSS businesses, particularly with its rich health care content, delivered across multiple channels," said Guy Eiferman, Managing Director, HSS. "StayWell also brings a large customer base, particularly in its domestic U.S. commercial footprint which includes hospitals, health care providers, health plans, major employers, and leading health advocacy organizations. Our shared emphasis on improving health outcomes, combined with our strong foundations in data, science, and evidence-based offerings, will provide new opportunities for both organizations as a result of this transaction." "Partnering with HSS will take StayWell to the next level of growth," said Andrew Cavanna, Managing Director, Vestar. "We believe that our investment will be greatly enhanced by HSS's market knowledge, suite of products, and executive leadership." Kirkland & Ellis LLP and Wells Fargo (News - Alert) Securities advised Vestar. Covington & Burling LLP, Piper Jaffray & Co., and Accenture advised HSS. About HSS Healthcare Services & Solutions, LLC (HSS) is a subsidiary of Merck & Co., Inc., Kenilworth, New Jersey, U.S.A. that operates independently from the pharmaceutical business as a separate business unit, enabling HSS to build on a rich legacy and to explore new opportunitiesin human health care while leveraging its parent company's corporate capabilities in the health care industry, science, regulatory affairs, and outcomes research. Doing so allows HSS to develop and commercialize global value-added services and solutions with an evidence-based approach. For more information, please visit www.healthcareservicesandsolutions.com About StayWell StayWell is a health engagement company that helps its clients engage and educate people to improve health and business results. StayWell brings decades of experience working across the health care industry to design solutions that address its clients' evolving needs. We fuse expertise in health engagement and the science of behavior change with an integrated portfolio of solutions and robust content assets to effectively engage people to make positive health care decisions. StayWell programs have received numerous top industry honors, including the C. Everett Koop National Health Award and the Web Health Award for health engagement programs. StayWell also has received URAC and NCQA accreditation for several of its programs. StayWell is headquartered in Yardley, Pennsylvania, and also has major locations in Salt Lake City, Utah and St. Paul, Minnesota. To learn more, visit www.staywell.com About Vestar Capital Partners Vestar Capital Partners is a leading U.S. middle-market private equity firm currently managing approximately $5 billion in capital. Specializing in management buyouts and growth capital investments, Vestar invests and collaborates with incumbent management teams and private owners to build long-term enterprise value, with a focus on Consumer, Healthcare, and Business and Financial Services. Since Vestar's founding in 1988, Vestar funds have completed 75 investments in companies with a total value of more than $40 billion. For more information, please visit www.vestarcapital.com About Merck For 125 years, Merck has been a global health care leader working to help the world be well. Merck is known as MSD outside the United States and Canada. Through our prescription medicines, vaccines, biologic therapies, and animal health products, we work with customers and operate in more than 140 countries to deliver innovative health solutions. We also demonstrate our commitment to increasing access to health care through far-reaching policies, programs, and partnerships. For more information, visit www.merck.com Forward-looking statement of Merck & Co., Inc., Kenilworth, N.J., USA This news release of Merck & Co., Inc., Kenilworth, N.J., USA (the "company") includes "forward-looking statements" within the meaning of the safe harbor provisions of the U.S. Private Securities Litigation Reform Act of 1995. These statements are based upon the current beliefs and expectations of the company's management and are subject to significant risks and uncertainties. If underlying assumptions prove inaccurate or risks or uncertainties materialize, actual results may differ materially from those set forth in the forward-looking statements. Risks and uncertainties include but are not limited to, general industry conditions and competition; general economic factors, including interest rate and currency exchange rate fluctuations; the impact of pharmaceutical industry regulation and health care legislation in the United States and internationally; global trends toward health care cost containment; technological advances, new products and patents attained by competitors; challenges inherent in new product development, including obtaining regulatory approval; the company's ability to accurately predict future market conditions; manufacturing difficulties or delays; financial instability of international economies and sovereign risk; dependence on the effectiveness of the companies' patents and other protections for innovative products; and the exposure to litigation, including patent litigation, and/or regulatory actions. The company undertakes no obligation to publicly update any forward-looking statement, whether as a result of new information, future events or otherwise. Additional factors that could cause results to differ materially from those described in the forward-looking statements can be found in the company's 2015 Annual Report on Form 10-K and the company's other filings with the Securities and Exchange Commission (SEC (News - Alert)) available at the SEC's Internet site (www.sec.gov). View source version on businesswire.com: http://www.businesswire.com/news/home/20160711005372/en/ [ Back To TMCnet.com's Homepage ] [July 11, 2016] Most/Least Dangerous States for Senior Drivers SAN MATEO, Calif., July 11, 2016 /PRNewswire/ -- Rhode Island is the most dangerous state for drivers age 65 and older, according to a new Caring.com report, followed by Maine, Minnesota, New York and Idaho. Click here for more information: https://www.caring.com/articles/most-dangerous-states-senior-drivers The study compared the number of people age 65 and older who were killed in car accidents with that age group's share of the population in each state. In Rhode Island, for example, senior citizens comprised 35% of car-related fatalities in 2014 (according to the National Highway Traffic Safety Administration). Since seniors made up just 16% of Rhode Island's population (per the U.S. Census), they were 19 percentage points more likely to be involved in a fatal crash than expected. New Mexico is the least dangerous state for older drivers. North Dakota, Louisiana, Alaska, Montana, Mississippi and South Carolina are the only other states where seniors accounted for fewer car-related fatalities than their share of the population projected. "It's never easy to tell mom or grandpa to stop driving, but these numbers show why it's crucial to have that conversation before it's too late," said Dayna Steele, Caring.com's Chief Caring Expert and the author of Surviving Alzheimer's with Friends, Facebook and a Really Big Glass of Wine. "Many seniors think they'll lose their independence if they stop driving, so investigate alternatives like ride-sharing services and public transportation. Also, try to offer rides from family members, friends and neighbors when possible." 31 states have stricter rules for older drivers, according to the Governors Highway Safety Association. The most common requirement is a more frequent renewal cycle. 11 states require mature motorists to pass a vision test after a certain age. Only two (Illinois and New Hampshire) mandate a supplemental road test at age 75. About Caring.com With more than three million visitors per month, Caring.com is a leading senior care resource for family caregivers seeking information and support as they care for aging parents, spouses, and other loved ones. A Bankrate company headquartered in San Mateo, Calif., Caring.com provides helpful caregiving content, online support groups, and a comprehensive Senior Care Directory for the United States, with over 113,000 consumer ratings and reviews and a toll-free senior living referral line at (800) 325-8591. Connect with Caring.com on Facebook, Twitter, Google+, Pinterest, LinkedIn, and/or YouTube. For more information: Ted Rossman Public Relations Director [email protected] 917-368-8635 Video - http://origin-qps.onstreammedia.com/origin/multivu_archive/PRNA/ENR/Caring-com-Senior-Driving.mp4 Logo - http://photos.prnewswire.com/prnh/20151113/287218LOGO To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/mostleast-dangerous-states-for-senior-drivers-300295796.html SOURCE Caring.com [ Back To TMCnet.com's Homepage ] Numenta Research VP Subutai Ahmad Will Speak on the Principles of Machine Intelligence at Data Science Summit 2016 Numenta VP of Research Subutai Ahmad will be a featured speaker at the upcoming Data Science Summit North America 2016 in San Francisco. The theme of the 5th annual summit for business and academic leaders is "Innovators Building Intelligent Applications." Ahmad is scheduled to speak on "Understanding Cortical Principles and Building Intelligent Machines." Ahmad is a major contributor to Numenta's pioneering advances in the development of machine intelligence technology alongside the company's co-founder Jeff Hawkins. In his talk, Ahmad will describe the principles for building biologically inspired intelligent systems and how computer scientists can translate them into working systems. "The brain is the best example of an intelligent system. At Numenta we believe it provides the perfect roadmap for building intelligent machines - machines that will make possible a new generation of capabilities not possible with today's technology, " said Ahmad. Who: Subutai Ahmad, VP of Research, Numenta, Inc. What: Data Science Summit US 2016 When: 2:30 - 2:55 p.m., Wednesday July 13, 2016 Where: Grand Ballroom - the Fairmont Hotel, San Francisco Numenta executives will also be discussing Numenta technology and demonstrating current applications at the summit, including the new HTM Studio tool. HTM Studio is a desktop tool that provides an easy way for developers and businesses to try Numenta's HTM (Hierarchical Temporal Memory) machine intelligence algorithms to find anomalies in streaming data. Look for Numenta at table #4. Coming up next In other upcoming events, Numenta research engineer Yuwei (News - Alert) Cui will be speaking on July 26 in Vancouver, Canada at the IEEE World Congress of Computational Intelligence 2016 on "A comparative study of HTM and other neural network models for online sequence learning with streaming data." During that event, Numenta also will be sponsoring a Numenta Anomaly Benchmark competition in association with IEEE (News - Alert). About Numenta Founded in 2005, Numenta has developed a cohesive theory, core software technology, and numerous applications all based on principles of the neocortex. Laying the groundwork for the new era of machine intelligence, this technology is ideal for large-scale analysis of continuously streaming data sets and excels at modeling and predicting patterns in data. Numenta has also developed a suite of demonstration applications that utilize its flexible and generalizable HTM learning algorithms to provide solutions that encompass the fields of machine generated data, human behavioral modeling, geo-location processing, semantic understanding and sensory-motor control. In addition, Numenta has created NuPIC (Platform for Intelligent Computing) as an open source project. Numenta is based in Redwood City, California. To learn more about HTM go to www.numenta.com. Connect with Numenta on Twitter, Facebook, Google+ and LinkedIn. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711005449/en/ [July 11, 2016] NYU Tandon Invites NYC Students and Teachers to Take a Deep Dive into the STEM Pool BROOKLYN, N.Y., July 11, 2016 /PRNewswire-USNewswire/ -- For the fourth summer in a row, the NYU Tandon School of Engineering through its Center for K12 STEM Education will share the skills and excitement of science, technology, engineering and mathematics through STEMNow, which will bring almost 1,000 K-12 students and their teachers to its Downtown Brooklyn classrooms and labs. The kickoff celebration will take place on July 13, 2016, with a keynote speech by New York City Department of Education Schools Chancellor Carmen Farina. "We know that STEM is the foundation of our future, and that's why New York City has invested in high-quality, hands-on STEM education for all students, including the Computer Science for All initiative and expanded STEM Summer in the City," said Chancellor Farina. "I'm so pleased to have NYU as a partner in this work to get students passionate about STEM, and on the path to becoming the next generation of scientists and engineers. "Joining the chancellor at the podium to welcome students, educators, and community leaders to NYU Tandon will be Dean Katepalli R. Sreenivasan. "This year's STEMNow will make an exciting mark on the landscape of STEM education in New York City and beyond," he said. "When a high school student is exposed to high-level research in a university lab or a passionate NYU Tandon student mentor, it opens up previously unimaginable possibilities. When teachers return to their classrooms with innovative ideas for engaging their students in STEM, it has a ripple effect on entire generations of future engineers and scientists. We're pleased to open NYU Tandon's doors so that others can be inspired by our stellar faculty and students, work in our labs and classrooms, and immerse themselves in our culture of intellectual curiosity and technology in service to society." "By opening its doors to local students, NYU Tandon has allowed them to enter the world of possibilities available by studying science, technology, engineering, and mathematics," said Brooklyn Borough President Eric L. Adams. "I share their commitment to preparing young men and women from our communities for the many good jobs that technology startups and related companies are creating here in the Brooklyn Tech Triangle. In addition, this program will build on partnerships I have created with our borough's public schools to provide every student with the resources to study STEM." STEM skills are vital in our increasingly technology-based economy and society, and scientists and engineers are in high demand in a plethora of sectors, from manufacturing to medicine. For middle- and high-school students, highlights of STEMNow will include: Applied Research Innovations in Science and Engineering (ARISE): Designed for tenth and eleventh grade students with little or no access to high-quality STEM education experiences, students of color, and those from low-income backgrounds , this tuition-free seven-week program features challenging college-level coursework and lab research in such fields as civil and urban engineering, composite materials, mechanics, molecular design, robotics, sensors, and protein engineering. The students are mentored nearly one-on-one by graduate students, post-doctoral fellows, and faculty members. (ARISE): Designed , this tuition-free seven-week program features challenging college-level coursework and lab research in such fields as civil and urban engineering, composite materials, mechanics, molecular design, robotics, sensors, and protein engineering. The students are mentored nearly one-on-one by graduate students, post-doctoral fellows, and faculty members. The Creative Circuit Design Workshop: The one-week hands-on program for high school juniors and seniors will allow them to explore the basic circuit blocks that make up virtually all the interactive devices used today. They will create radios, design circuits with conductive ink and breadboards, learn to reduce the carbon footprint of their devices, and more, all under the supervision of experienced undergraduate and graduate electrical engineering students. The one-week hands-on program for will allow them to explore the basic circuit blocks that make up virtually all the interactive devices used today. They will create radios, design circuits with conductive ink and breadboards, learn to reduce the carbon footprint of their devices, and more, all under the supervision of experienced undergraduate and graduate electrical engineering students. CrEST (Creativity in Engineering, Science, and Technology): The program aims to train high school students from Community Renewal Schools in circuitry, electronics, mechanical systems, physical computing, robotics, and other STEM disciplines. In the fall, those students will work under the supervision of NYU Tandon students to teach CrEST workshops to their middle school counterparts. The program aims to train in circuitry, electronics, mechanical systems, physical computing, robotics, and other STEM disciplines. In the fall, those students will work under the supervision of NYU Tandon students to teach CrEST workshops to their middle school counterparts. CrEST Workshops: More than 380 middle school students will learn the hands-on CrEST lessons from their high school teachers during 30-hour workshops. Some of the city's most prominent nonprofit organizations, including CAMBA, Good Shepherd Services, and Grand Street Settlement, will bring their middle school campers to NYU Tandon for the week-long "camp within a camp." More than will learn the hands-on CrEST lessons from their high school teachers during 30-hour workshops. Some of the city's most prominent nonprofit organizations, including will bring their middle school campers to NYU Tandon for the week-long "camp within a camp." GenCyber: Computer Science for Cybersecurity: High school girls will be introduced to role models, computer science, programming, virtuous hacking, and digital forensics during intensive and supportive sessions designed to encourage them to pursue educational opportunities in cybersecurity a field that is growing at more than 10 times the overall job market but is notoriously bereft of female professionals GenCyber also prepares young women to participate in the popular NYU Tandon Cyber Security Awareness Week (CSAW) High School Digital Forensics Contest. Sponsored by the National Science Foundation (NSF) and the National Security Agency (NSA), GenCyber is offered without cost to high-potential applicants in Greater New York . will be introduced to role models, computer science, programming, virtuous hacking, and digital forensics during intensive and supportive sessions designed to encourage them to pursue educational opportunities in cybersecurity a field that is growing at more than 10 times the overall job market but is notoriously bereft of female professionals GenCyber also prepares young women to participate in the popular NYU Tandon Cyber Security Awareness Week (CSAW) High School Digital Forensics Contest. Sponsored by the National Science Foundation (NSF) and the National Security Agency (NSA), GenCyber is offered without cost to high-potential applicants in . Science of Smart Cities: Developed by NYU Tandon engineering students, Science of Smart Cities introduces middle school students to the engineering, science, and technology that make cities more livable, efficient, sustainable, and safer, using hands-on activities, demonstrations, and experiments. Science of Smart Cities will also be offered on-site at one New York City school in each borough as part of the NYC Department of Education's summer programming. Developed by NYU Tandon engineering students, Science of Smart Cities introduces to the engineering, science, and technology that make cities more livable, efficient, sustainable, and safer, using hands-on activities, demonstrations, and experiments. Science of Smart Cities will also be offered on-site at one school in each borough as part of the NYC Department of Education's summer programming. Tech Kids Unlimited: Technology can be a great equalizer for those with learning difficulties, and workshops by Tech Kids Unlimited aim to provide special-needs students, ages 7 to 19, with the 21st-century technology tools they require for success. Modules include Video Editing, Coding with MinecraftEDU, Website Design, 3D Printing, Sound Mixing for Podcasts, Stop Motion Animation, and Virtual Reality with Google Cardboard. NYU Tandon Adjunct Professor Beth Rosenberg founded the program after realizing that her son, who learns differently, loved technology but wasn't being exposed to it during the school day. Technology can be a great equalizer for those with learning difficulties, and workshops by Tech Kids Unlimited aim to provide with the 21st-century technology tools they require for success. Modules include Video Editing, Coding with MinecraftEDU, Website Design, 3D Printing, Sound Mixing for Podcasts, Stop Motion Animation, and Virtual Reality with Google Cardboard. NYU Tandon Adjunct Professor founded the program after realizing that her son, who learns differently, loved technology but wasn't being exposed to it during the school day. The ACE (Architecture, Construction and Engineering) , Mentor Program, hosted by the NYU Tandon Construction Management program during the school year, provides industry mentors for high school students considering careers in design and construction. This summer the NYU Office of Facilities and Construction Management (FCM) will provide four paid internships. Students will be assigned to project managers in charge of architecture, mechanical engineering, electrical engineering, construction, facilities, code consulting, and environmental, health and safety areas. They will attend project meetings, conduct site visits, and attend weekly presentations by FCM staff. , hosted by the NYU Tandon Construction Management program during the school year, provides industry mentors for considering careers in design and construction. This summer the NYU Office of Facilities and Construction Management (FCM) will provide four paid internships. Students will be assigned to project managers in charge of architecture, mechanical engineering, electrical engineering, construction, facilities, code consulting, and environmental, health and safety areas. They will attend project meetings, conduct site visits, and attend weekly presentations by FCM staff. College Credit Courses: High school students who want to get a jump on college-credit courses or simply explore hot fields of study can enroll in a variety of subjects. These tuition courses include several sections of calculus as well as Introduction to Engineering and Design, a three-credit course that will provide a working knowledge of contemporary engineering practice and will culminate in designing and building a robot. NYU Ability Studio will also host a day-long visit by middle and high school students participating in the summer session of Girls Who Code, sponsored by AT&T. The program aims to teach girls about career paths in technology and coding and how to become change agents in their communities. The interdisciplinary Ability Studio in the Media and Games Center (MAGNET) is dedicated to the study of disability and the development of accessible, assistive, and rehab technologies. Touching Those Who Reach the Next Generation In addition to hosting the students, STEMNow plays an integral part in helping NYU Tandon fulfill its pledge to the White House to educate 500 teachers and positively impact 50,000 public school students throughout New York City in the coming decade. NYU Tandon Dean Sreenivasan had made the pledge in December 2014, during the White House College Opportunity Day of Action, when hundreds of higher education leaders joined to support President Obama's goal of making the United States the world leader in college attainment. Teachers will take part in: Discovery Research (DR) for Teachers: Twenty middle school science and math teachers will spend three weeks at NYU Tandon as part of a comprehensive year-round STEM professional development program, funded by a $2.5 million grant from the NSF DR K-12 program. NYU will field a team of interdisciplinary experts in robotics, engineering, education, curriculum design, and assessment to make robotics central to and sustainable in the city's science and math classrooms. Math and science teachers return to their schools supported by NYU Tandon graduate students. Twenty middle school science and math teachers will spend three weeks at NYU Tandon as part of a comprehensive year-round STEM professional development program, funded by a grant from the NSF DR K-12 program. will field a team of interdisciplinary experts in to make robotics central to and sustainable in the city's science and math classrooms. Math and science teachers return to their schools supported by NYU Tandon graduate students. Research Experience for Teachers (RET) in Cybersecurity: Home of one of the oldest and most recognized cybersecurity programs in the country, NYU Tandon hosts training and research opportunities for high school teachers, particularly those from schools with socially diverse, economically disadvantaged, and under-represented student bodies. NYU Tandon faculty members teach how to engage students in hardware and software security and digital forensics, thereby opening high- demand career paths. During the six-week program, teachers also aim to complete a publishable research paper. Sponsored by the NSF RET Site program, the NYU Tandon session sends teachers back to their own schools with the knowledge, curriculum, and hands-on demonstrations to launch programs there. Teachers will also prepare their students to participate in NYU Tandon's CSAW the world's biggest set of student challenges in hacking, protection, and digital forensics. Home of one of the oldest and most recognized cybersecurity programs in the country, NYU Tandon hosts training and research opportunities for high school teachers, particularly those from NYU Tandon faculty members teach how to engage students in hardware and software security and digital forensics, thereby opening high- demand career paths. During the six-week program, teachers also aim to complete a publishable research paper. Sponsored by the NSF RET Site program, the NYU Tandon session sends teachers back to their own schools with the knowledge, curriculum, and hands-on demonstrations to launch programs there. Teachers will also prepare their students to participate in NYU Tandon's CSAW the world's biggest set of student challenges in hacking, protection, and digital forensics. Cybersecurity for College Instructors RET: A similar NSF-funded camp educates college instructors and prepares them to develop information security programs for their community colleges and four-year institutions. A similar NSF-funded camp educates college instructors and prepares them to develop information security programs for their community colleges and four-year institutions. STEM Summer in the City 2016: As part of the Department of Education's Summer in the City STEM 2016 program, NYU Tandon will run its Science of Smart Cities for 300 high school students. Highlights include training for 15 teachers, both on the NYU Tandon campus and embedded during the summer program off-campus. This program, too, aims to serve teachers in schools with diverse, under-represented student populations. NYU Tandon receives generous support for its K12 STEM Education Center programs from Consolidated Edison, Depository Trust & Clearing Corporation (DTCC), ExpandED Options, Fund for Public Schools, National Grid, National Science Foundation (NSF), National Security Agency (NSA), New York City Department of Education, Pinkerton Foundation, Siegel Family Endowment, Verizon, Joanne and Fred Wilson, and the Xerox Foundation. For more information on STEMNow, visit http://engineering.nyu.edu/k12stem. For information on summer credit courses, visit http://engineering.nyu.edu/highschoolsummer. To register for Tech Kids Unlimited, visit http://www.techkidsunlimited.org/register. Join the conversation at #STEMNow. Why STEM Matters at NYU Tandon The National Action Council for Minorities in Engineering (NACME) recently reported that African Americans represent just 3.6 percent of the engineering workforce and earn only 4 percent of the bachelor of science degrees in engineering conferred annually. By contrast, 90 percent of the students served by STEMNow come from communities historically underrepresented in STEM disciplines and more than 80 percent from low-income families. Its goal is to democratize access to the kind of high-quality instruction required to succeed in STEM higher education and an increasingly competitive global economy. A gender gap exists in addition to the racial and economic ones: Although women comprise 50 percent of the overall labor force, only a quarter of the people working in STEM fields are female, and in the sectors of cybersecurity and IT, the numbers are even worse 10 percent and 8 percent, respectively. STEMNow programming, the vast majority of which is totally free of charge to all participants, also seeks to address that issue, with offerings specifically geared towards young women. Across all Center for K-12 Education programs, more than half of summer participants are female. GenCyber is exclusively for young women, and 70 percent of ARISE students this summer are female. The NYU Tandon School of Engineering dates to 1854, when the NYU School of Civil Engineering and Architecture as well as the Brooklyn Collegiate and Polytechnic Institute (widely known as Brooklyn Poly) were founded. Their successor institutions merged in January 2014 to create a comprehensive school of education and research in engineering and applied sciences, rooted in a tradition of invention, innovation and entrepreneurship. In addition to programs at its main campus in downtown Brooklyn, it is closely connected to engineering programs in NYU Abu Dhabi and NYU Shanghai, and it operates business incubators in downtown Manhattan and Brooklyn. For more information, visit http://engineering.nyu.edu. facebook.com/nyupoly @nyupoly Logo - http://photos.prnewswire.com/prnh/20151013/276541LOGO To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/nyu-tandon-invites-nyc-students-and-teachers-to-take-a-deep-dive-into-the-stem-pool-300296482.html SOURCE NYU Tandon School of Engineering [ Back To TMCnet.com's Homepage ] [July 11, 2016] PA Wine Shipping Law Fails Consumers and State Unknown to many is that while the recent Pennsylvania alcohol reform legislation allowed direct consumer wine shipments from out of state wineries, it also banned consumers from having wine shipped to them from out-of-state wine stores, Internet wine retailers, wine auction houses and wine-of-the-month clubs. The National Association of Wine Retailers is calling on Pennsylvania lawmakers to revisit its recent direct wine shipping legislation and provide for legal shipments of wine from out-of-state wine retailers. Without such a fix, Pennsylvania consumers will be banned from having all imported wines (only sold by wine retailers, not wineries) shipped directly to them. Additionally, the ban on shipments of wine from out-of-state wine retailers will certainly lead to a black market and the loss of millions of dollars in tax revenue for the state. "Whether an oversight whenthe legislation was drafted or just old fashioned protectionism and discrimination, the ban on wine shipments from out-of-state retailers to Pennsylvania consumers written into the recent alcohol reform law makes no sense," said Tom Wark, executive director of the National Association of Wine Retailers. "By banning shipments of wines from out-of-state retailers you create a black market that will cost the state millions in tax revenue that would otherwise be collected if out-of-state retailer were included in the new wine shipping legislation." The National Association of Wine Retailers proposes allowing out-of-state wine retailers to ship to Pennsylvania consumers on the same basis as out-of-state wineries are allowed. This would include the requirement that the same taxes be collected and remitted to the state and that limits be placed on how much wine could be shipped to individual consumers over the course of a year. "Beyond the tax implications of ban, the flawed law means Pennsylvania consumers may not order any French, Italian, Spanish, German, Australian or any other imported wine over the internet since only retailers sell imported wines," said Wark. Although the new wine shipping law allows foreign wineries to ship wine direct to Pennsylvania consumers, it is against federal law for out-of-country wineries to import wine direct to consumers. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711006352/en/ [ Back To TMCnet.com's Homepage ] [July 11, 2016] For Second Year, Independent/Provider-Sponsored Plans Post Declines in Administrative Costs In 2015, administrative expenses of Independent/Provider-Sponsored plans decreased at a median rate 0.1% per member, following last year's decrease of 0.4%. Medical and Provider Management decreased by 6.1%. Account and Membership Administration costs accelerated to a 4.0% increase, up from 1.1% last year. Information Systems expense growth was central. By contrast, Medical and Provider Management costs declined, owing chiefly to reductions in Medical Management costs. These comparisons eliminate any effects of product and universe changes. The median total cost was $41.04 per member per month (PMPM), lower than the prior year's $42.14 PMPM. While the product mixes and the participants differed, of the prior year's participants, 81% participated in the current cycle. These trends also exclude Miscellaneous Business Taxes, largely stemming from the Affordable Care Act. Miscellaneous Business Taxes increased by 14.6% and, at $6.62 PMPM, now comprise 15% of total administrative costs. In 2013, the median tax was $0.61 PMPM. Additional information was published recently in Plan Management Navigator, and is posted at sherlockco.com/Navigator/IPS. We will discuss the results via web conference on Wednesday, July 13 from 2:00 PM to 3:00 PM Eastern Daylight Time. Douglas Sherlock will offer a brief presentation, followed by questions and answers. To participate in the web conference, please register at sherlockco.com/webinar. Once registered, dial-in information and a link to connect will be provided in a confirmation email. There is no charge for your participation. The Navigator analysis excerpts from the 2016 Independent/Provider-Sponsored plan edition of the Sherlock Expense Evaluation Report (SEER). This benchmarking study analyzes in-depth surveys of 21 IPS plans 10.8 million members. The sample is very robust being comprised of, apparently, a very large share of such plans. A challenging economy and the Affordable Care Act make streamlining administrative costs a high priority for health plans. An express purpose of the MLR rule is to "create incentives to become more efficient." SEER provides the initial step in this process by helping health plans identify and prioritize cost variances. Besides the Independent/Provider-Sponsored universe, other universes include Blue Cross Blue Shield Plans, Medicare plans and Medicaid plans. Collectively, the 45 participating plans serve nearly 60 million insured Americans. This is the 19th consecutive year of the Sherlock Benchmarks. With cumulative experience of 740 health plan years, they are "the gold standard" of benchmarks used to measure and manage health plan administrative activities. Sherlock Company (www.sherlockco.com), based in North Wales, Pennsylvania, provides informed solutions for health plan financial management. Since its founding in 1987, Sherlock Company has been known for its impartiality and technical competence in service to its clients. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711006262/en/ [ Back To TMCnet.com's Homepage ] [July 10, 2016] Cold Storage Construction Market Worth 10.47 Billion USD by 2021 PUNE, India, July 11, 2016 /PRNewswire/ -- The report "Cold Storage Construction Market by Storage Type (Production Stores, Bulk Stores, Ports), Application (Food & Beverages, Medical Products, Chemicals), and Region (Asia-Pacific, North America, Europe) - Global Forecasts to 2021", published by MarketsandMarkets, The global market is projected to reach USD 10.47 Billion by 2021, at a CAGR of 14.0% from 2016 to 2021. (Photo: http://photos.prnewswire.com/prnh/20160303/792302 ) Browse 111 market data Tables and 54 Figures spread through 179 Pages and in-depth TOC on "Cold Storage Construction Market ". http://www.marketsandmarkets.com/Market-Reports/cold-storage-construction-market-85189651.html Early buyers will receive 10% customization on this report. Growth in the trade of perishable foods globally, technological advancements in refrigerated storage & transport, and the increase in demand for perishable foods is expected to drive the growth of the market in the near future. Food & Beverages was the fastest-growing application segment of the global cold storage construction market The food & beverages segment of the cold storage construction market is expected to witness significant growth in the coming years. Increasing food wastage worldwide is motivating the government of countries worldwide and they are providing subsidies to food producers to utilize cold stores to avoid such a scenario. Production stores was the largest segment of the cold storage market Based on type, the Cold Storage Construction Market has been segmented into production stores, bulk stores, ports, and others. Production stores were the largest segment of the market in 2015. Production stores are used to keep raw material, semi-finished, and finished products in the cold stores. It is intended for cold processing and the storage of chilled or frozen food products. Production stores are usually constructed near areas where roducts are manufactured. Make an Inquiry @ http://www.marketsandmarkets.com/Enquiry_Before_Buying.asp?id=85189651 Asia-Pacific was the largest market for global cold storage construction market in 2015, globally Asia-Pacific was the largest market for cold storage construction in 2015, owing to the increasing demand of cold storage construction in developing economies such as India and China. Companies in this region are investing to develop and operate innovative and cost-competitive cold storage constructions. India, China, and Japan are the region's top cold storage construction players. Key players operational in the cold storage construction market include AmeriCold Logistics (U.S.), Proffered Freezer Services (U.S.), Burris Logistics (U.S.), Lineage Logistics Holding LLC (U.S.) and Nichirei Logistics Group, Inc. (Japan), among others. Browse Related Reports: Refrigerant Market, by Type (Fluorocarbon, Inorganics, and Hydrocarbons), and by Application (Domestic, Commercial, Transportation, Industrial, Stationary Air Conditioning, Chillers, and Mobile Air Conditioning) - Global Trends & Forecasts to 2020 http://www.marketsandmarkets.com/Market-Reports/refrigerant-market-1082.html Chillers Market, by Type (Screw, Scroll, Centrifugal, Absorption, and Reciprocating), by End-Use Industry (Plastics, Chemicals & Petrochemicals, Medical & Pharmaceutical, Rubber, Food & Beverage, and Others), and by Region - Global Forecast to 2021 http://www.marketsandmarkets.com/Market-Reports/chiller-market-149638848.html Know More About our Knowledge Store @ http://www.marketsandmarkets.com/Knowledgestore.asp About MarketsandMarkets MarketsandMarkets is the world's No. 2 firm in terms of annually published premium market research reports. Serving 1700 global fortune enterprises with more than 1200 premium studies in a year, M&M is catering to a multitude of clients across 8 different industrial verticals. We specialize in consulting assignments and business research across high growth markets, cutting edge technologies and newer applications. Our 850 fulltime analyst and SMEs at MarketsandMarkets are tracking global high growth markets following the "Growth Engagement Model - GEM". The GEM aims at proactive collaboration with the clients to identify new opportunities, identify most important customers, write "Attack, avoid and defend" strategies, identify sources of incremental revenues for both the company and its competitors. M&M's flagship competitive intelligence and market research platform, "RT" connects over 200,000 markets and entire value chains for deeper understanding of the unmet insights along with market sizing and forecasts of niche markets. The new included chapters on Methodology and Benchmarking presented with high quality analytical infographics in our reports gives complete visibility of how the numbers have been arrived and defend the accuracy of the numbers. We at MarketsandMarkets are inspired to help our clients grow by providing apt business insight with our huge market intelligence repository. Contact: Mr. Rohan Markets and Markets UNIT no 802, Tower no. 7, SEZ Magarpatta city, Hadapsar Pune, Maharashtra 411013, India Tel: +1-888-600-6441 Email: [email protected] Visit MarketsandMarkets Blog @ http://www.marketsandmarketsblog.com/market-reports/chemical Connect with us on LinkedIn @ http://www.linkedin.com/company/marketsandmarkets [ Back To TMCnet.com's Homepage ] Minneapolis, MN, July 11, 2016 (GLOBE NEWSWIRE) -- News Release Dr. Michael Sterns Joins PetVivo Advisory Board MINNEAPOLIS, MN July 11, 2016 PetVivo Holdings, Inc. (OTCPINK:PETV) an emerging biomedical device company focused on the commercialization of innovative medical devices and therapeutics for pets is very pleased to announce that Michael Sterns, DVM has joined the PetVivo Veterinary Advisory Board. Dr. Sterns brings PetVivo more than thirty years of clinical and commercial experience in the veterinary field. Dr. Sterns earned his BS in Biological Sciences (magna cum laude, Phi Beta Kappa) from the University of Southern California in 1980. After graduating from the UC Davis School of Veterinary Medicine in 1984, he began his career as an Equine Veterinarian. Dr. Sterns earned his MBA from the Haas School of Business at UC Berkeley in 1990, and spent the next twenty-three years raising greater than $200 million in private and public equity, negotiating licensing deals, and building start-ups in human and veterinary therapeutics, medical devices and research tools. Dr. Sterns returned to clinical practice in 2012, and is now a mobile Veterinaruan with VetPronto, a san Francisco Bay Area start up, and also practices part time general small animal medicine and surgery at Alta View Animal Hospital in Mountain View, California. We are extremely pleased to have Dr. Sterns join our Advisory Board, commented PetVivos CEO John Lai, Michael brings a unique blend of large and small animal practice experience in addition to extensive industry experience to help guide PetVivos growth. PetVivos lead product, Kush Canine, a Veterinarian-administered joint injection for the treatment of osteoarthritis in dogs, is available for sale in limited quantities to key opinion leaders, with a targeted launch slated for later this year. You can learn more about Kush Canine and PetVivo by visiting the companies website at www.petvivo.com About PetVivo Holdings, Inc. PetVivo Holdings Inc. (OTCPINK: PETV) is an emerging biomedical device company focused on the licensing and commercialization of innovative medical devices for pets and pet therapeutics. PetVivo is leveraging investments made in the human medical device industry to commercialize therapeutics for pets in a capital and time efficient way. A key component of this strategy is the accelerated timeline to revenues for veterinary medical devices, which enter the market much earlier than the more stringently regulated pharmaceuticals. PetVivo's strategy is to commercialize proprietary products from human medical device companies specifically for the companion animal market. The companys product pipeline includes seventeen animal and human therapeutics. A portfolio of twenty patents protects the companys products, production processes and biomaterials. PetVivos lead product, a veterinarian-administered joint injection for the treatment of osteoarthritis in dogs, is scheduled for commercial launch later this year. The Company will also be seeking licensing partners to commercialize its portfolio of proprietary human clinical therapeutics in large market sectors, including: cardiovascular, orthopedic, urology and aesthetics. PetVivos Corporate Presentation can be found here: http://petvivo.com/wp-content/uploads/2016/04/PetVivo-Corp-Deck-04-01-16.pdf Forward-Looking Statements: The foregoing material may contain forward-looking statements. We caution that such statements may be subject to uncertainties and that actual results could differ materially from the forward-looking statements. Readers accordingly should not place undue reliance on these forward-looking statements, which do not reflect unknown or unanticipated events or circumstances occurring after the date of these forward-looking statements. CONTACT: John Lai Chief Executive Officer PetVivo Holdings, Inc. Email: info@petvivo.com Phone: 612-296-7305 [July 11, 2016] Pratt & Whitney, Rolls-Royce Team Up to Provide Comprehensive Support for UK F-35B at RAF Marham FARNBOROUGH, United Kingdom, July 11, 2016 /PRNewswire/ -- Pratt & Whitney, a division of United Technologies Corp. (NYSE: UTX), and Rolls-Royce will join forces to provide comprehensive technical support at Royal Air Force Marham to support F135 engines and the LiftSystems on the U.K.'s F-35B Lightning II aircraft. The two industry-leading engine companies will institute a Performance-Based Logistics (PBL) approach to sustainment for the propulsion systems. A PBL contracting structure incentivizes contractors to focus on outcomes such as propulsion system availability, leading to greater efficiencies, mutual cost reductions, and operator benefits. The companies formalized the new working relationship by signing a Memorandum of Understanding, agreeing to increase levels of cooperation and improve synergy as they join forces in support of the customer. The agreement defines how the companies will collaborate and grow their sustainment capabilities. Pratt & Whitney, lead propulsion integrator for the F-35 program, will focus primarily on system-level performance of its F135 engines. Rolls-Royce will lead on support for the LiftSystem technology the company developed and produced, while also undertaking some delegated support work on the main F135 engine. The two companies will also look to duplicate this support model for other F-35B customers. "With this new agreement, we'll be able to provide optimum service and sustain the F135 Propulsion System and the LiftSystem to meet the growing global demands of the F-35 customer base," said Bennett Croswell, president, Pratt & Whitney Military Engines. "This new agreement demonstrates the commitment from both compnies to keep the customer at the heart of what we do, focusing on meeting their needs in the most cost-effective and efficient manner possible," said Chris Cholerton, Rolls-Royce president Defence Aerospace. "Rolls-Royce has been supporting the U.K.'s military aircraft fleets for more than 100 years, and we look forward to matching the innovation of this fantastic aircraft with an equally innovative support program." The new agreement will focus the strengths of both companies to provide the best possible service solution in a more affordable and effective way for customers. The agreement will draw the companies closer together and lay the groundwork for further enhancing support for the propulsion systems in the future. Pratt & Whitney and Rolls-Royce already support F-35B aircraft flown by the U.K. and U.S. Marine Corps across the United States. About Pratt & Whitney Pratt & Whitney is a world leader in the design, manufacture and service of aircraft engines and auxiliary power units. United Technologies Corp., based in Farmington, Connecticut, provides high-technology systems and services to the building and aerospace industries. To learn more about UTC, visit its website at www.utc.com, or follow the company on Twitter: @UTC. This press release contains forward-looking statements concerning future business opportunities. Actual results may differ materially from those projected as a result of certain risks and uncertainties, including but not limited to changes in government procurement priorities and practices, budget plans and availability of funding, and in the number of aircraft to be built; challenges in the design, development, production and support of advanced technologies; as well as other risks and uncertainties, including but not limited to those detailed from time to time in United Technologies Corp.'s Securities and Exchange Commission filings. For more information about Pratt & Whitney, visit http://www.pratt-whitney.com. Matthew Bates George McLaren Pratt & Whitney Military Engines Rolls-Royce Communications Manager Communications Manager - Defense 860-371-9857 317-366-9624 [email protected] [email protected] To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/pratt--whitney-rolls-royce-team-up-to-provide-comprehensive-support-for-uk-f-35b-at-raf-marham-300296329.html SOURCE Pratt & Whitney [ Back To TMCnet.com's Homepage ] [July 11, 2016] UN Secretary-General Emphasizes South-South Cooperation for a Clean Energy Future HANGZHOU, July 11, 2016 /PRNewswire/ -- On July 9th, the United Nations Secretary-General Ban Ki-moon visited the International Centre for Small Hydro Power (ICSHP) in Hangzhou, as part of his official visit to China. The centre which provides technical expertise and capacity building for the United Nations Development Programme's (UNDP) renewable energy technology transfer projects in Ghana and Zambia, held a session on South-South Cooperation to highlight how China's knowledge and expertise can be used to address the growing need for renewable energy through south-south cooperation. "The centre and its partners are good examples of how organisations can form partnerships through south-south cooperation to help achieve the sustainable development goals", said the Secretary-General during his opening remarks. "Innovative trilateral cooperation projects, such as the Renewable Energy Technology Transfer project is a good examples of your centre's dedication to supporting goal number 17 (Partnerships for the goals)." China is increasingly playing a pioneering role in engaging its partners through South-Soth and trilateral cooperation on the basis of mutual learning, win-win cooperation, trust and respect. UNDP's trilateral cooperation projects provide support and access to electricity for rural communities in Zambia and Ghana, through the creation of an enabling environment for renewable energy technology deployment and up-scaling. ICSHP is a key stakeholder and resource, providing expertise and capacity building to help countries address the urgent need for clean energy. "Small Hydropower provides a practical solution to the rural electrification as well as poverty alleviation, economic growth and climate change mitigation in the developing countries," highlighted Professor Cheng Xialei, Director-General of ICSHP. Addressing climate change is a top priority for the United Nations, with the newly adopted Sustainable Development Goals (SDGs) emphasizing the importance of affordable and clean energy (SDG 7) to mitigate the impacts of climate change around the world. "South-South cooperation for climate change and other thematic areas offers a unique opportunity to share China's knowledge to make the world a better place," noted Patrick Haverman, Deputy Country Director for UNDP China during the session. The Secretary-General took an official visit to China from 6-10 July, to meet with President Xi Jinping as well as other senior officials, including Premier Li Keqiang, State Councillor Yang Jiechi and Foreign Minister Wang Yi. About the International Centre on Small Hydro Power (ICSHP) The International Centre on Small Hydro Power is a public and non-profit institution directly under auspices of United Nations Industrial Development Organization (UNIDO), China's Ministry of Water Resources and Ministry of Commerce. UNDP partners with people at all levels of society to help build nations that can withstand crisis, and drive and sustain the kind of growth that improves the quality of life for everyone. On the ground in around 170 countries and territories, we offer global perspective and local insight to help empower lives and build resilient nations. www.undp.org Get in touch: UNDP on Weibo | Media Contacts | WeChat ID: undpchina More resources: Our work | UNDP News [ Back To TMCnet.com's Homepage ] [July 11, 2016] Lendico and PostFinance Launch Joint Venture in Switzerland BERLIN and BERN, Switzerland, July 11, 2016 /PRNewswire/ -- Lendico, the online crowdlending marketplace which operates internationally, is moving into the corporate credit market in Switzerland. It is making this step in collaboration with the Swiss PostFinance, which will be a joint venture partner in Lendico Schweiz AG. The international online credit marketplace Lendico is continuing its expansion in Europe by founding Lendico Schweiz AG on 8 July 2016. From the last quarter of 2016 onwards, the company will facilitate crowdfunding for small and medium-sized enterprises (SMEs) in Switzerland. It is entering the market in close collaboration with PostFinance, a subsidiary of Schweizerische Post. With a balance sheet total of more than 119 billion Swiss francs PostFinance is among the five largest Swiss banks and the market leader regarding payment transactions. Together the partners would like to establish a new form of SME financing in Switzerland. The aim of the joint venture is to provide the numerous Swiss SMEs with a modern alternative to traditional bank financing. The two partners are contributing their complementary expertise in customer contact and the entire lending and repayment process to the joint venture. A milestone in the expansion of an international credit marketplace "With 110 years of experience in Swiss banking services and around three million customers, we can think of no better partner than PostFinance for our entry into the Swiss market. As part of the continued expansion of an internationl credit marketplace, this joint venture represents a significant step in our business development," says Dr Dominik Steinkuhler, co-founder and managing director of Lendico. From niche status to the mass market Hansruedi Kong, CEO of PostFinance, is delighted to be able to join forces with Lendico, a partner which has established itself and enjoyed success internationally in a rapidly expanding industry. "Our vision for this cooperation is to take crowdlending in Switzerland from niche status to the mass market. The combination of Lendico's innovative capacity and our structures in Switzerland offers the best conditions for Lendico Schweiz AG to become a market leader in the future." About Lendico As a marketplace for private and corporate credit which operates internationally, Lendico brings borrowers and investors into direct contact. The company, founded in 2013 by Rocket Internet in Berlin, operates in eight countries worldwide, including the newly-launched venture in Switzerland. It was originally established purely as a credit marketplace for private individuals, but in 2015 it expanded its services to include corporate credit. In the past few years, Lendico has increasingly developed into an established alternative to bank financing and has already been dubbed the best credit marketplace several times. About PostFinance PostFinance is one of Switzerland's leading financial institutions and, as the market leader in payment transactions, ensures a seamless daily flow of liquidity. Whether dealing with payments, savings, investments, retirement planning or financing, PostFinance meets its customers on their level, speaks their language and offers them straightforward products with attractive conditions. This makes it the ideal partner for everyone who wants to manage their own finances as easily as possible. PostFinance employs around 4,000 staff throughout Switzerland. About Crowdlending Crowdlending is a digital alternative to conventional corporate credit. Investors and borrowers can find each other directly on the online marketplace. In general, the marketplace assumes the responsibility for verifying the borrower's creditworthiness, processing the loan and forwarding repayments to the investors. Increasingly, it is small and medium-sized enterprises which are making use of the opportunity to acquire credit from online marketplaces. Key factors in this are the speed of the lending process, customers' experience of the application process and independence from commercial banks in financing matters. Investors are increasing their use of credit marketplaces as an alternative investment option, in order to achieve sufficient returns despite the current low-interest environment. Contact Jorg E. Jager GFD - Gesellschaft fur Finanzkommunikation mbH Phone: +49(0)69-97-12-47-35 Mobile: +49(0)151-61036068 Email: [email protected] [ Back To TMCnet.com's Homepage ] [July 11, 2016] XpertDox Launches a New Personalized Hospital Finder Tool BIRMINGHAM, Ala., July 11, 2016 /PRNewswire/ -- On July 1st 2016, XpertDox launched the new hospital finder search functionality tool. This functionality will enable the patients to find the best hospitals for a particular disease, procedure or specialty. XpertDox has now extended their XpertMatch score to include almost all the hospitals in the U.S. for approximately 5500 diseases, medicines, procedures and specialties. For example, if you had Hashimoto's Disease, XpertDox identifies Boston Medical Center, MA and Mount Sinai Hospital, NY as the best hospitals to get care for tis disease. Additionally, XpertDox also lists the best doctors who are affiliated with the hospital and are experts in that disease such as Dr Elizabeth N Pearce at Boston Medical Center, MA for Hashimoto's Disease. In addition to XpertMatch scores, XpertDox also lists hospital performance scores. These performance scores cover critical domains of clinical expertise, patient experience, outcomes and efficiency of care which patients will find highly useful when making the vital decision regarding where to get care for their disease. XpertDox is a website focused exclusively on improving care of patients with rare and/or serious diseases. They have already built a database of 130 specialties, 4,000 diseases, 200 procedures and 1,000 medicines. Powered by their proprietary algorithm, XpertDox evaluates all doctors and hospitals in the U.S. in the five critical domains of clinical expertise, research, educational contributions, leadership role among peers and recognition as an expert. Based on these criteria, XpertDox calculates an XpertMatch score. A high score indicates a high degree of match to the patient's question which could be a disease, procedure, medicine or specialty. Within 3 months of launch XpertDox has received praise from the medical community because of the unbiased nature and accuracy of search results. This press release was issued through 24-7PressRelease.com. For further information, visit http://www.24-7pressrelease.com. To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/xpertdox-launches-a-new-personalized-hospital-finder-tool-300296307.html SOURCE XpertDox [ Back To TMCnet.com's Homepage ] [July 11, 2016] Expedia.com designated Spirit of St. Jude Award for Innovation by St. Jude Children's Research Hospital BELLEVUE, Wash., July 11, 2016 /PRNewswire/ -- ALSAC, the fundraising and awareness organization for St. Jude Children's Research Hospital, has awarded Expedia.com the "Spirit of St. Jude Award for Innovation" honor during its annual Volunteer Appreciation Awards Dinner held at the Domino's Event Center on the campus of St. Jude. Every year the ALSAC/St. Jude Boards of Directors and Governors honors outstanding volunteers, donors, organizations and corporate partners from across the country for their support and commitment to the lifesaving mission of St. Jude. Richard Shadyac Jr., President and CEO of ALSAC, presented the 2016 awards. This year, Expedia received the award for its unwavering commitment and support of the hospital's mission. In partnership with St. Jude, Expedia launched the "Dream Adventures" initiative, featuring four patients. Each child was asked to share their passions and dream travel adventure, which ranged from playing with monkeys and running with horses to digging for dinosaurs and swimming in the sea. In response, Expedia dispatched a team of employees, each armed with a 360 camera and live-streaming technology, to Argentina, Miami and Playa del Carmen, Mexico, to bring the adventures to life. The teams captured the experiences in real time, and live footage was projected onto the floor, walls and ceiling of a four-wall virtual reality installation at the hospital. Through this innovation, Expedia was able to bring a truly immersive travel experience to the kids of St. Jude. Each child was able to explore, direct the actions ofthe on-site trekker and immerse themselves in the experience. Expedia is also leveraging the campaign to encourage its customers to donate their Expedia+ points directly to the hospital. The Expedia+ rewards program confers points to travelers based on each flight, hotel, car or activity they book across Expedia channels. Typically, Expedia+ points can be redeemed for travel. Now, Expedia+ points can be converted to a monetary value. "The St. Jude Children's Research hospital is a miracle. It is a hugely vital institution that relies on the support of outside companies and individuals to continue to thrive," said Dara Khosrowshahi, chief executive officer, Expedia, Inc. "Expedia is proud to stand alongside the global community in supporting St. Jude." St. Jude Children's Research Hospital is leading the way the world understands, treats and defeats childhood cancer and other life-threatening diseases. Because the majority of St. Jude funding comes from individual contributions, St. Jude has the freedom to focus on what matters most saving kids regardless of their financial situation. Expedia, Inc. remains committed to supporting St. Jude. To view videos from the "Dream Adventures" campaign, and to donate directly, please head here. About Expedia.com Expedia.com is one of the world's largest full service travel sites, helping millions of travelers per month easily plan and book travel. Expedia.com (https://www.expedia.com/, 1-800-EXPEDIA) aims to provide the latest technology and the widest selection of top vacation destinations, cheap tickets, hotel deals, car rentals, destination weddings, cruise deals and in-destination activities, attractions, services and travel apps. With the Expedia Best Price Guarantee, Expedia.com customers can get the best rates available online for all types of travel. Expedia, Expedia.com, Expedia Rewards, Find Yours, Vacation Deprivation and the Airplane logo are either trademarks or registered trademarks of Expedia, Inc. in the U.S. and/or other countries. Other product and company names mentioned herein may be the property of their respective owners 2016 Expedia, Inc. All rights reserved. CST # 2029030-50. Web site: https://www.expedia.com/ About St. Jude Children's Research Hospital St. Jude Children's Research Hospital is leading the way the world understands, treats and defeats childhood cancer and other life-threatening diseases. It is the only National Cancer Institute-designated Comprehensive Cancer Center devoted solely to children. Treatments invented at St. Jude have helped push the overall childhood cancer survival rate from 20 percent to 80 percent since the hospital opened more than 50 years ago. St. Jude is working to drive the overall survival rate for childhood cancer to 90 percent, and we won't stop until no child dies from cancer. St. Jude freely shares the discoveries it makes, and every child saved at St. Jude means doctors and scientists worldwide can use that knowledge to save thousands more children. Families never receive a bill from St. Jude for treatment, travel, housing or food because all a family should worry about is helping their child live. Join the St. Jude mission by visiting stjude.org, liking St. Jude on Facebook (facebook.com/stjude) and following us on Twitter (@stjude). Logo - http://photos.prnewswire.com/prnh/20150123/170969LOGO Logo - http://photos.prnewswire.com/prnh/20160710/388128LOGO To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/expediacom-designated-spirit-of-st-jude-award-for-innovation-by-st-jude-childrens-research-hospital-300296035.html SOURCE Expedia, Inc. [ Back To TMCnet.com's Homepage ] [July 11, 2016] Altair and Progresstech Sign Memorandum of Understanding to Promote Technological and Engineering Interests TROY, Mich. and FARNBOROUGH, England, July 11, 2016 /PRNewswire/ -- Altair and Progresstech have today, at the International Farnborough Air Show, signed a Memorandum of Understanding under which Progresstech will leverage its engineering expertise and market positions to promote and distribute Altair's software technology and methods in Russia and the Commonwealth of Independent States (CIS). The terms of the partnership include the promotion of Altair's HyperWorks Suite, solidThinking products and Altair's methods for lead time reduction and weight optimization. Altair will support Progresstech to leverage and build competence in Altair's optimization methodology and both companies will engage jointly in engineering projects when beneficial to the companies' clients. Both parties will work on a formal distribution agreement for Progresstech to become an official HyperWorks reseller in Russia and the CIS. "I am very pleased with this agreement," said Dr. Pietro Cervellera, Managing Director, Altair Engineering GmbH. "Progresstech is a well-known and established player for advanced engineering services world wide. Their expertise and regional presence will help Altair, who has no direct local office in Russia, to establish the use of HyperWorks, solidThinking products and Altair's simulation driven innovation method in the Russian and related region's commercial and educational markets." "We are very happy to include the Altair's solutionsin our portfolio and to work with their methods," said Ekaterina Vasilieva, Vice President International Cooperation, Progresstech Group. "Altair provides a very unique set of solutions and we are planning to include the Altair software as the primary tool enhancing our engineering processes. This partnership will help us to reach and support new customers and Altair to establish their software and engineering methods in our region. We are looking forward to the benefits customers of both companies will gain from this partnership." About ALTAIR Altair is focused on the development and broad application of simulation technology to synthesize and optimize designs, processes and decisions for improved business performance. Privately held with more than 2,600 employees, Altair is headquartered in Troy, Michigan, USA and operates more than 45 offices throughout 22 countries. Today, Altair serves more than 5,000 corporate clients across broad industry segments. To learn more, please visit www.altair.com. About PROGRESSTECH Progresstech is a group of companies that provides global engineering solutions to aerospace, automotive, energy industries, as well as airport infrastructures services. The Group employs and leverages the talents of over 2000 professionals worldwide, having offices presented in Armenia, Cyprus, France, Latvia, Poland, Russia, Ukraine, and United States . Progresstech's strategy is aimed at preservation and development of the intellectual resources, scientific and practical experience, and proactive approach to formation of a different business environment around the world. For more information please visit: http://www.progresstech.aero/en. Media Contacts Altair: Altair Europe, the Middle East and Africa Evelyn Gebhardt +49 6421 9684351 [email protected] Altair Corporate / Americas Biba A. Bedi +1.757.224.0548 x 406 [email protected] Progresstech: Ekaterina Vasilieva +7 495 741 4645 ext. 124 [email protected] To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/altair-and-progresstech-sign-memorandum-of-understanding-to-promote-technological-and-engineering-interests-300296053.html SOURCE Altair [ Back To TMCnet.com's Homepage ] [July 11, 2016] Recycled Metal Market is Estimated to be Worth US$476.2 Billion by 2024; Global Industry Analysis, Size, Share, Growth, Trends, and Forecast 2016 - 2024: TMR ALBANY, New York, July 11, 2016 /PRNewswire/ -- Environmental sustainability has been the focus of most companies in various end-use industries and revamping strategic plans, policies, and developments to accommodate recycled materials is a trend that has gained much prominence in recent years. The growth of the recycled metals market is based on the rising consciousness and awareness of industries such as building and construction, automotive, and machinery manufacturing regarding the benefits of recycled metal. The urgent need to curb greenhouse gas emissions has also compelled industries to turn to eco-friendly raw materials and lower their environmental footprint. TMR predicts a steady outlook for the global recycled metal market for the period 2016 to 2024, registering a 4.8% CAGR therein. The opportunity in this market is poised to be worth US$476.2 bn by 2024, rising from US$312.9 bn in 2015. Rapidly Growing APAC Economies Boosting Demand for Recycled Metal from End-use Industries The global market for recycled metal comprises North America, Asia Pacific, Europe, Latin America, and the Middle East and Africa. Among these, Asia Pacific and Europe jointly dominate the overall market with a share of over 70% share in 2015. Get free research PDF for more Professional and Technical Industry Insights: http://www.transparencymarketresearch.com/sample/sample.php?flag=B&rep_id=11726 While China is the leading revenue generator in the Asia Pacific recycled metal market, India is estimated to expand at the fastest pace over the course of the forecast period. Other countries such as Malaysia, Singapore, Indonesia, South Korea, and Thailand also play a major role in the demand for recycled metal. The continuous growth in economy and the rising GDP in these nations have rendered a tremendous boost to industries such as automotive, construction, and industrial machinery and also resulted in the surge in infrastructure activities. This, in turn, has contributed toward the demand for recycled metal in Asia Pacific. The growing use of recycled metal in Europe can be attributed to the increase in environmental awareness among consumers and manufacturers alike. In addition to this, stringent regulatory laws and supportive policies of various governments in the region have augmented the adoption of recycled metal, especially in countries such as Germany and Italy. The recycled metal markets in the Middle East and Africa as well as Latin America are projected to exhibit promising growth during the forecast period, fueled by the rise in building and construction activities, an increase in automobile production, and growing consumer awareness regarding the impact of greenhouse gases and the benefits of recycled metal. Browse Research Report with ToC & Free Analysis: http://www.transparencymarketresearch.com/metal-recyclng-market.html Recycled Iron and Steel Most Widely Used Metals Ferrous and non-ferrous metals are the two key product segments, with the former dominating the overall recycled metal market in 2015. Among ferrous metals, iron and steel are the most prominent product types and find application in the manufacturing of electrical devices, construction materials, industrial machineries and equipment, and vehicles. Among non-ferrous metals, recycled aluminum constitutes a significant share of the total demand for recycled metals. Recycled metals are used in industries such as automotive, building and construction, electrical and electronics, industrial machinery, and shipbuilding. Among these, the building and construction sector has been the largest end-user of recycled metals. The automotive sector, on the other hand, is projected to expand at the fastest pace during the forecast period. Demand for Recycled Metal Surges with Rapid Pace of Urbanization and Industrialization Recycled metals find application in several end-use industries such as building and construction, electrical and electronics, industrial machinery, automotive, and shipbuilding. The building and construction sector is the leading consumer of recycled metals, followed by the automotive industry. "The demand for recycled metal in the building and construction industry has risen owing to the surge in construction-related activities, especially in emerging economies," the author of the study notes. "This can be attributed to the rapid pace of urbanization and industrialization in these developing regions and the surge in infrastructure development." The demand for recycled metals in the automotive sector is likely to increase at the fastest pace from 2016 to 2024. The segment is anticipated to register a 4.5% CAGR during the forecast period, driven by the rising demand for recycled steel, iron, and aluminum. Steady Growth in Store for Global Market by Volume and Value The market for recycled metals is a massive one. The market is estimated to be worth US$476.2 bn by the end of the forecast period, increasing from US$312.9 bn in 2016 at a 4.8% CAGR therein. The global demand stands to grow at a 4.1% CAGR from 2016 to 2024. Asia Pacific is the largest regional market for recycled metals enjoying a volume share of 45.2% in 2015. By product, ferrous metals are estimated to continue leading the recycled metals market in terms of volume, registering a 4.1% CAGR during the forecast period. Browse In detail Regional Market Analysis: http://www.europlat.org/global-recycled-metal-market.htm This review is based on the findings of a TMR report titled "Recycled Metal Market: Global Industry Analysis, Size, Share, Growth, Trends, and Forecast 2015-2023." The recycled metal market has been divided into the following segment Recycled Metal Market - Product Segment Analysis Ferrous Non-ferrous Others (Including Precious Metals, etc.) Recycled Metal Market - End-user Analysis Building & Construction Automotive Electrical & Electronics Shipbuilding Industrial Machinery Others (Including Household Appliances, etc.) Recycled Metal Market - Regional Analysis North America U.S. Rest of North America Europe Germany France U.K. Italy Spain Rest of Europe Asia Pacific China India ASEAN Rest of Asia Pacific Latin America Brazil Rest of Latin America Middle East & Africa GCC South Africa Rest of Middle East & Africa Browse Other Research Report: Inorganic Metal Finishing Market: http://www.transparencymarketresearch.com/inorganic-metal-finishing-processes.html Powder Metallurgy Market: http://www.transparencymarketresearch.com/powder-metallurgy-industry.html About TMR Transparency Market Research (TMR) is a global market intelligence company providing business information reports and services. The company's exclusive blend of quantitative forecasting and trend analysis provides forward-looking insight for thousands of decision makers. TMR's experienced team of analysts, researchers, and consultants use proprietary data sources and various tools and techniques to gather and analyze information. TMR's data repository is continuously updated and revised by a team of research experts so that it always reflects the latest trends and information. With extensive research and analysis capabilities, Transparency Market Research employs rigorous primary and secondary research techniques to develop distinctive data sets and research material for business reports. Contact Transparency Market Research State Tower 90 State Street, Suite 700, Albany NY - 12207 United States Tel: +1-518-618-1030 USA - Canada Toll Free: 866-552-3453 Email: [email protected] Website: http://www.transparencymarketresearch.com Blog: http://www.tmrblog.com/ SOURCE Transparency Market Research [ Back To TMCnet.com's Homepage ] Lawrence County Council approve transfer and appropriation requests During Tuesday evenings meeting of the Lawrence County Council, budget transfers and additional appropriations were approved for county offices. Albany, NY, July 11, 2016 (GLOBE NEWSWIRE) -- A new study by Transparency Market Research (TMR), titled Home Healthcare Software - Product & Service Market - Global Industry Analysis, Market Size, Share, Trends, Analysis, Growth and Forecast 2016 - 2024, states that the rapid growth in the geriatric population across the world is the key driving force behind the rise of the worldwide home healthcare software market. The increasing need for home healthcare solutions for the better care of elderly people has boosted demand for these software significantly. Download PDF Brochure for Technical Insights: http://www.transparencymarketresearch.com/sample/sample.php?flag=B&rep_id=12188 Apart from this, technical advancements in home healthcare solutions are also fueling the demand for this software substantially in the global arena. The trend is expected to continue in the coming years. Over the forecast period, the global market for home healthcare software is likely to register remarkable growth on account of the cost-efficiency, ease of utilization, and effectiveness offered by these software. The rising awareness regarding individual healthcare among people is also expected to propel this market in the coming years, states the report. In this research study, analysts have evaluated the global market for home healthcare software on three fronts: Service, equipment, and the regional spread of this market. Based on the service, the market has been categorized into unskilled home healthcare services, respiratory therapy, infusion therapy, and rehabilitation services such as occupational therapy, speech therapy, and physical therapy. The infusion therapy segment is likely to register growth at a positive rate during the forecast period. By equipment, the report has classified the home healthcare software marketinto therapeutic equipment software, monitoring equipment software,and mobility assistance equipment software. Software used in advanced medical ventilators and accessories,oxygen delivery systems, continuous positive airway pressure products, and other home therapeutic equipment are the major software available in this market. Software used in diabetes care devices, at home pregnancy/fertility tests, heart rate meters, and home patient monitors are some of the key monitoring equipment software used for home healthcare. Asia Pacific, North America, Europe, and the Rest of the World have been identified as the key regional markets for home healthcare software in the research study. Braun Melsungen AG, C.R. Bard Inc., Convatec Inc., Coloplast A/S, Apria Healthcare Group Inc., Gentiva Health Services Inc., Invacare Corp., Eli Lilly and Co., GE Healthcare, Sunrise Medical Inc., Abbott Laboratories, Teleflex Inc., Covidien Plc., Baxter International Inc., Philips Healthcare, Bayer AG, Novo Nordisk, Medtronic Inc., Siemens Healthcare, and Fresenius SE &Co KGaA are the major participants in the global home healthcare software market, states the research report. Trending Reports by TMR: Medical Automation Market: http://www.transparencymarketresearch.com/medical-automation-market.html http://www.transparencymarketresearch.com/medical-automation-market.html Medical Device Technologies Market: http://www.transparencymarketresearch.com/medical-device-technologies-market.html About Us: Transparency Market Research (TMR) is a U.S. based provider of syndicated research, customized research, and consulting services. TMRs global and regional market intelligence coverage includes industries such as pharmaceutical, chemicals and materials, technology and media, food and beverages, and consumer goods, among others. Each TMR research report provides clients with a 360-degree view of the market with statistical forecasts, competitive landscape, detailed segmentation, key trends, and strategic recommendations. You have reached a premium content area of Transitions. To read this entire article please login if you are already a Transitions subscriber. Not a subscriber? Subscribe today for access to: Full access to the website, including premium articles videos, country reports and searchable archives (containing over 25,000 articles). West Caldwell, NJ and Toronto, Canada, July 11, 2016 (GLOBE NEWSWIRE) -- WEST CALDWELL, NJ and TORONTO, July 11, 2016 (GlobeNewsire/-) EastGate Biotech Corp. (Pink Sheets: ETBI), an emerging pharmaceutical company aimed at utilizing drug delivery innovations in the development of improved novel formulations and alternative dosage forms of existing biologically active molecules has announced that CEO Anna Gluskin recently completed an interview with Mr. Everett Jolly from Uptick Newswire. The interview is now available for review on Upticks website: https://upticknewswire.com/anna-gluskin-ceo-witheastgate-biotech-corp-discusses-their-new-delivery-for-insulin/ In the interview link above, Ms. Gluskin addresses the 4 main points that would be considered the most pressing questions to the company and main points the company would like to address to the public: Innovative insulin Delivery Methods. Traditional vs. EastGate\s mucosal delivery Financials and progress from R&D to selling to the retail market Distributions Channels The companys recent agreement with Proactive Solutions Cautionary statement on forward-looking information All statements, other than statements of historical fact, contained or incorporated by reference in this news release constitute "forward-looking information" or "forward-looking statements" within the meaning of certain securities laws, including the provisions for "safe harbour" under the United States Private Securities Litigation Reform Act of 1995 and are based on expectations, estimates and projections as of the date of this news release. The words "anticipates", "plans", "expects", "indicate", "intend", "scheduled", "estimates", "forecasts", "focus", "guidance", "initiative", "model", "methodology", "outlook", "potential", "projected", "pursue", "strategy", "study", "targets", or "believes", or variations of or similar such words and phrases or statements that certain actions, events or results "may", "could", "would", or "should", "might", or "way forward", "will be taken", "will occur" or "will be achieved" and similar expressions identify forward-looking statements. Forward-looking statements are necessarily based upon a number of estimates and assumptions that are inherently subject to significant business, economic and competitive risks, uncertainties and contingencies. The risks, estimates, models and assumptions contained or incorporated by reference in this release, include those identified from time to time in the reports filed by EastGate with the SEC, which should be considered together with any forward-looking statement. EastGate undertakes no obligation to update publicly any forward-looking statements, whether as a result of new information, future events or otherwise. The Samsung 850 EVO 4TB is the best consumer SSD on the market. The drive delivers an amazing amount of performance that we haven't seen since the SanDisk Extreme Pro. Samsung needed a large amount of spare area to reclaim the performance lead, and the SSD currently sells for $1,500, so it's not for everyone. We hope to see these selling for $1,200 on or around Black Friday. Why you can trust Tom's Hardware Our expert reviewers spend hours testing and comparing products and services so you can choose the best for you. Find out more about how we test . Specifications, Pricing, Warranty And Accessories The Samsung 850 EVO series first hit the market in 2014, and we've already had one update to increase density to 2TB. Samsung doubles the previous consumer SSD capacity king with a new 4TB model that utilizes the latest V-NAND technology. The combination of 4 TB of storage, 98,000/90,000 read/write IOPS and 540/530 MB/s of throughput may make this the best SATA SSD on the market. The Samsung 850 EVO is the best selling SSD series of all time and it proved that three-bit per cell (TLC) flash memory could successfully compete with MLC in the mainstream market. Samsung's TLC V-NAND memory is superior to MLC from other NAND flash manufacturers in both performance and endurance. The company has made steady updates to increase density since its initial release, which increases the amount of data the SSD can hold per square inch. Increasing the density lowers manufacturing costs and enables larger SSDs to come to market at better price points. Samsung utilizes its new 3rd-generation 3D V-NAND technology to reach 4TB. Samsung's new 48-layer V-NAND doubles capacity by packing 256Gbit into a single die, and the company stacks on raw capacity from there. The 4TB's configuration is nearly identical to the 850 EVO 2TB model that has 8 NAND packages with 16 die apiece. In the image, we see that 48-layer V-NAND is physically larger than 32-layer NAND. Image Courtesy of TechInsights We would love to have the new 4TB 850 EVO replace the 2TB model at the $699 price point (the 850 EVO 2TB's current MSRP is $799), but that is not possible. Samsung will recoup some research and development costs with this product, but it still manages to keep pricing at EVO levels (36 cents per gigabyte). The Samsung 850 EVO 4TB is not the first or only SSD to ship in this capacity. Other companies have reached this capacity already, such as SanDisks Optimus Max (4TB $2643 (opens in new tab)). Those products were geared for enterprise workloads and deemed too expensive for client use. In contrast, the Samsung 850 EVO is the first 4TB consumer-class product to enter the market, and it fulfills the promise of vertically stacked NAND through its increased capacity and high-performance. Technical Specifications Samsung initially released the 850 EVO in four capacities that ranged from 120GB to 1TB, and we learned later that the company considered a 2TB model, but passed it over at launch due to cost and market concerns. Samsung didn't predict enough sales at 2014 prices to make the 2TB product viable. After a year, and several price reductions for the entire 850 EVO product line, the 2TB came to market with only a small premium over the 1TB 850 EVO's original MSRP. Many of the 850 EVO products have changed since their first introduction. The larger SSDs moved from 2nd-generation to 3rd-generation V-NAND. The new firmware on the 3rd-generation V-NAND models increased performance slightly, but not enough for end users to spot the difference. SSDs reach high speeds by reading and writing to more than one die simultaneously, so every SSD is like a mini RAID array with data spread across the packages. The increased 48-layer density means that only half the number of NAND die are needed to reach each capacity point, which can hurt performance. Samsung only updated products with enough interleaving to retain or increase performance, so the company didn't change the smaller capacity 850 EVO SSDs. The Samsung 850 EVO 4TB features the same performance ratings of the other high capacity products. Samsung reports the 850 EVO 4TB delivers 540 MB/s sequential read and 520 MB/s sequential write speeds, and up to 98,000 random read and 90,000 random write IOPS. Samsung is one of the few companies that specs queue depth 1 random performance (as noted in the table). On paper, the new 4TB delivers the same performance as the previous high capacity drives, although we found slightly higher performance in our synthetic testing. Other features carry over as well. The 850 EVO series still supports data encryption through TCG Opal and Microsoft's eDrive, and TurboWrite is back and bigger than ever. The 4TB model features a massive 96GiB spare area, and the SSD uses half of that to write data quickly to NAND programmed as SLC space, which boosts performance. 48GB is enough to transfer an entire Blu-Ray ISO directly to the SLC buffer. Overprovisioning (OP), which is a spare area left in reserve, is a topic we often gloss over. We don't miss 8GB held in reserve on a 128GB SSD. The Samsung 850 EVO 4TB reserves a massive 96GiB for internal operations like wear leveling and garbage collection. The spare area is a significant block of capacity for internal use, and although it is a lower OP percentage compared to the small models, the massive spare area makes the SSD fast. The raw amount of spare area is more than any other consumer SSD we've tested to date, but the 4TB 850 EVO is also unlike any other. Samsung usually releases new capacities in pairs, with one new capacity point for the 850 EVO and another for the 850 Pro. You will notice this is not a dual-product review like our 850 2TB Update article. We don't have any insight into an 850 Pro 4TB coming to market, but given the performance of the 850 EVO 4TB, we don't think Samsung needs one. Samsung moved the 1TB and 2TB SSDs from 32-layer to 48-layer NAND, which gives us a chance to compare power specifications on an equal playing field. The only SSD we were able to find with accurate power measurements for both types of NAND was the 1TB. We list the DEVSLP power of 32-layer NAND at 4 mW in the table, but that drops to just 2 mW with newer 48-layer 256Gbit flash. The power consumption decreases on the current 48-layer models, but the performance doesn't change. Pricing, Warranty, And Accessories Before our NDA lift, we found the Samsung 850 EVO 4TB listed for sale online at $1,499. Over the weekend Amazon and Newegg both listed the EVO 4TB at the same $1,499 price point. The 850 EVO 4TB shares the same 5-year warranty as the other products in the series, but it is also limited by the same 300 terabytes written (TBW) endurance rating as the 2TB and 1TB models, which will cause quite a stir with some of our readers. Samsung uses the TBW rating, which is a measurement of how much data the SSD can absorb, for warranty purposes. Independent tests show that Samsung's V-NAND survives well beyond the TBW warranty period, but very few studies have used the strict JEDEC guidelines to make such claims, and there is more to endurance ratings than how much data you can write to the flash. As a retail product, the Samsung 850 EVO allows users to utilize the Magician SSD toolbox software. This series also enables Rapid Mode, a DRAM cache algorithm that decreases the small block size writes to the drive by turning random data into large sequential chunks. This technique increases the performance and endurance of the drive. Samsung ships each EVO SSD with software that also includes data migration software. Users can also download it from Samsung's web page (opens in new tab). A Closer Look Image 1 of 4 Outside of the 4TB sticker and minor updates to the package text, the 850 EVO packaging remains unchanged from the previous releases. The drive ships secured in a plastic form-fitted container with the software disk and paper manuals tucked underneath. Image 1 of 4 There is nothing new to see on the outside of the drive if you are familiar with the 850 EVO series. All six capacities look identical. The sticker on the back of the drive changes to let users know the capacity and PSID code. Samsung also offers the 850 EVO products in M.2 and mSATA form factors, but as of yet, only the 2.5-inch model gets the high-capacity treatment. The Tear Down Image 1 of 5 The 850 EVO 4TB uses the same MHX controller that Samsung first introduced in the 2TB model. Samsung's modular controller design allowed the company to change the memory controller inside the flash processor to increase the DRAM memory buffer size. The 850 EVO 4TB addresses 4GB of LPDDR3 1600 MHz memory on a single package to cache the LBA table data (a map of where the data is on the drive). Samsung packed 16 NAND die into each 512GB package, and there are eight packages spread across both sides of the 3/4 size printed circuit board. Samsung manufactures all of the major components, which gives the company direct access to the supply line. MORE: Best SSDs MORE: How We Test HDDs And SSDs MORE: All Storage Content Its not often that an Australian artist manages to top charts overseas. Dami Im recently managed to go Number 1 all over Europe, but she had the considerable boost of competing in Eurovision. Short of a Dami Im, or say a Kylie Minogue, its tough for Australians to break the European market. Especially if you happen to be a relatively little-known soul outfit from Melbourne. But thats Cookin On Three Burners, who have so far nabbed a Number 1 single in France, Number 2 in Germany, and a Number 2 in the UK, have gone and done. As the Sydney Morning Herald notes, if you survey the European charts right now, youll see the name Cookin On Three Burners in amongst names like Adele, Drake, Sia, Justin Timberlake, and Rihanna. Being a Hammond organ trio were not in the pop mainstream, thats for sure, Jake Mason, organist and original member of the Melbourne group, told Fairfax. Theyve been thrust into that world thanks to a young French EDM producer named Valentin Brunel, aka Kungs, who remixed their 2009 track This Girl into a summer pop anthem. Its been been pretty exciting for us because its put a little soul band from Melbourne right in the middle of the mainstream pop world, said Mason. The 19-year-old Brunel asked the band for permission to remix the track last year. He sent us a mock-up of our original track and some of his ideas, said Mason. We thought it sounded pretty good so we took it to the next step. Brunel has been on the come-up for some time, but his trans-Pacific, after-the-fact collaboration with the Cookin on Three Burners boys (and vocalist Kylie Auldist) has significantly raised his profile. This Girl has been viewed more than 40 million times on YouTube. But its also resulted in considerable success for the band and Auldist, whos heading to the UK for a run of club gigs ahead of the release of her new soul EP. Cookin on Three Burners was first founded in 1997 and at one time counted guitarist Lance Ferguson amongst its members, though he left in 2014 to focus on The Bamboos and was replaced by Dan West. In addition to the royalties from sales of the single, Mason told Fairfax the band have received numerous expressions of interest from other producers looking to work with them. Were really open to that, and stay tuned, there should some more collaborative stuff coming up really soon, of some Cookin on Three Burners songs interpreted in many different ways, he said. NEW YORK, July 11, 2016 (GLOBE NEWSWIRE) -- U.S. venture capital firms raised $8.8 billion for 67 funds during the second quarter of 2016, according to the Fundraising Report by Thomson Reuters and the National Venture Capital Association (NVCA). The number of funds closed during the quarter was on par with the first quarter of this year, while dollar commitments registered a 37 percent decrease compared to the first quarter of 2016, which ranked as the strongest quarter for dollars raised by U.S. venture capital firms since the second quarter of 2006 when 79 funds raised $14.3 billion. Year/Quarter Number of Funds Venture Capital ($M) 2007 235 29,993.7 2008 214 25,054.9 2009 162 16,103.8 2010 176 13,283.6 2011 192 19,080.5 2012 219 19,906.9 2013 210 17,760.1 2014 274 30,974.7 2015 249 28,730.2 2016 126 22,860.3 2Q'15 82 11,084.8 3Q'15 67 4,600.4 4Q'15 53 5,507.0 1Q'16 67 14,048.0 2Q'16 67 8,812.3 Source: Thomson Reuters and National Venture Capital Association *Fund commitments closed across multiple quarters are represented as one fund in the annual totals "After reaching a ten year high at the start of the year, fundraising was expectedly down during the second quarter. Nevertheless, total fundraising for the quarter was strong compared to recent quarters, setting us on a nice pace for what we expect to be a solid fundraising year," said Bobby Franklin, President and CEO of NVCA. "As the fundraising environment continues to improve, it's clear that venture capital remains a strong investment option for limited partners who want to get in on the ground floor of innovation." There were 48 follow-on funds and 19 new funds raised during the second quarter of 2016. The number of new funds raised during the second quarter marks a 19 percent increase from the number of first-time funds raised during the first quarter of 2016. The number of follow-on funds raised during the quarter fell six percent compared to the previous quarter. The largest new fund reporting commitments during the second quarter of 2016 was from Boston, Massachusetts-based Liberty Mutual Strategic Ventures, which raised $150 million for its inaugural fund. A "new" fund is defined as the first venture capital fund at a newly established or existing firm. Year/Quarter No. of New No. of Follow-on Total 2010 42 134 176 2011 59 133 192 2012 73 146 219 2013 68 142 210 2014 111 163 274 2015 87 162 249 2016 32 94 126 2Q'15 34 48 82 3Q'15 18 49 67 4Q'15 24 29 53 1Q'16 16 51 67 2Q'16 19 48 67 Source: Thomson Reuters and National Venture Capital Association Total commitments to U.S. venture funds in the second quarter of 2016 was led by Andreessen Horowitz V, L.P., which raised $1.5 billion in the largest fundraising commitment of the quarter and KPCB Digital Growth Fund III LLC which raised $1.0 billion. Revolution Growth III, L.P. and Longitude Venture Partners III, L.P. each raised $525.0 million during the second quarter of 2016. The top five funds raised during the second quarter of the year accounted for 45 percent of the overall total, up from 39 percent for the top five funds raised during the first quarter of 2016. Methodology The Thomson Reuters/National Venture Capital Association sample includes U.S.-based venture capital funds. Classifications are based on the headquarter location of the fund, not the location of venture capital firm. The sample excludes fund of funds. Effective November 1, 2010, Thomson Reuters venture capital fund data has been updated in order to provide more consistent and relevant categories for searching and reporting. As a result of these changes, there may be shifts in historical fundraising statistics as a result of movements of funds between primary market & nation samples and/or between fund stage categories. About Thomson Reuters Thomson Reuters is the world's leading source of intelligent information for businesses and professionals. We combine industry expertise with innovative technology to deliver critical information to leading decision makers in the financial and risk, legal, tax and accounting, intellectual property and science and media markets, powered by the world's most trusted news organization. Thomson Reuters shares are listed on the Toronto and New York Stock Exchanges (symbol: TRI). For more information, go to www.thomsonreuters.com. About National Venture Capital Association Venture capitalists are committed to funding America's most innovative entrepreneurs, working closely with them to transform breakthrough ideas into emerging growth companies that drive U.S. job creation and economic growth. As the voice of the U.S. venture capital community, the National Venture Capital Association (NVCA) empowers its members and the entrepreneurs they fund by advocating for policies that encourage innovation and reward long-term investment. As the venture community's preeminent trade association, the NVCA serves as the definitive resource for venture capital data and unites its member firms through a full range of professional services. For more information about the NVCA, please visit www.nvca.org. HUG#2027735 A CRITICAL EXAMINATION OF RECENT POLICE SHOOTING TUMULT COMPARED WITH THE ANTI-GUN RHETORIC OF MAYOR SLY JAMES REVEALS STUNNING HYPOCRISY AND DOUBLE TALK FROM THIS BELOVED CITY HALL HONCHO!!! PROGRESSIVE MARTYR ALTON STERLING WAS REPORTEDLY A FELON CARRYING AN ILLEGAL FIREARM . . . HE WAS EXACTLY THE KIND OF PERSON LOCAL AUTHORITIES AND MAYOR SLY ARE ATTEMPTING TO DISARM!!! InfoWars . . . Alton Sterling: Gentle Giant or Armed Felon? Sterling was well known to local police as a dangerous criminal Let's start this Monday morning by reminding our blog community comprised of Kansas City's fiercest critics that the so-called "conversation about race" is nothing more than a cheap political mind trick used to distract the populace from more substantive issues and create a culture of victimization wherein even the most mediocre people can blame their lack of personal achievement and self-esteem on the system, political correctness or some other invisible, imagined force.Fact is, the sordid subject of "a conversation about race" in the current mainstream media lexicon is little more than an exercise in meaningless partisan politics used to manipulate voters into working against their own interests. And this brings us to the far more important topic of gun control, community policing and Kansas City politics in the context of frustration and terrorism amid deadly police shootings . . .Accordingly . . .Check the facts . . .Over the past weeks, months and years Mayor Sly James and local Democratic Party leadership have argued against "illegal" weapons and encouraged more gun control . . .An inconvenient fact amid so much local social justice protest . . .Like it or not,when authorities were, in fact, enforcing gun laws already on the books against a guy with a rap sheet that was nearly as extensive as his CD collection.Now on the topic of last week's gun tragedy in Minnesota . . .Admittedly, gun advocates don't know how to handle this one and there are. . .What's somewhat interesting to our blog community is that the officer who shot and killed Mr. Castile was misidentified as Chinese and wasAgain, this tragic gun overreaction is easily connected Mayor Sly's agenda given that so-much of his anti-gun rhetoric has created a divisive schism betwixt gun owners and the political establishment. Strident advocates of mayor Sly's agenda have turned gun ownership into an act of hostility rather than an exercise in 2nd Amendment freedom.Moreover,in a blind willingness to attack Constitutional rights and leave inner-city and minority communities completely defenseless in the face of a homicide spike that has claimed dozens of innocent lives in the urban core this year.Finally, when the Mayor talks gun violence and rising local crime,. . .Another inconvenient fact check from our blog community . . .Before Dallas police were hit by bullets from a racist domestic terrorist . . . They suffered the same kind of low morale and budget hits that the KCPD has confronted . . .So, when Mayor Sly talks about supporting police . . . His rhetoric is not reflected in legislative action but merely offered in soundbytes to the local media that are rarely questioned.In conclusion, the Kansas City chattering class are content to engage in the mutual masturbation of racial discourse and public prayers while more critically-minded Kansas City residents might want to focus on police funding and defense of the Constitution (or lack thereof) which more accurately defines the tenure of the current administration and impacts all of Kansas City beyond the demographic, racial, political and cultural lines dreamed up to divide and conquer the electorate.You decide . . . Jack Cashill: The Extraordinary Perils of the Ordinary Cop "With almost no one noticing, the left has taken a perversely dark turn. Whereas leftists once contented themselves with proclaiming the guilty innocent, a tradition dating back to Sacco and Vanzetti, today they are prepared to proclaim the innocent guilty. Once branded, no jury verdict or grand jury decision can erase the accuseds Scarlet R, as in racist. From the lefts perspective, it does not matter if the black man is beating your head against the sidewalk, charging at you down the street, wrestling with you to pull his gun, or reaching for an illegally owned pistol during a legitimate traffic stop. If you shoot the black man, the left gives you less consideration than if you shot a clerk at a 7-11 . . ." As always, we're in search of the alternative perceptive in Kansas City and this take from a conservative doesn't disappoint . . .In a somewhat meandering column that talks conspiracy but also considers modern-day rhetorical tactics . . . Check the latest from one of Kansas City's most prolific authors . . .Money line . . .You decide . . . HOW CAN WE STOP THE KANSAS CITY BLOODSHED AND MURDER AS KANSAS CITY STANDS AT A 5-YEAR-HOMICIDE HIGH FOR THIS CALENDAR DATE?!?! - Supporters of police accurately note that the spike in local violence corresponds with reductions in KCPD staffing and budget tinkering courtesy of City Hall and their development agenda. - Meanwhile, our citizens task force against violence commissioned by the Mayor has said NOTHING and many feel it is nothing more than a bad joke. Let's remember back to Memorial Day when ourBut being right, as usual, isn't good enough . . . More than anything we're here to help and inform along with sharing out penchant for bad jokes and big booty broads.To wit . . .A few things to consider . . .And so, like it or not, the discourse and discussion of this topic is left to this blog community as we battle spammers, haters and so many Internets consultant hacks who would rather push their own agenda than look at the biggest threat confronting Kansas City during thisreportedby our blog community.You decide . . . Kyriakos Mitsotakis, president of Greeces major opposition party, New Democracy (ND), met with Israeli President Reuven Rivlin during his official 3-day visit in Israel Kyriakos Mitsotakis, president of Greeces major opposition party, New Democracy (ND), met with Israeli President Reuven Rivlin during his official 3-day visit in Israel, Sunday. Mitsotakis said the meeting was exceptionally interesting and very warm, adding that it reaffirmed the excellent relations between the two nations. Mitsotakis dubbed Israel a strategic partner for Greece and expressed satisfaction that all recent Greek governments over the past years had adopted a policy of strengthening Greek-Israeli diplomatic ties. The two men discussed matters of economic cooperation between the countries, with Mitsotakis pointing out the prospects of Israeli investments in Greece. The leader of ND underlined that bilateral collaboration in the tourism industry had stood out with 400,000 Israeli nationals visiting Greece every year. Mitsotakis is scheduled to meet with the Prime Minister of Israel Benjamin Netanyahu on Monday and the president of the Israeli parliament, Yuli Edelstein. He will also visit the Palestinian authorities in Ramala. Finally, Mitsotakis will pay a visit to the Yad Vashem Holocaust Museum. Read more here. RELATED TOPICS: Greece, Greek tourism news, Tourism in Greece, Greek islands, Hotels in Greece, Travel to Greece, Greek destinations , Greek travel market, Greek tourism statistics, Greek tourism report MCLEAN, Va., July 11, 2016 (GLOBE NEWSWIRE) -- Northrop Grumman Corporation (NYSE:NOC) has been awarded a $52.7 million contract by the Centers for Disease Control and Prevention (CDC) to provide a range of technical services for digital tools and systems in support of the National Center for Chronic Disease Prevention and Health Promotion (NCCDPHP). Chronic diseases account for most deaths in the United States. The CDC's Chronic Disease Prevention System brings together data, health care systems and communities to promote healthy choices and minimize health risk behaviors for all citizens. This work supports the Office of Informatics and Information Resource Management within the NCCDPHP, which is responsible for IT services, application development support, tools, procedures and portfolio management to support this prevention system. "As the CDC advances technology and informatics, we are proud of our continued collaborative partnership with this customer," said Amy Caro, vice president, health solutions, Northrop Grumman Technology Services. "As the incumbent contractor supporting NCCDPHP, Northrop Grumman is motivated by the better health outcomes that can be seen in the reduction of chronic disease and the impactful change within the health care systems as well as in the behaviors of citizens." The award is a base-year contract with four option years. This recompete contract is for the previous NCCDPHP Application Portfolio Support and Information Services work order. In the earlier task order, the team's focus was on reducing portfolio complexity. Today, Northrop Grumman's offering is designed to cultivate and grow value across the project portfolio by expanding on our tailored delivery and management processes to provide support that is responsive, flexible and innovative. Northrop Grumman is leading a team including TekSystems, AddiTech and St. John Group. Northrop Grumman is a leading global security company providing innovative systems, products and solutions in autonomous systems, cyber, C4ISR, strike, and logistics and modernization to government and commercial customers worldwide. Please visit www.northropgrumman.com for more information. Italy-based Rimorchiatori Augusta and Damen Shipyards Group have recently signed a contract for an ASD 2810 tug for operations in the Sicilian port of Augusta, Italy. It is a new venture for the Rimorchiatori Riuniti group and this will be the first new vessel for the company, demonstrating its commitment to future operations in the port, said a statement from the company. Rimorchiatori Augusta was formed following the purchase by Rimorchiatori Riuniti of Augustea Imprese Marittime and Salvataggi together with its fleet of 16 vessels, it added. The company provides towage services in Augusta, Siracusa Santa Panagia, Catania and Pozzallo, it said. One of the factors in winning the contract was Damens ability to guarantee a quick delivery. The vessel is already in build at Damen Song Cam Shipyard in Vietnam and will be handed over to Rimorchiatori Augusta in Italy at the end of this year, it stated. This latest acquisition will be the sixth Damen vessel to join the Rimorchiatori Riuniti fleet, it said. Commenting on the contract, Alberto Dellepiane, director of Rimorchiatori Riuniti, said: We selected a Damen tug once again based on the quality of its vessels and its ability to deliver the right product at the right time. The ASD 2810 is one of the most popular tugs in operation today, with over 200 active around the world. The design is continually updated to take in account customer feedback and improvements in components and technology. Each new vessel is modified to meet the exact needs of the customer. Andrea Trevisan, sales manager, Damen, said: We are very honoured by this order and that one of our tugs will be the first vessel in the fleet of Rimorchiatori Augusta. It is also a pleasure to continue to serve Rimorchiatori Riuniti, with whom we enjoy an excellent relationship, he added.-TradeArabia News Service Dnata, the Middle East's leading travel management company, has reached an agreement to purchase a majority stake in Air Dispatch (which is part of the Chapman Freeborn Group). Air Dispatch was founded in 2007 and provides Centralised Load Control planning (CLC) services, calculating the weight and balance conditions for aircraft prior to flight. Its other services include unit load device (ULD) asset tracking and the development of Flight Optimisation software. Its client portfolio includes Air Berlin, Cathay Pacific, Finnair, LOT, SAS, Qantas and Qatar Airways amongst others. Air Dispatch is the global market leader in Centralised Load Services to the airline community. This latest acquisition will allow us to further broaden our portfolio to our airline customers and strengthen our position as a leading global air services provider, said Stewart Angus, dnatas divisional senior vice president of International Airport Operations. Air Dispatch is a company with a strong track record and valuable expertise and we are confident that they will be a beneficial addition to the dnata family. Nick Yeadon, CEO of Air Dispatch CLC and his management team will continue to lead the business. He added: We are excited to be entering the next phase of Air Dispatch CLCs development. dnata is an excellent home for our growing business. The acquisition of Air Dispatch follows other recent high-profile transactions by dnata, including the acquisition of Ground Services International in the US, RM Ground Services in Brazil, and investment in ground handling operations in Milan and cargo facilities at Amsterdam Schiphol Airport. - TradeArabia News Service Prime Minister Shinzo Abe's ruling coalition won a landslide victory on Sunday in an election for parliament's upper house, despite concerns about his economic policies and plans to revise the nation's post-war pacifist constitution for the first time. Final counts showed Abe's coalition, like-minded parties and independents had won the two-thirds "super majority" needed to try to revise the constitution's restraints on the military, a step that could strain ties with China, where memories of Japan's past militarism run deep. Abe's Liberal Democratic Party (LDP) fell one short of winning a simple majority, which would have increased its clout within the coalition. Earlier projections had shown it was within their grasp for the first time since 1989. Nevertheless, the overall victory will still bolster Abe's grip over the conservative party that he led back to power in 2012 promising to reboot the economy with hyper-easy monetary policy, fiscal spending and reforms. Abe's junior coalition partner, Komeito, fared well, winning 14 seats compared with nine before the election. Any attempt to revise the constitution will still be politically fraught and LDP heavyweights have suggested that amending the pacifist Article 9 would not be the first priority. Abe told a TV broadcaster it was too early to talk about specific revisions to the constitution and his No.2 in the party said separately that talks with the opposition were needed. "I have two more years to my term (as LDP president) and this is a goal of the LDP, so I want to address it calmly," Abe said. In Japan, some financial market players fear trying to amend the constitution could divert Abe's energy away from reviving the stuttering economy. "The key question will be whether he can carry out (economic) structural reforms," said Nobuhiko Kuramochi, chief strategist at Mizuho Securities. "If Abe fails to do so despite the political freedom he has gained, that will be negative for foreign investors' appetite for Japanese stocks." Some voters who backed Abe's LDP also said the economy's health was their biggest concern. "Especially since I see economic growth as the priority, I have little hope for the opposition parties," said Yoshihiko Takeda, a 36-year-old IT company employee. HOW BIG A MANDATE? Abe had cast the election as a referendum on "Abenomics". With signs the strategy is failing, the government plans to compile a post-election stimulus package that could exceed 10 trillion yen ($99 billion). Abe declined to say how big the package might be. Economists worry the government will choose big-ticket infrastructure projects rather than implement tough structural reforms. Abe said he would reshuffle his cabinet but did not say when or how. There has been speculation that Abe might replace Finance Minister Taro Aso, 75, among others. His minister for Okinawa, Aiko Shimajiri, lost her seat, along with Justice Minister Mitsuhide Iwaki. The loss in Okinawa is a slap at a US-Japan plan to relocate a controversial US-Marines airbase on the island, reluctant host to the bulk of America's military forces in Japan. Abe said he had won a mandate for his economic policies in the election, although the assertion could be weakened by low turnout. "We were given approval for our mandate to powerfully pursue Abenomics. We'd like to continue with our efforts to achieve what we've promised," he said on TV. Kyodo news agency put turnout at about 54 percent, a bit above the record low of 52.61 percent seen in the last upper house poll three years ago. The opposition Democratic Party linked up with three smaller parties, including the Japanese Communist Party, to try to stop the pro-constitutional reform camp getting a super majority. Abe's coalition already has a super-majority in the lower house. Conservatives see the constitution as a humiliating symbol of Japan's defeat in World War Two. Its admirers consider it the source of post-war peace and democracy. Revising the charter needs the approval of two-thirds in both houses of parliament and a majority in a public referendum. "We think the constitution is something that puts limits on power, but sadly, that's not what the LDP's (revised draft) does," Yukio Edano, Democratic Party Secretary General, said on TV. "If there is no common agreement on this point, then there is no platform on which we can debate individual articles." Surveys show most voters see no need to revise the constitution and the LDP's dovish coalition partner, Komeito, is reluctant to change its pacifist Article 9. The party's secretary-general, Yoshihisa Inoue, said on TV that views in the party on possible changes had "not come together" yet. Still, Abe, whose deeper agenda has long centred on revising the US-drafted charter, may be tempted to push ahead. "With these numbers ... he (Abe) is going to want to see what he can achieve," said Columbia University professor emeritus Gerry Curtis. "That means less attention to the economy and a lot of spinning over the constitution." Reuters Meta-Byte Technologies, a leading management and technology consulting firm based in Dubai, has joined hands with Germanys Jedox to develop its business in the region. A leading vendor of enterprise planning and business intelligence software, Jedox had recently made its debut in the Gartner Magic Quadrant for Strategic Corporate Performance Management Solutions. The solution evaluates the market positions of global corporate performance management vendors for their completeness of vision and ability to execute, said a statement from the company. Jedox optimises any business processes with a unified solution that a user can use from Excel, on the web, on tablets and smartphones, and in the cloud, thereby driving growth, innovation, and collaboration by bringing the power of data to every user in an organisation, it added. As per the deal, Meta Byte will be responsible for selling and implementing advanced business intelligence and corporate performance management solutions based on the most advanced Jedox technology to enterprises in the region including the complete Jedox Suite for budgeting, planning, and reporting (both on-premises and software-as-a-service basis). On the partnership, Salil Dighe, CEO at Meta Byte Technologies, said: Jedox provides sophisticated planning and forecasting due to write-back capabilities. Flexible data integration and easy input of plan data via web browsers simplify planning processes across the organisation. With this tieup, we will now be able to offer solutions that will enable enterprises to streamline analysis, planning, and reporting quickly, easily and with unbeaten price to value, allowing them to focus on growth by empowering them to make faster decisions, he stated. Andreas Simon, director sales of Europe, Middle East and Africa (EMEA) at Jedox, said: We are delighted to partner with Meta Byte, as they have an excellent access to the market and strong connections within the whole region, which makes them an ideal partner for providing Jedox solutions to clients in the Middle East. Markus Brinsa, VP of international business development and alliances at Jedox, said: We want to grow our footprint and establish a long lasting partner and customer relationship. We aim at delivering successful projects through Meta Byte to its customers in the region and continue to develop Middle East into one of the prime regions in the world, he added.-TradeArabia News Service BMW Group Classic has completed the move to its new headquarters located on a historic site. The company archive and the vehicle collection, the workshop and the customer centre together with the parts service for classic automobiles and motorcycles are now located together in an ensemble of buildings in the district of Milbertshofen in Munich, Germany. This situates the history of the BMW Group in a new home during the centenary year of the company and at the same time roots it in its historic location. The site at Moosacher Strae 66 measuring around 13,000 square metres includes one of the first production buildings of what was at that time still a fledgling company. It was preserved during the restoration work, as was the heritage gatehouse, which is today a listed building, and now forms the entrance to the history of the BMW Group. Now we have everything under one roof and this provides us with the ideal conditions for maintaining our historic heritage, said Ulrich Knieps, head of BMW Group Classic. We have already been able to manage the first major events like the Concorso dEleganza Villa dEste, the Mille Miglia and the Festival of Speed from our new site. And the event venue has already proved its worth, for example at the press conference to celebrate 100 years of existence of the company. The new location for BMW Group Classic also provides a touchpoint for owners and fans of classic vehicles of the brands BMW, MINI und Rolls-Royce with information and services relating to the preservation and care of their automobiles and motorcycles. Vehicles and numerous other exhibits from the comprehensive collection of BMW Group Classic are presented in the historic production hall. The facilities and event spaces created there can also be booked for events. Guided tours are offered to give participants an overview of the new venue and provide information about the history of the company. Cafe Mo 66 is open to visitors and gives them a vantage point looking directly into the glass vehicle workshop of the BMW Group Classic Centre. At its new location, BMW Group Classic has significantly expanded opportunities for staging activities relating to the history of the company and its three brands BMW, MINI and Rolls-Royce. Questions about the history of the company, brands and products are answered in the BMW Group Archives. The teams responsible for communication with the media and for marketing now also carry out their work in the new centre alongside the specialists involved in organising events and exhibitions. The staff of the BMW Group Classic Centre have a long track record of experience, expertise and passion. They work on the preservation and restoration of historic automobiles and motorcycles, and offer support for purchasing or selling a classic vehicle. The offering of BMW Group Classic also includes the loan of classic vehicles and the parts shop. The range of parts offered comprises more than 55,000 items and it is continuously growing. Owners of collectables on four wheels and two will benefit from this supply of original spare parts. - TradeArabia News Service Qatar Airways Group, the parent company of the Doha-based airline, reported a 328 per cent jump in net profits at its airline operations on Monday. The carrier, owned by the government of Qatar, posted a net profit of QR1.6 billion ($439 million) for the financial year to March 31, up from the QR374 million ($103 million) in profit recorded for the previous year. It is the first time Qatar Airways Group has released its financial results, which have come under scrutiny after US airlines and their unions accused it of competing unfairly through state subsidies. Qatar Airways has denied the allegations and said US airlines are losing market share due to poor service. In Monday's statement the airline said that at a group level it reduced its costs by 1.5 per cent and increased its cash and bank balance by 54 per cent, despite a growth in operations and an adverse movement in foreign currency exchange rates. Qatar Airways plans to launch 17 new destinations in the current financial year, a figure that includes recently-launched services to Adelaide, Atlanta, Marrakesh and Yerevan. In May Qatar Airways raised its stake in International Consolidated Airlines Group, owner of British Airways, Iberia and Aer Lingus, to 15.01 per cent and said it would consider acquiring more of the company over time. Reuters Swissotel Hotels & Resorts has entered into an agreement with Sarah Towers For Real Estate Investment Company for a 1,624-room property in Makkah, Saudi Arabia. Becoming Swissotel's second property in the religious city, Swissotel Al Maqam will be situated in the prestigious Abraj Al Bait complex, part of the King Abdul Aziz Endowment Project, overlooking the Masjid Al Haram. With an imminent opening in September 2016, it will join the existing Swissotel Makkah and is poised to become an iconic symbol of genuine hospitality for Muslim travellers visiting the Holy City. Approximately one hour from King Abdulaziz International Airport, the property is footsteps away from the Masjid Al Haram, the largest mosque in the world, and the Ka'aba, known as the most sacred site in the Muslim faith. Swissotel Al Maqam will be flanked by other properties already within the FRHI Hotels & Resorts (FRHI) portfolio, Raffles Makkah Palace and Fairmont Makkah Clock Royal Tower, in addition to Swissotel Makkah, with the entire complex currently housing the largest number of guestrooms within the Abraj Al Bait complex. "The Kingdom of Saudi Arabia is one of the fastest growing tourism sectors in the Middle East and is a country that continues to diversify with a strong focus on religious and business opportunities," said Sami Nasser, senior vice president, operations, Middle East, Africa and India for FRHI. "Given the success we've enjoyed within our tri-branded hotel complex in Makkah, we are delighted to be expanding our footprint in this region with another extraordinary property." In addition to 1,624 guestrooms and suites, which range from 32 to 84-sq-m in size, with the majority providing direct and unfettered views to the Haram area and Ka'aba, Swissotel Al Maqam will also offer a variety of restaurants and dining experiences. Al Khayraat, an all-day dining restaurant can seat upwards of 3,000 guests in one seating, effectively becoming one of the largest restaurants in the world. Masharif Tea Lounge will serve a selection of classic oriental and worldwide culinary options with a panoramic view of the holy city. It will also boast the city's only hotel to have two entrances within the complex; from Um AL Qura Tunnel and Ibrahim Al Khalil Street. The addition of Swissotel Al Maqam complements a growing portfolio of FRHI-managed hotels currently under development in Saudi Arabia, including Swissotel, Raffles and Fairmont hotels in Jeddah all slated to open before 2020, in addition to Fairmont Riyadh, Business Gate which will open in early 2017. Swissotel flags will also be raised throughout the Middle East within the next five years with Swissotel Citystars Sharm El Sheikh and a property in 'New Cairo' - Swissotel Katameyah in Egypt. In total, Swissotel's parent company operates 19 hotels and more than 7,000 guestrooms in the Middle East, Africa and India region with another 19 hotels in varying stages of development. Swissotel Al Maqam becomes FRHI's ninth project in the Kingdom of Saudi Arabia. - TradeArabia News Service Etihad Airways has been named the official airline sponsor of WorldSkills Abu Dhabi 2017 - the biggest vocational education and skills excellence event in the world. The event will bring more than 3,000 competitors and industry experts from up to 75 countries to the Abu Dhabi National Exhibition Centre (ADNEC) from October 14 to 17, 2017. The 44th edition of the competition will represent the first time that the event has been held in the Middle East and will be the largest and most complex event to be hosted at the ADNEC in the lead up to Expo 2020 Dubai. WorldSkills Abu Dhabi 2017, which will be hosted by the Abu Dhabi Center for Technical and Vocational Education and Training (ACTVET), aims to increase the prestige of vocational careers by challenging young people to become the best in the skill of their choice. The competition is a major coup for Abu Dhabi as the emirate continues to transition into a diversified knowledge-based economy in line with the Abu Dhabi Economic Vision 2030. His Excellency Mubarak Saeed Al Shamsi, director general of ACTVET and official delegate of the UAE to WorldSkills, said: We are extremely proud to welcome the worlds greatest skills excellence competition to the Middle East for the first time. WorldSkills Abu Dhabi 2017 represents our strong commitment to vocational and technological education and training for the young people of the UAE today, helping them build a thriving and diverse economy for the generations of tomorrow. We look forward to working with Etihad Airways to ensure the competition is a resounding success. The WorldSkills participants represent the best of their peers and are selected from skill competitions in member countries and regions across the globe. Almost 2,300 participants and experts took part in the 2015 edition of the competition which was held in Sao Paolo, Brazil, whilst thousands more visited the six-day event. Other host cities in recent years have included Leipzig, London and Calgary. Peter Baumgartner, Etihad Airways chief executive officer, said: It is a great honour to be named as an official partner of such a prestigious competition. Abu Dhabi is a thoroughly modern city which is fast becoming one of the worlds most exciting commercial and tourism hubs. The event will provide the perfect opportunity for those attending to experience the very best of Arabian hospitality whilst enjoying state of the art facilities and modern infrastructure in a thriving and cosmopolitan city. Hala Abu Dhabi, the destination management division of Etihad Airways, has been named as the competitions Customer Service Management. Hala will develop and deliver packages for all those attending the event as well as offer on-ground customer services including staffing, transport and excursions. Mohammed Al Bulooki, Etihad Airways vice president UAE Commercial, said: The competition will provide a fantastic opportunity for us to showcase everything that Abu Dhabi has to offer to leisure and business travellers alike. Abu Dhabi, as a city dedicated to continued investment in the workforce of tomorrow, is the perfect location for the renowned competition: Hala Abu Dhabi looks forward to welcoming participants from across the world to our home city and working with ACTVET and WorldSkills to ensure that the event is a resounding success. WorldSkills covers a wide range of industries including construction, creative arts and fashion, information and communication technology, manufacturing and engineering, social and personal services and transport. - TradeArabia News Service You can opt out of certain types of cookies (e.g. those used in social media sharing) by choosing "I do not accept". The website will still largely function well, but with slightly less functionality in places. To manage your cookie preferences in future, visit the "Cookie Statement" link at the bottom of any page. OLDSMAR, Fla., July 11, 2016 (GLOBE NEWSWIRE) -- Cryo-Cell International, Inc. (OTC:QB Markets Group Symbol:CCEL) (the Company), the worlds first private cord blood bank to separate and store stem cells in 1992, announced results for the fiscal second quarter ended May 31, 2016. Financial Results Revenue Consolidated revenues for the second quarter of fiscal 2016 were $5.8 million compared to $5.0 million for the second quarter of fiscal 2015. The revenues for the 2016 quarter consisted of $5.3 million in processing and storage fee revenue, $357,000 in licensee and royalty income and $66,000 in product revenue compared to $4.8 million in processing and storage fee revenue, $169,000 in licensee and royalty income and no product revenue for the 2015 quarter. Net Income The Company reported net income for the three months ended May 31, 2016 of $637,000, or $0.07 per basic and diluted share, compared to net income of $210,000, or $0.02 per basic and diluted share for the three months ended May 31, 2015. For the three months ended May 31, 2016, there was a 15% increase in revenues, partially offset by a 4% increase in cost of sales and a 13% increase in selling, general and administrative expenses. Also, during the second quarter of fiscal 2016, the Company recorded a gain on extinguishment of debt in the amount of $300,593. The gain was a result of the Companys payment in April 2016 of $778,000 representing payment in full to CytoMedical Design Group LLC (CMDG) pursuant to the terms of the original Asset Purchase Agreement and Promissory Note, as well as pursuant to the terms of the Loan/Promissory Note Sale Agreement and Mutual Release executed by the Company and CMDG on April 22, 2016. Cash and Cash Equivalents At May 31, 2016, the Company had cash and cash equivalents of $4.52 million. The Companys cash increased $364,000 during the first six months of fiscal 2016, primarily as a result of $1.3 million of cash provided by operations, $204,000 from the redemption of a certificate of deposit and $650,000 from a subordinated loan. On May 20, 2016, the Company entered into a Subordination Agreement with Texas Capital Bank and CrowdOut Capital LLC (CrowdOut) for a subordinated loan of the principal amount of $650,000, which amount CrowdOut advanced to the Company on May 20, 2016. The proceeds of the subordinated loan will be used by the Company to fund continued repurchases of the Companys common stock. The increase in cash was partially offset by $312,000 of cash used to purchase property and equipment and marketable securities, $443,000 used for stock repurchases and $876,000 used for the repayment of the Promissory Note to CMDG. About Cryo-Cell International, Inc. Founded in 1989, Cryo-Cell International, Inc. is the world's first private cord blood bank. More than 500,000 parents from 87 countries trust Cryo-Cell to preserve their family members' stem cells. Cryo-Cell's mission is to provide clients with state-of-the-art stem cell cryopreservation services and support the advancement of regenerative medicine. Cryo-Cell operates in a facility that is FDA registered, cGMP-/cGTP-compliant and is licensed in all states requiring licensure. Besides being AABB accredited as a cord blood facility, Cryo-Cell is also the first U.S. (for private use only) cord blood bank to receive FACT accreditation for adhering to the most stringent cord blood quality standards set by any internationally recognized, independent accrediting organization. In addition, Cryo-Cell is ISO 9001:2008 certified by BSI, an internationally recognized, quality assessment organization. Cryo-Cell is a publicly traded company, OTCQB:CCEL. For more information, please visit www.cryo-cell.com. Forward-Looking Statement Statements wherein the terms believes, intends, projects, anticipates, expects, and similar expressions as used are intended to reflect forward-looking statements of the Company. The information contained herein is subject to various risks, uncertainties and other factors that could cause actual results to differ materially from the results anticipated in such forward-looking statements or paragraphs, many of which are outside the control of the Company. These uncertainties and other factors include the success of the Companys global expansion initiatives and product diversification, the Companys actual future ownership stake in future therapies emerging from its collaborative research partnerships, the success related to its IP portfolio, the Companys future competitive position in stem cell innovation, future success of its core business and the competitive impact of public cord blood banking on the Companys business, the Companys ability to minimize future costs to the Company related to R&D initiatives and collaborations and the success of such initiatives and collaborations, the success and enforceability of the Companys menstrual stem cell technology license agreements and umbilical cord blood license agreements and their ability to provide the Company with royalty fees, the ability of the reproductive tissue storage to generate new revenues for the Company and those risks and uncertainties contained in risk factors described in documents the Company files from time to time with the Securities and Exchange Commission, including the most recent Annual Report on Form 10-K, Quarterly Reports on Form 10-Q and any Current Reports on Form 8-K filed by the Company. The Company disclaims any obligations to subsequently revise any forward-looking statements to reflect events or circumstances after the date of such statements. Vineetk wrote: When a city experiences a sharp decline in population, the city's tax revenues, which pay for such city services as police protection and maintenance of water lines, also decrease. The area to be policed and the number and length of the water lines to be maintained, however, do not decrease. Attempting to make up the tax revenue lost by raising tax rates is not feasible, since higher tax rates would cause even more residents to leave. The information given most strongly supports which of the following general claims? A. If, in a city with sharply declining population, police protection and water line maintenance do not deteriorate, some other service previously provided by the city will deteriorate or be eliminated. B. If a city's tax rates are held stable over a period of time, neither the population nor the levels of city services provided will tend to decline over that period. C. If a city's population declines sharply, police protection and water line maintenance are the services that deteriorate most immediately and most markedly. D. A city that suffers revenue losses because of a sharp decline in population can make up some of the lost tax revenue by raising tax rates, provided the city's tax rates are low in relation to those of other cities. E. A city that is losing residents because tax rates are perceived as too high by those residents can reverse this population trend by bringing its tax rates down to a more moderate level. Owner of Angles and Arguments Check out my Blog Posts here: Blog For Individual GMAT Study Modules, check For Private Tutoring, check KarishmaOwner of Angles and ArgumentsFor Individual GMAT Study Modules, check Study Modules For Private Tutoring, check Private Tutoring Signature Read More Premises:Sharp decline in population causes tax revenue to reduce.But the areas which this revenue supports is still the same such as police protection and maintenance of water lines.We cannot make up by increasing tax rate since more people will leave.We need a conclusion. Something that follows from what is given. There should be no new information.A. If, in a city with sharply declining population, police protection and water line maintenance do not deteriorate, some other service previously provided by the city will deteriorate or be eliminated.The tax revenues decrease when population decreases. Since the revenues cannot be recovered by raising tax rate (since it will mean more people leaving and consequently lower collection points), it means the revenue will reduce. The need for the revenue does not reduce for at least some services. Hence, some services will certainly suffer. If police protection and water line maintenance do not suffer, something will suffer.This follows what is given to us in the argument. There is nothing called "this option is incorrect due to usage of extreme language". If the premises give you extreme data, the option will use extreme language.If the premises give you: "If A happens, B will happen." and "A has happened", what will you conclude? That B WILL HAPPEN. Can you say that the language is too extreme here? No.B. If a city's tax rates are held stable over a period of time, neither the population nor the levels of city services provided will tend to decline over that period.We do not know what causes the population to decline. Irrelevant.C. If a city's population declines sharply, police protection and water line maintenance are the services that deteriorate most immediately and most markedly.Not known. When the revenue declines, which services take the hit, we don't know. All we can say is that some service will take a hit.D. A city that suffers revenue losses because of a sharp decline in population can make up some of the lost tax revenue by raising tax rates, provided the city's tax rates are low in relation to those of other cities.What happens when the city increases tax rate, we cannot say. The premises give us that we cannot make up for lost revenue by increasing tax rate. Are we able to make up for it partially provided the tax rate still remains low, we cannot say. Note that we have no information on why people choose a certain city to live in. Perhaps its tax rate is lower but the quality of air and water isn't that great. Perhaps its cost of living is high. What happens when the tax rate is increased slightly (but is still less than other cities), overall it may not make financial sense for people to stay. The point is, we don't know how people will react if the taxes are raised even a tiny bit. We do know that raising tax rates cannot make up the loss in revenue and that is all. The argument tells us nothing else. We have to stick to the universe created by our argument.E. A city that is losing residents because tax rates are perceived as too high by those residents can reverse this population trend by bringing its tax rates down to a more moderate level.Irrelevant. We don't know how to reverse population trend and whether it can be reversed in the first place.Answer (A)_________________ Search News Archive : Fast Travel News Promotion Via Search, Social Media + Email Follow Us On : BOOK ECONOMICAL KENYA SAFARI VACATION PACKAGES FROM BEST CAMPING TOURS Industry: Safari Best Camping Tours is a most reliable tour operator in Kenya that promises of thrilling safari experience on a budget-friendly safari vacation. (TRAVPR.COM) KENYA - July 9th, 2016 - Today a huge number of travelers are visiting the classic East African destination- Kenya to enjoy an adventurous and exciting vacation experience. While traveling with family or friends, you need to rely on a competent and trustworthy tour operator in Kenya like Best Camping Tours. They will help you explore the top rated and most popular National Wildlife Reserves, National Parks and pristine beaches in Kenya in a convenient and safe way. Best Camping Tours has gained a great reputation as a trustworthy tour operator in Kenya and has been highly recommended by many African travel guides like The Lonely Planet (Thom Tree Travel Forum) Fodors, Rough Guides and Safaribookings.com. They specialize in providing well planned and guided budget camping safaris to every adventure and wildlife seeker who want to enjoy a memorable safari vacation in Kenya. With them, you can safely explore the unspoiled dense wilderness to witness a stunning and diverse wildlife (in their natural habitat). With their well organized Kenya safari tour, you can not only explore a stunning wildlife, witness majestic Great Rift Valley, striking savannah and warm tropical waters but also experience a diverse and unique culture. When you are on a Kenya safari vacation trip, then it is wise to consider their Masai Mara Safari, which will prove to be the best deal. On a Masai Mara safari tour, you will enjoy a most cherishing safari experience by spotting the Big Five (Lion, Rhino, Leopard, Buffalo and Elephant) on a guided game drive. If you are lucky in booking your Kenya Masai Mara safari tour during the Annual Wildebeest Migration then it will offer an exhilarating experience of witnessing a world famous wildlife spectacle. By booking Kenya safari vacation packages from Best Camping Tours you will experience the joy of camping, explore an off the beaten track, enjoy the beautiful sights, and witness Kenyas spectacular scenic beauty, colorful birds as well as a remarkable wildlife. Best Camping Tours will surely leave you with great memories to talk about for a lifetime. A few lines from Best Camping Tours, Whether you want Kenya tailor made safari, Kenya luxury safari tour or Kenya family safari, we are competent enough in providing the most affordable deal that suits your requirement and budget. Our highly experienced and knowledgeable travel experts will create an exclusive itinerary, which will help you enjoy a comfortable, safe and convenient Kenya travel. About Best Camping Tours & Safaris Ltd: Best Camping Tours & Safaris Ltd is a most reputable tour operator, which offers a wide variety of affordable Kenya safari packages like budget camping safari, family safaris and tented safaris that can be suitable customized. They arrange best-in class accommodation, food and transportation, which ensure a safe and exciting safari vacation experience for the travelers. Website: http://www.bestcampingkenya.com/ ### Please contact the person or company listed above for information regarding the content of this press release. TravPR.com are not the issuers of this press release and are not responsible for the accuracy of the content. Share Release : CONTACT INFORMATION Name: Imraan Nanji Company: Best Camping Tours and Safaris Limited Phone: 733630053 Email: bestcampingkenyamkt@gmail.com Web: PRESS RELEASE TAGS Canyon Calling has set dates for their first People to People tour of Cuba. (TRAVPR.COM) UNITED STATES - July 9th, 2016 - Flagstaff, AZ: Canyon Calling Adventures for Women, a touring company specializing in womens adventures, has set dates for their first People to People tour of Cuba. Featuring historical, cultural and recreational attractions, the tour provides an opportunity for women travelers to experience Havana and the scenic Cuban countryside in a supportive environment. The company is now welcoming bookings for their tour departing May 3, 2017. The unique people to people aspect of the tour will feature time with Cuban dancers, students, car enthusiasts, artists, entrepreneurs, farmers, and more over a period of seven days. The tour has been a dream of company owner Janet Scalzo, Just connecting with a different culture helps us as global citizens as humans really. Its interesting and fun to see this happen. The tour features such cultural landmarks as the Nacional Hotel, Ernest Hemingways Farm and Old Havana. Other highlights are visits to UNESCO World Heritage sites, Vinales Valley, a region known for its stunning scenery, and the town of Matanzas, known as the Athens of Cuba. This new Cuban tour adds to Canyon Callings roster of trips throughout the world. Scalzo says her companys mission is to create adventure, camaraderie and lasting memories for her guests, Eighty percent of our women come solo and make lifelong friendships on our trips. As an added bonus, Canyon Calling does not charge a singles supplement for their solo guests. About Canyon Calling: Canyon Calling Adventures For Women is a womens adventure travel company based in Flagstaff, AZ. Founded in 1996, Canyon Calling offers trips to some of the most scenic places on earth. www.canyoncalling.com trips@canyoncalling.com +1 928-284-8654 ### When you visit the site, Dotdash Meredith and its partners may store or retrieve information on your browser, mostly in the form of cookies. Cookies collect information about your preferences and your devices and are used to make the site work as you expect it to, to understand how you interact with the site, and to show advertisements that are targeted to your interests. You can find out more about our use, change your default settings, and withdraw your consent at any time with effect for the future by visiting Cookies Settings, which can also be found in the footer of the site. NYPD Commissioner Bill Bratton believes that "so-called rap artists...are basically thugs," that Black Lives Matter protests are "anti-societal initiatives" of which the murders of police officers are a "direct spinoff," that women should "adopt a buddy system" to protect themselves from rape, that filming the police constitutes "an epidemic," and that marijuana should remain illegal because it is the source of the "vast majority" of violence in New York City. Mayor Bill de Blasio won't, and perhaps feels he can't, stop the torrent of opinions antithetical to his mayoral campaign flowing in Boston-accented monotone from his employee's mouth. Over the weekend, Bratton added to his catalog of incendiary statements in his preferred interview environment, AM talk radio, by playing expert on the history of the civil rights movement and advising Black Lives Matter protesters to pack up their signs and go home. Speaking to WABC's Rita Cosby, Bratton said: One of the issues with [Black Lives Matter] is that, unlike the civil rights movement, which focused on the broad needs of desegregation in a segregated country, the needs of jobs, the needs of voting rights, the needs for education, the Black Lives Matter movement has focused its energy entirely on police. It is not engaging in dialogue. Instead, they're engaging in protests where there is a lot of yelling and screaming. Yelling and screaming doesn't resolve anything, doesn't solve anything. And getting into the face of police officers manning those lines trying to protect those demonstrators, trying to protect the lives of people, trying to protect their rights to demonstrate, and standing there yelling and screaming at them, that accomplishes nothing. Nothing. Versus in this city, New York City, for example the NAACP has been sponsoring meetings at schools and other locations where people in fact can engage in dialogue. Theyre encouraging their members to attend police precinct community councils, where they can express their concerns and do it in a way in which their voices can be heard, do it in a way in which they can be seen, and also would allow the police and other criminal justice officials, district attorneys, etc., to also be seen and be heard. And until we do that, we can then just stand on these picket lines at these marches and demonstrations and scream and holler and accomplish nothing. It makes for good TV, but it doesnt make for good consensus building or good resolution of the issues of the day. This diatribe is tricky because, though Bratton claims to be upset about the content of Black Lives Matter protesters' messagewhat he believes are narrowly focused, unfair generalizations about police being racist and brutalhis prescription is format-based. In other words, he claims to want more conversation, but he doesn't want that conversation happening in public. The thing is, Black Lives Matterlike the civil rights movement, the labor movement, Occupy Wall Street and any other social movement that has had some impact in the last centuryrelies of a multitude of tactics, of which mass "good for TV" protest is a central but by no means defining piece. There are camera-ready slogans such as this: And advocates are working to advance policy agendas like this: (Campaign Zero) The Campaign Zero platform is worth keeping in mind when Bratton says, as he did in this interview, "Literally everything that's being suggested that police need to do to start bridging the gap, we have been doing for the last several years in New York City." He cited only training, technology, and an amorphous community policing program. Elsewhere in the interview, he calls Rudolph Giuliani "the mayor," and Cosby asks him about the police shooting of an allegedly armed man on Saturday, but not about the revelation that the unarmed man shot dead by an off-duty officer last week seemed not to have attacked the officer as some had claimed. Not parenthetically, the latter police shooting is being investigated by Attorney General Eric Schneiderman rather than the local district attorney's office due to a directive issued by Governor Andrew Cuomo last year. Such an order would be hard to imagine in the absence of a concerted campaign for police accountability. Under the Black Lives Matter umbrella, there are also economic boycotts, activist-backed candidates running for public office, advocates meeting with the Obama administration and presidential candidates, and yes, people attending community council meetings. The merits of each approach is up for debate, but the movement would not be at the center of the public conversation were it not for people "standing on these picket lines at these marches and demonstrations and screaming and hollering and accomplishing nothing." It's what accounts for the difference in volume between Black Lives Matter and, for example, the movement for tort reform. Similarly, Bratton is right that the civil rights movement was not one easily caricatured phenomenon, but it was also far from popular in the U.S. at the time, among white Southerners, but also among national law enforcement, white liberals, and depending on the particular campaign, certain activists. And, importantly, demonstrations that drew national media attention were the gasoline powering the movement. Legal maneuvering brought about the Supreme Court decision in Brown v. Board of Education, but the decision would be meaningless to many were it not for the steps of those brave enough to actually try to attend white schools in the face of white mobs and indifferent or actively hateful police. There were the sit-ins, seeking to desegregate public accommodations and businesses, and the Freedom Rides, seeking to desegregate bus travel in the South. All of this was illegal until the federal government was embarrassed into deciding otherwise. There were boycotts, voting drives, and traffic-blocking, often un-permitted marches. All were effective in disparate ways, but the demonstrations would not have made national headlines had they not been met with violence by white mobs and police. For organizers including Martin Luther King, there was great tension between setting the stage for TV-ready beatings and protecting vulnerable African-American locals, who would have to live with the white power structure long after the camera crews had moved on. The demonstrations of the civil rights movement carried on over the objections of many in power, including liberals like Robert and John F. Kennedy. Robert Kennedy called for a "cooling-off" period following violence against the Freedom Riders, to which activist James Farmer replied, "We've been cooling off for 350 years." FBI director J. Edgar Hoover famously called King "the most notorious liar in the country" after King noted that FBI agents in the South seemed to not be doing much to stop white supremacists and local police. Around the same time, Hoover tried to place stories about King's extramarital affairs with reporters, and one of Hoover's deputies wrote an anonymous poison pen letter to King outlining knowledge of the affairs, calling him "evil," and "a colossal fraud," and trying to convince him to kill himself. Members of the white power structure who had grown tired of dealing with the nonviolent civil disobedience of King's Southern Christian Leadership Conference, the Congress on Racial Equality, and the Student Non-Violent Coordinating Committee had only to look to the separatist rhetoric of the Nation of Islam, the Communist whisperings in some corners of the civil rights movement, and later, the Black Panthers, the Weather Underground, and the riots that followed the assassinations of King and others to see how good they'd had it. For the full audio of Bratton's interview, listen here: Alternating tread stairs are terrific for saving space; you only put one foot on a tread at a time, so why make it go full width? By only putting in half a tread you can go up at twice the slope without any more work, a comfortable 7 to 8 inch rise with each foot just like you do now. You just have to remember to start with the correct foot. Alternating Tread Stair Tread Made Out of Boxes On the Tiny House Design Blog, Michael Janzen designs a beautiful alternating tread stair out of boxes, in the Japanese Tansu chest style, which creates a lot of storage. He writes "Ive not seen many alternating steps being built for tiny houses yet. " which surprised me, because we have shown many of them. But I have seen few as nice or clever as this one. Add a handrail and it is probably not quite as safe as a conventional stair until you get experienced with it, but a lot safer than a ships ladder to a loft at night. A Replacement for a Fixed Ladder That's why OSHA sees them as no substitute for a conventional stair but a suitable replacement for a fixed ladder in industrial uses. Building codes prohibit their uses for habitable spaces, but do allow them for storage lofts. And of course, building codes don't apply to tiny houses, which is one reason people build them. (See: Think about safety when you build tiny houses) Lapeyre Stair Lapayre Alternating Stairs for Industrial Applications A few years ago we looked at alternating tread stairs in detail and noted that one company, Lapayre Stair, flat out refused to service residential users, and noted all the negatives about them: It is not possible to turn around on our stair. Nor can two feet be placed on the same level at the same time. It is difficult for children and the elderly to use our stair. In addition, the handrails do not meet the baluster (vertical rail) requirements for residential stairs. Children could easily fall through the rails to the ground below. Interestingly, when you follow the link in that old post, that scary info is all gone,replaced with: Does the Lapeyre alternating tread stair meet code for residential use? Local authorities often have differing code requirements for special staircases, so homeowners who are interested in an ATS for residential use should check their local code requirements before ordering. Lapeyre Stair's alternating tread stair is manufactured for industrial applications. Lapeyre Stair So they are a lot less doctrinaire then they used to be, or perhaps found better lawyers. They also provide tools so that you can design and order your own. They come in gorgeous stainless steel, painted steel or a very cool looking aluminum casting. Check it out at Lapeyre. The Bookcase Stair Levitate Architects The nicest alternating tread stair I ever saw was the Bookcase Stair by London's Levitate Architects. But it was not the main circulation route. We have covered many others, shown in this older roundup or the newer roundup here. In the end of his post, Michael Janzen asks: "Would you consider alternating steps in your tiny house?" I would respond that they are far better than the ship ladders we see in so many tiny houses. I am still not convinced that people should be sleeping in hot head-banger lofts in the first place, but if you are, and don't have room for a real stair, the alternating tread is the next best thing. Please note that stairs have long been controversial in TreeHugger, and I often write about them with my tongue planted firmly in cheek as I cherry-pick the quotes here. Alternating Tread Stair Saves Space, Looks Gorgeous The alternate tread stair was designed to be a perfect union of functionality, structure and form. With regard to functionality, the stair is comfortable, safe to climb, and spatially efficient; the open sides of the stair provide ample and well-placed grip locations. Stair of the Week Is an Alternating Tread Storage Stair Alternating tread stairs usually use up a lot less space than conventional ones, and are safe and comfortable once you get used to the fact that you have to lift your feet in the right order. In previous discussions about them, owners have suggested that handrails are nice to have as it is a bit different than conventional stairs. I suspect the handrail police will complain. Stair of the Week Combines Desk and Storage The handrail police will no doubt complain that this is the most dangerous stair shown yet on TreeHugger, being a combo of alternating treads, no handrail on either side, and totally covered in trip hazards. Picky picky. Look at how many functions it combines in such a small space and how interesting it looks, how it makes the stair totally disappear into furniture. Another Alternating Tread Bookcase Stair Atelier SAD It is by Adam Jirkal, Jerry Koza and Tomas Kalhous in what looks like a renovation and addition in Vsenory, Czech Republic. The stair appears to be made of slats of wood bolted together. Oh, to have building codes that let a thousand architectural flowers bloom. The war between former Fox News personality Gretchen Carlson and Fox News founder and CEO Roger Ailes heated up over the weekend, after six women came forward with their sexual harassment allegations. Ailes's lawyer insisted Carlson and her lawyers "are desperately attempting to litigate this in the press because they have no legal case to argue. The latest allegations, all 30 to 50 years old, are false." To which Carlson's legal team says, "Calling these women liars because they chose to speak out is despicable. Bullying and threats will not silence these brave women." Last Wednesday, Carlson filed a lawsuit, claiming that Ailes fired her after she refused to sleep with him and complained about sexual harassment and discriminatory behavior that he and her Fox & Friends co-host Steve Doocy engaged in. It took hours for Fox News' parent company 21st Century Fox to respond, and 21st Century Fox chimed in with a half-hearted note of support for Ailes, "The Company has seen the allegations against Mr. Ailes and Mr. Doocy. We take these matters seriously. While we have full confidence in Mr. Ailes and Mr. Doocy, who have served the company brilliantly for over two decades, we have commenced an internal review of the matter." Roger Ailes in 2012 (Getty Images) Ailes got an outside counsel and sent a statement, vigorously denying the allegations, saying that Carlson was fired for being a low-performer. But Politico has published an account of how Ailes and Fox News were caught off guard by Carlson's legal and PR onslaughtwhile Fox is trying to portray her lawyers Nancy Erika Smith and Martin Hyman as "ambulance chasers," even rival lawyers say they are reputable: In fact, in the early days of this event, which has transfixed close watchers of Ailes media empire and fans of the network, it is Fox News that looks like it has been hit. And this highly successful roll-out of Carlsons case was the work of professionals who, while they may not be boldfaced names you know from other celebrity lawsuits (think Gloria Allred), are certainly not ambulance-chasers. People familiar with the work of the Montclair law firm of Smith Mullin say the firm is well recognized for its successes against powerful entities on behalf of employees. And their wisdom in joining forces with an ace media firm specializing in handling the press around high profile cases speaks to their understanding that this case would be much more than an opportunity for a big payday. "First of all, they're not a personal injury firm," said a New Jersey employment law attorney who knows the firm's lawyers professionally but was not authorized by his own firm to speak about competitors. "They're one of the most prominent, if not the most prominent employment law firm in New Jersey. They've made law. They've gotten tremendous results. [Carlson] used a firm that is well respected and competent, which tells me there is merit to her allegations." Claudia Reis, president of the New Jersey chapter of the National Employment Lawyers Association and a partner in the firm Lenzo & Reis, called the Fox statement "unjustified," noting that she normally wouldn't be inclined to say glowing things about a competitor in the press. "If Fox is painting them that way, there could not be a more inaccurate description," Reis told POLITICO. "If they think she's an ambulance chaser or is just making things up for the purposes of getting some advantage in the press, they are sorely mistaken and are going to be very surprised." Reis said that Smith's firm would have made sure Carlson's claims had merit: "They have determined she's telling the truth and that there's merit to the allegations. [Smith and Mullin] are not the kind of attorneys who would bring a suit they weren't confident in." Smith has said numerous women have contacted her firm about Ailes's allegedly disgusting treatment. She called him "the Bill Cosby of media" and by Saturday, six women described awful interactions with Ailes to New York magazine, recounting how he'd say things like, "Well, you might have to give a blow job every once in a while" and asked one to kiss his genitals ("They were red, like raw hamburger"). Ailes's lawyer claimed the statements were false, to which Smith and Hyman said, "[W]ithout any investigation, within 3 hours, [Ailes's lawyer] claimed that the allegations are false. How does he know that?" Smith and Hyman added, "Women have the right to speak outwhether Ailes likes it or noteven about trauma they endured years ago and that haunts them to this day." Some female Fox News personalities, including Maria Bartiromo and Greta van Susteren, have spoken out in support of Ailes. However, it's notable that Megyn Kelly, who is one of the network's top stars, hasn't said anything yet. Tribune News Service Amritsar, July 10 Although a week has passed, there has been no breakthrough in the investigation of the tiger and leopard skin, seized by the Society for Prevention of Cruelty to Animals (SPCA). The skin was handed over to officials of the Forest Department for further testing to check its authenticity. Sukhwinder Singh Randhawa, SHO of the Civil Lines police station, said the investigations would progress only after checking genuineness of the skin recovered by the SPCA. A one-man team of the SPCA had raided a secluded place at Ranjit Avenue on June 30 and seized the skin. According to the SPCA official, the skin was found abandoned at the place and there was no one near it. He said the suspect might have run away after seeing the raiding party. The police registered a case under Sections 9, 49 and 51 of the Wild life Protection Act, 1972, against unidentified persons in this connection. However, the one-man show by the SPCA inspector, Ashok Joshi, did not go down well with the Forest Department and police officials, who said the SPCA should have informed them before raiding the spot. They said this might have led to the arrest of smugglers involved in the illegal trade. An official of the Forest Department said if there were any smugglers present at the spot, the situation could have taken a dangerous turn. Taking other departments and officials into confidence could have led to the arrest of those, who had come at the spot with the skin,he added. Joshi said he risked his life to make the seizure. He said a lot of time would have been wasted in informing and taking other officials along for the raid. This would have given smugglers a chance to take away the skin. Meanwhile, officials of the Forest Department said they would send the skin to Dehradun in the next couple of days to check its genuineness. Tribune News Service Amritsar, July 11 Farmers tilling their land across the barbed fence on India-Pakistan border have announced to hold a protest outside the office of the IG of Border Security Force (BSF) on July 22. Farmer activists of the Border Area Sangarsh Committee stated that farmers of six districts Pathankot, Gurdaspur, Amritsar, Tarn Taran, Ferozepur and Fazilka would stage a protest outside the IGs office in Jalandhar. The organisations president, Arsal Singh, said the rules for farmers should be relaxed so that they could cultivate crops freely. He said farmers were not even allowed eight working hours as a result of which their productivity was below average. He said farmers were dissuaded from sowing other crops than wheat and paddy as a result of which they could not grow commercial crops and vegetables. He said even those farmers having their lands on the Indian side of the fence were not allowed to plant trees and certain crops. He added that BSF officials did not follow rules framed by the government and instead followed their own minds. He said a protest march would also be held in Jalandhar on July 22. Tribune News Service New Delhi, July 10 With the arrest of four persons, the Crime Branch of the Delhi police today claimed to have busted a gang that used to leak call details report (CDR) in conspiracy to a private detective agency. The accused have been identified as Pankaj Tiwari, Jaiveer Rathore, Aditya Sharma alias Arpit alias Sunny and Sanjeev Chaudhary. The police said the detective agencies used to approach accused Jaiveer Singh Rathore, who in the garb of running a private channel Abhi Tak and Rathore Media and Detective Agency, charged money from these detective agencies and in turn used to send these target mobile numbers to one Narender posted as a Constable in Surveillance Cell at IG office, Kanpur, UP. Narender would procure the CDRs from various nodal agencies and charge from Jaiveer Singh Rathore. Jaiveer and other accused had tried to procure CDRs from Delhi, but found that the police had adopted strict procedures after the 2013 case, they, therefore, started looking for sources outside Delhi. The racket came to light when the police arrested Pankaj Tiwari, who ran a private detective company, Scorpion Verification and Consultancy Private Limited, and was indulged in procuring and selling CDRs to his clients. The police said his interrogation led to the arrest of Jaiveer, who was the main culprit. Ravinder Sood PALAMPUR, July 11 Most of wine shops in Kangra district and its adjoining areas will go dry in the next couple of days if the state government failed to provide the supplies of Indian Made Foreign Liquor (IMFL) to these vends. Recently, the state government took over the wholesale business of IMFL in the state by setting up a corporation for its supply to the retail vendors. Earlier the sale of IMFL was in the hands of private contractor through 67 wholesale outlets in the state. On June 4, 2016, the state government through a notification asked all the wholesalers to surrender their licences as the government proposed to take over the wholesale business of liquor in the state. Later the wholesale contractors moved to the Himachal Pradesh High Court challenging the notification of the state government but the state high court dismissed their writ petition. Now the matter was pending in the Supreme Court. Onkar Chand Rana, who was a wholesale licensee at Palampur, said that the main reason for the shortage of English liquor was non-availability of stocks in the warehouse set up by the state government at Nagrota Bagwan which was yet to receive the supplies of the IMFL. He said the state government hurriedly sealed all the 67 wholesale depot of the IMFL on July 1 and imposed ban on the sale of liquor despite the fact that huge stocks were lying with the wholesalers all over the state. He said 80 per cent retail liquor vends had no stocks today. If early stocks were not made available, they would suffer losses in crores. Rana said season of marriage was in full swing but the state government was least concerned with the acute shortage of the IMFL. However, a senior official of the state government, when contacted by The Tribune, said these were the teething troubles and in the next one month system would be on the track and there would be no shortage of the IMFL in the state. Tribune News Service Shimla, July 11 Chief Minister Virbhadra Singh today said the BJP had put in all its might to implicate him in false cases not realizing that the more they target him the more his popularity soars. The BJP leaders despite knowing the fate of these fabricated cases, are trying to tarnish my image but I will come out clean as I have done in the past, he said talking to media persons at Swarghat in Naina Devi Assembly segment of Bilaspur district. When PK Dhumal was Chief Minister in his earlier two tenures, cases were registered against him in which he faced session trial and came out clean, he said. Despite cheap and petty politics being indulged in by Dhumal and his family, I have no ill will against them and wish them well, he said, adding that BJP leaders both at the Centre in the state were trying to implicate him in false cases and trying to make a mountain out of a molehill. He lashed out at BJP MP from Hamirpur for politicizing the issue of setting up of the main campus of the Kangra Central University. He said the main campus of the Central University would be set up in Dharamsala though there was no restriction on opening other institutes at other places or affiliated colleges of the university at Dehra. For the Congress the entire state is one as the party wants development of every part and section of people but it is the BJP which is indulging in divisive politics, he said. He said it was Prime Minister Manmohan Singh, who in his address to the nation on August 15, 2007, had announced the establishment of a Central University in each of the states that did not have a Central University and Himachal was one among such states. Thereafter, Union Minister P. Chidambaram announced its opening at a function on The Ridge, here. Virbhadra also said that in order to prevent illegal mining in the state, the government had decided to auction the mining sites to those quoting higher rates for a specific period. He said a decision had been taken to auction mines in various parts of the state as this would help put an end to illegal mining. The person to whom mines are auctioned would take care of the mines in an effective manner and help prevent illegal mining, he said. Within a month or two, all identified mines would be auctioned all over the state to meet the construction and related demands of the people and it would also add to the income of the government, the CM added. Tribune News Service Srinagar, July 10 Within hours of the state governments appeal to separatists to help in bringing peace to the simmering Kashmir valley, hardline Hurriyat Conference chairman Syed Ali Shah Geelani made an appeal to protesters to maintain discipline and asked them not to damage police stations. I appeal to people of Kashmir not to damage police stations, police posts and ambulances as it can be an excuse for the government to prove that it is not guilty, he was quoted as saying by a local news agency. Geelani's statement came at a time when protests against the killing of militant commander Burhan Wani had spread across Kashmir valley and demonstrators attacked installations of police and other security forces in several districts. The appeal for calm from Geelani came within a few hours of the state government making an appeal to political parties to help restore calm. The Cabinet, which met today, made a fervent appeal to all shades of political opinion, including mainstream and separatists, to help restore calm, said state government spokesman Naeem Akhter. Violence has neither served any purpose in the past nor is it going to do so in the prevailing circumstances, he said. Geelani blamed the state government for spoiling peace in the state. The allegations the government has made that the protesters are forcing the forces to resort to firing are baseless. The fact is that they are creating indiscipline by one way or the other, he said. For me, like, I never felt comfortable with someone coming in me. The idea of that prior was, Thats too risky. But its hot, says Trevor, a 44-year-old NYU professor. He says his feelings changed once he began taking PrEP, a medication for those that are HIV negative that is highly effective at preventing the transmission of HIV. Its like, why not? Something is very different. My mindset is changing. After being on PrEP, Trevor* no longer sorts out HIV positive people when dating and hooking up. Before, even when practicing safe sex with condoms, he would shy away from hooking up with any guy who was HIV positive. But because PrEP has proven to be so effective at preventing HIV, he's now much more comfortable being intimate with an HIV positive guy. When you're my age, and you came out in the '80s, there was such a stigma about behavior and how it equals death, so you do everything you can to protect yourself against it. I absolutely consider being on PrEP to be practicing safe sex. For many gay men, the fear of contracting HIV imbued virtually every sexual encounter. Now, PrEP is providing the opportunity to experience sex without the same level of anxiety. But, for gay men on PrEP eschewing the condom, there is the heightened risk of contracting Sexually Transmitted Infections [STIs] other than HIV and of being branded a slut or Truvada whore by friends and some in the LGBTQ community. Interviews with gay men across in NYC suggest that gay men on PrEP are having more condomless sex than before and enjoying it. Some men on PrEP revealed a feeling of freedom from the inherent dread that consumed prior sexual experiences. One doctor interviewed reports seeing patients on PrEP using condoms less frequently and some who are more inclined to bottom during sex when, before PrEP, they would exclusively top because the risk of contracting HIV was lower. Since the advent of PrEP, profiles seeking to find bareback sex are more commonly found on gay hook up sites like Grindr, Scruff, Growlr and BBRT (BareBack RealTime). But this resurgence in bareback gay sex has others in the community worried that a new HIV could developa super-strain of some kindor that the community will see an alarming rise in STI rates. PrEP, an acronym for pre-exposure prophylaxis, is a once-daily, oral pill called Truvada that combines two medications: Emtriva and Viread. Truvada was approved for preventative use by the FDA in 2012. The drug works by blocking an enzyme that the HIV virus requires to multiply. Clinical trials have shown that with strict adherence to a daily PrEP regimen, it is nearly impossible to contract HIV. While a gay man who had methodically adhered to his PrEP regimen recently contracted a strain of HIV that was resistant to both of the drugs that make up Truvada, the consensus is that this type of transmission will likely remain exceedingly rare. Brad, a 27-year-old grad student, began taking PrEP after watching several of his friends having a positive experience on the medication. I saw it as an extra layer of protection and had religiously used condoms and would freak out if one broke. I did it out of that. My friends were doing it. I thought it was a solid thing to do. Brad had not intended to stop using condoms when he began PrEP. However, his condom use did decrease over time but the guilt of it hasnt, he said. I would say, like, out of every 10 sexual encounters, I use a condom once. And I still feel guilty about it. Its weird. I have a weird relationship around it. I have a guilt talking to my doctor when I say I dont use condoms sometimes. Dr. Edward Goldberg, a physician in private practice who has been treating HIV/AIDS patients since 1993, doesn't find the use of PrEP in any way controversial and he regularly prescribes it to his patients having anal sex, explaining that, "for people who tell me that they have protected sex 90% of the time, maybe once every two years they slip, I still recommend it. I just absolutely think it's a hugely preventative, safe treatment." But still, Brad feels the stigma of not adhering to heterosexual norms. We as gay men are stigmatized not only for being gay but also for engaging in freedom of gay sex, because we have super normative people who kind of demonize that as abnormal behavior. So, I think theres a cultural thing where you shouldnt be having this much sex without consequences. And I think thats where a lot of my guilt stems from, Brad continued. I think as a culture, its been really drilled in that the worst thing that can happen to you as a gay man, is that you get AIDS and die. Its part fear for myself but mostly a fear of hurting my parents. But asked about the heightened risk of contracting an STI by having bareback sex, Brad said, I dont know if its worth it but its the tradeoff we make when we decide to do that. And luckily, the majority of them are very treatable. It was strange or disconcerting how easy it was to stop using condoms. (Sai Mokhtari / Gothamist) Sex advice columnist Dan Savage, on his Savage Love podcast, recently reported on an increase in STI rates among gay men and implied that it was a result of the increase in PrEP use among gay men. He later recorded an interview with Peter Staley, an AIDS activist who challenged many of Savages assumptions. Staley explained that the number of men currently on PrEP (estimated to be between 20,000-30,000 people nationally) is way too low, as of yet, to have such a significant effect on national STI rates and, consequently, too insignificant a number to attribute to the lower HIV transmission rates that are being reported. Dr. Demetre Daskalakis, NYC Assistant Health Commissioner in charge of the Bureau of H.I.V./AIDS Prevention and Control, says it is difficult to say how PrEP use has contributed to the current national increase in STIs. But Dr. Daskalakis implores that PrEP is a harm reduction intervention. It does not eliminate risk, so STI transmission may and will still occur. We do not shun seat belts because they do not prevent cancer. We should not discourage PrEP because it does not prevent STI. PrEP, in fact, brings people closer to sexual health services and allows access to STI screening and treatment. Dr. Asa Radix is the Senior Director of Research and Education at Callen Lorde Community Health Center. The center provides health care related services targeted to the LGBTQ community in NYC. Dr. Raddix believes that the focused screening work that is done with patients on PrEP is likely to find asymptomatic STIs that would "probably not have been detected if [the patient] wasn't enrolled in the PrEP program" and explained that the "uptick in STIs was occurring before PrEP was implemented. One of the benefits of PrEP is increasing screening for STIs, also notification, testing and treatment of sexual contacts." Dr. Radix reasoned that "people are on PrEP mainly because they are at risk for STIs and HIV, and it isn't surprising that people continue to have STIs while on PrEP. This means we are identifying people who are most likely to benefit from PrEP. Sean, 34, works in TV production and believes the benefits of being on PrEP outweigh the risks of higher STI rates. Its totally worth it. The outside benefit is that you are required to get tested more frequently. You have to. I think that is part of the reason the numbers are going up. I know someone who had no idea that he had an STI and then he wanted to get on PrEP and he found out he had one. Sean feels that being on PrEP has removed the anxiety from his sex. Whats nice about being on PrEP; the weight, the burden, the fear, of, oh, if I want to have bareback sex, Im going to be worrying about it for the next few months until I get an HIV test, that feeling is gone. Sean, just out of a long term relationship, has found it more common that men on dating and hook-up apps are looking for bareback sex and he didnt want to limit the men with whom he was interacting. I knew that when I would want to have sex with guys the topic of sex without a condom would come up and I didnt want condomed sex to be a hindrance in who I was meeting, he said. Felix and David are in their early forties and are both HIV positive and have been since before the advent of Truvada as PrEP. Both are on anti-viral medications and have undetectable viral loads, meaning that it is virtually impossible for them to transmit HIV. Felix, who contracted HIV in 2009, wishes PrEP was available at the time. There was a period of 6 months to a year, where I know I was edging towards doing things that I knew I shouldnt be doing. And I put my toe in the water and I was trying to get back into therapy, and if PrEP was around, I would have gone on it instantly. But I found out people close to me who were positive and they were living their lives and I was, for 25 years, not having a lot of butt-sex, I had just shaped my sexuality around not having butt-sex, and when I finally sero-converted, it wasnt a surprise really, I had known I wasn't being safe. (Sai Mokhtari / Gothamist) Felix and David are in, what Dan Savage calls, a monogamishrelationship. They have threesomes from time to time and they have noticed a change in the way HIV negative men interact with them on hook-up apps. It's interesting now because the safest people for HIV negative people to play with are the people on PrEP and the people who are positive and undetectable, Felix says. And now, on Scruff, you see condoms only and youre like, nope, nope. Haha. I hear about guys in San Francisco who like, sero-sort, and I just dont want to spend energy on that. Sometimes I guess we have done that, but if people are uncomfortable now, it's like, bye-bye. Marco, 45, a single media professional who has been HIV-positive for many years, has noticed an abrupt shift in the way HIV-negative men interact with him online. There was this guy that hit me up and he was really cute, he wanted to fuck around, and my status came up and he was like, I cant, Im just too scared of that, blah, blah, blah. You know, he wasnt a jerk about it, but whatever. And then a year later, he hits me up, now Im on PrEP and my perspective has changed. Fast forward, he wanted to get bare backed and take a load in his ass. I wouldnt say it's 100%, but a lot of people have changed, Marco explained. Dr. Goldberg has noticed a change in behaviors among his patients on PrEP. It may be that someone who wouldn't bottom before will bottom now because they're on PrEP. That has expanded and I think that's a good thing because it's an enhancement of sexual expression. I think the risk and fear of getting AIDS from getting fucked brings another layer of shame and that's not a good thing. In my opinion." When questioned about the decrease in stigma surrounding HIV positive individuals, Dr. Daskalakis responded, We want to make sure that PrEP users are aware of the limitations of PrEP; PrEP is not a silver bullet but is a very powerful tool to help end the epidemic. A fulfilling sexual life should be enjoyed by all New Yorkers regardless of gender or sexual identity. After Marco noticed this shift in behavior among his hook-ups, he asked his doctor if he was prescribing PrEP and his doctor appeared frustrated. He was part of ACT UP in the '80s and he was annoyed because, he was like, we fought for all this stuff and now people are behaving irresponsibly and everyone comes in with, like, gonorrhea and this and that, Marco explained. Overall, I'm all for it. And what it comes down to, if it's preventing HIV, it's a positive, right? Marcos doctor is not the only gay man to have such a negative reaction to PrEP use and the possibility that it could lead to less condom use among gay men. Larry Kramer, one of the founding members of Gay Mens Health Crisis and a tireless AIDS activist, at one time bemoaned the use of PrEP, saying anyone who takes PrEP has got to have rocks in their heads, and that theres something to me cowardly about taking Truvada instead of using a condom. Henry, 32, is a comedy writer and agrees with many of the criticisms he has heard about gay men on PrEP. Im fine if youre on it but dont be preachy about it. I find that most gay men who are on it state it first and foremost. Like, I dont know your last name, I dont know where youre from but I know that youre on PrEP and that's kind of alarming to me. It raises some red flags in my book. Henry also agrees that the Truvada-whore label may not be that far off the mark. Theres a part of this PrEP thing happening where its part of the party scene almost and that's what Im not really into and the whole culture it's created. Ive heard of terms like, PrEP-train, where people are like, Im on PrEP and it's a PrEP party and its a big orgy! It's regressing back to before AIDS because, look, we have this magic pill that prevents it. And not that I think that time period was bad, and I want to tread lightly with this, I think people learned an important lesson from that, you have to be more cautious about what youre doing, and I feel like, just because this pill was created and the CDC says it's good, like, so many people are using it as an excuse to go crazy and throw caution to the wind, when you should still be cautious even if youre on the pill. The stigma surrounding PrEP had a definite impact when Richard, 33, an arts professional, was weighing whether to start taking the medication. I, psychologically, felt a little bit ashamed about being on PrEP, like, I think I was a little embarrassed, ya know? I thought in some way, I was saying, Hey world! Im gonna get fucked! Richard remembered. Like, I should just be a normal person and not engage in behavior like that. Dont engage in dangerous behavior and you wont have to take a pill everyday to make sure you dont get HIV, right? After deciding to hold off on PrEP, Richard had a close call with a broken condom while having sex with someone who was positive. Im so stupid, because, that's the point of PrEP, sometimes you do go out and get drunk and go home with someone and get more drunk and youre having fun and you have sex without a condom and they are positive. And then, two days later, I got an appointment with my general practitioner and got the lab work done and he wrote me a prescription for PrEP. Richard was fortunate that his insurance covers PrEP and he only pays a $25 co-pay each month. PrEP is not cheap. It can cost between $8,000 and $14,000 a year and requires several doctor visits and frequent blood work to screen for STIs and organ function. Clinics geared towards the LGBTQ community like Callen Lorde, APICHA and GMHC assist clients with finding ways to pay for PrEP. Dr. Daskalakis advises that the NYC Health Department has an online PrEP service locator. As of June 2016, there are 86 sites on the PrEP locator. Dr. Daskalakis added that the city is in the process of establishing a number of clinical sites that will function as part of a PrEP network, along with HIV testing sites and community based organizations. [Theyll] provide PrEP-related services to help at risk populations access PrEP; including assistance with obtaining medications through the PrEP manufacturers assistance programs and reimbursement for the cost of PrEP starter packs. Walter, 38, is a professional actor and he feels his union insurance doesn't pay nearly enough of the cost of his PrEP. I want to lobby my insurance, we have to make this medication more financially accessible. On Truvada, Im like, super excited to hook up with this friend of a friend on Facebook because I know hes also on Truvada, so its like, no holds barred, like, we get to do all the stuff that always seemed taboo. *Names in the article have been changed to prevent slut-shaming. Joe Gallagher is a sometimes writer living in New York City. Majid Jahangir Tribune News Service Srinagar, July 11 Nine more people, including a girl, have succumbed to their injuries, taking the death toll in the Kashmir Valley following the killing of Hizbul Mujahideen commander Burhan Wani to 30, officials said on Monday. Curfew-like restrictions have been extended to volatile areas, including Batamaloo in Srinagar, where a youngster was killed on Sunday night. The situation in the Valley remains tense and additional security forces have been deployed at sensitive areas. In other parts of the Valley, a complete shutdown is being observed on a call by separatist organisations. An official said nine more people from various districts of south Kashmir succumbed to their injuries since Sunday night. They have been identified as Yasmeena, Feroz Mir, Shahid Hussain Bhat, Zubair Khanday, Nazir Ahmed Sheikh and Mushatq Ahmed, all from Kulgam; Shahid Gulzar of Shopian; Abdul Rashid Kumhar of Pulwama; and Bilal Ahmed Shah of Anantnag. More than 300 people have been wounded in the violence since Saturday. Meanwhile, normal life continued to remain paralysed for the third consecutive day on Monday as protests, mainly by the youth, have been going on in different areas of the Valley. The youth have been taking to streets and engaging police and paramilitary jawans in clashes in the capital city and other areas. These demonstrations were triggered following the death of Wani in South Kashmir on Friday evening. There are reports of clashes under way in various parts of Kashmir on Monday. Amid tight security, the annual pilgrimage to Amarnath resumed this evening from Jammu after remaining suspended for two days due to violent protests in Kashmir following the killing of Burhan Wani. Amarnath yatra has resumed this evening from here. A batch of 3,500 pilgrims left from Bagwatinagar base camp for Baltal and Pahalgam, Jammu Deputy Commissioner Simrandeep Singh said. The yatra was suspended on July 9 in the wake of violence in the Valley following the killing of the top Hizbul Mujahideen commander. (Follow The Tribune on Facebook; and Twitter @thetribunechd) Meanwhile, mobile telephony has been suspended in four districts of south Kashmir since Friday evening. Authorities have strengthened the presence of security forces in vulnerable areas of the city and elsewhere in the Valley to contain protests, a police official said. He said the restrictions were being enforced strictly on Monday to avoid any further loss of life or damage to property. Mobile internet services continued to remain suspended for the third day, while normal life remained affected due to the strike called by separatist groups. Shops, private offices, business establishments and petrol pumps were shut, while government offices and banks witnessed a thin attendance, officials said. Public transport was completely off the roads, while private cars and auto-rickshaws were seen plying at a few places in areas where there were no restrictions, they said. Educational institutes in the Valley were closed on account of the ongoing summer vacations, while the Central University of Kashmir, Islamic University of Science and Technology and Jammu and Kashmir Board of School Education have postponed the examinations because of the prevailing situation. Most separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. With agencies Tribune News Service Srinagar, July 11 An Army jawan was killed in a gunfight near the Line of Control (LoC) in north Kashmirs Kupwara district. The gunfight erupted during the intervening night of Sunday and Monday when the Army noticed suspected movement of militants close to the LoC near the Khantawali forest in Keran sector, 130 km from here. Sources said the militants were trying to infiltrate into Kashmir when their movement was noticed. As they were challenged, the militants opened fire triggering a gunfight, in which an Army jawan was wounded, who later succumbed to injuries on way to hospital, sources said. The exchange of fire has stopped in the area and the Army is carrying out massive searches in the forest areas to track the militants. New Delhi, July 11 With unrest continuing in Kashmir, National Security Adviser Ajit Doval returned home on Monday, cutting short his visit to Kenya where he was with Prime Minister Narendra Modi and expressed confidence that solutions would be found to the situation in the Valley. Doval, who has been sent home 24 hours early by the Prime Minister in view of the situation in Kashmir, is regularly taking stock of the developments in the valley with officials. If there are problems, there are solutions. We are quite confident and competent of finding solutions, he told PTI when asked to comment on the situation in Kashmir. Doval was accompanying the Prime Minister on his four-nation tour of African countries--Mozambique, South Africa, Tanzania and Kenya. They were to return home on Tuesday but the NSA came early. The NSA did not elaborate but official sources expressed confidence the situation would be under control in 72 hours. People of Kashmir are law-abiding and solidly against terrorism. They believe in peace, prosperity and development, said a government official. At the same time, government sources asserted that those holding the gun and targeting civilians or security forces would be dealt with sternly. Kashmir has been on the boil ever since the killing of Hizbul Mujahideen commander Burhan Wani, who was the poster boy militant, on Friday in an encounter with security forces in Anantnag. PTI Tribune News Service Jammu, July 11 Jammu Deputy Commissioner Simrandeep Singh today held a meeting and constituted high level enforcement teams to maintain close vigil on the activities of traders, transporters, hoteliers and dhabas to ensure that they do not overcharge from yatris stranded in Jammu and adjoining areas, due to the suspension of the Amarnath yatra. The enforcement teams will be headed by a KAS officer with officers each from the Consumer Affairs and Public Distribution Department and Legal Metrology Department and with Food Safety Inspector, Tehsildar and local SHO as members. The enforcement teams will conduct regular checking of dhabas, eateries, auto rickshaws, taxis and other establishments to tighten noose over those indulging in overcharging or serving adulterated food items to the pilgrims. The teams will also check cleanliness, availability of clean drinking water and sanitation in and around dhabas and langars so that the yatris get hygienic food. Regular reports would be submitted to the DC office. Additional Deputy Commissioners Arun Manhas and Anuradha Gupta, besides senior officers of the departments concerned were present in meeting. Majid Jahangir Tribune News Service Srinagar, July 10 Kashmir continued to be on the boil on Sunday with the death toll in the violence that erupted following the killing of Hizbul Mujahideen commander Burhan Wani mounting to a staggering 21. Edit: Pen vs gun challenge On an appeal by separatists, the Valley observed a complete shutdown today. A desperate Jammu and Kashmir Government, after a Cabinet meeting, appealed to various stakeholders, including separatists, to help restore normalcy. Mirwaiz Umar Farooq, though, was quick to reply, asking how they could appeal for peace when they were caged and gagged. (Follow The Tribune on Facebook; and Twitter @thetribunechd) In Anantnag district, angry protesters pushed a mobile police bunker into the Jhelum, killing a policeman, identified by the state police chief as Afroz Ahmad. The situation in Srinagar turned tense after a protester was killed in police firing while three civilians were killed in South Kashmirs Pulwama district. Irfan Ahmed Malik was killed in Muran Pulwama. He was among a group of youths that came on to the streets, defying curfew restrictions. Gulzar Ahmed Pandit was killed in Lassipora after a police vehicle was torched. Fayaz Ahmed Waza died in Nilora during clashes with security personnel. Meanwhile, five youths wounded in the clashes on Saturday succumbed to their injuries today. They were identified as Haseeb Ganai of Batpora and Imtiyaz Mandoo of Nandpora in Anantnag district, Muzzaffar Ahmed of Keegam Shopian, Mashooq Ahmad of Qazigund in Kulgam district and Altaf Rather of Rajpora in Pulwama district. State police chief K Rajendra Kumar claimed the situation was under control and that curfew has been imposed as a precautionary measure only in areas where there is tension, despite reports of clashes having spread to Budgam, Kupwara, Ganderbal, Bandipore and Baramulla districts. In Kupwara, protesters set a building on fire. A GRP guard room, an RPF barrack at the Bijbehara Railway Station and a police post at Damhal Khushipora were set ablaze too. A building housing a police office at Soibugh Budgam was torched. Curfew was clamped in parts of Anantnag, Kulgam, Tral, Pampore and Awantipore, that saw violent clashes on Saturday. Meanwhile, there was still no word on the three policemen missing since Saturday. We request all political forces, including the NC, Congress and CPM, and those as much concerned as we are, including leaders from the Hurriyat, to help restore peace. - Naeem Akhtar, Education Minister What do they want us to do? They label us as a threat to peace and cage, confine and gag us. How can we be of help? - Mirwaiz Umar Farooq, Hurriyat leader Geneva (Switzerland), July 11 Exiled Kashmiri leader and spokesperson of the United Kashmir Peoples National Party (UKPNP) Nasir Aziz Khan has alleged that Pakistan waged a proxy war in Kashmir since 1998 and had been using the religious sentiment of the Kashmiri people, adding that slain Hizbul Mujahideen militant Burhan Wani was also part of that war. Kashmiris are pro-peace and peace-loving people and they believe in peace and harmony. If we look into history, 4,000-6,000 years ago, Kashmiris, whether they were Hindus or Muslims, they were living with peace and harmony. But, unfortunately, since 1998, Pakistan has started a proxy war in Kashmir, using the religious sentiment of the Kashmiri people and Burhan Wani was also part of that movement I mean the proxy war of Pakistan, Khan said on Sunday. Stating that terrorist have similar fate worldwide as that of Wani, he said, If we see in the whole world, terrorists are treated and eliminated by the same way, whether they are in Syria, the US, the UK, and Spain or in Pakistan. If a terrorist attacks any government building, civilians or law enforcement agencies, they are killed by the same way. Pointing out that in state relations there is no elder or younger brother and every state has its own interest whether it is Pakistan or any other country, Khan said, We should keep in mind that Pakistan is using religion as a weapon and they are exploiting the religious sentiment of the Kashmiri youth. And, Burhan was also issuing statements that he is going to attack law enforcement agencies the Army and police in Kashmir. Khan also warned the Kashmiri people, And one thing we should keep in mind that Pakistan has no love with Kashmiris. She is exploiting religious sentiments of the Kashmiris and is plundering their natural resources. He called upon them to join hands with the secular democratic forces of Kashmir because, according to him, that is the only way through which they can achieve freedom and their rights. Those people who take guns, their families are suffering. Burhan Wani is eliminated, but his family and all Kashmiri society are suffering due to their wrongdoings. Their struggle has nothing to do with Islam, as Islam doesnt allow attacking civilians, killing children and women. That is why they are militants and are the part of the pan-Islamic movement. They have nothing to do with the real religion of Islam. Our youth should understand that we can only achieve our goal through dialogue and peaceful means and the Kashmir issue should be resolved through peaceful means as per wishes and aspiration of the Kashmiri people, Khan said. Alleging that there are few people who are trying to communalise society, he warned, Kashmiri should understand that the Kashmir issue can be resolved through peaceful means and dialogue. Pakistan will not give us freedom or any country will not give us freedom. The only way that should we keep in our minds that we can achieve our freedom and our course through peaceful means through dialogues. Citing examples of Pakistan-occupied Kashmir (PoK) and Gilgit-Baltistan, UKPNP spokesperson said, When we see in PoK and Gilgit-Baltistan, Arif Shahid, who was the chairman of the All-Partys National Alliance of different nationalist parties and groups, he was eliminated in Islamabad a targeted killing, but the media were silent on the both side, whether Indian or Pakistani media, they did not highlight that issue. Mir Murtza Ali in Kotli, he alleged, was kidnapped and killed by army personnel because he walked nearby the check post of the army. An innocent Kashmiri, Sarfaraz Shah, who was killed by Pakistani rangers in a Karachi park, was begging for his life but security forces did not forgive him, they killed him, he alleged, adding he was without arms, he was innocent, but he was killed and our media remained silent. Burhan Wani, the poster boy of the Hizbul Mujahideen, was killed by security forces in an encounter on Friday night. He was buried in his native place Tral on Saturday, while violent mobs attacked installations of police and paramilitary forces at various places in the Valley and set ablaze several buildings, including three police installations. Burhans funeral was attended by thousands of people, in particular the youth. ANI Tribune News Service Ludhiana, July 11 Ludhiana is all set to become Open Defecation Free (ODF) by December 31 this year according to district administration. Taking another leap under the Swachh Bharat Mission, the construction of more than 5,000 toilets will start tomorrow. Notably, 162 villages of the Ludhiana district have already been announced Open Defecation Free till date. Deputy Commissioner Ravi Bhagat said the ODF campaign under the Swachh Bharat Mission was being carried out in the district in an effective manner, and was well received at the state as well as the national level. For making this campaign more effective, the district administration has decided to start the construction of more than 5,000 toilets in a single day tomorrow. For the supervision of this campaign, seven committees have been formed, responsibilities of the SDMs have been fixed and for their assistance, one SDO each has been deputed with them, the DC added. He said on July 12, construction of toilets would start in 300 villages and it would be completed in the next two months. Of the total toilets, around 3,200 will be constructed by the Water Supply and Sanitation Department and remaining by the Bharti Foundation. The DC said there were a total of 928 villages in the Ludhiana district, of which 162 had already been declared Open Defecation Free and 14,383 toilets had been constructed in 651 villages. For this project, the Water Supply and Sanitation Department, Executive Engineer (XEN), Jaswinder Singh Chahal, has been appointed the nodal officer. The DC added that the main aim of this project was not just constructing new toilets, but making sure that people actually use them. Meanwhile, ADC (D) Apneet Riyait and XEN Jaswinder Singh Chahal said under this project, not only toilets would be constructed, but the masses would also be educated about the ill-effects of open defecation. For making people aware, village-level committees have been formed along with vigilance committees, they added. Simran Sodhi Tribune News Service New Delhi, July 11 Prime Minister Narendra Modi today visited Kenya and while security and defence co-operation topped the agenda of talks between the two countries, India also extended a concessional Line of Credit (LOC) of $44.95 million to the African nation. Kenya is the last stop in Modi's five-day tour of four African nations. From Mozambique to South Africa to Tanzania, Kenya marks the completion of the PM's outreach to the African continent. India has been looking to increases its presence in the African continent, especially with China making rapid strides in infrastructure investment in the continent. To that end, India generously reached out to Kenya with a LOC to help it in development of small and medium enterprises and textiles. India will also build a cancer hospital in Kenya to provide quality and affordable healthcare. Like with other African nations, the emphasis on jointly combating terrorism and increased co-operation in the defence and security areas was the highlight of the joint press statements by Modi and Kenyan President Uhuru Kenyatta after the delegation level talks. India and Kenya signed seven pacts, including MoUs in defence and security, a revised agreement on avoidance of double taxation and two LoCs. The other pacts were in the fields of visa, housing and measuring standards. In his statement to the media, Modi said Kenyatta and he had "agreed that terrorism and radicalisation is a common challenge for our two countries, the region and the whole world. We have agreed to deepen our security partnership including in fields of cyber security, combating drugs & narcotics and human trafficking." The PM also talked about his "unforgettable" interaction yesterday with the Indian diaspora in Kenya and said, "They are proud Kenyans even as they cherish their Indian roots." The PM announced that a 'Festival of India' would be held in Kenya later this year. Thiruvananthapuram, July 11 Twenty-one people from the state had gone missing, Kerala Chief Minister Pinarayi Vijayan on Monday informed the Assembly amid reports that they had joined the Islamic State. Of the number, 17 were from Kasaragod and four from Palakkad, Vijayan told the Assembly while replying to subject raised by opposition leader Ramesh Chennithala. The Chief Minister made it clear that extremism and terrorism has no religion and the government would not allow any move to trigger anti-Muslim sentiments in society over the issue. "The missing persons from Kasaragod included four women and three children. Two women were among those missing from Palakkad," he said. These people had left their homes stating different reasons, he said. Quoting media reports, Vijayan said one Firoz from Kasaragod has been taken into custody from Mumbai airport on Sunday in connection with the development. The state government would measures required to tackle the problem. "The state government is committed to take stern action against any kind of terror activities," he said, but warned that the state government would not tolerate deliberately provoking communal sentiments. "There is also an attempt to put the entire Muslim community under the shadow of doubt. But it is well known that majority of people in Kerala are against any kind of terrorism and extremism. Only a very few people are showing tendencies to become part of this," he said, adding, that this was also a serious matter. Families of 21 people have reported them missing for more than a month, sparking fears that they may have joined the Islamic State camps. PTI The tires of multiple police vehicles outside the NYPD precinct stationhouse where the officer who fatally shot Delrawn Small works were slashed Sunday morning. Five cop cars and seven personal vehicles sitting outside of the 79th Precinct stationhouse in Bed-Stuy were vandalized shortly before 1 a.m. Sunday morning, police told the Daily News. NYPD officer Wayne Isaacs left the 79th Precinct and was driving home from his shift shortly after midnight on Monday, July 4th when he became involved in a road rage incident with Small, a 37-year-old Brooklyn man. Small exited his vehicle and approached Isaacs's car near the intersection of Atlantic Avenue and Bradford Street in East New York, at which point Isaacs shot him twice through his open window with his service weapon. Despite early accounts that he had punched Isaacs through the window, security video of the incident shows Small being shot almost instantaneously as he approached. After shooting Small, Isaacs remained at the intersection and called 911. EMS workers later pronounced Small dead at the scene and the state Attorney General's office has launched an investigation into the shooting. Isaacs has since been placed on administrative duty. On Saturday night, Small's 22-year-old nephew Zayanahla Vines led hundreds of people on a protest march through the streets of Manhattan. "Whether you want to admit it or not, we're out here dying from police, and the police are allowed to walk free," Vines said Saturday. "We can't stand for this any longer. It's not right, and we all know it's not right." Protesters condemned Small's death, as well as the fatal police shootings of Alton Sterling in and Philando Castile, two black men who were shot in Louisiana and Minnesota, respectively, in the last week. The police vehicles vandalized Sunday had been parked along Green Avenue between Tompkins and Throop Avenues, directly outside the precinct building. Obviously, it was targeting police. Theres no other way around it, Louis Turco, president of the Lieutenants Benevolent Association, told the News. NYPD officials told the tabloid they have yet to determine a motive for the vandalism, and no arrests have been made. Patna, July 11 The Special Task Force of Bihar Police on Monday arrested Mukesh Pathak, a dreaded criminal, from Ramgarh in neighbouring Jharkhand, the police said. Pathak is the prime accused in the killing of two engineers of a private construction company. It is a big catch for the police as Pathak was absconding for months. He will be brought here and interrogated by a team of police officials, Additional Director General of police headquarters Sunil Kumar said. He said the police will take Pathak on remand for interrogation and file a chargesheet against him soon to ensure a speedy trial. According to police, Pathak was behind the killing of two engineersBrajesh Kumar and Mukesh Kumaron December 26, 2015 by two motorcycle-borne assailants. The engineers were killed for not paying extortion money. An official of construction company BSC-C&C Joint Venture Ltd had said that Pathak, who works as a shooter for gangster Santosh Jha, was demanding the money since August. The official said Pathak had called several times between December 16 and 20, 2015. A police probe indicated the involvement of Santosh Jhas gang. Jha is currently lodged in Gaya Central Jail. Police said there are 16 serious criminal cases against Pathak. IANS Seema Kaul Tribune News Service New Delhi, July 11 Maneka Gandhis decision to help women complain against vicious abuse on the Internet is certainly a step in the right direction, but a number of other measures, such as making service providers more accountable and amending the law to define and punish trolls, need to be initiated to ensure the perpetrators are caught and punished. The WCD (Women and Child Development) Ministry is talking to Twitter and Facebook (authorities) to understand their internal complaint resolution mechanism. The ministry will forward complaints to these social media sites to draw their attention to specific incidents of trolling to enable action against them (those behind such things), a WCD ministry official said. The idea is to try and resolve complaints directly with Twitter and Facebook as far as possible, the official added. Women and Child Development Minister Maneka Gandhi met top officials of Twitter India on Friday and it was agreed that a point person would be appointed by the micro-blogging site to whom complaints of trolling could be forwarded by the ministry. Gandhi is scheduled to meet Facebook officials over the next few days. Making it clear the commission would support the ministry in this initiative, National Commission for Women (NCW) Chairperson Lalitha Kumaramangalam today pointed out that the police might find it tough because of the volume of complaints and the complete anonymity on the Net. At present, trolling is not an offence under the IPC nor is it defined under the IT Act of 2000. Setting up a cell to collate and forward trolling complaints is a good step forward, but the cell alone will not help, said cyber law expert and Supreme Court advocate Pavan Duggal. After Section 66A of the IT Act was struck down in March 2015, there has been around eightfold increase in the number of such cases, he said. Earlier, Section 66A was being used against trollers, cyber bullies and stalkers. Dhaka, July 11 Bangladesh scrapped the downlink permission for 'Peace TV' of Indian Islamic preacher Zakir Naik on Monday, a day after it banned the channel following reports that his "provocative" speeches inspired some of the militants who carried out the country's worst terror attack at a cafe here. The Information Ministry issued the order to cancel the Peace TV's downlink permission needed to broadcast in the country. "Based on the Cabinet committee's decision, free-to-air TV channel Peace TV's downlink permission has been cancelled for violating downlink conditions," the ministry said in the directive. A spokesman of Bangladesh Telecommunication Commission (BTRC) said, "Its (Peace TV) downlink permission has been cancelled in line with a information ministry's decision." The development comes amid reports that Naik has cancelle his scheduled return to Mumbai from Saudi Arabia. The action came a day after Cabinet Committee on Law and Order during a special meeting on Sunday decided to ban the Mumbai-based preacher's channel. A doctor-turned-preacher, Naik's speeches are believed to have inspired some of the Bangladeshi militants, who killed 22 people mostly foreigners at an upscale restaurant in Dhaka on July 1. A spokesman of the Information Ministry said copies of the circular have been sent to the Home Ministry, press information department, state-run BTV and cable operators association KOAB. Home Minister Asaduzzaman Khan had earlier said that Bangladesh's intelligence agencies were investigating the 50-year-old Naik's possible role in the cafe attack. "He is on our security scanner... Our intelligence agencies are investigating his activities as his lectures appeared provocative," Khan had said. Khan had said the investigators were also probing Naik's financial transactions in Bangladesh. One of the slain attackers of the terrorist attack in Dhaka's high-security Gulshan area, the 22-year-old Rohan Imtiaz quoted Naik in a Facebook post in January this year where he urged "all Muslims to be terrorists". The activities of Naik's Mumbai-based Islamic Research Foundation are also under the scanner of Indian Home Ministry amid allegations that funds from abroad received by it have been spent on political activities and inspiring people towards radical views. PTI Mumbai, July 11 The Shiv Sena on Monday asked the Central Government to abandon plans to catch mafia dons like Dawood Ibrahim and instead book controversial Mumbai-based Islamic preacher Zakir Naik. Stop making announcements of dragging back Dawood or Tiger Memon from Pakistan... now concentrate on Naik, this hidden enemy at home... arrest him and throw him in the same cell as (hanged 26/11 Pakistani terrorist) Ajmal Kasab, the Sena said in its mouthpiece Saamana. Naik is under the scanner following revelations that two of the militants who massacred 20 persons, including an Indian, at a cafe in Dhaka on July 1 drew inspiration from his speeches. The Sena a Bharatiya Janata Party (BJP) ally both at the Centre and in Maharashtra said until now the National Investigation Agency, Central Bureau of Investigation and police in Maharashtra and Goa were hounding Sanatan Sanstha, a rightwing Hindu organisation. What about the propaganda and literature of Naik it is directly fuelling separatist elements in the country. It seems he is trying to become a messiah of Muslims by pushing them into hell. A new type of unrest and Pakistan is being created in India, the Sena said. It urged the BJP governments in New Delhi and Mumbai to display guts and ban Naik and his Peace TV and demolish his propaganda machinery. The Sena said an Islamic State den was uncovered in Hyderabad and its chief Ibrahim Yezda confessed to attending Naiks peace camp for 10 days. It accused the Indian agencies of developing cold feet while taking action against Naik. IANS New Delhi, July 11 India is planning to evacuate its citizens from South Sudan where renewed fighting between rival military factions has claimed nearly 300 lives, External Affairs Minister Sushma Swaraj said on Monday. South Sudan - I am aware of the developments in South Sudan. We are planning evacuation of Indian nationals. /1 Sushma Swaraj (@SushmaSwaraj) July 11, 2016 "I am aware of the developments in South Sudan," External Affairs Minister Sushma Swaraj tweeted. "We are planning evacuation of Indian citizens." "Please register yourself with Indian Embassy and do not panic. Indian nationals are advised not to travel to South Sudan." Please register yourself with Indian Embassy and do not panic./2 Sushma Swaraj (@SushmaSwaraj) July 11, 2016 "Indian nationals are advised not to travel to South Sudan," she said. "The Indian embassy is in touch with concerned authorities in India and all options are being considered for providing relief to Indians stranded here in Juba. All are requested to keep calm and await further development and further inputs from the embassy," an advisory by the Indian establishment said. The latest bout of violence started after a localised gunfight outside South Sudan President Salva Kiir Mayardit's residence in the country's capital Juba on Thursday when he was holding a meeting with former rebel leader and current First Vice-President Riek Machar. Both Kiir and Machar have appealed for calm to all factions. Sushma Swaraj advised Indian nationals not to panic and to register themselves with the Indian embassy. A few hundred Indians are currently living in South Sudan, among them Indians working in Christian missionary organisations. Agencies New Delhi, July 11 Union Minister Kiren Rijiju on Monday took a dig at Pakistan for accusing India of committing human rights violations in Kashmir, saying it should worry more about such violations in Pakistan-occupied Kashmir (PoK) than in the Valley. They (Pakistan) should worry (more) about human rights violations in Pakistan-occupied Kashmir than Jammu and Kashmir. Kashmir is an internal matter of India, he told reporters here. Rijijus comments came a day after Pakistan accused India of committing human rights violations in Kashmir following the killing of Hizbul Mujahideen leader Burhan Wani. PTI Our Correspondent Jaipur, July 11 The Rajasthan government will send two teams of officers to Jammu and Kashmir to provide relief to Amarnath pilgrims from the state stuck in the Valley due to the ongoing unrest. At a high-level meeting called on the directions of Chief Minister Vasundhara Raje, who is on a 12-day tour to Russia, Chief Secretary O P Meena directed the Additional Director General of Police B L Soni, and Joint Secretary of Calamity Relief Management to lead two separate teams to J&K on Tuesday. A few roadways buses would also depart from Jaipur to bring Rajasthani pilgrims back. The CM and Chief Secretary talked to the J&K home secretary over phone to enquire about the well-being of pilgrims from Rajasthan. New Delhi, July 11 The Central Government on Monday promised to investigate allegations of racist treatment to a woman from Manipur. We have received a report that a Manipuri girl who was going to South Korea was misbehaved and racially targeted. We have got a report that Delhi Airport Immigration officer misbehaved with herso we have asked for details. There will be probe in this matter. Action will be taken as and when details are given to us, Minister of State for Home Kiren Rijiju told the press. (Follow The Tribune on Facebook; and Twitter @thetribunechd) Minister of State in Prime Minister's Office Jitendra Singh said the Centre did not approve of such conduct. In the last two years ever since the present government took over under Prime Minister Narendra Modi, not only there has been developmental works in North-East but there has been an outreach at the social and cultural level to bring North-east as close as possible to the mainstream of India. Therefore, it is for all of us to realize that the people of North-East are as much Indians as any one of us, he said. This is also an occasion to reassure friends and fellow citizens of North-East that the government of the day doesn't approve of such kind of conduct coming forth from any quarter, he said. A woman from Maipur recently accused the immigration desk of the Indira Gandhi International Airport of racism. She said she was planned to write to the External Affairs Ministry about the incident. External Affairs Minister Sushma Swaraj apologised in her Twitter posts. Monika Khangembam I am sorry to know this. Immigration is not with me. I will speak to my senior colleague Shri @rajnathsingh ji to sensitise Immigration officials at the airport. In a Facebook post on July 9, Monika Khangembam claimed she was subjected to harassment by a racist immigration desk. The bloody racist immigration desk at it again! Looks at my passport and says, Indian toh nahi lagti ho'. I get that all the time so don't react much to it but then he goes on, Pakka Indian ho?' with a smirk. I still don't react. What really got me was when he said, See... You yourself need to know your Indianess. How many states are there in India?' The lady at the next counter is giggling. I tell him I am really running late. He goes, nahi nahi bolo bolo'. I helplessly answer him as he is not proceeding with the process. And then he continues, kaha se ho;' I said Manipur and he is like, so tell me how many states Manipur shares borders with. Name them. I totally lose it and I look away and roll my eyes and don't answer. And he still insists that I answer. This bloody moron has all the time to ask all this. I said I was really getting late and he goes like, aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do'. What a bully and never felt so humiliated. It was like it was his mission to make me realise my Indianness' and he thought he was being funny. I was running late and felt I was giving in to the bully. Spoiled my night. Is there a way to complain against these bullies? The recent years have seen a rise in the number of incidents of racism in India, with several people from the Northeast claiming they faced discrimination. ANI Mumbai, July 11 Controversial Islamic preacher Zakir Naik, who was expected back here today from Saudi Arabia, is unlikely to return to the country for another two to three weeks as he is planning to visit some African countries for public speeches. Naik also cancelled his press conference planned through Skype for tomorrow on the allegations of inspiring militants through his speeches, an aide of the preacher said today. Dr Zakir Naik was never supposed to be physically present for the media briefing that was to take place on Tuesday. He had decided that he will address the media through Skype and answer all queries that media persons may have had, he said. His (Naiks) travel schedule had been made long back. After performing Umrah, he is scheduled to travel to Jeddah from where he will visit Africa for his public talks scheduled there. He is, therefore, not expected in the country for another 2-3 weeks at least, he added. He, however, said that Naik is not running away from any inquiry, and that he is only sticking to his travel schedule worked out in advance. Apart from coming under scanner in India, Bangladesh has also banned the broadcast of Naiks Peace TV, cracking down on the channel and radical sermons by the teleevnagelist. Shiv Sena has demanded arrest of Naik on his landing in India and dismantling of his TV network. Samajwadi Party Maharashtra unit president Abu Azmi came in support of Naik and sought to know why action has not been taken for the last 25 years if his speeches are inspiring terrorists, and called for a fair inquiry into charges against him. Zakir Naik has been a preacher for around 25 years now. If he has been inspiring terrorists, why was no action taken against him from so long? There should be an inquiry, conducted by a retired Supreme Court judge to prove that he can never do anything of this sort. But, this media trial against him should stop, Azmi told reporters here. PTI Nairobi, July 11 Amid the expanding footprint of ISIS across the world, Prime Minister Narendra Modi on Monday warned that "preachers of hate and violence" are threatening the fabric of the society and asked the youth to build a counter narrative to extremist ideologies. He also emphasised that those giving shelter to terrorists and using them as political instruments must be condemned, an apparent reference to Pakistan. (Follow The Tribune on Facebook; and Twitter @thetribunechd) Addressing the students at the University of Nairobi here, Modi pitched for a world free from terror and hate, saying safety and security of people and societies is essential for realising the benefits of the economic progress. "Preachers of hate and violence are threatening the fabric of our society," he said. Underlining the need to counter radicalisation, he said, "Youth can play an important role in building a counter narrative to extremist ideologies." His comments assume significance in view of the expanding footprint of ISIS terror group, which is particularly attracting the youth through a campaign of radicalisation. A recent case in point was the attack in Bangladesh some days back at a cafe by a group of six educated youth in which 22 people, mostly foreigners, were killed. Some of these six attackers were allegedly influenced by the controversial Indian Muslim preacher Zakir Naik, who gives sermons on a TV channel. Apprehensions of some Indian youth getting attracted towards ISIS is also gaining ground with 15 youngsters from Kerala going missing mysteriously. The Prime Minister, while speaking about developmental strides, said, "A steady march towards our economic goals is indeed a priority. But, we also cannot ignore the safety of our people." "We must be equally condemning of those who give shelter to terrorists and use them as political instruments," the Prime Minister said, in an apparent reference to Pakistan where anti-India terror groups like Lashkar-e-Taiba and Jaish-e-Mohammad are based and carry out strikes in India with the support of Pakistani establishment. Terrorism knows no boundaries, no religion, no race and no values," Modi asserted. Turning to maritime security, he said there is a need to stand guard against sea-borne threats. He also pressed the need for ensuring that piracy does not affect the movements in the maritime area and that freedom of navigation is ensured for all. Pitching for closer security cooperation with Kenya and other African countries connected with India by the Indian Ocean, he said the eastern coast of Africa is facing challenges. Coastal security is a matter of deeper engagement," he said, while asking Africa to ensure that its safety is not compromised. Talking about cooperation with Kenya, he said India is ready to share its experiences and expertise in diversified areas like telecom, agriculture, energy and IT. "As you march towards your destiny, you will find in India a trusted and reliable partner," he told the Kenyans. PTI New Delhi, July 11 With Pakistan Prime Minister Nawaz Sharif condemning the killing of Hizbul Mujahideen commander Burhan Wani, and Pakistan issuing summons to the Indian High Commissioner, New Delhi on Monday advised Islamabad to refrain from interfering in the internal affairs of its neighbours. The Ministry of External Affairs (MEA) said Islamabad's statement reflected their attachment to terrorism. (Follow The Tribune on Facebook; and Twitter @thetribunechd) They reflect Pakistan's continued attachment to terrorism and its usage as an instrument of state policy. Pakistan is advised to refrain from interfering in internal affairs of its neighbours, the statement said. Pakistan today summoned Indian High Commissioner Gautam Bambawale "and conveyed Pakistan's serious concerns over the recent killings of Kashmiri leader Burhan Wani and many other civilians in Kashmir by the Indian military and paramilitary forces", a statement said. Earlier today, Nawaz Sharif expressed deep shock over the killing of Burhan Wani and other civilians and condemned the excessive and unlawful use of force against people in Indian-administered Kashmir. "It is deplorable that excessive and unlawful force was used against civilians who were protesting against the killing of Burhan Wani," Sharif said in a statement. The 22-year-old commander of the Hizbul Mujahideen along with his two accomplices was killed by the security forces on Friday. Agencies Thiruvananthapuram, July 11 Saying terrorists have no religion, Kerala Chief Minister Pinarayi Vijayan on Monday said an entire community should not be blamed for the alleged links of some with the IS. Extremist activities cannot be attributed to any particular religion alone, the Communist Party of India-Marxist leader told the Assembly. Vested interests are creating an anti-Muslim feeling, Vijayan cautioned. This will not be allowed as a huge majority in our society is against such activities. Its a very small segment which does this. To blame one particular community alone is not fair, he said. The Chief Minister spoke after three legislators raised media reports about several Keralites reportedly going missing to join the Islamic State. Vijayan said the government would not be able to tackle the problem on its own. There has to be an overall awareness against terrorism and extremism and we need to take everyone into confidence. The state government will do its job and will work in tandem with the Centre to probe these reports, he said. According to Vijayan, 21 persons, including children, were missing and this included 17 from Kasargode district, in the northern tip of Kerala, and four from Palakkad district. Opposition leader Ramesh Chennithala said: Overall Kerala is known to be a peaceful state. Even though we have no confirmation (that people are missing), it is disturbing and the need of the hour is to strengthen internal security and all of us would like to know the truth. Congress legislator P.T. Thomas pointed out a lady from his constituency was missing. She was working in Mumbai and had reportedly attended meetings in Andheri and was reported to be with the IS now, Thomas said. One way to bring an instant impact against these subversive forces is to see that this topic is introduced in schools in our state, he said. The Kerala Police and central intelligence agencies are said to be probing the issue, taking down statements from families which have alleged that their family members have gone missing. IANS Mumbai, July 11 Controversial Islamic preacher Zakir Naik, who was expected back here on Monday from Saudi Arabia, is unlikely to return for another two to three weeks as he is planning to visit some African countries for public speeches. Naik also cancelled his press conference planned through Skype for tomorrow on the allegations of inspiring militants through his speeches, an aide of the preacher said. Dr Zakir Naik was never supposed to be physically present for the media briefing that was to take place on Tuesday. He had decided that he will address the media through Skype and answer all queries that media persons may have had, he said. His (Naiks) travel schedule had been made long back. After performing Umrah, he is scheduled to travel to Jeddah from where he will visit Africa for his public talks scheduled there. He is therefore not expected in the country for another 2-3 weeks at least, he added. He, however, said that Naik was not running away from any inquiry and that he was only sticking to his travel schedule worked out in advance. Apart from coming under scanner in India, Bangladesh has also banned the broadcast of Naiks Peace TV, cracking down on the channel and radical sermons by the preacher. Shiv Sena has demanded arrest of Naik on his landing in India and dismantling of his TV network. Meanwhile, Samajwadi Party Maharashtra unit President Abu Azmi came in support of Naik and sought to know why action had not been taken for the last 25 years if his speeches were inspiring terrorists, and called for a fair inquiry into charges against him. Zakir Naik has been a preacher for around 25 years now. If he has been inspiring terrorists, why was no action taken against him from so long? There should be an inquiry, conducted by a retired Supreme Court judge to prove that he can never do anything of this sort. But, this media trial against him should stop, Azmi told reporters here. While there should be a thorough investigation into Zakir Naiks speeches, funding of his Islamic Research Foundation, the Centre should first ban Sanathan Sanstha because their members have been named by the CBI for being involved in the killing of those who opposed their way of Hinduism, he said. We want justice for him (Naik). Let the inquiry be conducted by a non-Muslim judge like Justice Srikrishna, Rajindar Sachar or another retired judge of the Supreme Court, Azmi added. PTI Brussels, July 11 In a scathing editorial written by the European Parliaments vice-president Ryszard Czarneck titled Wake up call to Anti-terrorism Ayatollahs, Pakistani links to the recent Ramzan terror attacks in Saudi Arabia have signalled the arrival of the Lashkar-e-Toibas humanitarian NGO Falah-e-Insaniyat Foundation (FIF) as being the source for terror attack in Medina. Czarneck mentions in his article that ever since ISISs exponential proliferation in the Middle East, the activities of Pakistani-linked Lashkar-e-Toiba (LeT) and its sister concern FIF have also picked up. However, both their activities were earlier dismissed as being primarily focused on India. The recent terror attacks in Medina however, have changed that opinion. The arrest of 12 Pakistanis for the suicide attacks in Medina, the western city of Jeddah, and the eastern city of Qatif, has made even the Saudis sit up and take note. One of the arrested ring leaders is Abdullah Qalzar Khan (34), a driver by profession and a resident of Jiddah for over a decade. His arrest shows FIFs quiet ways of radicalisation of the Pakistani diaspora, writes Czarneck. FIF is a charitable organisation started by the perpetrator of the Mumbai terror attacks in 2008, Hafiz Saeed. Czarneck writes that the FIF in its operations doubles up as a recruitment agency for affected, radicalised youth. Whilst distributing blankets in Syria, distributing knick-knacks during prayer time in Gaza or distributing relief material during the Nepal earthquake organisations like LeT soon follow their FIF comrades into an indoctrination/recruitment campaign. An example of FIF founder Hafiz Saeeds indoctrination tool can be seen in the recruitment of Ghulam Mustafa Rama, the man behind the 2001 shoe bomber, Richard C Reid. From a butcher shop in Northern Paris, Rama graduated to becoming the French face of the Hafiz Saeed-floated Markaz Dawa ul-Irshad. He remained in touch with his Pakistani handlers via a call centre operated by his countryman and eventually provided logistical support to the shoe bomber Richard Reid in December 2001. Hafiz Saeed has tapped the Pakistani diaspora abroad to recruit terrorists in the past; in 2003 Faheed Khalid Lodhi was planning a series of bomb blasts to attack the National Electricity Grid in Australia among other Australian Defence installations. Lodhis arrest led to a direct link with LeT. The FIF/JuD supremos recruitment prowess hasnt waned as the years have passed. According to the Sunday Times, three months ago, Hafiz Saeed tapped into one of the accused in the Mumbai 2008 attacks, Muhammad Ghani Usma, a veteran bomb maker for LeT and sent Usman via the refugee route into Europe. Luckily, Usman was nabbed at an Austrian refugee centre before he could carry out the task assigned. From Paris to Salzburg, from Sydney to Kathmandu, and from Italy to Belgium, it has been a long footprint for the Falah-e-Insaniyat Foundation, and its master Hafeez Saeed and the LeT. Yet, the Western anti-terrorism Ayatollahs have not given its apparatchiks a run for their moneya sad commentary on the way the world looks at the 21st century phenomenon of terrorism, that has no parallels when viewed through the tinted glasses of a bygone era, writes Czarneck. LeT and other Pakistani terror organisations that are spawned by ideologues who believe in a militant Sharia world order are working overtime in recruitment and are a threat that needs to be tackled head on, wrote the European Parliament vice-president. ANI Dhaka: Bangladesh on Monday cancelled downlink permission for Indian Islamic preacher Zakir Naiks Peace TV, a day after it banned the channel over reports that his provocative speeches encouraged some of the militants to unleash the worst terror attack in the Muslim-majority nation. The Information Ministry issued the order cancelling the permission mandatory for television broadcast in the country. The action came a day after the Cabinet Committee on Law and Order decided to ban the Mumbai-based preachers channel. PTI Nepals first woman Chief Justice takes oath Kathmandu: After its first woman President and Parliament speaker, Nepal will now have its first woman Supreme Court Chief Justice after Sushila Karki on Monday formally assumed office. Her appointment means Nepal will now have women as its President (Bidya Devi Bhandari), Speaker of Parliament (Onsari Gharti) and as the chief justice of the Supreme Court. Karki, 64, who is the 25th Chief Justice, took oath of office and secrecy from President Bhandari. PTI Ex-President Rajapaksas eldest son arrested for graft Colombo: Former Sri Lankan President Mahinda Rajapaksas eldest son was arrested for allegedly accepting $500,000 from an Indian firm in connection with a $650 million real-estate project in the heart of Colombo. Namal Rajapaksa, 30, was arrested after he recorded his statement with Financial Crimes Division in connection with the deal with Indias Krrish Group, police said. PTI Fighting enters 5th day in South Sudan capital Juba: Explosions and heavy weapons gunfire were shaking South Sudans capital Juba on Monday in the fifth day of clashes between government and opposition forces, raising the specter of a return to civil war. Considerable fighting has centered around the UN base in the Jebel area, where some 30,000 civilians have taken refuge. AP Tokyo, July 11 Prime Minister Shinzo Abe said on Monday it would not be easy to revise Japans Constitution, a day after a resounding election victory opened the door for a possible revision of the charter that was adopted after World War Two. Abes coalition and allies obtained two-thirds of the seats in the Upper House of Parliament which, with the ruling blocs super majority in the lower house, could allow them to revise the document. However, Abe told a news conference this would not be so easy and debate had to be deepened. Reuters Seoul, July 11 North Koreas military said on Monday it will make a physical response to moves by the US and South Korea to deploy the advanced THAAD missile defence system on the Korean peninsula. The US and South Korea said on Friday that the Terminal High Altitude Area Defence (THAAD) anti-missile system will be used to counter North Koreas growing nuclear and ballistic missile capabilities. The announcement was the latest move by the allies against the North, which conducted its fourth nuclear test this year and launched a long-range rocket, resulting in tough new UN sanctions. There will be physical response measures from us as soon as the location and time that the invasionary tool for US world supremacy, THAAD, will be brought into South Korea are confirmed, the Norths military said in a statement. It is the unwavering will of our army to deal a ruthless retaliatory strike and turn (the South) into a sea of fire and a pile of ashes the moment we have an order to carry it out, the statement carried by the official KCNA news agency said. The North frequently threatens to attack the South and US interests in Asia and the Pacific. The move to deploy the THAAD system, which drew a swift and sharp protest from China, came a day after the US Treasury Department blacklisted leader North Korean leader Kim Jong Un for human rights abuses. North Korea called the blacklisting a declaration of war and vowed a tough response. South Korean President Park Geun-hye said on Monday the THAAD system was not intended to target any third country but was purely aimed at countering the threat from the North, in an apparent message to Beijing. Im certain the international community knows full well that we have no intention whatsoever to target any other country or threaten them, Park said at a meeting with her senior advisers, according to the Blue House. Chinese Foreign Minister Wang Yi said on Saturday that THAAD exceeded the security needs of the Korean peninsula, and suggested there was a conspiracy behind this move. A South Korean Defence Ministry official said selection of a site for THAAD could come within weeks, and the allies were working to have it operational by the end of 2017. It will be used by US Forces Korea to protect alliance military forces, the South and the United States said on Friday. The US maintains 28,500 troops in South Korea, a legacy of the 1950-53 Korean war. The system will be focused solely on North Korean nuclear and missile threats and would not be directed towards any other nation, the two countries said last week. Reuters Beijing, July 11 Efforts by China and Nepal to step up border trade to reduce reliance on supplies from India to the Himalayan country suffered a setback as floods and landslides damaged the border point between the two countries. Opening of Zhangmu-Tatopani border point between China and Nepal could be further delayed due to swollen Bhotekoshi River and landslides triggered by rainfalls, state-run Xinhua news agency quoted Nepali officials as saying. The border point, located on a main trade route between the two countries, has remained closed since the deadly earthquake last year. As the two countries have been making efforts to reopen the border point at an early date, the swollen river and several landslides damaged the road and other infrastructure facilities in the border area. This could dash any hope of early reopening of the border point, Nepali officials said. The area around the border point has been very risky as there were several landslides and the Bhotekoshi River has been eroding nearby soil severely, the officials said. The flooded Bhotekoshi has already swept away 45 houses in the area and 150 others are at high risk, they added. China has stepped up efforts to establish rail and road links with Nepal to supply commodities and petroleum products to reduce landlocked Kathmandus dependence on supplies from India. PTI London, July 11 UK Home Secretary Theresa May looks set to take charge as Britains second woman Prime Minister to replace David Cameron after her only rival in a surprise move announced on Monday that she was withdrawing from the race. Andrea Leadsom, who was energy minister in the Cameron-led Cabinet, pulled out from the two-way contest for the Conservative party leadership in a dramatic move today. (Follow The Tribune on Facebook; and Twitter @thetribunechd) David Cameron said he would resign as prime minister on Wednesday, paving the way for May to take over the job the same day. Cameron said he expected to chair his last cabinet meeting on Tuesday and then take questions in parliament for around 30 minutes on Wednesday. "After that I expect to go to (Buckingham Palace) and offer my resignation," he told reporters outside his office in Downing Street. "So we will have a new prime minister in that building behind me by Wednesday evening." Leadsom threw her support behind 59-year-old May as ideally placed to enforce the vote for Brexit in last months referendum on Britains membership of the European Union (EU). The interests of our country are best served from the immediate appointment of a strong and well-supported Prime Minister. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success. I assure her of my full support, Leadsom told reporters here. The best interests of our country inspired me to stand for our leadership. I believe in leaving the EU, a bright future awaits. The referendum result represented a clear desire for change, the 53-year-old senior Tory MP said. It then fell to the 1922 committee of Conservative MPs to decide the revised timetable for the leadership race. Conservative MP Graham Brady, the chairman of the committee, told reporters soon after Leadsoms announcement that May is now the only candidate for the party leadership, which only leaves the formal announcement of her as party leader and PM. An exact time-frame for that will become clear in the coming days. Leadsoms withdrawal nullified the need for a postal ballot by the UK-wide Conservative party membership and it is now certain that the new leader will be officially declared much sooner than the September 9 timeline initially announced. Leadsoms announcement follows days of controversy around her candidature, which included allegations of exaggerating her curriculum vitae (CV) and most recently having to apologise to her rival over an interview to The Times which suggested that being a mother made her a better candidate for the job. May had recently publicly revealed her sadness at not being able to have children. She now looks all set to take over from Cameron, who had announced he would be stepping down for a new PM to take the Brexit negotiations forward. PTI Lewis and Clark County and Middlebury College in Vermont are looking for 75 Helena-area residents interested in having their groundwater tested for free as part of a study to better map the areas geology. Arsenic naturally occurs in the environment typically at small concentrations. At higher concentrations, however, it can cause serious health problems with long-term exposures contributing to certain cancers, according to the World Health Organization. Groundwater studies in the Helena area including by Carroll College have recorded some elevated arsenic due to natural geology. The mineralization that once made the Helena area a mining center is also where bedrock arsenic occurs. Past studies have shown elevated levels found in wells on Raven Road in the Birdseye area northwest of Helena and in the Northeast Valley. Elevated levels have also been found in volcanic ash deposits beneath the East Bench near East Helena. Arsenic is also elevated in some areas due to unnatural reasons. East Helena has a documented arsenic groundwater plume caused by the shuttered lead smelter. Arsenic is also known to enter the valley through irrigation from the Missouri River, which has naturally occurring elevated arsenic that artificially elevates some levels in the Helena Valley, according to the Lewis and Clark Water Quality Protection District. Working from previous studies to understand where arsenic is present and may pose potential health concerns for well users, the water quality protection district and Middlebury launched the latest research. We want to fill in those gaps, said James Swierc, hydrologist with the district. With only limited data available, its possible that some residents in the Helena area who rely on groundwater from private wells may be exposed to arsenic in their drinking water. The study is not meant as a health scare, he emphasized, and data from the study will only be used academically. Similar testing has occurred in Gallatin and Missoula counties with the information available to residents for mitigation. Sampling takes about 30 minutes with Middlebury doing the testing. Residents who participate in the study will then receive results via letter for their own use, Swierc said. Mitigation systems can be installed that remove arsenic to purify drinking water. Advancements in technology over the past two decades allow testing of lower arsenic concentrations. The once technology-limited drinking standard of 50 parts per billion is now 10 parts per billion because of refined testing, and better technology also means arsenic is easier to treat. Work by Dr. Peter Ryan at Middlebury, a former colleague of Swierc, previously mapped geology in Vermont looking at arsenics relationship to black shale. Middlebury junior and Helena native Atticus Proctor is home on an internship assisting on the new study. With the little bit of testing done so far we dont know how widespread the problems are, he said. Proctors ties to Helena and Swiercs ties to Ryan put the wheels in motion for a study. Because the testing goes through the college the research comes at a much lower price tag than a private laboratory, although Swierc said the final cost is yet to be determined. Swierc hopes to have the bulk of sampling completed by the end of July but expects some work to continue into the fall. Funding will not limit the study to 75 wells, but the exact cap has not been determined. Residents outside the city and within the water quality protection district are the focus of the study, but requests for well testing outside the district including potentially Montana City will also be considered, he said. Residents interested in participating can call 447-8374 or email jswierc@lccountymt.gov. Rome, July 11 From a smartphone app delivering market prices to Guatemalan farmers to online nutrition tips in rural Colombia, the push to end hunger has entered a new era with the UN food agency deploying the latest technology to fight it. The World Food Programmes (WFP) new innovation hub, launched in Munich this week with the support of the German government, will seek out new technologies to help reach the goal of zero hunger faster. In September the United Nations adopted an ambitious new set of global goals, called the Sustainable Development Goals, to end hunger, poverty advance equality and protect the environment by 2030. (But) if we continue progress at the same rate, we are not going to be even close to eradicating hunger by 2030, Robert Opp, head of innovation at WFP, told the Thomson Reuters Foundation. Although the number of people going to bed hungry has fallen by 167 million over the last decade, almost 800 million people worldwide are not getting enough to eat, according to the United Nations figures. South Asia, where as many as 281 million people do not have enough food, bears the highest burden of hunger. Sub-Saharan Africa has the highest prevalence of hunger with 23 percent of the population not getting enough to eat. The launch of WFPs Innovation Accelerator is one element in the drive to end hunger, said Opp. Of course innovation is not the only answer, theres political will and theres everything else thats involved in fight against hunger but innovation is one of the levers, he said. Among the ideas Opps team is already developing is AgriUp, a low bandwidth application for smallholder farmers in Guatemala, which provides location-specific information including farming tips, market prices and weather forecasts. Another is NutriFami, an interactive online platform designed to boost nutrition knowledge in rural Colombia, available through a network of government-run internet cafes. For now WFP is mainly relying on ideas generated within the organisation, but in future may look at crowdsourcing new innovations to help end hunger, Opp said. Thomson Reuters Foundation Some truckers found themselves in a sticky situation over the weekend as protesters angry about recent police killings tried to block highways, and in some cases succeeded. Photos show protesters climbing on top of tractors and trailers in some locations. The shooting deaths of Philando Castile in St. Paul, Minn., and Alton Sterling in Baton Rouge, La., have, as ABC News put it, set off a tumultuous chain of events and ignited a fierce debate over police tactics and race relations that continues to roil communities across the nation. In St. Paul late Saturday night, protesters caused an hours-long shutdown on part of I-94 west of downtown. Hundreds of protesters were spread across the eastbound and westbound lanes, according to published reports, with many throwing objects at police, who eventually used smoke to help disperse the crowd. About 50 protesters were arrested on I-94 and some police officers were injured. In Memphis, Tenn., I-40 was blocked in both directions for about four hours Sunday evening after more than 1,000 people occupied the bridge over the Mississippi River. Demonstrators in Oakland, Calif., blocked traffic along Interstate 880 Thursday night. Although the California Highway Patrol issued an alert around 9 p.m. asking drivers to use alternate routes, and commercial trucks were given permission to use Interstate Highway 580 on a temporary basis, some trucks were still stuck in the mess. In Baton Rouge, La., police kept a group of protesters from entering I-110 late Sunday after a lengthy standoff. Some 30 to 40 people were taken into custody for trying to block a highway. One striking image of that confrontation, of a woman in a dress being confronted by police in riot gear, has gone viral on social media. Louisiana Gov. John Bel Edwards said at a late weekend news conference that he didnt believe using riot gear to push protesters away from highway ramps was overly aggressive, according to the Associated Press. In Southern California late Sunday night, protesters shut down a major intersection and blocked traffic on the 405 Freeway for several minutes. In Atlanta Friday night, police kept protesters from entering the Interstate, but one local trucker on his regular route was caught in the downtown protest. WSB-TV2 in Atlanta spoke by phone with Chris Golden, who used the opportunity to promote his business as a recording artist. Footage showed people climbing on top of his truck and trailers, but Golden was supportive of the protesters, saying it was all about unity, noting that black and white protesters were both involved, calling it a beautiful sight. On social media, some truckers said they wouldn't be so understanding and suggested they would not stop for protesters. Photo via Global Container Terminals Twitter The largest container vessel ever to call on the Port of New York and New Jersey arrived on July 8, having steamed from the recently expanded Panama Canal locks. The ship, called Mol Benefactor, is a new Panama-class vessel with a container capacity of 10,100 TEUs (twenty-foot equivalent units), more than double the average size of a ship callng at the East Coast port. The ship arrived at the GCT Bayonne terminal, which was expanded in 2014 to accommodate ships of its size. Ships of that size have been passing through the Suez Canal for a few years and GCT Bayonne also received the previous record-holder for largest ship at the Port of N.Y. and N.J., the 10,070-TEU Zim Tianjin in spring 2015. "Today's event shows that we are big ship ready and that shippers can move cargo to and from New York and New Jersey and beyond on larger, more fuel efficient vessels, carrying consumer and industrial goods in fewer calls," said Pat Foye, Executive Director of the Port Authority of New York and New Jersey. "This is great news for the 336,000 jobs the port already supports and the billions in economic activity it provides. But equally important, it's good news for the environment in and around the harbor." By using larger ships, ports can receive cargo using fewer vessels. The Panama-class ships also feature environmentally advanced engineering, reducing the emissions per ship and emissions for total cargo handled. The Mol Benefactor, which was christened in 2016, is approximately 48.6% more fuel efficient than a standard Panamax vessel that calls on the port, according to PANYNJ. PANYNJ and its private-sector tenants have invested $6 billion in projects to prepare the port complex. The preparations includes raising the roadway on the Bayonne Bridge, increasing the navigational clearance under the bridge from 151 feet to 215 feet. The change will be in place by the end of 2017, allowing all terminals to serve the largest ships calling on the port. A 10-year project to deepen the harbors channels to 50 feet will also be completed this summer. PANYNJ has also invested $600 million to equip port terminals with environmentally-friendly on-dock rail, as well as upgrades to the ports internal road network. We welcome the Mol Benefactor to our port and look forward to other large ships visiting the port in the coming weeks," said Molly Campbell, PANYNJ port commerce director. "Today's ship call validates that we are open for business and that we are big ship ready now that the Panama Canal project is complete." A waning number of applicants, coupled with a dramatic cut in state funds, is throwing into reverse Teach for Americas efforts to place teachers in public-school classrooms in Oklahoma. The national program recruits college graduates and professionals to commit to a two-year stint in mostly low-income, struggling schools. There will be 30 percent fewer Teach for America teachers in Oklahoma classrooms this fall, compared to last year, based on data provided by Teach for America. School districts still need teachers, but fewer applications are coming in. Nationally, applications to the program are down 35 percent over three years. Like other education programs in the state, Teach for America lost funding this fiscal year, which began Friday. Its state appropriations were cut by 90 percent, from $2.5 million in 2016 to $250,000. The cut represents more than a quarter of the organizations combined budgets in Oklahoma City and Tulsa offices, which provide teachers to districts in those and other cities. Art Serna, Jr., executive director of Teach for Americas Oklahoma City division, said he expected to lose some funding, based on the states fiscal instability in the past year. We had in mind that there was going to be some sort of reduction, Serna said. It came in a lot larger and deeper than we expected. Oklahoma City and Tulsa are two of Teach for Americas highest priority cities to place new recruits, according to Teach for America, yet placements are down in both Tulsa and Oklahoma City, the states two largest school districts. Tulsa Public Schools is receiving 52 first-year recruits through the program, from more than 100 two years ago. Oklahoma City Public Schools will have 68 total, compared with 141 two years ago. Serna said state funds were previously used to expand the program to Lawton, Muskogee and Sapulpa. Its uncertain if that expansion will be maintained based on current funding. Six new recruits are heading to Lawton Public Schools, a tiny Band-Aid on a gaping teacher shortage that has left the district with 180 vacancies. Its not a majority by any means, but when you have so many to replace, any help is welcomed, said Jean Hastings, human resources director for Lawton Public Schools. The state Board of Education in June trimmed $38 million from the $130 million public school activities fund. Eleven programs lost all funding, and others, like Teach for America, were reduced. Dollars were prioritized for programs in early literacy, SoonerStart early intervention, alternative education, early childhood education and implementing the new academic standards. Serna said unless Teach for America can increase philanthropic donations, it will not be able to continue the level of work it does for school districts. Its going to have a really detrimental impact if we cannot raise those funds elsewhere, he said. Teach for America has been welcomed by school districts in Oklahoma and other states because of its commitment to find and train high-quality, motivated college graduates and place them in schools where teacher shortages are often severe. The organization provides support and feedback to its corps members during the school year. Critics say too many Teach for America teachers dont continue teaching after two years, adding to instability in schools. Others are critical of the finders fee Teach for America charges schools around $4,000 per recruit. In this episode of Morning Edition, we are joined by Former Finance Minister and now Candida In this weeks Dateline, SBS reporter Brett Mason travels to Gaza to see how everyday Palestinians are coping with the daily struggle of finding food. What he discovers is a resilient group of locals with ingenious ways of adapting to their daily horrors. With a crippling economy, Gaza now has the highest unemployment rate in the world at 43%. 57% of Gazans dont know where their next meal will come from. Reporter Brett Mason meets a farmer named Iyad. His family has farmed in Gaza for generations; but his land is now caught in the crossfire between Hamas and Israel. With his farm the deadly 300 metre no go zone separating Gaza and Israel, hes been forced to find a new way to grow his lettuce. Iyad now uses aquaponics to farm soil-less crops. Brett also meets a determined group who also havent given up building Gazas own version of Silicon Valley. With power shortages becoming an everyday occurrence in Gaza, Omar Badawi has invented a way to charge your phone anytime and anywhere, calling it the walk and charge. It is a small device. You can hold it and when you are walking you generate electricity that can help you to recharge your mobile I think it will be the next revolutionary device in the world, Omar tells Dateline. However, despite the optimism from locals, the UN still claim that if more isnt done to change Gaza will be uninhabitable by 2020. To think that in a few years time that will be no longer actually viable for humans to live with any kind of dignity, its actually quite heart wrenching, says Melinda Young, the Deputy Director of UNRWA operations in Gaza. Tuesday 12 July at 9.30pm on SBS. Foxtel and Universal Music Australia have ended a lengthy disagreement over commercial issues that saw acts dropped from channels including [V] HITS, MAX, Smooth and CMC last November. They will return from August 1st, with both sides now singing a more harmonious song. Brian Walsh, Foxtel Executive Director of Television, said: We are very pleased to achieve this landmark deal with Universal Music and my thanks to George Ash and his team for working to a satisfactory resolution. The finalisation of this deal sets us up to revitalise our full suite of music channels moving forward. The recent re-fresh of [V] Hits is delivering very strong ratings and customer engagement with the younger demographic, who love the non-stop music format. MAX, Foxtel Smooth and CMC will continue to broadcast the best choice of contemporary, classic and country music for lovers of the genre. George Ash, President of Universal Music Asia Pacific, said: We are thrilled to continue our great partnership with Foxtel, and were looking forward to working with Brian Walsh and his fantastic team to bring exceptional new music to all Foxtel subscribers. The Night Of is a scintillating anatomy of the US justice system, landing on our screens at a time when the US is itself at flashpoint. However the perpetrator here isnt African-American but Pakistani-American. University student Naz (Riz Ahmed) was born and bred in the US, but he knows what it feels like to be a minority by virtue of his heritage. But nothing will prepare him for what justice the US system will dish out when things go from bad to worse one night in New York. When Naz borrows his fathers taxi to head to a party, a jaded young woman jumps in the car and asks for a ride. Putting his plans to one side, he drives her home and the two connect. Tonight feels different, he decides. And you have no idea why, she teases. What follows is a night of wild passion, drugs and drink, but he awakens to find the girls body stabbed to death in bed. Panicking, he runs off, quickly attracting the attention of onlookers and eventually suspicious police. Naz soon finds himself plunged into the American justice system, where a night of clumsy choices amounts to a whole lot of presumed guilt, made worse by the colour of ones skin. NYC Det. Dennis Box (Bill Camp) is sharp at his game, appearing outwardly as a good cop in order to elicit information. But ambulance-chasing lawyer Jack Stone (John Turturro) warns he is not to be trusted, working the system to within an inch of its legal life. Stone take pity on the young student alone in a police cell, and offers his services for what could be the case of his career. Meanwhile Nazs family are about to get a rude lesson in the might of the justice system, and those who face it alone. But the heart of this piece belongs to Riz Ahmed as the young man who wins our sympathy despite his foolish actions and question marks about whether he did or didnt commit murder. The scenes where he waits patiently whilst police discover a crime scene, followed by another key moment in the police station, are edge-of-the-seat stuff. Ahmeds performance holds it altogether in a role that will elevate him to wide attention. Turturro, as the thong-wearing mistrustful lawyer, isnt interested in the young mans guilt or innocence -only in finding a crack in the system to get him off. Once he arrives towards the end of the first episode, its clear this will be a shared centrestage. The Night Of is created by Steven Zaillian and Richard Price as an updated adaptation of 2008 UK miniseries Criminal Justice by Peter Moffat. Like House of Cards, this translates seamlessly to its new surrounds, where new legal hurdles and cultures come to the fore. Directed by Zaillian and James Marsh, it arrives with a clear, stylistic tone and plenty of insight into the downward spiral that is the police-court-prison path. The late James Gandolfini was originally set for the role eventually portrayed by Turturro (and at one stage Robert de Niro), but he remains credited as an executive producer. Its one he would have undoubtedly given equal stature. This is only 8 episodes long and whilst not strictly a real-time saga, it masterfully brings tension, claustrophobia, and isolation to its plot, all seen through the eyes of its chess-piece, Naz. The opening episode runs at around 1:20 mins while subsequent episodes are 60 mins, but it never disappoints. The Night Of is a brilliant case study of the American legal system and those who become bit players in a larger endgame. Dont miss it. The Night Of premieres 8:30pm Sunday on Showcase. Heres a show I havent had cause to write about in a while Tom Selleck is sticking with US cop drama Blue Bloods, in his paternal role as New York City Police Commissioner Frank Reagan. While the former Magnum star was widely expected to sign on for the seventh season, which CBS picked up a couple of months ago, the talks reportedly came down to the wire, inked just days before production re-commences on Season 7 in New York. Unlike the other cast members, Selleck has long had a special deal with CBS Television Studios that allows him to commute from his home in Southern California, shooting only four days a week. Blue Bloods has never been a broad hit in Australia, but remains is a solid performer in the US averaging over 10 million viewers. It is currently screening on TEN on Thursday nights. Source: TV Wise Hi, my name is Scott C. Waring and I wrote a few books and am currently a ESL School Owner in Taiwan. I have had my own UFO sighting up close and personal, but that's how it works right? A non believer becomes a believer when they experience their first sighting. You witnessed it, your perceptual field changes, so now you need to share it. I created this site to help the UFO community get a little bit organized. I noticed that there was a lot of chaos when searching for UFO sighting reports, so I hope this site helps. I wanted to support those eyewitnesses who have tried to tell others about what they have seen, yet were laughed at by even closest of friends. More and more each day the governments of the world leak bits and pieces of UFO information to the public. They have a trickle down theory in hopes of slowly getting citizens use to the idea that we are not alone in universe and never have been. The truth is being leaked drop by drop until one day we look around and find ourselves neck high in it. The discovery of alien species in existence is the most monumental scientific event in human history, suppression of that information is a crime against humanity. About me: I live in Taiwan. I OWN MY OWN ENGLISH SCHOOL, AND ONCE HAD 5 SCHOOLS. Am Former USAF at SAC base (flight line). Age: 42 Educ: BA in Elem ed. Masters in Counseling ed. I had two UFO sightings, (30+bus size orbs) in military and in 2012 personally saw the UFO over Taipei 101 building on New Years Day (and recored it). The annual turnovers of the "amber mafia" in Ukraine equal to the needs of the countrys military budget. The organized criminal group which has been recently arrested in Rivne region is only a third of the structures engaged in the illegal extraction of amber. "According to preliminary estimates, the revenues of amber dealers for a year equal the needs of the country's military budget. The amber deposits are found almost all over the Ukrainian Polisia [north of Ukraine]. Therefore, it is a serious prospect for the state," Ukrainian Prosecutor General Yuriy Lutsenko said in an interview with TSN Ukrainian news service. According to him, the criminal group, which was arrested in Rivne region and Kyiv on July 4, is only a part of the "amber mafia." The Ukrainian Prosecutor General also noted that the amber production should become controlled by the state. ol One Ukrainian serviceman was killed and thirteen soldiers were wounded in ATO area in eastern Ukraine over the past day. Spokesman for the Presidential Administration on the ATO, Colonel Andriy Lysenko said this at a briefing in Kyiv, an Ukrinform correspondent reports. One Ukrainian serviceman was killed and ten soldiers were wounded as a result of military operations over the past day. Another two soldiers were shell-shocked. In addition, one serviceman got serious injury as he stepped on a trip wire in the near rear area of our forces, Lysenko said. He added that three militants had been killed and eight wounded in last day. ol On Tuesday, July 12, the International Peacekeeping and Security Centre (IPSC) of the National Academy of the Ground Army named after Hetman Sahaidachny located in Yavoriv district, the Lviv region will host Canadian Prime Minister Justin Trudeau and the delegation of the Canadian Armed Forces, Ukrainian Ministry of Defense has said in the press-release. The Canadian military delegation and Canadian Prime Minister Justin Trudeau will familiarize themselves with the technical base of the IPSC and its capabilities, visit there the Canadian participants of the Joint Multinational Training Group in order to discuss the issue of the Ukrainian Armed Forces units by Canadian instructors. Journalists will be able to observe classes focused on tactical training titled "The raid action by a mechanized unit/platoon." A briefing with honored guests is planned afterwards, the statement noted. tl Signing of the free trade area agreement with Canada will provide businesses in both countries with the additional investment opportunities. Ukrainian Prime Minister Volodymyr Groysman said this in his weekly address, an Ukrinform correspondent reports. "The Prime Minister of Canada has started his visit to Ukraine. Canada is the extremely important partner of Ukraine. The free trade area agreement with Canada will be signed today. It will provide Ukrainian businesses with opportunities of Canadian investments. I believe it is an additional tool for business development," Groysman said. As reported, Prime Minister of Canada Justin Trudeau makes a working visit to Ukraine on July 11-12. The signing ceremony of the Ukrainian-Canadian FTA agreement is scheduled as part of his visit. The free trade area is expected to facilitate removal of trade restrictions and complete abolition of trade tariffs on major product groups within the grace period of 3-7 years. ol Canada will always stand shoulder to shoulder with Ukraine. Canadian Prime Minister Justin Trudeau said this at a joint press conference with President of Ukraine Petro Poroshenko, an Ukrinform correspondent reports. "Canada firmly stands shoulder to shoulder with Ukraine in response to the illegal annexation of Crimea by Russia and to what is happening in eastern Ukraine. Canada is the partner and protects the territorial integrity of Ukraine. Canada will always side with Ukraine," Trudeau said. He noted that Canada would continue to support the activities of the OSCE mission in Ukraine. The Prime Minister also informed that Canada would increase the number of its police officers who trained the Ukrainian colleagues and developed an effective program for Ukraine. "In addition, Canada has made a commitment to provide $700 million since 2014," Trudeau added. ol NATO is the main hope of Ukraine in connection with Russian aggression in Crimea and Donbas. Ukrainian Presidents Commissioner for Crimean Tatar people Mustafa Dzhemilev said this in an interview with Voice of America. "The North Atlantic Alliance is the main hope in connection with the aggression, demonstrated now by Russia. What can NATO do? It can do a lot, but, in my opinion, it does not show sufficient determination," Dzhemilev said. "We do not consider military means as a solution to de-occupation of Crimea, because it will be a tragedy, first of all, for our people," Dzhemilev noted. "However, NATO must demonstrate its determination to the aggressor country. Some steps are being made to strengthen the military presence in the Baltics, and I believe these steps are made in the right direction, the Ukrainian Presidents Commissioner for Crimean Tatar people noted. ol Council of Europe Commissioner for Human Rights Nils Muiznieks calls on Ukraine to strengthen national legislation regulating punishment for enforced disappearances and violations of rights of victims and their families and to bring it in line with international standards. Muiznieks said today in Strasbourg during presentation of the report on humanitarian situation in Donetsk region, an Ukrinform correspondent reports. "The national legislation [of Ukraine] regulating the punishment for enforced disappearances and the rights of the victims and their families should be reviewed to ensure that it is fully compatible with the relevant international standards," the Council of Europe Commissioner for Human Rights said. According to him, it is important to ensure effective investigation into any case containing indications of possible serious violation of human rights. "There is multitude of challenges related to the investigation and prosecution of all those implicated in such abuses, including in the cases indicating the possible involvement of mercenaries and/or foreign fighters. That is why it is important to ensure full co-operation with the relevant international mechanisms which may provide assistance and expertise in this regard," Nils Muiznieks said. ol Representatives of the UN Subcommittee on Prevention of Torture and other cruel, inhuman or degrading types of treatment and punishment will arrive in Ukraine in early September, according to First Deputy Minister of Justice Nataliya Sevostyanova who has posted a statement on Facebook. "UN Subcommittee on Prevention of Torture UN Subcommittee on Prevention of Torture and other cruel, inhuman or degrading types of treatment and punishment has decided to resume their visit to Ukraine in connection with assurances that were received from the Government of Ukraine. The planned date of their visit is September 5-9. I thank all my colleagues who took part in this work. Now all agencies officials who will be interviewed by the UN Subcommittee in September will get prepared for their forthcoming visit," wrote Sevostyanova. tl Canadian Prime Minister Justin Trudeau has promised to monitor the security situation in Ukraine and depending on it to look for the best ways to support Kyiv, he told a joint press conference with Ukrainian President Petro Poroshenko while replying to the question regarding a possibility for providing Ukraine with lethal weapons, Ukrinform has reported. "As the situation develops we will track it and look for the best ways how to support Ukraine," he said. He noted that Ukraine is currently obtaining assistance from Canada via Ottawas military training missions for the Ukrainian Army thus making them more capable to defend its country. "We will work in a spirit of cooperation not only by expanding their friendly ties between the countries, but also because we share a long list of values," Trudeau said. tl Ukrainian President Petro Poroshenko has thanked for the support rendered by the Ukrainian citizens residing in Canada, noting that their role cannot be overstated, h has told a joint press conference with Canadian Prime Minister Justin Trudeau, Ukrinform has reported. "I would like to express my special gratitude to the entire Ukrainian community in Canada, for its role cannot be overestimated," he said. President Poroshenko has said that the Ukrainian diaspora in Canada has been actively supporting Ukraine during the war in Donbas, but, he stressed that now Ukraine needs not only such voluntary aid, but also support on a path of implementing reforms. tl Russia is implementing an aggressive policy in cyberspace, which is part of a hybrid war against Ukraine. Other cyber threats are becoming more common, in particular rising cybercrime and cyber-espionage are being intensified, Secretary of National Defense and Security Council of Ukraine (NSDC) Oleksandr Turchynov has said at a meeting of the National Cybersecurity Coordination Centre, the press service of the NDSC has reported. "Analysis of the materials that were sent to the Office of National Security Council of Ukraine from the intelligence agencies, the State Security Service of Ukraine, the State Service for Special Communications and Information Protection, gives the reasons to assert that the Russian Federation is actively pursuing an aggressive policy not only at the frontlines in eastern of Ukraine, but also in cyberspace that is a part of the hybrid war against our country. Other cyber threats are becoming a reality, in particular surging cybercrime and cyber-espionage," he said. At the same time NSDC Secretary reminded that these problems are to be tackled by the recently adopted Strategy for Cybersecurity of Ukraine. He believes the National Cybersecurity Coordination Centre has to become a backbone element of the whole system for Ukrainian cyber-security and cyber-defense. tl Ukrainian President Petro Poroshenko will pay an official visit to the Republic of Azerbaijan on July 13-14, the press service of the Head of State has reported. "The head of the Ukrainian state along with his Azerbaijani counterpart will hold the fifth session of the Council of the Ukrainian and Azerbaijani Presidents," a statement has said. President Poroshenko plans to seal several bilateral agreements aimed at strengthening cooperation between Ukraine and Azerbaijan in various industries during his visit. The agenda of the Presidents visit also includes separate meetings with Azerbaijani Prime Minister and Speaker of Milli Mejlis (Parliament). tl Ukraine is a country of peace, and aggression came from the East, Ukrainian Prime Minister Volodymyr Groysman has said during a joint press conference with Prime Minister of Canada Justin Trudeau, Ukrinform has reported. "Providing support and training for our Armed Forces in the defense sector is extremely important. We want to expand our cooperation both in the defense and security sectors to such a degree as it is possible. Ukraine is an absolutely peaceful country, multicultural country, a country where there had been no local internal conflicts since it gained independence [in 1992]. And it [aggression] all came to us from the East. The key feature of the Ukrainian people is that we are extremely kind and hospitable, sincere, open. And we did not deserve such a treatment of Ukrainian citizens by Russia," noted Groysman. He said that it is important to create a proper level of defense in order "that somebody who has aggressive plans does not think about attacking Ukraine, for Ukraine has to be strong." Groysman said that at present there is a battle between the two worlds. "Today there is a struggle between the two worlds: one is a democratic one respecting human rights and international law, and the other - who wants to change and break it. And it is very important that the entire world is showing leadership to prevent these changes," he said. tl Hunched over a stove outside his shelter in Jordans Zaatari refugee camp, Abu Rabee stirs a large pot of thick, sugary syrup with a wooden paddle. It is an exhausting process that takes more than an hour, but he insists the end result is worth the effort. The 45-year-old Syrian refugee is making raha, Syrias answer to Turkish delight, the most prized version of which originates from his home city of Daraa, in the countrys south. For Abu Rabee, the endless stirring is a labour of love. Before the crisis, his factory in Daraa used to produce 5,000 packs of raha a day, and the well-known brand was eagerly consumed throughout Syria and beyond. "I forget about life here in the camp and in my mind Im transported back home. My family has owned the business for three generations, and I tell people that I first tasted raha with my mothers milk, Abu Rabee told visitors from UNHCR. When I make it, its like Im in another world. I forget about life here in the camp and in my mind Im transported back home. The start of the conflict in 2011 had an immediate impact on the business. The closure of the highway from the capital, Damascus, made it harder to source raw materials and packaging, while the price of sugar, the principle ingredient for raha, soared. Making sweets to revive memories from home Demand was also affected. Raha is traditionally eaten during celebrations weddings, birthdays, births but people were scared and there were no more parties, Abu Rabee explained. Though he struggled to keep operations going, one day in mid-2012 while he was at the factory he received news that his house had been hit by shelling, killing his wife. Abu Rabee left Syria with his four youngest children and crossed the border into Jordan. His four eldest sons remained in Syria to look after the business, but shortly after his departure the factory was destroyed in another bombardment. His sons managed to salvage some of the machinery from the wreckage, and continue to make raha inside Syria even now, albeit in far lower volumes than before the crisis. They move the machines around between safe areas. Its dangerous but its all they know, and if they didnt do this they wouldnt be able to put food on the table, Abu Rabee said. There used to be 100 raha factories in Daraa, but now they are the only ones still left in business. "Raha is my life and my profession, and Im very proud of that. He dreams of going back to Syria when the crisis is over to rebuild his home and his factory, even if it means starting from scratch. But before that can happen the air strikes have to stop, and people on all sides of the conflict must put down their weapons, he said. In the meantime, Abu Rabee is entering his fifth year as a resident of Zaatari camp in northern Jordan, where he remarried and now has five children. When he first arrived, the camp was newly opened and he was living in a single tent, but even so, it wasnt long before he made his first batch of raha. With no factory or machinery to help, he remembered from his childhood how his father used to make the sweets by hand, and recreated the method using a camp stove and saucepan. It is a difficult technique that has taken him four years to perfect. Abu Rabee' pours "raha" mixture into a tray with the help of his daughter Rawaa, at his caravan at Za'atari refugee camp in Jordan. UNHCR/Annie Sakkab Faris watches from the bedroom as his father Abu Rabee' cuts "raha" into small pieces at his caravan at Za'atari refugee camp. UNHCR/Annie Sakkab Faris and his father, Abu Rabee', wait for customers to buy their handmade "raha" in the main shopping street at Za'atari refugee camp in Jordan. UNHCR/Annie Sakkab Faris helps his father, Abu Rabee', by carrying the box of "raha" sweets to the market in the main shopping street at Za'atari refugee camp. UNHCR/Annie Sakkab After I made the first batch, other refugees came to the tent and were eating it as fast as I could cut it up, he recalled. Some of the men were crying as they ate. Raha means comfort in Arabic, and it brings back happy memories for people its a taste of home. With the family now living in several prefab shelters, Abu Rabee is able to make enough raha using his large stove and pot for around 50 small packets per batch, which he does every week or so. Some residents in the camp buy the sweets for special occasions or just as a treat. Others dont have any money, so he gives it to them in the knowledge that their promises of future payment may never materialize. I earn a bit of money selling what I make, but I dont really do it as a business, he explained. I do it to keep myself busy, and to feel normal again. Its an emotional thing for me. Raha is my life and my profession, and Im very proud of that. The Northern Rockies Ecosystem Protection Act (NREPA), which lives up to its name, would protect the best of the last intact ecosystems and wildlands in the Rockies. For the first time, it has been introduced in the U.S. Senate thanks to Senators Whitehouse, Boxer, Durbin, Markey, Menendez, Schumer, Shaheen, Stabenow, and Senate Democratic Leader Harry Reid. NREPA is a grassroots bill written by scientists and citizens from the Northern Rockies including Montana's own Dr. John Craighead. Craighead was named by the National Geographic as one of the top 100 scientists of the 20th century. It gives permanent wilderness protection to 23 million acres of Americas premier roadless lands in Idaho, Wyoming, Montana, Oregon and Washington. It designates about 1,800 miles of rivers and streams as Wild and Scenic Rivers. Water is the lifeblood of the West and the cleanest, coldest water in the Northern Rockies comes directly from wilderness areas. It creates thousands of new jobs. Much like the great work done by the revered Civilian Conservation Corps, NREPA puts people to work restoring over 1 million acres of damaged habitat and watersheds by restoring old clearcuts and logging roads. Puts people to work protecting the important wildlife corridors between Yellowstone and Glacier national parks instead of continuing to subsidize their destruction. It saves taxpayers millions of dollars annually by reducing wasteful subsidies to the logging industry and closes loopholes that left many areas protected by the Clinton Roadless Rule open to clearcutting. For example, the Forest Service has proposed clearcutting in inventoried roadless lands along the continental divide 15 miles southwest of Helena in the Jericho Mountain Inventoried Roadless Area. It battles climate change by protecting the remaining public forests that are some of our nations best and most effective tools to reduce global warming. National Forests absorb an astounding 10 percent of the carbon that America creates and unlogged and old growth forests absorb the most carbon. It reduces species loss by protecting remaining habitat for native species in the Northern Rockies that were here when the Lewis and Clark Expedition passed through and are still present 200 years later. The latest science tells us that wildlife populations cannot survive for long periods of time on isolated islands of habitat. Without plentiful habitat, populations eventually become genetically weakened and suffer from inbreeding effects. Protecting these lands will help recover threatened and endangered species including bull trout, lynx, and grizzly bears as well as wolverine, fisher, and many other species of animals currently facing inbreeding and, ultimately, extinction due to lack of connected corridors. The best place in the world we have a chance of stopping what has been termed the Earths sixth great extinction event is in western North America, but only if we pass an ecosystem bill like NREPA. It provides landscape-scale protection and connectedness. NREPA is a far better idea than the piecemeal wilderness proposals currently being lauded by groups such as the Montana Wilderness Association. Seeking a few small, isolated wilderness areas and ceding the rest to logging roads and clearcuts is supposed to create more jobs in the timber industry. But history shows the number of timber jobs will continue to decline due to technological advancement. Montanas wood products industry peaked in 1979 when 11,606 employees cut and milled 1 billion board feet of timber. In 1989, almost 1.3 billion board feet were harvested, but only 9,315 people were employed. By 2006, 926 million board feet were cut and milled by 3,524 people. Due to mechanization, in 27 years employment decreased 70 percent while timber production only decreased 7 percent. In summary, NREPA protects the environment, creates jobs in restoration, and saves taxpayers millions of dollars in logging subsidies simply by designating existing roadless areas as wilderness. Thats why distinguished U.S. senators like Nevadas Harry Reid have signed on as co-sponsors of S.3022, the Northern Rockies Ecosystem Protection Act. Its time for Montanas congressional delegation to face the historical facts of timber production and jobs, quit pushing more money losing logging and road-building, and start supporting the one proposal that promises to give future generations a chance to enjoy the diverse wildlife, clean rivers, and majestic forested landscapes that were handed down to all Americans by generations past. Only NREPA does that. Michael Garrity is the executive director of Alliance for the Wild Rockies in Helena. BILLINGS -- Emergency crews continued the search Sunday for a 12-year-old girl who went missing while swimming near the Huntley Diversion Dam. Yellowstone County Sheriff Mike Linder said every effort is being made to locate the girl but the search will likely turn into a body recovery. The girl has been missing since about 6 p.m. Saturday. She was swimming with two other people at the head gate and failed to emerge from one of the tunnels that drains into Huntley Project Irrigation Districts canal. Deputies, rural fire personnel and citizens searched the canal well past dark Saturday night with U.S. Water Rescue divers and an aircraft aiding in efforts, Linder said. Linder said crews resumed combing the area before 7 a.m. Sunday with the search concentrated on the stretch of canal between the Huntley Diversion Dam and Ballantine where equipment in the canal makes it unlikely anything could float past. However, a small plane followed the canal as far as Pompeys Pillar looking for any sign of the missing swimmer. Irrigation district employees lowered the level of the canal several feet on Saturday to assist divers at the scene but pressure was raised on Sunday to prevent the canals banks from collapsing. The canals water is murky and divers are dealing with low visibility. Linder said dogs were also brought in and deputies on foot searched every accessible area along the targeted stretch of canal. The dogs hit on a couple places along the canal but the leads proved to be dead-ends, and searchers cant be sure the girl was ever at those points. Linder said the divers and dogs have done all they can. Crews remained on scene Sunday night and will focus their attention on a cleanup area near Ballantine with equipment that strains debris from the canal. An airplane could also make another pass through the area today (Monday) if weather permits. Lawyers of the University of Virginia student, under the name of Jackie, were reported demanding federal judge for reimbursement from a UVA dean who is suing the magazine, while the dafamation lawsuit against Rolling Stone and Sabrina Rubin Erdely, the writer who wrote "A Rape On Campus," an article centers to Jackie, was dismissed. In papers filed in n the Western District Court of Virginia, Jackie Coakley's lawyers accused UVA associate dean Nicole Eramo of engaging "in a concerted and continuous assault" on the former student, Daily Caller reported. Meanwhile, a judge dismissed a defamation lawsuit against Rolling Stone and its writer filed by three former Phi Kappa Psi fraternity brothers from the University of Virginia, JD Supra reported, According to the judge of Southern District of New York Judge P. Kevil Castel, the suit was improper because none of them were explicitly or implicitly identified by Rolling Stone magazine. Morever, the article did not mention such details that would concern their alleged involvement in the attack Jackie, the accuser told Rolling Stone Sabrina Rubin Erdely that she was gang raped by seven members of Phi Kappa Psi Boys in 2012. Erdely spent five months working for her article, "A Rape On Campus" that was published online Nov. 19, 2014. After her article circulated online, Charlottesville police investigated the university and found no evidence to back up the author's claims. Then on Dec. 5, 2014, the journalist sent an email with subject line, "Our worst nightmare," to the magazine editors, explaining why she does not trust her source anymore. In a court document, Erdely said she had full confidence in her source until Dec. 5, when her source (Jackie) told her assailants may not have been members of Phi Kappa Psi after all and her answers became "confusing and contradictory." Erdely reached out the close friends of the accuser. Jackie mentioned that her dress was soaked in blood during the night she was sexually assaulted, however, her friends said she appeared no injuries during that night. Massachusetts Institute Of Technology's Solve program divulged its preliminary schedule of activities for fall this year and spring 2017. Solve is an ongoing MIT-based program that aims to find solutions to some of the toughest challenges of our times. The live meeting series centers on cultivating a community to discover, gauge; and promote technological solutions to global problems. Last year, MIT announced an array of prizes to take care of the deficiency of prospects as far as low-and-middle-income workers are concerned, TechnologyReview reported. The live meeting series entitled, "Solve" was announced back in 2014 by the Cambridge-based private research university, MIT's President L. Rafael Reif. The program kick started last fall. In his opening remarks, Reif noted that MIT is focused on "doing good for the world," and with the announcement of Solve, MIT intends to expedite positive change. At its first extensive event, Solve brought change agents, policymakers, business leaders, philanthropists and technologists from around the globe in a bid to study and to concentrate on problems where smart policy, business innovation and technology could be combined and used to accomplish authentic and long-term changes, according to a post on MIT official website. Following the event, teams have carried out steps on a number of problems, such as financing a comprehensive competition, eliminating hurdles to creating a safe, affordable new nuclear reactor design, and outlining a slew of projects in schools in Washington, Seattle, Haiti, South Africa; and Johannesburg. Fuel, Learn, Cure and Make have been the four "pillars" of the Solve program. This year's program boasts five "challenges" within these pillars: Fuel Carbon price: How can newly-introduced technologies be beneficial to put a price on carbon emissions along with other greenhouse gases? Negative carbon emissions: To figure out ethical, economical and scalable methods to eliminate carbon dioxide from the environment. Learn Refugee education: Find ways to enhance learning in refugee camps in a bid to offer children a quality education. Cure Leveraging innovation: How to use tools such as mobile devices in order to help people with mental disorders including autism, schizophrenia, and dementia? Make Inclusive innovation: Find ways to create a more productive, inclusive and sustainable economic future for everyone. These challenges were set up by MIT faculty in collaboration with several thought leaders and domain experts. In years to come, challenges will be generated via an expansive community, such as nongovernmental organizations, industry leaders, crowd sourcing, external advisers and academia, and councils of experts choose challenges where progress seems feasible. Solve is actively looking for suggestions for the challenges. The program invites participants from across the globe to take part in the process by simply joining its community. The Annual Giving campaign of Princeton University raised a healthy $59,334,144, which is the second highest total in the history of the Annual Giving. The Annual Giving campaign was being participated by the 58.4 percent of the institution's undergraduate alumni. The results are remarkable for the participants' strength and extend across all of Princeton's constituencies such as parents, graduate alumni and friends. President Christopher L. Eisgruber said that he is thrilled with the results of this year's Annual Giving. The funds from the campaign enable Princeton University to hold on at the forefront of teaching and research, and can run across the full financial needs of their students, according to News At Princeton. Eisgruber also expressed his gratitude to the hard work and dedication of many volunteers. Princeton's Class of 1991, with 71.1 percent participated, the campaign raised about $7,279,091. During the 30th Reunion, the Class of 1986 raised $5,351,986. And in the 75th Reunion, the Class of 1941 raised $429,030. Louise S. Sams, Annual Giving Chair, stated that the generosity of the Princeton family and the devotion of their extraordinary volunteers are the keys to campaign's continued success. On the other hand, if Hillary Clinton becomes president of the United States, choosing a state university could get more appealing over a private college. On Wednesday, it has been revealed that low- and middle-income students will not pay the tuition fee at in-state public colleges under a new part of Clinton's college affordability plan. However, a number of top private schools in the United States already made tuition free for low- and middle-income students. Like in Princeton University, students from families that makes less than $120,000 yearly income, don't pay anything for tuition and receive grant money that compensate some of the student's room and board. While Stanford University covers tuition for students from families making less than $125,000 per year, WMUR reported. Since the 1970's, doctors in the United States have recommended lithium for those suffering from bipolar disorder. Although the drug has a commendable success rate, scientists are still trying to figure out exactly how it acquires its advantageous effects. Lithium is among the most widely researched and used medications for dealing with bipolar disorder as it helps curbing the seriousness and recurrences of mania. In addition, it helps relieve or ward off bipolar depression. But that's not all; studies have shown that lithium can considerably reduce suicide risk. It also helps to keep a lid on future manic and depression episodes. Thus, it may be recommended for quite a long period (even between episodes) as maintenance therapy, WebMD reported. How Lithium Works Biologists at MIT (Massachusetts Institute of Technology) have finally figured out what looks like a possible explanation for how lithium actually works. In a research centering on worms, the researchers found a decisive protein that is inhibited by lithium, which makes the worm sluggish. The aforementioned behavioral effects observed in worms cannot be directly translated to humans, however the outcome hints a probable mechanism for lithium's effects on the brain, which the researchers are confident is worth delving into. Lead author of the study and an MIT postdoc, Joshua Meisel noted that lithium's effect on the brain has been a mystery of psychopharmacology. Although there are hypotheses, nothing has been proven till date. An associate professor of biology, Dennis Kim is the senior author of the paper, which was published in the July 7 issue of Current Biology. Mysterious Effects Back in 1949, an Australian psychiatrist John Cade discovered Lithium's ability to act as a tranquilizer for individuals suffering from bipolar disorder and mania. However, the drug was rejected by the U.S. Food and Drug Administration until 1970. Lithium collaborates with an array of molecules and proteins in the brain, thus determining which of these collaboration yields mood stabilization has been difficult for researchers. Some of the considered targets encompass an enzyme produces inositol, an enzyme dubbed GSK3, which is responsible for inactivating other proteins and a simple sugar that's involved in cell signalling. However, no studies have been able to decisively link these targets to effects that lithium have on bipolar patients, according to a post on MIT official website. Interestingly, the MIT team did not intend to study lithium but accidentally fell upon while analyzing synergy between Caenorhabditis elegans and its microbial surrounding. The worm has a simple nervous system that boasts 302 neurons, majority of which appear in pairs. In the research backed by the National Institutes of Health, scientists discovered that the selective impact of lithium on the nervous show that the this effect is reversible and mediated particularly via inhibition of BPNT-1. Subsequently, the researchers confirmed that the selective effect of lithium on nervous system is due in part to the finite expression of the cytosolic sulfotransferase SSU-1 in the ASJ neuron pair. You did not provide valid input. Campus News Office of University Relations is the official source for all non-sports releases from the University of Tennessee at Martin. Materials on this page are updated on a regular basis. View Campus News > Resources The Office of University Relations is ready to assist you with finding a speaker for your special event or an expert to comment on a current news story. View Resources > Photo Albums Browse hundreds of campus photos in our University Relations archive. View Photo Albums > All the latest Uttoxeter news Story Saved You can find this story in My Bookmarks. Or by navigating to the user icon in the top right. More than 100 people were arrested and 21 St. Paul officers and six state troopers were injured after protesters brought I-94 to a screeching halt for more than five hours Saturday night. Authorities say during the course of the protests on 94 and later, on Grand and Dale, 21 officers from multiple agencies suffered injuries. The injuries were primarily caused by fireworks, rocks, bricks, glass bottles and chunks of concrete that were directed at officers, some hitting them in the head. St. Paul Police said someone threw a Molotov cocktail at officers, as well. None of the injuries were serious. In total, more than 100 people were arrested -- 52 were taken into custody for public nuisance and unlawful assembly during an incident around 4 a.m. at Grand and Dale in St. Paul and 50 were arrested for third-degree riot overnight on I-94. Police in riot gear warned the crowd several times that arrests would be made if they didn't clear the scene, and after tactics like inert smoke, tear gas and marking rounds failed to disperse the crowd, arrests began. Those arrested were loaded onto buses and removed from the scene. Interstate 94 was finally reopened around 1:49 a.m., after authorities removed debris from the highway. The crowd moved from the freeway back to the governor's mansion where more disruption occurred. A few tried to get back on I-94 but were stopped by police. Several protesters then laid down in the street near Grand and Dale around 2:39 a.m., refusing police commands to clear the area. Arrests began around 3:01 a.m., with authorities taking protesters one by one. The shutdown started much earlier in the evening, when the crowd began marching from the Governor's residence in St. Paul around 7 p.m., where protesters have been gathered for the past three days. The Minnesota State Patrol tried, unsuccessfully, to stop them from entering the freeway around 7:30 p.m. Initially protesters blocked eastbound traffic near Lexington Parkway, but they then crossed the median barrier to block vehicles in both directions. Officers gave more than a dozen orders for the crowd to disperse before they moved in to make arrests just before 10 p.m. St. Paul officers and MN State Patrol moved protesters off the highway and on to the grass embankments. Some protesters moved to a pedestrian bridge and were later cleared around midnight. Demonstrations took place in both Minneapolis and St. Paul on Saturday, as protesters called for change after Philando Castile was fatally shot by a St. Anthony police officer. More than 100 people rallied at Loring Park in Minneapolis before marching down Hennepin Avenue, sometimes stopping in the middle of intersections. The group eventually made their way to an area outside of the Basilica Block Party where a few protesters broke through the police barrier and were escorted away. The Minneapolis protest dispersed quietly With little options remaining for their front-loaded starting rotation, the Boston Red Sox will return an old friend to the mound for the team's first post-All-Star break tilt on Friday night against the New York Yankees. Eduardo Rodriguez back in the mix Left-hander Eduardo Rodriguez, who fared 1-3 with a horrific 8.59 ERA in six starts with the Red Sox before being demoted to Triple-A Pawtucket, is expected to be re-activated to the major league roster as Boston looks to continue their four-game winning streak at Yankee Stadium. The 23-year-old will take on another embattled starter, Michael Pineda, who has struggled to the tune of a 3-8 record and an overwhelming 5.38 ERA. After returning to the Red Sox rotation in late May following an injury suffered early on in spring training, Rodriguez arrived with amid a surplus amount of excitement from the team's front office with the expectation that he could become the No. 2 starter in a stack of aces. As that stack wilted, Rodriguez's ERA ballooned. Following a victory in his season debut in which he yielded just two runs in six innings against the Baltimore Orioles on May 31, the Venezuelan scuffled mightily in June, going 0-3 with a harrowing 10.03 ERA in five starts while letting up 26 earned runs in just 23.1 innings. Eduardo Rodriguez in currently 1-3 with a 8.59 ERA. | AP As he struggled with command and velocity, Rodriguez's issues hit a breaking point on June 27 in which he was obliterated by the AL East cellar-dwelling Tampa Bay Rays for nine runs on 11 hits in just 2.2 innings, allowing 1/4 as many home runs as he recorded outs. The mind-blowing defeat earned Rodriguez a spot on the Pawtucket roster, leaving the Red Sox with just three legitimate starting options (David Price, Steven Wright, and Rick Porcello) with spot starter Sean O'Sullivan landing on the 15-day disabled list with knee tendonitis after his start on Friday. Thus, Rodriguez will be ushered back into the Red Sox rotation for the time being as manager John Farrell looks to give him another opportunity to prove himself as someone worthy of a nod every five days. Essentially, he has earned the callback, posting seven shutout innings in a July 3 outing at Triple-A before letting up just a pair of runs in a rain-shortened, three-inning performance in his latest performance with Pawtucket. Kota Ibushi may well be one of the most highly anticipated performers set to compete in The Cruiserweight Classic as he lays out his hope for the tournament. The 34-year-old is one of the most respected names of the 32 participants and may well be one of the favorites, but rather than hyping his technical or high flying ability, Ibushi says his 'love' for professional wrestling will be his biggest advantage. On representing Japan Japanese wrestling has in the past couple of years blossomed in popularity with the western world with a large number of American and British fans tuning in to witness their truly game-changing style that Ibushi will be hoping to display for The Cruiserweight Classic audience. On the prospect of representing his home nation he shies away from the suggestion as he says with the help of a translator "I don't mean to represent Japan but I want to show how good Japanese wrestling is by joining this time and more people will watch me and so I am happy." With WWE still commanding the biggest draw of any wrestling show worldwide, Ibushi can clearly see the draw of the tournament and is hoping his stock and profile will soon rise because of it. On his advantage and wrestling big names Ibushi has in the past squared off against some of the top names in the industry, with the likes of Sami Zayn and NXT superstars Shinsuke Nakamura and Finn Balor on his long list of famous battles. After facing a number of top level competitors, he says it was a 'really good experience' but says that despite this, his biggest advantage will come from the heart. He said "It was a really good experience to wrestle against NXT superstars like Finn Balor Sammy Zayn and Shinsuke Nakamura and it was such a good experience but I have an advantage in a tournament." His advantage as he spoke during the exclusive show on the WWE Network; Bracketology he stated that he will 'win' the tournament due to his love of professional wrestling. He finished with "Once I compete I will win the tournament because I love pro wrestling the most I'm on the other wrestlers." Register for more free articles. Sign up for our newsletter to keep reading. The business news you need Get the latest local business news delivered FREE to your inbox weekly. Sign up! Already a Subscriber? Already a Subscriber? Sign in Terms of Service Privacy Policy To fans not familiar with the wrestling scene in the United Kingdom, the name Noam Dar may not mean much but to those in the know, they would suggest you pay attention once he competes in The Cruiserweight Classic. As the youngest competitor in the tournament at just 22-years-old, he will have a lot to prove. He also has a lot of pressure resting on his shoulders as he is set to represent a number of bodies including Scotland, Israel and the UK wrestling circuit where he made his name. On being ready As mentioned above, Dar will be the youngest performer out of the 32 men that will be competing for to win the tournament which begins this Wednesday on the WWE Network. As a young wrestler he says that he was 'anxious' when he first made the international voyage to the United States from the United Kingdom but after settling he is now 'excited' to get started. He said "I was anxious at first but being here and being able to settle for a couple of days Im starting to really get excited." Now settled and raring to go, Dar says he has already set the wheel in motion on creating a game plan for his upcoming bout, and plans to put it into action from 'bell to bell', He said "I am feeling pretty confident for my match now like I said I've been here a couple of days got settled down [now I am] starting to assess what needs to be done and I am ready to do the bit that I love the most Bell to bell." On representing Scotland Despite being a youngster, Dar knows just how important this competition is, and is hoping to make his home nation proud amongst the great number of competitors also aiming to do their countries proud. He said "To represent Scotland in this tournament is overwhelming, obviously [there are] so many great nations are being represented so much fantastic talent." Despite there being a number of nations being represented, Dar is also on a mission to create a talking point about the UK's wrestling scene labeling it as 'fantastic'. He said "Scotland has a fantastic wrestling seeing as does the UK so to represent Scottish and the UK seen it means a lot to me." On being the first Israeli to compete in WWE With this competition being the first of its kind, it is not alone in being first, as Dar will also be accomplishing a first in the competition as he will become the first superstar from Israel to compete in the WWE. On being honored with that accomplishment, he said it was 'fantastic' to be able to say due to the lack of wrestling scene existing in his birth nation. He said "For me that is mind blowing there is not a very prominent Israeli wrestling scene so I can understand why so to be able to say that is just fantastic and I know a lot of you are back home in Israel are really supporting me." On what he is hoping to achieve in the tournament With his age being somewhat of a disadvantage the odds are obviously stacked against the young competitor, but regardless of how he does in the tournament Dar is looking on the bright side and hopping to gain as much 'experience' as he can. He said "Well for this tournament I want to make sure I gain as much experience as I can, like I said I am around some fantastic talent and I am also looking enough to be the youngest competitor in the tournament. "For me it really all is win-win, of course I want to get to the final and I want to win this tournament but if it does not work out like that and things kind of get the better of me then this learning experience is going to be invaluable." With so many performers and fans maintaining dreams of one day becoming a WWE superstar, Dar is no different as he says that someday, here is where he wants to be. He finished with "of course down the line this is the place I want to be since day one." SHARE STAR FILE PHOTO Lemon growers are speaking out against a proposal to allow Argentina to sell its lemons in the United States. By Bartholomew Sullivan, USA Today WASHINGTON Members of Congress representing districts where citrus is grown, including two from parts of Ventura County where the domestic lemon industry is centered, expressed disappointment Monday that the USDA extended a public comment period on proposed lemon imports by just 30 days. The deadline for comment was to have ended Monday on a final rule allowing Argentine lemons to be imported to the United States for the first time since a ban was imposed in 2001. The lawmakers had asked Agriculture Secretary Tom Vilsack for a 120-day extension. The members are concerned about the possible importation of plant diseases and the economic impact the imports would have on the domestic industry. Members expressing disappointment included California Reps. Julia Brownley, D-Westlake Village, Lois Capps, D-Santa Barbara; Ken Calvert, R-Corona; Jim Costa, D-Fresno; and David G. Valadao, R-Hanford; and Tom Rooney, R-Fla., and Ann Kirkpatrick, D-Ariz. "We remain concerned that the department's proposed rule to authorize Argentine lemon imports will be finalized without a proper economic assessment and prior to completing an inspection for pest and disease risk in Argentina this fall," they said in a statement. "This disappointing decision will not give experts the time needed to visit Argentina and review agency findings on pest and disease risks. Members of Congress on both sides of the aisle have expressed support for additional input from U.S. citrus growers and a proper inspection for these risks. It remains critically important to protect California, Arizona, and Florida crops from devastating, invasive pests and diseases and to protect and support jobs in our local farming communities." The domestic industry has been working to delay the rule's implementation, sending lobbyists from the U.S. Citrus Council and California Citrus Mutual, including some growers, to Capitol Hill in May. The U.S. Department of Agriculture published a proposed rule in early May that sets out a detailed production, inspection and import regimen for the Argentine exporters who have been banned from the U.S. market since 2001. The rule as written would require stringent inspections for pests and diseases, picking lemons while they are still green and packing them within 24 hours of harvest. They would also have to be disinfected and waxed. The fruit would be imported with certificates establishing it is free of certain pests and diseases, including Huanglongbing, known as citrus greening, caused by a bacteria spread by the Asian citrus psyllid, which has been destroying the citrus crops of Florida and Texas. SHARE STAR FILE PHOTO Moorpark City Hall By Michele Willer-Allred, Special to The Star The Moorpark City Council on Wednesday agreed to pay the Ventura County Community College District $224,208 for underpayment of pass-through taxes generated from redevelopment property value growth designated for schools. Both the city and the college district agreed to resolve their dispute to avoid costly litigation. In 1993, an agreement was signed between Moorpark, the college district, and the city's former redevelopment agency, regarding the distribution of tax increment funds from redevelopment projects. In June 2011, the redevelopment agency was dissolved by the state, and a successor agency was created. Many redevelopment agencies made so called pass-through payments to local agencies, such as the community college district, to offset property tax losses associated with redevelopment. When its redevelopment agency was dissolved, Moorpark's pass-through payment duties were transferred to the Ventura County Auditor-Controller. But in 2013, an audit by the Dolinka Group, consultants for the college district, found that the redevelopment agency allegedly had underpaid the pass-through payments under the agreement. In a college district staff report, Dolinka concluded that the city "consistently miscalculated" the pass-through payments, resulting in a significant underpayment to the district between fiscal year 2006-2011. The district notified the city about the miscalculations and made a demand that the city deposit the total underpayment in a settlement fund. The agency and the city asserted that even if the college district was underpaid for certain fiscal years, recovery of those payments would be limited to a four-year period set by the California code. Ron Ahlers, the city's finance director, said in a staff report that the $224,208 amount was based upon an agreed upon calculation of all pass-through payments owed to the college district. In 2006, Moorpark also filed a lawsuit against the college district seeking some compensation for road improvements around Moorpark College. A settlement agreement in that case required the college district to use funds provided by the redevelopment agency to pay for the road improvements, with contributions capped at $1 million. A liability of $397,172 that the college district must still pay the city will be paid with the current $224,208 settlement payment and future pass-through payments based on an agreed upon methodology. In other business, the council also on Wednesday gave initial approval revising park rules with final approval on July 20. Portable barbecues using propane are now allowed in parks. Vendors who are participating in events and tournaments with a park rental permit no longer require current business registration in the city. A permit is now required for an activity with 25 or more people at Arroyo Vista Community Park, and for 15 or more at other parks. A permit is also now required for 10 or more people for an activity held on a regular basis. The council also approved a 1 percent cost-of-living increase for competitive and noncompetitive service and part-time employees. STAR FILE PHOTO Vendors and traditional dances are part of Redbird's annual Children of Many Colors Native American Powwow at Moorpark College. Shown here is the 2013 event. Moorpark College is seeking to become a destination college for Native American students. SHARE By Alicia Doyle, Special to The Star On the eve of the annual intertribal powwow it hosts each year, Moorpark College is seeking comments on the needs, goals and desires of the Native American community in an effort to create a destination college for Native American students. The college is working in collaboration with Redbird, a Native American and environmental nonprofit association founded in 1994 in Simi Valley by Corina Roberts. The open comment period, which runs through Oct. 1, will give Native American students an opportunity to answer such questions as: What makes a community college a great place for the native population? What courses of study should that college offer? What are the challenges that students face when enrolling in college? In an ideal setting, what could a college do to better serve native students? Redbird has been asked to gather input and present the information to Moorpark College in October, before the spring class schedule is created. "It is one thing to say we want to be a destination college for this population and another thing to define what that means," Roberts said. "So the search asking native people what they want is important because the best way to serve a community is to first ask the questions." Redbird has mailed the request for comments to every recognized tribe in California, she said, "and will continue to use social media, email and news organizations to reach out as far as we can." Comments can be emailed to redbirds_vision@hotmail.com, and should include MCAC or Moorpark College in the subject title. Tribal affiliations should also be included, if applicable. In urban areas like Southern California, Native American students make up a diverse mosaic of tribes, many of which are not federally recognized, Roberts said. In addition, she added, the student population includes large numbers of people whose ancestry is mixed, not just from different tribes but from different continents. "So in the quest to define the needs of native students, and to attract them to Moorpark College, any person of native heritage, with or without a tribal card, counts," she said. The effort came about when Roberts approached Moorpark College President Luis P. Sanchez about Redbird's 2016 Children of Many Colors Intertribal Powwow, which Moorpark College has hosted for 13 of its 16 years. The powwow is scheduled for Friday through Sunday at the college. "It has become a part of the cultural fabric of the local community, as well as an important gathering in the Native American community," Roberts said. However, she added, "The powwow does not make money in fact we have to come up with roughly $11,000 in grants and donations to host it and this year, we don't have very much of that funding in hand." Based on Moorpark College's data, which is derived from students self-reporting their ethnicity, less than 1 percent of the school's 14,000 students is Native American, Sanchez said. He noted that it was the college's vice president of business services, Silvia Barajas, who first suggested that Moorpark College could more easily accommodate Redbird's needs if the college could help to sponsor the powwow. "As Silvia, Corina and I brainstormed about possible intersections between college interests and the powwow, it became obvious that the powwow could become an outreach event for native students," Sanchez said. "But we also recognized that we need to offer native students a compelling reason to come to Moorpark College, and Redbird, under Corina's leadership, could help us identify how to become a destination college for native students." The hoped-for result is to become a destination college for native students and to provide them with the courses and support they need to achieve their goals, he added. "We are anxious to receive input from the Native American community on how we might make our college an attractive and supportive home for all native students," Sanchez said. This years Children of Many Colors Intertribal Powwow will take place Friday through Sunday at Moorpark College. _________ Friday night will offer an open flute circle from 6 to 10 p.m. All wind instruments and their players are welcome, regardless of heritage. On Saturday, gourd dance sessions begin at 11 a.m., followed by the grand entry around noon, when all the dancers enter the arena and the powwow begins. A second gourd dancing session starts at 6 p.m., with Saturdays portion of the powwow ending at 10 p.m. Dancing resumes the next morning with a ceremony honoring native and nonnative veterans at 11 a.m. There is a second grand entry around noon, and the powwow ends at 6 p.m. We also have a number of new vendors this year, several of them from Arizona and New Mexico, said Corina Roberts, founder of the Simi Valley Native American group Redbird. The average attendance over the course of three days is 4,000. We expect that number to be higher this year because we are investing more in local advertising, and we will, for the first time ever, be featured on the illuminated marquee in front of the college, Roberts said. Our goals are simple to have a social and ceremonial gathering that promotes the continuance of Native American culture and core values and offers visitors a friendly, relaxed atmosphere to experience native culture and participate in the circle, she said. IF YOU GO What: Children of Many Colors Intertribal Powwow When: 6 to 10 p.m. Friday, 11 a.m. to 10 p.m. Saturday, 11 a.m. to 6 p.m. Sunday Where: Moorpark College, 7075 Campus Road Cost: $2 suggested donation per vehicle Information: www.redbirdsvision.org/manycolors.htm KAREN QUINCY LOBERG/THE STAR The Seymour Dolittle Band performs at the 24th annual Ed Hunt Rehab Point Project Picnic on Sunday in Oxnard. SHARE KAREN QUINCY LOBERG/THE STAR Alexander Solis, 4 (from left), Alan Solis, 8, and Jonathan Montijo, 7, all of Oxnard, take a free train ride with the stuffed animals they picked out at the 24th annual Ed Hunt Rehab Point Project Picnic on Sunday in Oxnard. KAREN QUINCY LOBERG/THE STAR Efren Franco and his son Fernando Franco, 9, are lead by Harley toward the beach on the Ed Hunt walkway on Sunday in Oxnard. KAREN QUINCY LOBERG/THE STAR Erick Gomez (from left), 9, looks at the audience while Jose Rodriguez talks to his daughter Destiny Rodriguez, 9, as they wait to perform with their Golden Tiger's Taekwondo group at the 24th annual Ed Hunt Rehab Point Project Picnic in Oxnard on Sunday. KAREN QUINCY LOBERG/THE STAR Cameron Gonzales gives Nymeria some affection in the shade of the lunch area at the 24th annual Ed Hunt Rehab Point Project Picnic on Sunday in Oxnard. By Anne Kallas, Special to The Star There is something special about the Ed Hunt Rehab Point Project that keeps volunteers working year-round to keep the beach accessible to those with disabilities. At the 24th annual Ed Hunt Rehab Point Project Picnic on Sunday at the Oxnard Beach Park, Oxnard Mayor Tim Flynn recalled how 10 years ago he and his family visited the beach, with its 900-foot-long concrete pathway lined with 50 benches. "I was with my wife Julie, who said what a great idea it was to have a place that was accessible to people with disabilities. Then when my wife became gravely ill and was confined to a wheelchair, she said, 'I want to go to Ed Hunt Rehab Point,'" said Flynn. "I feel that my wife, who unfortunately passed away last year, is here in spirit." The annual picnic honors seniors, those with disabilities and veterans with a lunch of grilled burgers and hot dogs cooked by the Oxnard Elks Lodge No. 1443. It is one of a series of Day in the Park events held for those with disabilities throughout the summer. There was a last-minute rush to get the benches along the pathway repainted after about half of them were buried under sand during a windstorm last winter. According to Rehab Point Project volunteer Jim Carr, after the benches were cleared of sand, "the project was 95 percent completed. But we needed to sand and paint the benches and we wanted everything to be done by the picnic." So during the past week City Corps workers and other volunteers pitched in to help. "I asked for help from Mayor Flynn, who was able to connect me with the right people," Carr said. Norma Puch, of Camarillo, said she and others from a Camarillo post-polio support group come to the picnic each year. "I love it. I look for stuff out there. When we go out on the platform, people on the beach can look up and see that we can get here too," said Puch, noting that making the beach accessible for her scooter allows her to go to a place that would normally be inaccessible. Supervisor John Zaragoza praised the project's namesake Ed Hunt. "Ed Hunt was a visionary. He was disabled after a stroke left him paralyzed," Zaragoza said. "He loved the ocean with a passion, but he couldn't get to the water, so he decided to do something about it. We promised we would keep his dream alive and give those with disabilities access to the ocean." Dorine and Saxon Sitka, of Camarillo, said the annual picnic is a favorite for their son Andre Kroese, who has Down syndrome. Dorine Sitka said she gets valuable information about resources from the many groups and agencies represented at the picnic. "We really like to come out and enjoy the public and (Andre) loves the hamburgers," she said. Shelly Gonzalez of the Oxnard Elks Lodge No. 1443, said the group has been grilling hamburgers and hot dogs for at least 15 years. "We Elks give back to the community to elevate the community," Gonzalez said. "The people who come to the picnic are very appreciative of what we do and we're just happy we can do it for them." Chera Minkler, who has cerebral palsy, said she has been coming to the annual picnic since 2001. "I saw it a month ago on Facebook. It's a chance to see my friends," Minkler said. "The Rehab Point puts this beach on the map. Nationwide it's known as one of the most accessible beaches and that's good." Rehab Point Project President Christine Portillo said Sunday's picnic was a huge success, thanks to the many volunteers, including the City Corps, with its train of carts pulling people around a course, while children and their parents enjoyed a wide array of activities. For information about Ed Hunt Rehab Point Project, go online to http://rehabpoint.faithweb.com/ SHARE STAR FILE PHOTO Ventura County Fire Department. CONTRIBUTED PHOTO Ventura County Fire Department By Staff Reports Crews with the Ventura County Fire Department on Sunday extinguished a house fire in Simi Valley, fire officials said. Firefighters responded about 7:01 p.m. in the 4600 block of Hempstead Street to the fire that was burning a large portion of the rear of the home, according to the fire department. No one was in the home at the time of fire, officials said. California rockers Rival Sons performed at The Joint in Hard Rock Hotel Las Vegas (Photo: Erik Kabik/ RETNA/ www.erikkabik.com). Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Rival Sons was named Rock Band to Watch by The Huffington Post in 2009. The band has opened as a supporting act to artists such as AC/DC, Alice Cooper and Kid Rock. The band members are Jay Buchanan, Scott Holiday, Mike Miley and touring musician David Beste. Visit their web site at: www.rivalsons.com Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Photo: Erik Kabik/ RETNA/ www.erikkabik.com. Luxor headliner Carrot Top headed over to Hussongs Cantina on Thursday night to accept a charcoal rendering that will be added to the restaurants Charcoal Portrait Wall of Fame (Photo credit: Bryan Steffy). Photo credit: Bryan Steffy. The comedian chatted with owners Scott Frost and Jeffrey Marks, while being serenaded by Hussongs Rock n Roll Mariachis. Carrot Top is a regular at the Mandalay Place eatery and frequently orders the steak nachos and extra chips and salsa prior to his show. Tonight was no different, as the funny man grabbed his regular order to go. The evening helped kick off Hussongs new local promotion Uno! Dos! Tres! Thursdays, where Las Vegas locals can receive one taco, one Dos Equis and one Tres Agaves margarita or shot for only three dollars from 7 pm to 9 pm. Photo credit: Bryan Steffy. Photo credit: Bryan Steffy. Abby Murray and Chloes new Chihuahua has settled in quite well. Murray invited Ron and Robert from Friends for Life Humane Society to come to the show and told them that anyone who adopts a dog from their society this summer will get 4 free tickets to see MURRAY Celebrity Magician at the Tropicana Las Vegas! Abby made a special appearance on stage in the packed showroom wearing a red bow tie that matched Chloes costume. Abby also had a gift basket for the humane society as a thank you, and some toys and treats for all the other dogs waiting for a home back at their society back in Parhump, Nevada. Murray said, It was a very heart warming show today having the humane society in the audience and knowing just last week, I never saw this coming, and how grateful we are that Abby has found a home with us! In August, Murray wants to do a fundraiser for a Friends for Life Humane Society where he donate all the money from one of his shows to their non-profit privately owned humane society. It will be a variety show where all proceeds will go directly to them. A thriller novelist would be hard put to invent the real-life adventures related in The Lost Airman: A True Story of Escape from Nazi-Occupied France (Berkley Caliber, $27). Shot down over France in 1943, turret gunner Arthur Meyerowitz managed to evade the Nazis for nearly six months with the help of members of the French Resistance. He masqueraded as a deaf-mute, nearly undone when he flinched at the crash of dropped dishes in a French cafe. He hiked over the Pyrenees Mountains into neutral Spain with bullets from German snipers whistling around him. His family knew hardly anything about his ordeal, says Arthurs grandson Seth Meyerowitz, who with co-writer Peter F. Stevens produced the new book. A recent conversation with Meyerowitz revealed that excavating this buried past was as significant for his grandson as living through it was for Arthur. Q: What prompted you to start researching your grandfathers past? A: I was planning to visit Spain, and that sparked a question to my father, Hey, where was your dad in France? Dad didnt know much, but he said, Heres a box of his stuff. There were letters from people who had helped him, some in French and others in English, some typed and some written in 1940s cursive, which was quite difficult to read. It quickly became a challenge and an adventure to piece it all together. I started doing research online, and within 36 hours I had found Arthurs debriefing documents, which had been declassified. From that I was able to get in touch some people in France; I actually spoke to Patrick Chauvin, the son of a couple that had hosted him in Bordeaux. Within two weeks I decided to alter my trip to include a visit to France and convinced my dad to go with me. Q: What was that like? A: My dad was very emotional the whole time. My grandfather died in 1971, before I was born, and I had never really asked much about it, because he died young and it seemed like a touchy subject. I think Arthur really struggled when he got back, dealing with PTSD and the various injuries he had. In France, we were able to visit some of the homes he had stayed in; seeing those places he had been was very special. I also had some meetings with historians and people at Resistance museums. And Patrick was wonderful; Ive since gone and stayed with him and his family many times. Every time, he keeps thanking me for my grandfathers liberation of France! I say, Grandpa Arthur got shot down on his second mission: it was you French who got him home! Q: When did you realize you wanted to write a book about all this? A: I originally tried to put it together as a movie, with the help of a talent agent whos a friend of my brother-in-law, but nothing really happened, and I was working on other things so it wasnt really a primary focus for me. But then about a year later the talent agent was having lunch with a literary agent, told him the story, and the guy loved it. So we very quickly got back on track, found a writer to work with, and in March 2014 we got a book deal. Q: Were you surprised to find out that Marcel Taillandier, an important leader in the French Resistance, was personally involved in your grandfathers escape? A: Marcel stepped outside his orders from General de Gaulle to help Arthur. That information came directly from the head of the Resistance Museum in Toulouse, who became very interested when I told him what I had learned about Arthurs contacts in the Resistance. He said he had never seen an American with so much access to Marcels group, Morhange, who were real gangsters these guys shot first and apologized after. Its clear that Marcel and Arthur buddied up together, but I dont think well ever know why they were so close. Were thankful for it, because we owe Arthurs life to him. Q: Now that youve told his story, will you go back to your day job running an online marketing firm? A: Actually, Im currently working on another book, about a Canadian husband-and-wife spy team who were making their way into France as Arthur was making his way out. Q: So you may switch careers to become a writer of historical nonfiction? A: Im hopeful, and were still working on getting a film made of The Lost Airman. So maybe Ill be a movie producer, too. The developer of Amata Bien Hoa is seeking to invest in a similar complex in Quang Ninh According to Amatas latest press release, the group will establish Amata City Halong JSC this September, in which Amata will hold a 70 per cent stake, with 29 per cent owned by Tuan Chau Investment Hi-tech Infrastructure Development JSC and 1 per cent held by Dao Thi Doan Trang. Trang is the daughter of Dao Hong Tuyen, chairman of Tuan Chau Group, the holding company of Tuan Chau Investment Hi-tech Infrastructure Development JSC. This movement confirms Amatas determination to develop the large-scale complex, the first of its projects in the northern Vietnam. According to the groups initial plans, the 5,800 hectare complex will feature an industrial park (IP), a residential township, a hospital, schools, an exhibition centre, a shopping centre, and an amusement park. Under Vietnams existing legal regulations on tax incentives for such projects like Amatas, companies investing within Amatas future complex can get only two years of tax exemption and four years of a 50 per cent reduction on the 22 per cent corporate income tax (CIT) rate. In addition, there is no personal income tax reduction for workers in the industrial parks tenants. In comparison, as VSIP Haiphong and Dinh Vu are located within Dinh Vu-Cat Hai economic zone (EZ), their tenants are by default enjoying tax incentives offered to EZ including a 10 per cent CIT over a 15 year period, with the first four years exempt and the following nine years at a 50 per cent reduction. The rates are considered the highest of their kinds in Vietnam. Therefore, Amata is seeking the Ministry of Planning and Investments (MPI) support to enable Amata City Halongs tenants to enjoy a 10 per cent CIT rate over 18 years, with the first five years fully exempt, 50 per cent reduction on this rate over the next eleven years. In addition, it requested an 8 per cent CIT rate for hi-tech companies for the entirety of their lifetime, and a reduction by half of the personal income tax rate for hi-tech companies workers at the site. Amata also asked for an exemption on their land and water rentals. However, the MPI said that Amatas proposals far exceeded the existing tax incentives that the Vietnamese government had granted to IP tenants in an EZ. Somhatai Panichewa, president of Amata (Vietnam) JSC, the developer of the Amata Bien Hoa industrial and township complex, and CEO of Amata VN Plc. (listed on Thailands stock exchange, and the developer of all future projects in Vietnam), explained that Amata asked for this support package from the Vietnamese government because it wished to increase its competitiveness against VSIP Haiphong situated only 16 kilometres from the proposed Amata City Halong. Amata has been investing in Vietnam since 1994, with its maiden project of Amata Bien Hoa, in the southern province of Dong Nai. Ba Mun island is one of the largest and most beautiful ones of Bai Tu Long National Park. Besides traditional tourist destinations, travel agents have taken visitors to primitive places. Bai Tu Long Bay, located next to Ha Long Bay, is a huge sea biosphere reserve accounting hundreds of islands and islets. One of them is the Ba Mun island, the biggest wild life conservation site in Vietnams northeastern region. From Cai Rong port in Van Don district, Quang Ninh province, a high-speed boat will take you to Ba Mun island of the Bai Tu Long Bay in about 45 minutes. The island is called a wildlife animal paradise. It is covered by primeval forests which, together with high, sheer, dangerous rocks are the natural habitat of various kinds of animals. The Ba Mun island is located in the core of Bai Tu Long Bay and is the only schist island having primeval forests. Ba Mun covers an area of about 1,800 ha. On the east side of the island, which is known locally as the exterior, strong waves continuously hit the cliffs to create bubbles and foam up to tens of meters high. The western side, or the interior, on the other hand features tranquil scenery and calm water that ripples around the boat. Ba Mun island has no indigenous residents, only rangers and wildlife rescuers of Bai Tu Long National Park. They told us that the Park shelters about 2,000 species of fauna and flora, including 72 animals and 32 plants listed in Vietnams Red Book of endangered species. Many of them are living on Ba Mun island. The most prominent plants are teakwood, sindora, and ironwood species. The Lan hai orchid (Cypripedioideae), which was mistakenly thought to be extinct a few years ago, still exists here. At the present, this orchid species is found only in Lao Cai province and Ba Mun island. Ba Mun is home to several rare species of animals, including golden deers, antelopes, monkeys, langurs, as well as sea and migratory birds. The rangers can still see many wild boars, deers, and muntjacs in search of food. Since the Bai Tu Long National Park was established in 2001, wildlife preservation on the Ba Mun island has been tightened. A rescue center opened there in 2010 to take care of its wildlife as well as those rescued from illegal trafficking cases. Pham Ngoc Bien, a wildlife rescuer, has been working on the island for 5 years. Confiscated animals from illegal trafficking cases are weak, exhausted, and panic because they were caged and transported from places to places for a long time. Bien says they have to treat the animals carefully. We feed the monkeys with potatoes, gourds, pumpkins, and sweet potatoes. The tortoises eat meat or vegetable. Pythons eat chicken but dont eat dead animals. Sometimes we have to spoon-feed the very weak or small ones. We have to slide in the meat for the hawks. Wild animals are dangerous: sometimes the monkeys bite us, he said. Besides feeding the animals, the rescuers also treat their mental and physical illnesses. When they are healthy and have got back their instinct, they will be released to the wild. Every year the team saves hundreds of turtles, tortoises, snakes, copperheads, Tibetan bears, weasels, foxes, civets, and wildcats. Bien said some monkeys, which were freed into the forests, have regularly returned to the rescue center to meet people here. "Our work is hard to some extents, because isnt like in the mainland. The rescuers and rangers work together to patrol the forests and trace animal footprints and marks. We take care of the animals and document the fauna and flora species on the island. About 80% of the rescued animals have survived and have been released into the forests, which increases the animal population on Ba Mun island. Vu Ngoc Than, Deputy Director of Bai Tu Long National Park, said, Managing and protecting Bai Tu Long national park is the key task in preserving and promoting biodiversity. We have strengthened security to prevent intruders from exploiting the parks natural resources. In recent years, the Ba Mun island and the Bai Tu Long National Park have been open to not only scientists and researchers, but also to tourists. They can explore the island on their own, following natural trails in the forest or ranger patrol routes. It takes at least 3 days to complete a full tour of the Ba Mun island. In a nationwide referendum held on June 23, Britain voted to leave the European Union by a 52 per cent to 48 per cent margin. (AFP/Gerard Cerles) The short term of Brexit is mainly on the financial markets, more than on economies. The immediate impact of Brexit on stock markets and currencies has also not been a major concern for us, said Mr Tharman, referring to the gyrations in financial markets following Britains vote to exit the EU in a referendum held on Jun 23. In particular, the pound sterling crashed to its lowest level in more than 30 years on the back of growing anxiety that a British pull-out from the bloc would mean severe repercussions for the UKs economy. Safe haven currencies such as the Japanese yen appreciated strongly, while the Singapore dollar weakened against the US dollar alongside other Asian currencies, in a move that Mr Tharman described as typical in the case of a sudden increase in (the) risk in global markets. The movement of the Singapore dollar nominal effective exchange rate (S$NEER) has also been orderly and contained, he added. Moving forward, global financial markets will likely witness "repeated bouts of volatility". BREXIT'S LONG-TERM IMPACT HARD TO TELL: DPM THARMAN The impact of Brexit in the longer run is harder to ascertain given the unprecedented referendum result, Mr Tharman said. One issue that will be of greater concern is the political and economic uncertainties that will likely weigh on the UK, Europe and global economy for at least a few years. There's no clarity within the UK on the nature of its future relations with the EU. This state of affairs may persist for some time, and its not clear when the UK will formally trigger Article 50 which serves formal notice to leave the EU. Even after the formal notice is served, negotiations between UK and EU will be complex and prolonged, Mr Tharman said. Until the future trade and investment relations with the EU is settled, the uncertainty is likely to reduce investments and economic growth in the UK, and to some extent in the Europe as well, and that will present another headwind in subdued global economic outlook, he added. For the near term, the Ministry of Trade and Industry (MTI) does not expect Brexit to result in a significant reduction in Singapores growth. Mr Tharman cautioned, however, that there could be a major impact on Singapores economy if the events in Europe coincide with other events such as a sharp slowdown in growth in China and the US. In addition, should Brexit spark a shift toward a protectionist stance in global trade policies, there could repercussions on Singapore, Mr Tharman added, citing a World Bank report which said that a British pull-out from the bloc has marked a historic shift in trade policy attitudes. It is premature to (talk about) the long-term impact of Brexit. We must watch the developments carefully and prepare to adjust our strategy so that we stay competitive as an economy and retain good jobs in Singapore. GIC, TEMASEK HAVE "WELL-DIVERSIFIED PORTFOLIOS: DPM In response to a question from MP Patrick Tay on how Brexit and the accompanying market volatility could impact the investments of Singapore's sovereign wealth fund GIC and Temasek Holdings, Mr Tharman said that remains too early to tell. GIC and Temasek, like other global investors, can never be insulated from volatility in financial markets," he added: "However, both GIC and Temasek make investment decision for the long-term (and they) look beyond short-term boom and bust. Their focus with regards to Brexit is to assess how it would fundamentally alter the long-term prospects for the EU and UK economies. Mr Tharman raised the example of how GIC and Temasek's investment portfolios declined significantly alongside the market downturns during the 2008 global financial crisis. However, both managed to recover their value "within one to two years and continued to grow since then," he said. He also described the portfolios of both GIC and Temasek as "well-diversified", citing the latter's equity portfolio as being well-spread across industries and sectors. "So at any one point in time (when) youre hit by one cycle or another, its the overall portfolio over the long term that determines whether youre delivering good long-term value." Built upon the successful EU Gateway model of matchmaking and business support services, this EU-funded initiative aims to forge long-lasting business collaborations in Southeast Asia. The newly launched programme will focus on facilitating 20 targeted week-long business missions for up to 1,000 European SMEs across a range of sectors in South East Asia over the next five years. Southeast Asia is a region that presents tremendous untapped potential for European businesses, given its fast growing middle class, skilled and literate working-age population that can support business demand as well as provide a suitable base for production facilities, said Dr Michael Pulch, EU Ambassador to Singapore. I am confident that collaborations enabled by the EU Business Avenues in Southeast Asia programme will prove to be mutually beneficial for both European SMEs and their Southeast Asian partners; EU companies possess the technological and business know-how that can further develop and enhance key economic and infrastructural industries in the region. Teo Siong Seng, chairman of Singapore Business Federation (SBF), echoed similarly positive sentiments. The EU plays a vital role in our trade, investment, and the global economy. Having witnessed the progress achieved through working together during the pilot phase, we are delighted to continue supporting this new five-year programme. The EU Business Avenues in Southeast Asia initiative will bring about more opportunities and investments that will benefit the region and local businesses. The EU Business Avenues in Southeast Asia programme provides a comprehensive suite of dedicated services covering business, cultural, and legal needs: market studies, business culture awareness training and business meetings all of which are expected to facilitate collaboration with local players in the form of joint ventures, distributorships or licensing agreements, to smoothen and speed up the process of entry into local markets. Following the completion of a successful pilot programme to Singapore, Malaysia. and Vietnam in 2015, the expanded programme will now cover three additional markets: Indonesia, the Philippines, and Thailand. The upcoming inaugural business mission under this programme will focus on environment and water technologies, which presents immense opportunities for respective companies to introduce a broad range of top-notch European solutions to address acute problems faced in Asia: a lack of clean water and environmental degradation. Companies were selected following consultation with local players in the water and environment sectors to ensure that they can provide technologies that are relevant in the regional markets. The selected European SMEs will showcase their expertise at Singapore International Water Week (SIWW) 2016 from July 11 to 13, following which delegates will move on to Ho Chi Minh City, Vietnam, on July 14 and 15. The major North-South highway project is hoped to complete by 2020 with the bulk of funding coming from abroad-Photo: Cong Khanh Deputy Prime Minister Trinh Dinh Dung has required the Ministry of Transport (MoT) to complete a detailed overall plan for the 1,811 kilometre project within two weeks from July 7. Dung said at last weeks meeting on the highway construction plan that besides getting capital from the state budget, the project, running from Hanoi to the southern city of Can Tho, was expected to lure both local private and foreign investment under the model of public-private partnership. Funding from private and foreign sectors should be higher than that from the state budget. The construction of the whole highway is expected to require an investment of VND235.9 trillion ($10.7 billion), of which 49.3 per cent is expected to come from private and foreign investors, and the rest from the state. To fund the project, the state has planned to mobilise some VND75.3 trillion ($3.4 billion) from government bonds and VND44.1 trillion (more than $2 billion) from other sources. The construction must not be delayed, and should be finished in the next four years, Dung noted. The deputy prime minister required the MoT to work with the Ministry of Finance, proposing reasonable policies, including those on road use fees, and time for investors to collect the fees, ensuring the projects attractiveness to investors, especially foreign ones. Up to now, some short parts of the highway, with a combined length of 171km, including the sections connecting Phap Van and Cau Gie, Cau Gie and Ninh Binh, and Ho Chi Minh City and Trung Luong, have opened to traffic. Some other parts with a combined length of 302km, including the sections connecting La Son and Tuy Loan, Danang and Quang Ngai, Ben Luc and Long Thanh, Trung Luong and My Thuan, are under construction. The whole North-South highway could open to traffic, but only when the construction of 1,315km of the road was completed, said Nguyen Hoang, head of the Planning and Investment Department under the MoT. Vietnam is slated to build nearly 2,000 kilometres of highway over the next five years. The country will need a total of around VND1 quadrillion ($45.4 billion) for transport projects in the period. The government will be able to raise less than 29 per cent of that cost estimate, according to the MoT. The ministry said that in the 2011-2015 period, it had attracted VND186.66 trillion ($8.5 billion) from non-state sources for transport projects. The combined investment for all projects over the last five years totalled more than VND370 trillion ($16.8 billion). Vietnamese medical professional training in the use of GE Healthcares innovative technologies The implications of these statistics are significant given that the population of emerging markets is on a steady trend of growth, and sustainable healthcare is not possible without adequately trained and skilled workforce. The same holds true in Vietnam. While Vietnam spends relatively more on healthcare than its neighbours, Vietnams GDP to healthcare expenditure ratio is about 7.1 per cent, compared to Singapores 4.9 per cent and the Philippines 4.7 per cent, the countrys healthcare system faces significant challenges and lags behind in both accessibility and quality, according to the World Banks data. As the Vietnamese government looks to expand coverage and access to quality healthcare to all, it is taking proactive steps to address these issues. The Ministry of Health (MoH)s Five-Year Health Sector Development Plan 2011-2015 identified that a responsive, fair and efficient workforce is essential in the countrys healthcare system. For this reason, GE Healthcare, in partnership with the MoH, local healthcare providers, and educational institutions, have been working to develop and grow the countrys healthcare workforce. The opening of the Simulation Training Lab for Anesthesia and Intensive Care at Viet Duc Hospital Viet Duc Simulation Training Lab for Anesthesia and Intensive Care GE Healthcare is committed to capacity-building and investment into local communities it operates in. One of the ways GE Healthcare contributes to strengthening healthcare systems globally is the training and education of healthcare professionals. By equipping local talent with training and expertise on medical procedures and safe surgery, GE Healthcare is empowering local clinicians to raise the standards of healthcare from the bottom up, and drive greater positive health outcomes in their countries. GE Healthcare, along with the Vietnam Society of Anaesthesiologists (VSA), Viet Duc Hospital and Viet Medical, last week announced the opening of the Simulation Training Lab for Anesthesia and Intensive Care, aimed at improving education and raising awareness on the importance of safe anaesthesia administration in Vietnam. In partnership with local stakeholders, GE Healthcare was able to identify and address an existing challenge of the Vietnamese healthcare system: the need for more and better trained anaesthesiologists. In todays Vietnam, there is one anaesthesia provider for every 134,434 people. In comparison, the neighbouring Singapore has one for 22,140 people, and the Philippines has one for 40,875 people, according to the MoHs statistics. As one of the largest surgical and teaching hospitals in Vietnam, the new simulation training lab at Viet Duc Hospital will provide training for up to 400 anaesthesia students and trainees from across the country each year. In Vietnam, rarely do we see students and trainees have the opportunity to go through real life simulation, to observe, learn from, and improve before facing such crisis in a fast-paced environment, such as the Simulation Training Lab for Anesthesia and Intensive Care. I truly believe this is a huge step in raising the standards of anaesthesia administration and patient care in Vietnam, said Associate Professor PhD Cong Quyet Thang, chairman of the VSA. Currently, the Simulation Training Lab for Anesthesia and Intensive Care is open for enrolment for Viet Duc Hospital trainees and VSA members, while planning to eventually extend training to anaesthesiology students and trainees across Vietnam and the ASEAN. GE Healthcare is committed not only to providing innovative technologies and machines for Vietnam, but also the education and training behind them to ensure healthcare providers are able to give the very best care to their patients, said Nilesh Shah, general manager of Life Care Solutions at GE Healthcare Asia Pacific. Global commitment realised in Vietnam In addition to Simulation Training Lab for Anesthesia and Intensive Care at Viet Duc Hospital, GE Healthcare has made a number of significant investments to enhance training and education in Vietnam. In March, 2015, GE Healthcare signed a Memorandum of Understanding (MoU) with Bach Mai Hospital in Hanoi to introduce a new education programme handing over $250,000 in patient monitors to help train Vietnamese clinicians on how to better utilise the machinery to improve patient care, especially in the Emergency Department and Intensive Care Unit. As one of the biggest teaching hospitals in Vietnam, Bach Mai plays a large role in the education and training of much of Vietnams healthcare workforce, nearly 2,000 clinicians a year receive various kinds of medical training at Bach Mai, including medical students, post graduates, and clinicians participating in advanced education programmes. Additionally, in April 2015, GE Healthcare and the Vietnam National Heart Institute co-hosted a cardiac medical education seminar for Vietnamese physicians, aimed at improving the diagnosis rate and treatment of cardiovascular diseases in Vietnam. More than 100 physicians travelled to the National Heart Institute in Hanoi to attend the full day seminar and hands-on training demonstration of GEs ultrasound solutions, Vivid S6 and Vivid T8. Globally, GE Healthcare has committed to investing $1 billion worldwide by 2020 in the development and delivery of localised healthcare education. Investing in the education and training of healthcare professionals is one of the greatest enablers of sustainable healthcare development. With such programmes at Viet Duc and Bach Mai hospitals, and the National Heart Institute, GE Healthcare hopes to play even a small part in building a sustainable and safe healthcare system in Vietnam and ensure that the countrys nearly 93 million people have access to quality healthcare. DECATUR Police, community leaders and the Decatur citizens they serve gathered Sunday evening to pray for strength and understanding in a nation torn by violence and its divisive aftermath. After a week in which two black men died in officer-involved shootings in Louisiana and Minnesota before five police officers were shot to death in a revenge killing in Dallas, the Decatur Prayer Vigil was billed as a first step towards fostering understanding. It was organized by the NAACP Decatur Branch and hosted at Trinity CME Church. The audience included interim Decatur Police Chief Jim Getz, Decatur Mayor Julie Moore Wolfe, city council members Pat McDaniel and Dana Ray, Lt. Tony Brown from the Macon County Sheriff's Office and several pastors and community leaders. The event's theme, in part, was described as, We pray for those who lost their lives while serving to protect their community, in a clear reference to Dallas. A news release then added: We pray for the African-American men who have lost their lives by the misconduct of the community protectors. Jeanelle Norman, the president of the Decatur NAACP branch, looked at the more than 100-strong audience and said she cried when she read how one of the African-American men had died in front of a little girl who had witnessed his death. Can you imagine what that is going to be like for the rest of her life? she asked. And then she told how she cried when news reports of the first Dallas police officer to die came in as she was going to bed, and then woke the next morning to find out a total of five policemen had been killed. That is pain: pain for our nation, pain for the families, she added. Norman called for a sense of partnership and unity between the police and all members of society to work together to safeguard Decatur from the trouble that has erupted in so many communities just like our own. Getz, speaking to the Herald & Review before the prayer vigil, said the recent violence had made life more difficult for his officers who have been dealing with an increased level of verbal abuse as tensions rise. He said the focus now was building strength through community relations that will make Decatur a better place to live for its citizens and those sworn to protect them. As a nation, we don't need more violence added to the violence we've already had, said Getz, his police badge bearing a black mourning stripe in honor of the slain Dallas officers. Let's find a peaceful way to come together and make our city of Decatur the best city it can be. In his remarks to the vigil, Getz referred to some unspecified recent incidents when trouble could have flared but were calmed by community help, and said he was grateful for that. He then added that it was clear he was not policing in a perfect world. It's very disheartening that, as a nation in 2016, we are still struggling with racial biases ... it's really disheartening and really makes you sick to your stomach, he added. Getz said his personal approach to law enforcement was simple: he told his officers to go out and target criminal activity, not the color of a person's skin. He said he told officers to treat everyone they encountered fairly. And I ask that every citizen who comes into contact with a police officer to base their opinion on the professionalism that officer shows that day, and not on his race or the uniform he is wearing, he said. We cannot allow two individuals and a few instances to define all of us, he added, in a pointed reference to policing and the recent violence. Mayor Moore Wolfe said building a better community depends on everyone standing up for the rights of everyone else, and Brown said the police want the same as every other citizen: to live in a safe community. Because at the end of the day when we remove titles, positions and uniforms, we're striving for the thing that will benefit us all: to live in peace, he added. Retired teacher Vicki Palmer-Maddox, one of the audience members, thought the prayer vigil was a good start on that goal. This is positive; very, very positive, she said. I couldn't wait to get here today. The vigil ended with the audience singing We Shall Overcome with a final line altered so they also sang We shall unite ... According to Mauro Gasparotti, executive director at Alternaty Real Estate, there is a significant oversupply risk in the second home market, especially the condotel model where barriers of entry are lower as compared to other real estate developments. Alternaty is a boutique real estate firm providing specialist advice for hotel, resort and second home projects in Indochina region This is currently the case in some tourism destinations in Vietnam where a large number of condotels are in the pipeline, with some of them not being properly planned, Gasparotti told VIR. Moreover, Gasparotti added that several less experienced developers incorrectly focus on the pre-sale cash flow, rather than assessing the project as a whole. That being said, Vietnam is only at the beginning of long lasting hospitality growth. If the condotels are properly planned and if the developers have the right financing structure in place, it can become an appealing product that can be sold to end buyers and also serve guests as a normal hotel or resort, he said. In Phu Quoc island, many second homes and resorts have been built and are managed by international brand names. The price for a villa in this beautiful island ranges from $500,000 to $5 million. However, rapid development can cause Phu Quoc to face the risk of oversupply in the near future. According to statistics, by 2020, Phu Quoc will have more than 10,000 hotels the largest supply in Vietnam. As reported by Savills Vietnam, the current supply of hotel rooms in Phu Quoc is already growing at an impressive rate. It is now home to many international brand names such as Crowne Plaza Hotel, Novotel Resort, Sonasea Villas & Resort, and Sunset Sanato Premium Complex. These establishments are managed by prominent groups like InterContinental, JW Marriott, Accor, and Starwood. Amid the increased supply, the occupancy rate decreased by more than 50 per cent during the first months of 2016, compared to around 80 per cent earlier reported in 2015. Currently, the entire island is a huge construction site with various projects being completed, with a total investment capital up to $10 billion. Photo : nasa.gov The US space agency had already pushed back the launch by a day to Tuesday. If technicians are able to finish their repairs as planned, Discovery and its six American astronauts will now launch from Florida's Kennedy Space Center at 3:52 pm (1952 GMT) Wednesday, NASA test director Jeff Spaulding said. The flight to the orbiting International Space Station is the fourth and final shuttle flight of the year, and the last scheduled for Discovery, the oldest in the three-shuttle fleet that is being retired in 2011. According to the Asian Nikkei Review, Tokyo Gas is going to invest a few billion US dollars into the joint venture and hold a stake of 10 to 20 per cent, while PV Gas, a subsidiary of state-run Vietnam Oil and Gas Group, will hold majority. Tokyo Gas will have representatives in the board of directors. The joint venture is going to launch feasibility studies to explore the possibility of building an LNG plant for storage and pipelines to create a supply network. If the studies look promising, the scale of the joint venture will likely be expanded. Leaders of Tokyo Gas and PV Gas met in May to discuss a plan for investment in the construction and operation of Thi Vai LNG warehouse in Cai Mep Industrial Zone in the southern province of Ba Ria-Vung Tau, as well as the expansion and management of LNG warehouse infrastructure and the development of the Vietnamese LNG market in the future. Earlier, in March, leaders of Gazprom Neft and PetroVietnam also met to discuss a potential deal to supply liquefied natural gas to Vietnam. Late last year, Gazprom was considering building an LNG terminal in the southern province of Dong Nai. In 2014, Royal Dutch Shell signed an agreement with PV Gas on supplying LNG to the one-million-tonne-per-year Thi Vai LNG terminal (in Ba Ria-Vung Tau province). The terminal is scheduled to be completed and start operation in 2019-2020. However, in a report on 2015 operations and plan for 2016 submitted to its shareholders, PV Gas said it had temporarily put on hold work on the terminal at parent company PetroVietnams request to review market demand. In Vietnam, LNG is mainly used as a source of energy by industrial producers and fertilizer plants or as fuel for gas-fired power plants. Overview of Retail Market Loyalty Programme Management System This is the first time that Toshibas point system, known in Japan as PointArtist, has been deployed overseas, and will support Toshiba in developing its ICT business, including retail solutions, in the ASEAN market. FPT Shop Toshibas point system is employed by major supermarket chains in Japan, and has over 40 million end users. It allows customers to earn and utilise points, and supports retailers in improving sales and marketing by understanding customer visits and purchase histories. Toshibas extensive experience, installation record and comprehensive support structure, from system implementation to operation design, were contributing factors in FPT shops selection of this system. Toshiba and FPT groups software products and services provider, FPT Software, cooperated on localisation, including language, currency and tax requirements. Through our strategic alliance with FPT, we identified PointArtist as a potential area for collaboration, said Tomoki Tada, vice president of Toshibas Industrial ICT Solutions Company. By introducing our customer-oriented technology and solutions to ICT-based retail solutions FPT and Vietnam, we are committing to contribute to the modernisation of Vietnams retail sector. We will also seek to strengthen our business base in Vietnam and our presence in ASEANs ICT market. In addition to increasing the coverage of FPT shops nationwide to reach new customers, engaging them in our shops via loyalty and promotion programs is also a strategic approach that we are focusing and will prioritise from now on, said Giang Doan, vice director of Business Development Department, FPT Digital Retail JSC. To foster this approach, finding a prestigious solution provider is a key of success, I think. As a global name providing innovative qualified IT solutions, I believe Toshibas point system delivered by FPT Software, can help us to implement diversified loyalty campaigns efficiently. Vietnam has recorded the highest GDP growth rate in the fast growing ASEAN region for the last ten years, and the potential for future growth remains high. In the retail sector, while small stores still play the main role, the shares of modern retailers, convenience stores and supermarket chains are expected to rise from 18 per cent in 2015 to around 24 per cent in 2020. Toshiba has a long established presence in Vietnam. In 2007, the company set up a software development center in Hanoi to provide Vietnamese software engineers with training and know-how in embedded software and systems. Toshiba also provides scholarships to Vietnam's two national universities, Vietnam National University in Hanoi and Vietnam National University in Ho Chi Minh City, and to Hanoi University of Technology. The opening of the Vietnamese market to foreign tour operators has local companies fearing their businesses will suffer due to increased competition Under the TPP, Vietnam would allow foreign companies from other TPP countries such as Australia, Japan, and the US, to provide inbound services and domestic travel solutions for tourists. At present, foreign companies are not allowed to operate inbound tours, and have to partner with local businesses instead. Once we open door to foreign travel agencies, they will likely expand their business in Vietnam, creating huge challenges for local firms, said Luu Duc Ke, head of the travel agency Hanoitourist. Local firms will lose out unless they manage to hold on to their Vietnamese customers and increase their ability to lure foreign visitors. With a population of more than 90 million and the rapid expansion of a young middle class, Vietnam could supply a large volume of local customers to travel agencies, while the countrys natural beauty is a huge draw for foreign visitors, Ke said. More than four million international visitors arrived in Vietnam between January and May, up 20 per cent over the same period last year, according to the General Statistics Office. Nguyen Cong Hoan, vice general director of the Hanoi Redtour travel agency, said that foreign firms were in a strong position to increase their market share through their large network of hotels, and co-operation deals with airlines. This stands in sharp contrast to local tour operators which are mostly small- and medium-sized enterprises. Unsound competition practices and weak co-ordination among local enterprises have made them less competitive than their foreign rivals. Many local travel agencies are competing by slashing their tour prices, which is only made possible by a broad fall in quality. This myopic tactic has undermined visitors trust in local agencies. Visitors will leave local firms for foreign ones which offer the same services in Vietnam, Hoan said. The possibility of losing the market is very real. Hoan added that foreign companies may tap the market through online services, which they have long-term experience in. They may also focus on inbound tours to take foreign visitors to Vietnam and then outbound ones to take local visitors to foreign countries. To hold their own in this situation, local travel businesses need to focus on improving the quality of services and products, industry insiders have warned. Online sales should be increased because it facilitates clients and costs tour operators less, allowing them to offer more discounts to customers. Local firms should learn from foreign agencies, particularly in relation to the development of tourism products, promoting marketing activities, and expanding online services, said tourism management lecturer, Huynh Van Son. A picture taken on Jul 3, 2016 shows the theatre inside the UNESCO-listed citadel, that is controlled by the Syrian regime forces, in the northern Syrian city of Aleppo. (Photo: AFP/George Ourfalian) The meeting of the World Heritage Committee of UNESCO comes less than two weeks after the main airport in Istanbul was hit by a triple suicide attack that left 47 people dead. The strike was blamed on Islamic State (IS) militants who have already destroyed irreplaceable heritage in Turkey's neighbours Syria and Iraq. UNESCO director general Irina Bokova told the opening ceremony of the 11-day session that world heritage was under threat in countries riven by unrest from Mali to Yemen. "Our response should be strong on the ground and also strong in a moral sense," she said. Bokova said the fact the committee was holding the meeting in Istanbul - a city for centuries controlled by Christian Byzantines and since the Ottoman conquest by Muslims - was a "strong symbol" of support to Turkey. "The fact we are here ... in a city which is a bridge between East and West ... is an important message to share with the world when we see violent extremists target human rights and cultural diversity," she said. She said ancient sites such as the remains around Palmyra in Syria and Mosul in Iraq - ravaged by IS militants - had been "destroyed and laid waste for military goals." Turkish Prime Minister Binali Yildirim said in a video message that at a time when cultural heritage and values were being destroyed by war "an even greater responsibility and bigger task falls upon UNESCO." Deputy Prime Minister Numan Kurtulmus meanwhile told the ceremony UNESCO could work in a "more effective way" to protect global heritage. 'HEALING WOUNDED MEMORIES' Bokova paid tribute to those who had risked their lives to preserve cultural heritage, in particular the expert caretaker of Palmyra in Syria, Khalid al-Assad, who was murdered by IS in 2015. "It reminds us that human beings are not only made of flesh and blood but also values to be transmitted. And this is transmitted through heritage." The Committee will examine proposals to inscribe more than two dozen sites on UNESCO's World Heritage List. Natural sites under consideration range from extinct volcanoes in France, to an underwater marine reserve in Sudan. Cultural sites include works by the Franco-Swiss architect Le Corbusier, the historic centre of Panama City and medieval tombstones in the Balkans. A closely watched candidate from the host country is the once great but now ruined Armenian city of Ani which lies just inside eastern Turkey opposite its closed border with Armenia. Turkey has no diplomatic ties with Armenia due to the dispute over the mass killings of Armenians in World War I. "What is at stake is more than adding new sites on the lists," Bokova said. "It is about affirming human values and human rights. It is about healing wounded memories, harnessing heritage to regain confidence, to recover and to look into the future." The World Heritage List today has 1,031 sites in more than 163 countries. The process has often caused diplomatic friction and this year is no exception, with Britain annoying Spain by proposing grottoes in its overseas territory of Gibraltar which is claimed by Madrid. Investors at Sai Gon Securities Inc. Local market indices are expected to decline this week as investors may take profits after stocks' hot gains. - VNS Photo Viet Thanh On Friday, the benchmark VN Index on the HCM Stock Exchange edged down 0.4 per cent to finish last week at 658.68 points. The southern market index ended up 2.9 per cent over the week, finishing higher than previous analysts' forecasts. The HNX Index on the Ha Noi Stock Exchange fell 0.7 per cent to end Friday at 87.57 points, retreating from a five-day rally of 4.1 per cent. The northern market index rose 2.8 per cent from the previous trading week. Nguyen Ngoc Lan, senior analyst at Agribank Securities Corp (Agriseco), said local markets would decline this week as profit-taking could continue and global markets remained volatile. "However, the decline is not especially significant, as investors may rotate their investment portfolios among listed companies," she said. Le Duc Khanh, head of the investment strategy division at Maritime Securities Inc, noted that markets would head down in the first three days, before returning to positive territory during the rest of the week. Hoang Thach Lan, a securities analyst, said the markets had increased because they had been too "hot" since June 24. During this period, the price-to-earning (P/E) ratio in some blue chips in the VN30 basket, displaying the largest 30 listed companies in terms of capitalisation and trading liquidity, reached above 20. Investors might also seek profits in those large stocks when the companies announce their profits for the second quarter. Those stocks would not be able to receive support from foreign investors, as they already hit the limit of foreign ownership, he added. Stocks that made significant gains last week included Tien Len Steel Group JSC (TLH), Dream House Investment Corp (DRH) and Viet Nam Construction and Import-Export Corp (VCG). These stocks soared 31 per cent, 24 per cent and 28 per cent, respectively, during the week. Meanwhile, pharmaceutical businesses, such as Traphaco JSC (TRA), Domesco Medical Import-Export JSC (DMC) and DHG Pharmaceutical JSC (DHG), also recorded large gains in recent weeks and could run into profit-taking in the future. Investors should also watch for energy stocks, which could be affected by lower oil prices. London-traded Brent crude on Friday finished up 0.8 per cent at US$46.76 per barrel, though it plunged 7.1 per cent during the week. "This week, investors may count on banks and securities firms," said Nguyen Ngoc Lan, Agriseco's analyst. Bright spots Profits for securities firms in the third quarter might increase strongly, as market trading liquidity remained high in recent weeks, she said. Last week, market trading liquidity increased significantly from the previous week. The market's daily average trading volume was more than 210.6 million shares, worth VND4 trillion ($179 million), 37 per cent higher in volume and 36 per cent higher in value. Securities stocks that investors might take a look at included Sai Gon Securities Inc (SSI), HCM City Securities Corp (HCM) and VNDirect Securities Corp (VND). The Joint Stock Commercial Bank for Foreign Trade of Viet Nam (VCB) will also be a factor that helps drive markets up, according to Chau Thien Truc Quynh, Viet Capital Securities Corp's analyst. "VCB will provide gains on expectations that the bank will offer support for the Government in case the domestic financial system has problems," Quynh said. Photo by DEFENSE DEPARTMENT The Pentagons Missile Defense Agencys flight test of a new anti-missile interceptor from Vandenberg Air Force Base in California has failed. This website is intended for U.S. visitors only. Close Get email notifications on {{subject}} daily! Your notification has been saved. There was a problem saving your notification. {{description}} Email notifications are only sent once a day, and only if there are new matching items. remaining of Thank you for reading! On your next view you will be asked to log in to your subscriber account or create an account and subscribepurchase a subscription to continue reading. CHARLESTON -- The 18th annual East Central Illinois Farm Equipment Club antique tractor show is set for the Coles County Fairgrounds this week. The show is scheduled for Thursday through Sunday and there will be no admission charge to attend the general show. Case tractors will be featured during the show, according to a news release from the club. Activities and features will include flea markets, food vendors, an antique tractor pull, various tractor games, a "twilight" tractor drive and a kiddie tractor pull. Saturday features will include a car and truck show and the "Youth Village" hosted by Lincoln Heritage Pheasants Forever, the release said. The "Youth Village" will consist of a gun raffle and youth games. Participants will also have the option to shoot air soft guns, air rifles or bows and arrows. The show will start with a "Beller and Belch" tractor pull Thursday, with registration at 5 p.m. and event at 6 p.m. There is an admission charge of $3 but children age 10 and younger can attend for free, it said. Saturday's car show is the seventh annual "Stacks and Packs" event. The release said registration will be from 8:30 a.m. to 12:30 pm. and the cost will be $15. The show's awards presentation will be at 2 p.m. and prizes, children's games and other activities will take place in conjunction with the show. The event serves as s fundraiser for the Childrens Advocacy Center of East Central Illinois. A complete schedule of events and more information about the club is available on its website, www.eciafec.org. Under the baking heat of a vast ocean horizon, the USS Ronald Reagan is going about its business. Fighter jets burst from the deck of the enormous aircraft carrier with ease. As the crew of the vessel set about conducting their daily routine, they were joined by 10 Cambodian diplomats, including senior officials from the Royal Cambodian Armed Forces and the Ministry of Interior the first time Cambodian officials have stepped aboard the giant ship. I am Captain Buzz Donnelly, the commanding officer of the USS Ronald Reagan and we are operating right now in the South China Sea, in between the Spratly and Paracel Islands in international waters, says the ships captain, Michael Donnelly. We are conducting normal operations for a carrier strike group freedom of navigation, operating in the vicinity of worldwide commerce. Lots of ships have been going through this area, from Australia to Malacca. It is an area that were frequently operating in and [conducting] exercises with our friends, allies, and neighbors in this area, to demonstrate our commitment to the freedom of the sea and the freedom of commerce. The official visit on Thursday came while the USS Ronald Reagan was conducting surveillance of the contested South China Sea. During the rare visit, the Cambodian officials took the opportunity to study the operations of the US carrier and fighter jets in action. They also had a hands-on experience with command during take-off and landing and giving orders to jets to carry out missions. Captain Donnelly said it had been a pleasure to welcome the Cambodian officials aboard. This opportunity today for the Cambodian military and government officials to come out and see exactly what it is for an aircraft carrier to operate on the high seas, for us to have that exchange and face-to-face opportunity to discuss is nothing but beneficial to our relationship, he said. Interior Ministry secretary of state Prum Sokha said the visit was important in order to maintain peace in the region and in the world. Whats important is that joint cooperation requires us to understand one another. So the visit is a way to do so. Not only do we explore the high technology, but also the spectrum of the operation from a joint goal, he said. The USS Ronald Reagan was put into commission in 2001. At more than 1,000 feet long (about 330 meters) and 76 meters wide, it is powered by a nuclear reactor. In January 2014 the ship replaced the USS George Washington to join to 7th Fleet based out of Yokosuka, Japan. Captain Donnelly said the ship carries a crew of more than 5,000, including engineers, doctors, pilots and naval officers. It carries about 70 fighter jets, including F-18s, as well as helicopters and radar planes. He added that one of the main roles of the USS Ronald Reagan was to maintain peace in the contested South China Sea. It is not so much a concern directly to us because were always operating here. We have a long history of operations in the South China Sea and throughout the Pacific Asia/India area of operations he said. This is a very frequent area whether were going through it, over to the Indian Ocean and to CentCom area of operations in the Arabian Gulf, or whether were just staying here to operate in the West Pacific or in the South China Sea itself. So, there is nothing unique about our operation here today. We just operate where we choose. A ruling in a contentious court case over the South China Sea is due on Tuesday, with the decision likely to favor the Philippines over China. Several Asian states stand at odds with China in the dispute, while China continues to develop numerous areas in the Sea, including creating artificial islands. On Thursday, Sokha of the Interior Ministry said as Cambodia was an Asean member state it would not interfere in any bilateral disputes between other Asean nations and China, a key ally of Phnom Penh. The main operation of each nation is to maintain peace and stability in the region. As the Cambodian government and Prime Minister Hun Sen have stated concerning the dispute, Cambodias position is for bilateral and peaceful resolution. Ahead of a court ruling due on July 12 over the disputed South China Sea that will be seen as a litmus test of how Chinas increasing power will engage with other nations and international arbitrators, Cambodian analysts say the country must remain neutral. The case, filed by the Philippines at the Netherlands-based Permanent Court of Arbitration (PCA) at The Hague argues that a collection of Chinese-controlled outcrops and reefs in the contested waters do not qualify of recognition as exclusive economic zones, which would grant China economic control of up to 200 nautical miles of the surrounding sea. China, which considers almost the entire resource-rich waterway as its own, has rejected the suit and ignored the proceedings. Chinas territorial claims in the South China Sea conflict with the Philippines, Vietnam, Brunei, Malaysia and Taiwan. While the decision due on July 12 is unenforceable, Chinas reaction will speak volumes about how it views its role in the region, analysts say, while thus far it has cast itself as a victim of American strategic dominance in East Asia. If the judgment by the court does not serve peace and development, I think that Cambodia must think carefully before taking any action, said Sombo Manara, a professor of history at the Royal University of Phnom Penh. Kung Phoak, president of the Cambodian Institute for Strategic Studies (CISS), said the conflict would not reach the level of all-out military conflict, but we have to look at all aspects of the history of the region where country-to-country problems were solved. When we won the case, he said, referring to a November 2013 ruling over the Preah Vihear temple at the International Court of Justice, nobody [in Asean] issued any statements to support the judgment made by the court. So we should be fair to other Asean members. During a visit to Cambodia in April, Chinese Foreign minister Wang Yi defended the countrys construction activities in the South China Sea and accused the Philippines of lacking the will to resolve the dispute bilaterally. Chheang Vannarith, a senior research fellow at the Cambodian Institute for Cooperation and Peace, said the verdict, which is expected to at least partially favor the Philippines, would put pressure on the Chinese leadership to resolve the dispute. If China continues to expand the so-called artificial islands in the Scarborough Shoal, the dispute would reach boiling point, meaning an armed conflict could take place between China and the Philippines in the disputed area, he said. Cambodia is reported to favor China, its largest aid and loans provider, in the dispute, despite maintaining an ostensibly neutral stance. On June 28, Prime Minister Hun Sen said in a speech that the ruling party would not support a statement supporting the verdict of the PCA. With the verdict expected shortly, China is due to conclude military exercises near the contested Paracel Islands begun just under a week ago, a move that was interpreted as a show of defiance ahead of the ruling. The United States and a senior United Nations official have condemned the daylight killing on Sunday of prominent Cambodian political analyst Kem Ley and called for an independent investigation into the circumstances surrounding his untimely death. Ley, 45, who was well-known for his trenchant criticism of Prime Minister Hun Sens government, was fatally shot at a convenience store in the capital where he often stopped for his morning coffee. His body was taken on Sunday to a pagoda on the outskirts of Phnom Penh where a Buddhist ceremony was held. A statement from the US State Department posted to the US Embassy in Phnom Penhs website on Sunday said officials were shocked to learn of Leys killing and concerned that a proper investigation into the circumstances of his death be carried out. We are deeply saddened and concerned by reports of the tragic killing of prominent Cambodian political commentator Dr. Kem Ley. We offer our sincere and profound condolences to his family, friends, and colleagues, spokesman John Kirby wrote in the statement. We are following developments in this case closely, noting the Cambodian government's call for an investigation, and urge that authorities ensure this process be thorough and impartial. The US Embassy in Cambodia also sent separate public condolences to Leys family. The United States stands by the people of Cambodia in this time of sorrow, read a massage posted to the US Embassys Facebook page. Meanwhile, Maina Kiai, United Nations Special Rapporteur on the rights to freedom of peaceful assembly and of association, on Sunday pointed to Leys recent comments on a report by NGO Global Witness that hit a nerve with the ruling family by analyzing their business interests in the Kingdom. Highly alarmed at the killing of Cambodian activist and political analyst Kem Ley in Phnom Penh today. Circumstances are plainly suspicious given his standing as a critic of the government and his recent comments in the media about the Global Witness report on the Prime Minister's familys business empire, read a message on Kiais Facebook page. The UN envoy also requested an independent investigation be launched. While also offering his condolences to Leys family, Prime Minister Hun Sen on Sunday blamed weak gun controls in the country for the death. On Monday, during the opening of a military police facility in the capital, he called for patience so that an investigation could be carried out to determine the motives behind the killing and warned opposition political parties against using the tragedy to provoke unrest. I hope Cambodians will offer the possibility to authorities to execute a proper investigation, and avoid labeling this tragic issue a political matter, which may worsen the current situation, Hun Sen said. I hope political parties would not take this issue for political gain and make any incident that may lead to chaos. Since Hun Sen assumed power in a 1997 coup, rights groups estimate that dozens have been disappeared and killed as a result of their opposition to the ruling Cambodian Peoples Party. One suspect has been arrested in relation to Leys killing thus far, a man who identified himself initially as Chuob Samlab, which literally translates to Meet, Kill. In a confession video broadcast on a Hun Sen family-owned television station on Sunday, the suspect claimed Ley had owed him $3,000, a claim which his supporters and family said they doubted. Ley, a longtime political and social development analyst, co-founded the Grassroots Democratic Party in 2014, before taking a back seat and allowing others to lead the party. He is survived by his pregnant wife and four children. Afghanistans President Ashraf Ghani is again urging neighboring Pakistan to expel militant groups that are fighting his country. We dont expect Pakistan to bring us peace. We want Pakistan to banish those groups from its territory that fight against Afghanistan and oppose peace talks, Ghani said. Ghani addressed reporters in Kabul after attending the recently-concluded NATO summit in Warsaw, Poland, where member nations vowed to sustain their military mission in Afghanistan and reiterated funding pledges for Afghan security forces. Kabul has long maintained Taliban leaders are based on the Pakistani side of the border from where they direct the Afghan insurgency. Our call for peace is still in place, but only for those who call themselves Afghans, not for those who are used as tools by others, Ghani said, referring to Pakistans alleged support for the Taliban. Ghani again said that Pakistan has imposed an "undeclared war" on Afghanistan, despite his peace overtures. Afghan officials say that while Pakistani security forces have been arresting or killing militants linked to anti-state local Taliban groups, they continue to protect and shelter members of the Afghan Taliban. "... their dangerous distinction between good and bad terrorists is being maintained in practice, Ghani alleged in his speech to the NATO summit on Saturday. Pakistan swiftly rejected Ghanis accusations as part of Kabuls blame game based on inaccurate assumptions and that it sought Afghan cooperation in counterterrorism efforts. It is unfortunate that Afghan leaders continue to make hostile statements against Pakistan and blame Pakistan for all failures in Afghanistan, said a Foreign Ministry spokesman in Islamabad. He also called for the Afghan government to deny sanctuaries to anti-Pakistan militants blamed for plotting cross-border terrorist attacks. Call for peace talks During a recent speech in Islamabad, Prime Minister Nawaz Sharifs key aide on foreign policy, Tariq Fatemi, emphasized the need for a political solution to the Afghan conflict and urged the government in Kabul and the Taliban to engage in peace talks. It is better for Afghan society and for the country to agree on a reconciliation process; but as far as Pakistan is concerned, we do not wish to distinguish amongst the Taliban, Fatemi said. The mutual allegations of sponsoring terrorism on each other's soil has recently plunged historically uneasy relations between Pakistan and Afghanistan to new lows. Somali militant group al-Shabab has taken control of the strategic port town of Merca, 100 kilometers south of the capital, residents and officials said on Monday. The government troops and the African Union peacekeepers who have been controlling the town left at dawn, giving al-Shabab militants a port on the Somalia coast. The AMISOM and government troops withdrew from the town at dawn this morning and now heavily armed al-Shabab militants are manning the citys major check-points, one of the residents told VOA on condition of anonymity. The militants took up the strategic locations and hoisted their flag, another resident said. In an interview with the VOA Somali, Abdifitah Ibrahim Geesey, the security minister of the South Western Somali Federal State confirmed that the militants have taken the control of the town. This is the second time the militant took over the town since February this year. It is not clear why African Union troops and the Somali army withdrew from the area, but this comes hours after al-Shabab fighters temporarily overran a Somali government military base in Laanta Buur, a former prison about 40 kilometers west of Mogadishu. During the attack at least eight government soldiers and five militants were killed according to officials and residents. Eight wounded government soldiers have also been admitted at hospitals in Mogadishu. Al-Shabab was ousted from the capital, Mogadishu, in August 2011, but still has a presence in large areas of southern Somalia and often stages attacks across the country. In a landmark ruling, the U.N. arbitration court is dismissing China's territorial claims in the South China Sea, saying it has "no historic title" to the vast maritime region. Tuesday's ruling by the Hague-based Permanent Court of Arbitration answers a complaint brought by the Philippines in 2013 that accused Beijing of violating the U.N. Convention on the Law of the Sea (UNCLOS) with its aggressive actions on the Scarborough Shoal, a reef located about 225 kilometers off the Philippine coast. Chinese President Xi Jinping rejected the ruling and said "China's territorial sovereignty and maritime interests in the South China Sea" will not be affected. China's foreign ministry said on its website, "The award is null and void and has no binding force." The court said Beijing's claim of virtual sovereignty over nearly all the South China Sea under a so-called "nine-dash line" runs contrary to UNCLOS, which sets a country's maritime boundaries 22 kilometers from its coast, and control over economic activities up to 370 kilometers from its coast. The court ruled China had violated Manila's sovereign rights by interfering with Philippine fishing and oil exploration activities in the area. In Manila, Philippine Foreign Secretary Perfecto Yasay called the ruling "a milestone decision" in a press conference moments after the announcement. Yasay said the ruling makes "an important contribution" to resolving the ongoing maritime disputes, and urged all parties "to exercise restraint and sobriety." New Philippine President Rodrigo Duterte has called for bilateral negotiations to resolve the controversy. U.S. State Department spokesman John Kirby called the ruling "an important contribution to the shared goal of a peaceful resolution to disputes in the South China Sea." China had boycotted the proceedings at the court, saying the body has no jurisdiction over the dispute, and insists it will not accept, recognize or implement any ruling on the South China Sea, despite being a signatory to UNCLOS along with the Philippines. In a statement issued just hours before The Hague panel announced its decision, a spokesman for China's foreign ministry said it would not accept "any so-called material" from the court. Analysts said the court ruling is a significant decision in favor of the Philippines. Ernest Bower with the Washington-based Center for Strategic and International Studies said, "China now faces reality that if it continues to assert, through actions and words" its claims in the region, "it is breaking the law." Amarjit Singh, a senior consultant at the British think tank IHS, said the ruling "undermines China's claims in the South China Sea and potentially limits China's negotiating stance" with other countries that have also asserted claims there, including, Brunei, Malaysia, Taiwan and Vietnam. Chinese dissatisfaction State media Xinhua and online netizens expressed strong dissatisfaction the ruling. A Weibo user said in his posting that We should unite behind the countrys claim and make no concessions on the South China Sea dispute even if we have to go to war, while another user said China should show no fear for any future economic sanction shall China decide not to comply with the order. In its harsh-worded editorial, Xinhua even lambasted the international arbitration court to be the source of chaos. Meanwhile, the ruling is coming in the midst of the two-day EU-China bilateral talks, which began in Beijing on Tuesday. The EU advised China to stick to rules and abide by the ruling. German think tank, Mecator, said the EU would never grant China's request for a Market Economy Status if Beijing defies the court decision. An estimated $5 trillion in global trade passes each year through the South China Sea, which is home to rich fishing grounds and a potentially vast wealth of oil, gas and other natural resources. About 100 demonstrators marched outside the Chinese consulate in Manila, calling on Beijing to relinquish the Scarborough Shoal, shouting "Chexit Now" - a play on the term coined for Britain's controversial push to leave the European Union. WATCH: Protest in Manila against China China has launched a massive land seizure and rebuilding effort throughout the South China Sea in recent years, transforming numerous reefs into artificial islands that can support military installations, all the while ignoring competing claims over the region by Brunei, Malaysia, Vietnam and Taiwan, as well as the Philippines. The Hague court also ruled Tuesday that none of the Spratly Islands granted China an exclusive economic zone, and that its construction activities on Mischief Reef caused "irreparable harm" to the reef's ecosystem. Enforcement of ruling Despite Tuesday's ruling, the United Nations has no mechanism to enforce the decision, either through military action or economic sanctions. But it could prompt China's other Asia-Pacific rivals to also file suit, putting increased diplomatic pressure on Beijing to reduce its presence in the South China Sea. The United States has also challenged Beijing's increasing aggressiveness in the region, holding a number of naval exercises and deploying warships near the rebuilt reefs to assert the international freedom of navigation rules. WATCH: South China Sea Dispute - What You Need To Know What's behind the dispute? China claims nearly the entire 3.5 million square-kilometer South China Sea, based on its so-called "nine-dashed line," which it says is based on ancient maps. China's claims overlap with not only the Philippines, but also Brunei, Malaysia, Vietnam and Taiwan. Some of the disputes stretch back decades or even centuries. But tensions have worsened in recent years, as Beijing has moved to assert its control over the territory. Who brought the case against China? The Philippines filed the case against China at the Permanent Court of Arbitration in the Hague in January 2013. Manila argues Beijing's territorial claims and recent aggressive activities in the South China Sea violate the United Nations Convention on the Law of the Sea (UNCLOS), an international treaty both nations have ratified. How has China responded? China refuses to participate in the tribunal, arguing it has no obligation to do so under UNCLOS. Beijing has also repeatedly insisted it will not recognize what it predicts will be a biased ruling. In the meantime, China has continued to build artificial islands and military outposts in the contested waters, in an attempt to create "facts on the ground." What exactly did the court consider? The Philippines brought a total of 15 complaints against China. The most significant is complaint number two, which claims China's "nine-dash line" is contrary to UNCLOS. So far, the court has not determined it has jurisdiction to rule on that complaint. Instead, the tribunal announced late last year it would tackle seven other critical issues. These included complaints challenging specific Chinese activities around particular locations. It is also expected to officially categorize various land features as either rocks, islands, or low tide elevations - labels that would affect the rights of whoever owns the territory. Three people were shot dead Monday at a courthouse in the northern U.S. state of Michigan, when a prisoner set for a court hearing grabbed a holstered gun from a deputy sheriff and opened fire. Berrien County Sheriff Paul Bailey said two of the dead were court bailiffs, and the third was the shooter. The deputy also was wounded, but authorities say his condition was not life threatening. Several civilians also were taken to a local hospital in the city of St. Joseph for treatment of unidentified injuries. All were expected to recover. Bailey said the shooting occurred as the deputy and bailiffs were moving the unidentified prisoner from a third-floor holding cell to a nearby courtroom. He said the prisoner began fighting before he seized the deputy's gun and started shooting. Bailey said the prisoner was killed by other nearby officers who responded to the shots with gunfire of their own. An investigation is under way. The killing of high profile activist and political commentator Kem Ley has shocked Cambodians, angered the opposition, and raised the political stakes in a country with a tragic history of assassinations and an intolerance of dissenting voices. Hundreds have been jailed and many killed in a difficult peace since the final shots in Cambodia's long-running civil wars were fired almost 18 years ago, leaving behind a nasty culture of violence. Analysts said Kem Ley's death symbolizes that culture and the recent crackdown on dissent by Prime Minister Hun Sen. Ley's death was alarmingly similar to the notorious murder of trade unionist Chea Vichea in 2004 and reminiscent of the 2012 killing of Chut Wutty, an environmentalist shot dead while working with two journalists. Neither case has been resolved satisfactorily, raising fears the latest high profile murder of a civil society activist would again be blighted by official obfuscation and an unwillingness by the police to challenge authority. This point was made by John Kirby, spokesman for the U.S. State Department, who said Washington was deeply concerned by the death of the 45-year-old activist. We are following developments in this case closely, noting the Cambodian government's call for an investigation, and urge that authorities ensure this process be thorough and impartial, he said. Reaction Cambodia's robust civil society is usually loud and fast when speaking out about this country's injustices. But nearly all were silent today as the full weight of the killing and its ramifications were felt. In perhaps his last interview, conducted Friday by VOA Khmer service, Kem Ley was quite vocal in regards to a highly sensitive report from London-based corruption watchdog Global Witness that last week valued Hun Sen's family wealth at a minimum of $200 million. He told VOA Khmer the report provided clear information about how Cambodia really works and should be used to benefit the country through investigations by the anti-corruption unit, the National Audit Authority, and the National Assembly. How far are they willing to go? I doubt it if they do, but even if they dont, it still is a historical evidence under a persons leadership, he said, referring to Hun Sen and the report. It's a touchy issue in a country mired by poverty with the wealth divide becoming an increasingly hot election topic among voters. Global Witness added its voice to the growing chorus of international condemnation of Ley's death and called for an investigation that will ensure that the real perpetrators are brought to justice, not just the hit men. Long history of political assassinations A spokesperson for Global Witness said Cambodia had a long history of political, human rights and labor activists being killed. Keith Loveard, a regional risk analyst with Jakarta-based Concord Consulting, said violence in Cambodia was an issue of concern for the Association of Southeast Asian Nations (ASEAN) adding the current political climate was similar to the political assassinations of the Philippines and Indonesia during the 1980s and 1990s. But he added that political assassinations could prove to be more damaging for a government intent on ruling through violence and intimidation. I think there is already some concerns about the directions of Cambodia and this will only accentuate those directions, he said in regards to the killing. The Overseas Press Club of Cambodia (OPCC) said Kem Ley was a trusted and often quoted source for journalists. Well I think it's a worrying time for Cambodia, OPCC board member Rupert Winchester said. Kem Ley was a respected political commentator and Cambodia has lost an important political voice. At the OPCC we're extremely concerned this killing will have a quietening effect on freedom of speech nation-wide, which is crucial ahead of next year's commune elections, he said. A government spokesman declined to comment. Death not unprecedented At the latest murder scene, a Caltex gas station on a busy corner of the capital, hundreds of protesters and at least one policeman simply said they did not believe the official explanation for Ley's death. One Thai national was arrested immediately after the killing and police said the motive was a debt for $3,000. In a recorded confession, the Thai gave his name as Chuab Samleep, which means meet death in Khmer. Police say they are looking for a second assailant. Kem Ley was shot twice in broad daylight, from behind with a high precision hand gun, which is not normally associated with street thugs and debt collectors. Chea Vichea was also shot twice in broad daylight. Two suspects were tried, a process widely slammed by human rights groups who say both men were used as scapegoats. Their case ran nine years before being dropped because of a lack of evidence. Investigations into the deaths of two other two unionists were inconclusive, and activists say a probe into the killing of Chut Wutty was closed without justice rendered. Future in politics Kem Ley was as much an outspoken critic of Hun Sen and his ruling Cambodian People's Party (CPP) as he was of the opposition Cambodian National Rescue Party (CNRP).He founded the Grass Roots Democracy Party almost a year ago. Commune elections scheduled for mid-2017 and general elections due a year later are shaping-up as a bloody affair after the CPP returned to power in the last election with a sharply reduced majority. Much is going on and people don't want to talk about it, said one local academic who declined to be named said. Kill one person and everyone shuts up, but at the end of the day it's about people who owe their livelihoods to the CPP and they will do anything in their power to keep that power. Two CNRP politicians were bashed outside the National Assembly in October and a further 19 politicians and supporters have since been detained. Additionally, CNRP leader Sam Rainsy has fled into self-imposed exile, while authorities are threatening his deputy Kem Sokha with arrest over a sex scandal. Ukraine and Canada on Monday signed a free trade agreement during Canadian Prime Minister Justin Trudeau's first visit to Ukraine. Canada is home to a sizeable Ukrainian minority and has been a key backer for Ukraine during the two-year separatist war in the east. President Petro Poroshenko told a news conference at the end of the talks in Kiev on Monday that the free trade deal will do away with "99 percent of barriers within the next seven years in trade'' between the two countries. Trudeau received a rapturous welcome in Kiev with Ukraine rolling out a red carpet for Trudeau at the welcome ceremony outside Poroshenko's office in central Kiev. "I've been looking forward to this visit since being elected prime minister. Coming to Ukraine was a real priority to me,'' Trudeau said, lauding the trade deal as a milestone agreement that will "improve market access and create more predictable conditions for trade.'' For Canada, the deal was signed by International Trade Minister Chrystia Freeland, who has Ukrainian ancestry. Trudeau reiterated Canada's support for the Kiev government's fight against Russia-backed separatists in eastern Ukraine but stopped short of pledging weapons supplies that Poroshenko is anxious to get from the West. Asked about the possibility of Canadian lethal aid to Ukraine, Trudeau said "right now the focus is on the training mission'' that Canada is involved in helping the Ukrainian army. But he added that his government will continue to monitor the situation to assess what would be the best way to support Ukraine's fight against the separatists. More than 140 human rights lawyers in China have endorsed a joint statement calling on Chinese authorities to immediately release lawyer Ren Quanniu, who was arrested late last week on suspicion of picking quarrels. Ren, accused of having circulated rumors online about the alleged rape of his client Zhao Wei while in jail, is currently under criminal detention by police in Zhengzhou, Henan province, pending further investigation, the citys Public Security Bureau said on a Weibo posting. But Ren on Monday flatly rejected the accusation, insisting that he was only exercising his legitimate right to practice law, according to lawyer Chang Boyang, who initiated the petition. He [Ren] said those remarks on his Weibo account were indeed posted by him. But he has fabricated nothing, Chang quoted Ren as saying after their private meeting on Monday morning. He [Ren] learned about those tips online and from others. Many people called and consulted him since he is Zhao Weis defense lawyer. He then asked to meet with Zhao in person to verify the offense a request repeatedly rejected by authorities in Zhengzhou, Chang told VOA. After that, he turned to public prosecutors for help in hope that an investigation can be launched. He did nothing but posting about what he has done [as a lawyer] on his Weibo account. How is this circulating rumors? Chang asked. Legitimate right to practice law Chang said he and other lawyers will soon put together facts in an official petition, hoping to pressure Zhengzhou police into setting Ren free soon. Authorities in Zhengzhou have not only abused the law, but also seriously violated lawyers rights to practice law, Chang argued. Zhaos 34-year-old husband You Minglei, who is a legal assistant, also praised Rens efforts in getting to the bottom of his wifes case. As a lawyer, its his job to poke around on Weibo to crosscheck if Zhao has been offended as alleged, You told VOA, adding local authorities have not only turned a deaf ear, but also ended up implicating Lawyer Ren as the one circulating the rumors. I find their move unjustified and shameful. Zhao, a 24-year-old legal assistant, was arrested during last Julys mass lawyer crackdown when more than 300 rights lawyers and activists were interrogated. She was freed late last week after she had allegedly confessed her crimes. Following Zhaos release on Thursday, You said he has yet been able to get hold of his wife. And he questioned the authenticity of several of Zhaos postings on Weibo. Political manipulation [I suspect] those Weibo postings were an act of careful manipulation by the government. Zhao Wei was fully aware of the condition before she started working for lawyer Li Heping. She has never been lied or tricked into doing anything, You said. Prior to her arrest, Zhao had worked for Li, one of 16 detainees on charge of subversion of state power, sharing the vision of her boss, who had defended members of the banned Falun Gong group and dissident writers. But after her release last week, Zhao has nothing but harsh words to say against rights lawyers. One of her Weibo postings read I have been used as a chess piece by those with an agenda while, in another posting, she pointed fingers at Ren after learning that he was behind the rumors about her alleged rape. The sudden change of her attitudes have many, including her husband, wondering if her confession was coerced. You said he suspects Zhaos release was a ploy to suppress more lawyers by sending a warning to those who try to help defend the rights of lawyers and activists who have been arrested. The public security bureau, however, refused to respond when contacted by VOA. If youre interested in learning about the matters latest development, please refer to our official Weibo and Wechat accounts. We refuse to accept any interview over the phone, said a bureau official who refused to be identified. UPDATE 10:00 p.m. July 11, 2016 DECATUR A 40-year-old Decatur man is listed in serious condition after he was shot in the chest by a Decatur police officer early Monday. Police allege Lonnie D. Mitchell II, an African-American, was armed with a BB gun and had a knife strapped to his wrist during a 1 a.m. encounter with two white patrol officers in the 800 block of East Main Street after responding to witness reports of a man with a gun. Speaking at a news conference at Decatur Police Headquarters, interim Police Chief Jim Getz passed around pictures of the gun. As you can see, the BB gun has the appearance of a real firearm, Getz said. The picture showed a weapon shaped to look like a semiautomatic handgun. Getz named officers Andrew Wittmer and Jason Danner as those involved; Wittmer, an officer for five years, was identified as firing the single shot that struck Mitchell in the chest. I would note that there was one round fired by officer Wittmer during the encounter, which subsequently ended the threat, was how chief Getz described the shooting. He refused to take questions, citing the pending investigation into the circumstances. Getz added that in-car video from police vehicles had captured part of the police encounter with Mitchell and that was being reviewed by Illinois State Police investigators now probing the incident. The Decatur officers involved were not equipped with body cameras. Getz said the investigators had interviewed witnesses and were working in cooperation with the Macon County State's Attorney's Office. Describing the aftermath of the shooting, Getz said police at the scene provided Mitchell with medical attention and kept it going until paramedics from Decatur Ambulance Service took over. Mitchell was later rushed to HSHS St. Mary's Hospital. The Decatur Police Department is committed to providing a fair, accurate and independent investigation into the circumstances surrounding this officer-involved shooting, the police chief said, asking that everyone remain peaceful and reserve judgment. The shooting early Monday came at a particularly sensitive time as the nation grappled with the death of two black men shot by police in Minnesota and Louisiana, followed by the retaliatory murders of five Dallas policemen by a sniper. Getz, other law enforcement officers, community leaders and pastors had attended a Decatur prayer vigil Sunday night that sought healing and unity in the wake of the violence rocking American society. We had such a wonderful prayer vigil last night and we'd walked out so hopeful and united, lamented Decatur Mayor Julie Moore Wolfe, contrasting the mood of that gathering with the tragedy of the shooting. There isn't a mayor or police chief or anybody in any city who wants to wake up to this kind of news, she added. Her message to her city was to remain calm and await the outcome of the State Police investigation. Let's let the process work, let's get the facts before we jump to conclusions or take sides, the mayor added. Jeanelle Norman, president of the Decatur NAACP branch, praised the police for working hard to establish relationships with the black community and building protocols designed to be used in an emergency. Part of that protocol is a group called Area Leaders Educators Response Team, which involves a team of civilians called in and briefed by police after a violent event and kept apprised of what is going on. Norman, who is part of the team and was alerted immediately by Getz following the shooting, said the idea is for ALERT members to provide a calming stream of factual information to keep the community informed and to counteract exaggerated rumors that stir up trouble. That is the key, to stop the rumor mill, she explained. We want people to have the facts, not made-up information. She cited exaggerated and incorrect rumors that were quickly making the rounds in the wake of the Decatur shooting and added ALERT members moved swiftly to counteract them and provide people with the truth. She also counseled the community to remain calm and wait for the investigation to run its course. She said people were right to be concerned, but staying calm and avoiding angry reactions that could create the conditions for more violence is essential. If things go bad in this community, as we've seen in other communities, it will take too many years for us to heal, Norman warned. UPDATE 1:55 p.m. July 11, 2016 DECATUR The man shot just after 1 a.m in an encounter with Decatur police was named Tuesday as Lonnie D. Mitchell II, 40. Speaking at a news conference at Decatur police headquarters, interim Police Chief Jim Getz said Mitchell had been armed with a BB gun and a knife. Passing around pictures of the gun, Getz said: As you can see, the BB gun has the appearance of a real firearm. The picture showed a weapon shaped to look like a semi-automatic handgun. Getz said officers Andrew Wittmer and Jason Danner responded to the call involving Mitchell and that Wittmer fired the single shot that hit Mitchell. I would note that there was one round fired by officer Wittmer during the encounter which subsequently ended the threat, was how chief Getz described the shooting. Getz added that in-car video from police vehicles had captured part of the police encounter with Mitchell and that was being reviewed by Illinois State Police investigators called in to probe the shooting. He said those investigators have interviewed witnesses and were working in cooperation with the Macon County State's Attorney's Office. This story will be updated. UPDATE 10:00 a.m. July 11, 2016 DECATUR A 40-year-old Decatur man is listed in serious condition after he was shot in the chest by a Decatur police officer early Monday. The man, who is black, was armed with a handgun and had a large knife strapped to his wrist, Interim Chief of Police James Getz said in a news release. The incident took place shortly after 1 a.m. in the area of Main and Hilton streets, where police had gone after receiving reports of a man with a gun in the the 800 block of East Main Street. Two white male Decatur officers were on scene. One of them, a five-year veteran of the department, fired his weapon. The other officer on scene is a four-year veteran of the department. Emergency medical services personnel were dispatched 36 seconds after the officer indicated shots had been fired and arrived shortly after, Getz said. Decatur Ambulance Service was on the scene for roughly five minutes providing immediate care before transporting the man to St. Mary's Hospital. The investigation has been turned over to the Illinois State Police, which Getz said is standard protocol for the Decatur Police Department in officer-involved deadly force incidents. The officers involved were not equipped with body cameras, but their squad cars are equipped with in-car video. The state police will receive the video to determine if any part of the incident was captured by video or audio. The Decatur Police Department is committed to providing a fair, accurate and independent investigation into the circumstances surrounding this officer-involved shooting, he said, asking that everyone remain peaceful and reserve judgment. Getz held a news conference to discuss the incident at 6 a.m. Monday. He was flanked by community leaders, including NAACP Decatur Branch President Jeanelle Norman, who asked for calm, togetherness and understanding until all the facts are known. We believe that a right decision on the protocol has been made by calling in an independent group to investigate. We ask the community to let the process work, she said. Norman is a member of the ALERT group, an effort formed by community leaders and Decatur police last summer to prepare for exactly this type of situation. ALERT stands for Area Leaders Educators Response Team. The idea is that members would be contacted immediately with some information about an incident, so that they could spread accurate reports to their schools, churches and neighborhoods. We know that trust may be an issue. However, we, the ALERT team, are here to ensure that the process is properly followed, she said. Let's show our community and the nation that Decatur will remain calm during these turbulent times in our nation and around the world. ORIGINAL STORY 8:40 a.m. July 11, 2016 DECATUR, Ill. (AP) A Decatur man is being hospitalized for injuries after he was shot by a police officer. The Associated Press reports the man was shot in the chest early Monday and taken to the hospital. He's listed in serious condition. Decatur police say the officer believed the man had a gun. The investigation has been turned over to the Illinois State Police. A police news conference is scheduled for Monday morning. This story will be updated. It is a pivotal week for Hillary Clinton. Clinton is counting on an endorsement from rival Bernie Sanders on Tuesday in New Hampshire. Its a key step in what the presumptive Democratic presidential nominee hopes will be a refocus of her White House campaign to move beyond the controversy over her use of a private email account while secretary of state. Clinton no longer has to fear a criminal indictment in connection with the email probe, but analysts caution the political fallout presents a continuing challenge that could last all the way to Election Day in November. Politics after Dallas In recent days, the presidential campaign has been pushed into the background as the country comes to grips with police shootings of black men in Louisiana and Minnesota and the assault in Dallas that left five police officers dead. Clinton focused on national healing during a speech in Philadelphia. No one has all the answers. We need to find them together. Indeed that is the only way that we can find them. The presumptive Republican nominee, Donald Trump, issued a video statement after the attack on the police officers in Dallas. A brutal attack on our police force is an attack on our country and an attack on our families. Trying to put email flap behind With the focus slowly returning to the campaign, Clinton hopes to move on after a difficult week focused on her use of a private email account. The Clinton campaign was relieved with the announcement from FBI Director James Comey that no criminal charges were warranted against Clinton. But Comey also issued a stinging rebuke of Clintons handling of her email that could resonate for the rest of the campaign. There is evidence that they were extremely careless in their handling of very sensitive, highly classified information, Comey said when he announced his decision not to recommend criminal charges against Clinton. Trump wasted little time in seizing on the issue during a rally in Cincinnati, Ohio. Hillary, crooked Hillary, so crooked, Trump said to cheers from the crowd. She made so many false statements. These are all lies. We say lie-lie, lie, lie. Lie! Dirty, rotten liar! Right? Welcome help from Obama Clintons new campaign push will include a potentially valuable ally, President Barack Obama. They appeared together for the first time at a campaign event last week in North Carolina. I couldnt be prouder of the things weve done together, Obama said to the crowd in Charlotte. But I am ready to pass the baton and I know that Hillary Clinton is going to take it. Republicans are hoping to exploit Clintons email controversy as symptomatic of a bigger issue, the public perception that Clinton is untrustworthy. That is not a new phenomenon, according to Gallup pollster Frank Newport. By far her dominant negative is that she is perceived as being dishonest. And that is not unusual. She had that perception back in 2008 when we asked Americans about Hillary Clinton. Republicans to stoke email issue The email flap will remain a central piece of the Republican critique of Clinton, said Republican analyst Scot Faulkner. For somebody who has been in the public eye since she was the first lady of Arkansas, to have to keep reinventing herself and reintroducing herself shows that there are some fundamental flaws, independent of her failed diplomatic agenda. And while polls show that most voters see Clinton as untrustworthy, Trumps ratings are even worse, setting the stage for a difficult choice for voters come November. Its kind of a choice between stability in Clinton and radical change in Trump, said University of Virginia analyst Kyle Kondik. And voters may be a little bit more conservative, not in terms of being politically conservative, but just in terms of not wanting to take a big risk and I think that is Clintons best pitch, to say that Trump is too risky. Republicans now want a new investigation into whether Clinton lied to Congress about her email, likely ensuring the controversy will remain an election issue through November. For her part, Clintons major focus will be pointing out Trumps flaws and mobilizing the Obama coalition of young voters, minority communities and women to come out and support her in November. They will try to get Hispanics registered to turnout, they will try to get African-American turnout to be what it was when Obama was running. They will try to get young people to turn out and vote. But its a challenge, said Gallups Frank Newport. That challenge will take center-stage when Democrats gather in Philadelphia the last week in July for their national nominating convention. Investigators in Dallas, Texas, are continuing to probe the background of the Dallas gunman who killed five policemen last week. "Until we search every lead," Chief David Brown said Monday, "I'm not going to be satisfied" in ruling out that others may have been involved, to "make sure we don't leave anything uninvestigated." He said police are examining 170 hours of video from cameras worn by officers, as well as footage from dashboard cameras inside police cars and video from retail store security cameras along the streets where the attacks on police occurred. In addition to the five officers killed in the incident, nine other police officers and two civilians were wounded. Brown said 11 police officers fired weapons at Micah Johnson, and two others launched the $151,000 robot used to kill the suspect. The police chief said it was "not an ethical dilemma for me" to use the robot to kill Johnson, apparently the first time a U.S. police force has used such a tactic to end a criminal rampage. "I'd do it again to save officers' lives," Brown said. "We're convinced that this suspect had other plans, and thought that what he was doing was righteous and believed that he was going to target law enforcement, make us pay for what he saw as law enforcement's efforts to punish people of color," Brown said. Bomb-making materials and a rambling journal were found at Johnson's home during a search. "The materials were such that it was large enough to have devastating effects throughout our city and our North Texas area," Brown said. U.S. law enforcement officials said Johnson did not have a criminal record. In an emailed statement, the U.S. Army said Johnson was a carpentry and masonry specialist during his time in the service from March 2009 to April 2015. He was deployed to Afghanistan from November 2013 to July 2014. Johnson left Afghanistan when a fellow soldier brought sexual harassment charges against him, saying he needed mental health counseling. A surgeon's plea During a news conference Monday, the black doctor who treated dying Dallas police officers nearly broke down in tears. "This killing, it has to stop," Brian Williams, a trauma surgeon at Parkland Memorial Hospital, pleaded. "Black men dying and being forgotten. People retaliating against the people that are sworn to defend us. We have to come together and end all of this." Williams said as a black man in America he faces a dichotomy of standing with law enforcement one of his colleagues is a doctor who is also a police officer but also feeling angst and fear any time he passes a police officer. "I understand the anger and the frustration and distrust of law enforcement, but they are not the problem," he said. "It's not just about that one night. It's about the racial undertones that affect all of this,'' Williams said. Obama visit U.S. President Barack Obama, who is visiting Dallas on Tuesday for a memorial service for the five policemen who were killed, has called on Americans to mutually respect fellow citizens, after the recent days of violence. "Maintaining a truthful and serious and respectful tone is going to help mobilize American society to bring about real change and that is our ultimate objective," Obama said Sunday. "I would like all sides to listen to each other," Obama said in reference to protesters, such as those involved with the Black Lives Matter movement and police organizations throughout the United States. Obama said violence against police by anyone concerned about fairness in the criminal justice system does "a disservice to the cause." Demonstrations have been held in many cities across the country in recent days, with some confrontations between protesters and police, who have made scores of arrests. Johnson changed after military The parents of the 25-year-old black Army veteran say he was a changed man after being discharged from the military last year. Delphine Johnson, the mother of the shooter, Micah Johnson, told TheBlaze media site that her son changed from a fun-loving extrovert into a "hermit" after serving six years as a U.S. Army reservist, including a seven-month deployment to Afghanistan, where the United States has been engaged militarily for 15 years. She and Johnson's father, James Johnson, said they could not pinpoint any particular incident during his time in the Army as transforming their son. Delphine Johnson said her son "loved his country" and "wanted to protect his country." Yet she said, "The military was not what Micah thought it would be. He was very disappointed, very disappointed. But it may be that the ideal that he thought of our government, what he thought the military represented, it just didn't live up to his expectations." Johnson's father said that his son, upon leaving the military, immersed himself in studying black history and learning about his heritage. The younger Johnsons Facebook page, since deleted, featured pictures of a "black power" fist and a red, black and green Pan-African flag, both black empowerment symbols. The Facebook page also showed he supported the New Black Panther Party, a group that has called for violence against whites. The family said he never exhibited any hatred of whites, but he told police negotiators during last Thursday's rampage that he wanted to kill as many white policemen as he could in revenge for the police shooting of two African-American men last week, one in Louisiana and one in Minnesota. The Dallas standoff ended when police detonated a bomb attached to a robot they sent near where he was carrying out his assault. Johnson's father said he was at a loss to explain his son's actions. "I don't know what to say to anybody to make anything better. I didn't see it coming," Johnson said. "I love my son with all my heart. I hate what he did." Indian Prime Minister Narendra Modi Monday held talks with Kenyan President Uhuru Kenyatta in Nairobi and agreed to further expand investment ties between the two countries and diversify trade. Modi addressed tens of thousands of people of Indian origin in Kenya's largest stadium Sunday evening, after arriving from Tanzania. He was given a 19-gun salute Monday and guard of honor by the Kenyan military at State House, the president's official residence, before meeting with Kenyatta. India is Kenya's largest trading partner and second largest investor but more can be done, Modi said after the talks. "India is one of the brightest spots in the world economy. And Kenya is a land of strong opportunities,'' Modi said. "We strongly believe that the partnership that we intend to deepen between Kenya and India will go a long way toward boosting and increasing the prosperity of the people of our two nations, especially agricultural communities and small and medium enterprises that are the bedrock of Kenya's industry and are also the bedrock of India's society,'' Kenyatta said. Among the agreements signed during the visit was a revised double taxation avoidance pact, said Kenyatta's spokesman Manoah Esipisu. Modi's trip is meant to raise India's profile in energy, trade and investment in Africa, where China's presence has been strong. He has already visited Mozambique, South Africa and Tanzania. Iran announced Monday that three dual nationals and a foreigner held in the country have been indicted on unknown charges, part of a series of detentions in the wake of last year's nuclear deal with world powers. The four, who have ties to Britain, Canada and the U.S., all are believed to have been detained by hard-liners in Iran's paramilitary Revolutionary Guard. The reasons for their arrests remain unclear, though the husband of one of them says his family was told by the Guard she'd be released if the British government agreed to their demands. Iran's semi-official ISNA and Tasnim news agencies quoted Tehran's prosecutor, Abbas Jafari Dowlatabadi, naming the four charged as: Homa Hoodfar , an Iranian-Canadian woman who is a retired professor at Montreal's Concordia University; Siamak Namazi , an Iranian-American businessman who has advocated for closer ties between the two countries and whose father is also held in Tehran; Nazanin Zaghari-Ratcliffe , an Iranian-British woman who works for the Thomson Reuters Foundation, the charitable arm of the news agency; and Nizar Zakka, a U.S. permanent resident from Lebanon who has done work for the American government . The four were arrested in connection with separate cases over the past year. Family members and representatives of the four say they did nothing wrong. Dowlatabadi's statement did not elaborate on the charges. He said another seven people faced charges related to the unrest that surrounded the country's disputed 2009 presidential election. Iran does not recognize dual nationalities. In previous cases involving dual nationals, like the detention of Washington Post journalist Jason Rezaian, officials initially announced indictments had been handed down without providing specifics. Later, news organizations with close ties to security services offered details of the charges. Those detained typically face trial in Iran's Revolutionary Court, a closed-door tribunal which handles cases involving alleged attempts to overthrow the government. Rezaian was convicted but later released in January as part of a prisoner swap between Iran and the U.S. While Iranian officials have not publicly demanded another swap, analysts have suggested the detainees might be used by hard-liners as bargaining chips. Richard Ratcliffe, the husband of Zaghari-Ratcliffe, said as much in a statement to journalists Monday marking the 100th day of his wife's detention. Ratcliffe said the Guard told his wife's family she would be released as long as the British government reached "an agreement'' with them. He said they did not elaborate, other than to say it did not involve the recent nuclear deal, which lifted economic sanctions on Iran in exchange for it limiting its atomic program. His infant daughter, Gabriella, also remains in Iran, as authorities seized her passport. "That the Iranian authorities are willing to hold a mother and baby hostage for domestic politics and as a bargaining chip in their international negotiations shows that they have reached a very dark place,'' Ratcliffe said. Iranian officials in Tehran could not be reached for comment. Iran's mission to the United Nations did not immediately respond to a request for comment. Monday's announcement did not include any mention of Namazi's father, Baquer Namazi, a former Iranian and U.N. official in his 80s who is also detained. Also unaccounted for is former FBI agent Robert Levinson, who vanished in Iran in 2007 while on an unauthorized CIA mission. The hard-line crackdown since the nuclear accord has included the detention and sentencing of Iranian poets, filmmakers, artists and others. Most recently, famed Iranian sculptor Parviz Tanavoli found himself banned from traveling abroad and had his passport seized. On Monday, Tanavoli told The Associated Press that police had filed a complaint alleging that his work was "disturbing public opinion'' and "spreading lies.'' The sculptor called the complaint "baseless'' and said his lawyer would fight against his travel ban, which prevented him from giving lectures in London. Two Mideast countries are warning citizens traveling in the United States to be careful around protests over police shootings. A "special alert'' issued by the United Arab Emirates Embassy to Washington on Saturday urged citizens to stay away from demonstrations in U.S. cities. State news agency WAM said the warning followed a protest by supporters of the "Black Lives Matter'' movement in Washington on Friday. The island kingdom of Bahrain issued its own advisory on Twitter, telling nationals to be "cautious of protests or crowded areas.'' The U.S. State Department routinely issues travel advisories for Americans abroad. On Friday, the Bahamas put out its own advisory about U.S. travel, saying young men especially should ``exercise extreme caution in affected cities in their interactions with the police.'' A South Korean Christian missionary organization is helping some of the thousands of children of North Korean defectors living in China escape their stateless status and lives of poverty and abuse. Pastor Chun Ki-won with the Durihana Church in Seoul has helped arranged for a number of these defector children and their mothers to make their way to South Korea, where they are granted asylum and citizenship. However, Chun said, the South Korean government does limit some defector benefits such as free university tuition to North Korean children born in China. General defectors can get reimbursed for the tuition but our students must pay by themselves, so we have to help them, he said. Human trafficking While increased border security has reduced the overall number of North Korea defectors in recent years, those that are able to cross into China are now overwhelmingly women. Nearly 80 percent of all North Korean defectors seeking asylum in South Korea are women according to Seouls Unification Ministry. To meet a high demand in rural China, for wives, for domestic help and for sex workers, Chun said, human traffickers bribe border guards to allow desperate North Korean women into China, and often into abusive situations where they have no rights and no legal status. There are many people who want to buy the women, and there are many North Koreans who want to defect, he said. Many North Korean defectors have given birth to children in China. In 2012 The Korea Institute for National Unification estimated that there were about 30,000 children of escaped North Korean women in China. Living in exile Human rights organizations say China has an obligation to protect refugees under international law but Beijing has labeled North Korean defectors as illegal migrants. Activists say North Korean children in China are not considered citizens and often have no access to school or health care. And their mothers live in constant fear they will be deported back to North Korea and sent to prison. When I was living in China, it was very dangerous, but here in Korea I am living with freedom, said Han Ye-seul, a 15-year-old North Korea defector. She and many of the children rescued by Pastor Chun attend the Durihana International School in Seoul, where they learn educational and social skills to better assimilate in the prosperous and democratic South. This summer, the defector children are studying English with a group of mostly Korean-American students from the Little Flock Church in New York City. It is difficult to tell the two groups apart as they laugh and play in the hallways but they are separated by the vastly different worlds from which they came. Yu Eun-kyung, a 20-year-old North Korean defector, remembers the dire poverty and hunger in her homeland that prompted her family to risk imprisonment or worse in search of a better life. There was not much grass on the street. People ate grass more than food, said Yu. In the 1990s North Korea experienced a severe famine that killed around three million people. While conditions in the communist country have improved due in part to market reforms that give some incentives to farmers, widespread poverty and food shortages still exist. Scars that remain Some North Korean students in Seoul are still recovering from past abuse suffered during their years in China, but 11-year-old Kim Choon-woo also carries physical scars from when she was stabbed by her Chinese father. My father did it because he was mentally ill, Kim said. Pastor Chun said Kims father committed suicide because he thought he killed his daughter. Most of the North Korean women and children his church is helping, the pastor said, have experienced some type of abuse or exploitation. Kim adds that her mother has since remarried in South Korea and that she is happy here. South Korea uses the term defector rather than refugee for North Korean asylum seekers to connote that they are escaping the repressive, communist political system of the Kim Jong Un government, even if they are motivated by economic and basic human needs. Thousands of angry young people defied a curfew and took to the streets for a third day in Indian Kashmir to protest the killing of a local separatist leader. The death toll in the unrest has climbed to more than 25. Hospitals have been overwhelmed by the hundreds injured in clashes with security forces who opened fire and lobbed tear gas shells to control the crowds. The wounded include many policemen on whom protesters have hurled rocks and stones. Although the crowds were much smaller compared to the weekend, and most parts of the summer capital Srinagar wore a deserted look Monday, tensions are still running high. It is the most serious civilian unrest in the Himalayan region since 2010, when widespread street protests killed scores of people. The tensions have been triggered by the killing of 22-year-old Burhan Wani in a shootout with security forces. The young leader of the regions largest militant group, Hizbul Mujahideen, has become an icon for many local youth, and there are fears he could become a rallying cry and attract more youngsters in the restive region toward militancy. Fragile peace The latest violence again revealed the relative peace witnessed in the Kashmir Valley for more than a decade remains fragile. Former Major General Dipankar Banerjee who heads the Institute of Peace and Conflict Studies in New Delhi, said there is strong disaffection with the state of affairs in Kashmir. It is with the political system, the ruling government, lack of employment, and some sort of a background element of 'azadi', freedom or whatever, that continues to be a strong sentiment in the Valley," he said. "And let us not underestimate the potential of that sentiment leading to such situations. As security forces struggled to restore calm, the government urged separatist leaders and mainstream political parties to help return peace in the valley. But at least four prominent separatist leaders rejected the call, saying the government had to "abandon the policy of stopping people's marches by bullets." Political solutions needed Political analysts blame the local and the federal governments for not exploring political solutions to address the anti-India sentiment in the Valley. Manoj Joshi at New Delhis Observer Research Foundation says the space that was created by bringing militancy under check for over a decade has been wasted. The armed forces and the police can bring back normalcy up to a point, but they can't restore the political normalcy. That has to be done by the politicians and they dont seem to be doing it. Meanwhile, the unrest in Indian Kashmir triggered an exchange of words between arch-rivals India and Pakistan, who both lay claim to the Himalayan region and whose peace efforts to solve their dispute have not made much headway. After Pakistani Prime Minister Nawaz Sharif spoke of excessive force used on civilians, in Kashmir and called for India to respect its human rights obligations, Indian Junior Home Minister Kiran Rijiju said Islamabad should worry about such violations in Pakistani Kashmir. Pakistan on Monday summoned the Indian envoy in Islamabad to the foreign ministry to convey its "serious concerns" over what it alleged were "extra-judicial killings" of leaders and civilians by India's security forces in Kashmir. Foreign Secretary Aizaz Ahmad Chaudhry "deplored" the firing by Indian forces on "peaceful protesters" and "highlighted that such brutal use of force is not acceptable under any circumstance," an official statement quoted him as telling the Indian diplomat. He reiterated Pakistan's call for New Delhi to resolve the Kashmir dispute by organizing a United Nations-sponsored plebiscite in Kashmir in the divide region to let its people decide if they want to be with India or align with Pakistan, said the statement. Indian ministry of external affairs rebuffed Pakistan's demand for a plebiscite in the Himalayan region. "We have seen statements from Pakistan on the situation in the Indian state of Jammu and Kashmir. They reflect Pakistan's continued attachment to terrorism and its usage as an instrument of State policy," it said. India accuses Pakistan, which controls one-third of Kashmir, of being behind the Muslim separatist insurgency in the two-thirds of the Himalayan region under New Delhi's control. Islamabad denies the charges, saying it only provides "political, moral and diplomatic support" to Kashmiris' struggle for "self-determination." The territorial dispute has sparked two wars between the two countries and remains the primary source of tensions in bilateral ties. A wide-ranging bilateral dialogue aimed at normalizing ties and seeking solutions to disputes, including Kashmir, remains suspended and relations between India and Pakistan have lately deteriorated over mutual allegations of sponsoring terrorist activities on each other's soil. About two weeks ago, 37-year-old Ahmed pitched a tent by the river Elbe - a brief respite from the chaos at the refugee camp. After breaking his Ramadan fast and relaxing by the thick, meandering river, he decided to pray around midnight. As he prayed out loud, kneeling and rising, four people wandered onto his campsite. He could smell alcohol when they neared him and hit "record" on his cellphone. I dont like Muslim, Ahmed said he heard the slurred voice of a woman say. Ahmed, who was a college administrator before fleeing Libya, plays me the recording at a mall in Dresden. Islam is bad, the voice says. The voice continues to repeat vague anti-Islamic statements and Ahmed says the person, along with another woman and two men, begin kicking and punching him. He then shows us cellphone pictures of his injuries, some still visible on his leg. There have been more than 800 assaults on refugees in Germany, but refugees say most of attacks go unreported. Almost every refugee I talk to deep in eastern Germany knows someone who has been punched, beaten or assaulted with a bottle. The June attack was the third time Ahmad has been beaten in Germany, where he has lived for the past seven months. The first time, he was hit in the head with a beer bottle and spent two days in the hospital. He reported that attack to the police, who took his statement but never caught a suspect. He brings up a picture of a blonde police officer in the refugee camp he lives in about 17 kilometers away. Did you also report the attack at the camp site? asks my colleague, and Ahmed briefly shakes his head. Why not? They didnt do anything, he says, Why would I? Going back Is there a chance you will go back to Libya? I ask. I want to go, he says. But there is no government in my area. I will surely be killed. In his home city four men are hunting him, he says, flipping through his phone again. He pulls up professional looking pictures of himself, blindfolded with a rifle pointed at his head, a smashed car with bullet holes and a trashed office with glass on the floor. The first picture had been sent to his family, who gathered more than $16,000 to pay a ransom. The men, says Ahmed, are brothers who murdered their neighbor in 2006 when strongman Moammar Gaddhafi ruled the country. Ahmed was a witness to the crime and reported them. One of the brothers had police connections and got out in a month. The other three stayed in jail for two years and have hunted him since 2011, when Gaddhafi fell and Libya slid into chaos. I cant have a wife or children, he says. If I was married they would kill my family. An airplane powered entirely by the sun has almost finished its more than year-long, globe-trotting journey. The single-seat Solar Impulse 2 took off from Seville for Cairo Monday with pilot Andre Borschberg in the pilot's seat. The odyssey this time will take the solar-powered plane over a number of airspaces, including Tunisia, Algeria, Malta, Italy, Greece and finally Egypt. This is the solar-powered plane's next to last flight before arriving in Abu Dhabi in the United Arab Emirates where the trip around the world began in March of 2015. Last month, Swiss aviator Bertrand Piccard was in the cockpit to take the Solar Impulse 2 on one of the most hazardous parts of its worldwide sojourn - a 71-hour flight over the Atlantic Ocean from New York. Piccard and Borschberg have taken turns solo piloting the aircraft. Borschberg piloted the plane across the Pacific Ocean, from Nagoya, Japan to Hawaii; a 118-hour endeavor. The two pilots have learned meditation and hypnosis as part of their training to help them stay alert for long periods of time. Piccard and Borschberg say they aim to demonstrate that alternative energy sources and new technologies can achieve what some consider impossible, like flight without fuel. The carbon fiber Solar Impulse 2 has a 72-meter wingspan, which is longer than the wingspan of a Boeing 747 and weighs about as much as an automobile. The 17,000 solar cells built into the wings harness the sun's energy and charge onboard batteries. Police response to last week's ambush killing and wounding of fellow officers and civilians at a protest rally in Dallas, Texas, was complicated by an inability to differentiate protest participants from the active shooter. Dallas police estimated 20 to 30 rally participants carried rifles and handguns in compliance with the state's open carry gun law. "We're trying as best we can as a law enforcement community to make it work so that citizens can express their Second Amendment rights," Dallas Police Chief David Brown told reporters Monday. "But it is increasingly challenging when people have AR-15s slung over and shootings occur in a crowd and we don't know if they are the shooter or not. We don't know who the good guy is versus who the bad guy is if everybody starts shooting," Brown added. At a memorial site in front of the Dallas Police Department, people continue to gather to mourn the loss of the officers. As Stephanie Wesson of Carrollton, Texas, paid her respects to the fallen policemen, she told VOA that law-abiding citizens should be able to openly carry a weapon. "As long as you are within the law and you are not committing a crime, I'm ok with it," she said. 'Thin piece of fabric' Texas legalized concealed carry firearms in the 1990s, and now has more than 850,000 residents with licenses to carry firearms. Last year, the state legislature passed a law that gave those with concealed carry licenses the option to carry firearms unconcealed. Licensed residents in Texas should be able to openly carry firearms as long as they "use them in a respectful manner to protect themselves and their families and not use them for any ill gain or evil intent," said Roselyn Walton, as she visited the police memorial site. The president of Open Carry Texas, C.J. Grisham, joined a small gathering Saturday outside the Lamar County Sheriff's Department in Paris, Texas, about 158 kilometers from the Dallas-Fort Worth area. The crowd, most of whom had handguns and spare magazines strapped to their belts, was protesting the department's refusal to allow people to openly carry firearms into the building. "We are not a threat," Grisham said. Grisham told the gathering that the hot Texas weather can make it uncomfortable to conceal a gun under clothing. "That's what this is really about: being able to get comfortable and not become a criminal," he said. "If you boil it down, the only difference between open carry and concealed carry is a thin piece of fabric." Here is more information about open carry gun laws in the U.S.: What are open carry gun laws? Open carry gun laws in the U.S. are generally designed to give citizens the right to openly carry firearms in public places. They are governed on the state level and not by the federal government, which may, however, enforce specific rules that apply to federally owned or operated property. Which states allow handguns to be carried openly? Thirty-one states allow handguns to be carried openly without a permit, according to the Law Center to Prevent Gun Violence. Fifteen states allow handguns to be carried openly, but only with a permit. Only five states California, Florida, Illinois, New York and South Carolina as well as the District of Columbia prohibit the open carrying of handguns in public places. Many state open carry laws have exceptions, such as the prohibition to carry handguns in places such as schools, state-owned facilities, on public transportation and places where alcohol is served. Which states allow long guns to be carried openly? Openly carrying a long gun is legal in 44 states, according to the Law Center to Prevent Gun Violence. Two states Virginia and Pennsylvania allow long guns to be carried in public, but limit the ability to do so in certain cities. Six states California, Florida, Illinois, Massachusetts, Minnesota and New Jersey as well as the District of Columbia, generally prohibit the open carrying of long guns. Scotlands government has demanded a second referendum on independence following Britains vote last month to leave the European Union. Recent polls show a majority of Scots support breaking away from the rest of Britain so that Scotland can remain in the EU, an indication that many of those who voted against independence in the referendum two years ago have switched sides since the 'Brexit' vote. Among them is Pamela Jenkins, who lives with her partner and two young boys in the former shale oil mining town of Winchburgh, just outside Edinburgh. Jenkins said she saw a world of opportunity for her children growing up inside the European Union, but now is devastated by Britains vote to leave the bloc. Im so mortified that the country that I live in has opted out for such horrific reasons, sheer xenophobia and racism in my opinion. I dont see our United Kingdom now as something so wonderful as I did before, she said. Jenkins said she is no Scottish nationalist but in another referendum, she would choose a European future over remaining part of Britain. I did not have my children to bring them into a world where they were part of an isolated Britain with values that I cannot identify with," said Jenkins. "So I would like them to grow up within the EU, absolutely. And if voting for an independent Scotland means that thats more likely to happen, then thats what I would do. Switching sides Jenkins is not alone. Polls taken after the Brexit vote show around 60 percent of Scots now support independence, against 45 percent in the 2014 referendum. Ben Nisbet, a student at Edinburgh University, has also switched sides since the 2014 referendum. I would really, really be inclined to vote Yes to an independent Scotland, and thats something that Im really surprised about, he told VOA. Nisbet said his generation has benefited hugely from EU membership, in terms of being able to travel freely without visas and for other reasons. "Ive got a younger brother who has Down Syndrome," he said. "And the EU has been really active in engaging with people with additional needs. The wider picture, however, is more complex. In the historic heart of Edinburgh, music band "The Spinning Blowfish" blast out a modern version of the patriotic folk song Scotland the Brave to the delight of the dozens of tourists gathered to watch the performance. The band is a Scottish-Italian trio: European integration personified, but bagpiper David Spiers voted to leave the European Union, because he said the EU was undemocratic. I also voted in favor of Scotland becoming independent in 2014. But I accept that we lost that vote, and it was very, very recent. And I dont think we should have another referendum just to try to undo the decision thats been made, he said. The EU vote has ignited a debate over identity and democracy, and like so much in British politics following the EU vote, the consequences are as yet unclear. Scotlands warning to the government in London is that the price of Brexit could well be the breakup of Britain itself. Typhoon Nepartak hit China's coastal southeastern Fujian province over the weekend, killing at least six people and leaving more than eight others missing. The storm's heavy winds and rains have caused multi-million-dollar damage to homes and businesses. Hundreds of trains and flights have been canceled. Many areas have been left without electricity. Hundreds of thousands of people were evacuated to safer ground ahead of the storm's arrival. Before landing in China, Nepartak blew across Taiwan where it left at least two people dead and scores injured. The storm, which is no longer at typhoon strength, is expected to severely impact the flooding already present in central and eastern China. Typhoons are common at this time of year in the South China Sea, picking up strength over warm waters and dissipating over land. United Nations Secretary-General Ban Ki-moon Monday urged the Security Council to impose an arms embargo and targeted sanctions on South Sudan, where violence has resumed. Today, I urge the [Security] Council to take action on three fronts, Ban told reporters at a hastily called news conference. First, impose an immediate arms embargo on South Sudan. Second, enact additional targeted sanctions on leaders and commanders blocking the implementation of the [peace] agreement. Third, fortify the U.N. Mission in South Sudan UNMISS. He said the mission is in desperate need of attack helicopters and other material in order to be able to protect civilians. Violence in the 5-year-old nation resumed on Thursday, after forces affiliated with President Salva Kiir and first Vice President Riek Machar engaged in a deadly clash at a checkpoint in the capital, Juba. Since then, hundreds of people are believed to have been killed and thousands displaced by the renewed violence. Many have tried to seek safety at U.N. compounds in the capital, but even those facilities are not safe small arms and heavy weapons fire have hit both U.N. compounds in Juba. UNMISS said Monday that 67 people were injured in and around protection sites and eight of them later died. The U.N. chief had harsh words for the two leaders, whose political rivalry first sparked the violence in December 2013. He said they had both yet again failed their people and squandered the promise that independence brought. What kind of leadership is it that resorts to deadly weapons and identity politics, time and again? Failed leadership, Ban said. He called on both men to do everything to de-escalate the hostilities immediately and to order their forces to withdraw to their bases. More peacekeepers The U.N. Security Council met in an emergency session for several hours late Sunday. The 15-nation council said it would consider boosting U.N. troops and police to help quell the violence and urged regional countries to prepare to contribute troops. There are currently about 12,000 soldiers and 1,200 police as part of the U.N. mission known as UNMISS. U.S. Ambassador Samantha Power said before Sundays council meeting that the situation is spiraling out of control. She expressed concern over what appears to be the lack of command and control over the troops by both Kiir and Machar. She also called for accountability for those perpetrating attacks against civilians and U.N. premises and peacekeepers. Two Chinese peacekeepers were killed and several Rwandan troops injured in the past days. U.N. officials are very concerned the fighting could spread across the country. Already there has been violence in Wau and Bentiu, in addition to the capital. A senior U.N. official told VOA that contingency plans are being made to evacuate international staff should the situation continue to escalate. The United States finds itself beginning a new work week Monday after enduring a week of violence - two police shootings of African American men at point-blank range in Louisiana and Minnesota and the shooting deaths of five police officers in Dallas, Texas, at what was a peaceful demonstration against alleged police brutality. Police in Dallas say gunman Micah Xavier Johnson had plans to deliver more destruction in Dallas. "We're convinced that this suspect had other plans and thought that what he was doing was righteous and believed that he was going to target law enforcement -- make us pay for what he saw as law enforcement's efforts to punish people of color," Police Chief David Brown said. Dallas police were able to cut short Johnson's plans when the department used a robot to deliver a bomb into the garage where Johnson was holed up. Brown told CNN, "We believe that we saved lives by making this decision" to use a robot to kill Johnson. Bomb-making materials and a rambling journal were found at Johnson's home during a search. "The materials were such that it was large enough to have devastating effects throughout or city and our North Texas area," Brown said. No criminal record U.S. law enforcement officials said Johnson did not have a criminal record. In an emailed statement, the U.S. Army said Johnson was a carpentry and masonry specialist during his time in the service from March 2009 to April 2015. He was deployed to Afghanistan from November 2013 to July 2014. Johnson left Afghanistan when a fellow soldier brought sexual harassment charges against him, saying he needed mental health counseling. WATCH: Dallas Police Chief David Brown: 'We're asking cops to do too much' Mentally ill Dallas Mayor Mike Rawlings said Sunday in a televised interview he believed Johnson was mentally ill. Johnson's shoot-out Thursday night with the police in Dallas was triggered, many believe, by police shootings earlier in the week that ended with the deaths of two black men. Johnson was also black. Baton Rouge, Minnesota incidents Tuesday in Baton Rouge, Louisiana, cell phone footage showed police shooting 37-year-old Alton Sterling at point-blank range as he was being held down by two police officers. Sterling was armed, but video shows what appears to be a gun removed from his pocket before the lethal shots were fired. Wednesday evening in a suburb of St. Paul, Minnesota, the fiancee of Philando Castile managed to livestream on her private Facebook account just seconds after a police officer shot Castile several times after pulling them over for a broken tail light. Diamond Reynolds and her 4-year-old daughter were in the car when the officer opened fire. Reynolds, who was driving the car, said the officer had been informed Castile had a permit to carry a gun and was reaching for identification when the officer fired several shots from just outside the passenger side window. Obama calls for respect President Barack Obama has called on Americans to mutually respect fellow citizens, after the recent days of violence. "Maintaining a truthful and serious and respectful tone is going to help mobilize American society to bring about real change and that is our ultimate objective," Obama said Sunday. "I would like all sides to listen to each other," Obama said in reference to protestors such as those involved with the 'Black Lives Matter' movement and police organizations throughout the U.S. Obama said violence against police by anyone concerned about fairness in the criminal justice system does "a disservice to the cause." The president plans to visit Dallas Tuesday and deliver remarks at a memorial service. More protests Demonstrations have been held in many cities across the country in recent days with some confrontations between protesters and police, as well as numerous arrests. The U.S. Defense Department says it has transferred two detainees from Guantanamo Bay to the custody of the Serbian government. The U.S. State Department said both detainees, Tajik national Muhammadi Davlatov and Yemeni national Mansur Ahmad Saad al-Dayfi, were unanimously approved for transfer by six U.S. government departments and agencies. Authorities say the two men were at the U.S. detention facility at Guantanamo Bay, Cuba, for about 14 years. Their departure leaves 76 men at the facility, a controversial detention center that President Barack Obama pledged to close during his time in office. The latest transfers are part of a renewed push by Obama to decrease the number of prisoners at the base. It comes one day after another Yemeni detainee at Guantanamo was sent to Italy. More than a dozen other detainees are expected to be resettled in other countries in the coming weeks. U.S. State Department Secretary John Kerry thanked Serbia for its "generous assistance" in accepting the detainees. "This significant humanitarian gesture is consistent with Serbia's leadership on the global stage," he said. Obama would like to send some of the inmates to the United States for incarceration, but Congress has opposed the measure. Obama issued an executive order in 2009 aimed at the eventual closing of Guantanamo, which critics argue has housed hundreds of prisoners, some of them for years, without formal charges and without trial. His efforts have been strongly opposed by Republican lawmakers who have cited the potential security risks posed by closing the facility. The administration of George W. Bush opened the prison at Guantanamo in 2002, shortly after the U.S.-led invasion of Afghanistan, to hold foreign fighters with suspected links to the Taliban or al-Qaida. The New York-based Center for Constitutional Rights said Tajik national Davlatov had been approved for release in 2008 and was slated to be sent to Tajikstan. But it said Davlatov argued he faced risk of torture in his home country and won a court injunction against his transfer there. The center criticized the Obama administration for making "no meaningful efforts" to transfer him for years. Heavy fighting erupted in South Sudan's capital Monday, hours after the U.N. Security Council called on leaders in the conflict-torn country to control their rival armed forces, and warned that ongoing attacks on civilians and U.N. facilities may constitute war crimes. Gunfire and explosions rocked Juba as clashes broke out for a fifth day Monday after relative calm overnight. 'Urgent situation' Japanese Ambassador Koro Bessho, the current president of the 15-member Security Council, spoke for the international body late Sunday in New York after more than three hours of emergency consultations about the crisis in Juba. He called conditions on the ground an "urgent situation," and said at least one Chinese peacekeeper had been killed and several Rwandan peacekeepers wounded. There were no official estimates of casualties by late Sunday, and it remained unclear at that time whether troops loyal to President Salva Kiir and those backing First Vice President Riek Machar would heed the U.N. demands. Local radio in the capital earlier reported as many as 276 dead in several days of violence, while a spokesman for Machar said at least 150 were killed with scores of others wounded. The U.S. State Department has ordered the departure of all its non-emergency personnel from the U.S. embassy in Juba and has also warned U.S. citizens against traveling to South Sudan because of the ongoing fighting. Power: Attacks are 'deplorable' Samantha Power, the U.S. ambassador to the U.N., said the attacks in South Sudan are "deplorable" and that the "targeting of what are meant to be inviolable U.N. premises is totally outrageous and unacceptable and goes beyond even the savagery that we've seen over the life of this conflict." Akshaya Kumar of Human Rights Watch said,"For too long, the U.N. Security Council has relied on the good will of South Sudan's leaders, neglected accountability and brandished empty threats of an arms embargo and individual sanctions." Kumar said that was a "losing strategy" that has "obviously failed." "The council should make clear that attacks against civilians will not be tolerated and that U.N. peacekeepers will use the full force of their mandate and military capabilities to protect civilians under their care," said Kumar. "It should also finally impose an arms embargo, which could immediately ground the South Sudanese attack helicopters that are operated by foreign crews and constrain the ability of armed forces to target civilians going forward." Ambassador Bessho also urged Kiir and Machar to "genuinely commit themselves to the full and immediate implementation of the [2015] peace agreement" that ended a devastating two-year civil war in the impoverished country. Both leaders on Saturday issued joint calls for calm in the capital, but to little avail. Displaced people, rebel base targeted Witnesses said Sunday's fighting hit a U.N. encampment for displaced people for a second time in as many days, and also targeted a rebel base in the capital. Separately, a spokesman for Machar told Reuters that the vice president's residence had come under attack by Kiir loyalists. There was no immediate confirmation of that report. Kiir, an ethnic Dinka, and Machar, a Nuer tribesman, fought each other in a two-year civil war that erupted after the president fired Machar in 2013. Machar has since been reinstated as part of the peace deal reached late last year that also led to the formation of a transitional unity government. WATCH: Fighting Mars S. Sudan Independence Anniversary No workable plan Neither leader, however, has yet offered a workable plan to integrate their forces. The latest fighting in Africa's newest nation was the first major outbreak of violence since Machar was reappointed vice president in April. The World Food Program says 4.8 million South Sudanese are facing severe food shortages this year, with parts of the impoverished country on the brink of famine. It also says fighting has driven 2.4 million residents from their homes, while hundreds of thousands of others have fled the country as refugees. With the country's fragile economy in shambles, monitors predict as many as 1 million South Sudanese will have fled the country by the end of the year. The violent ambush that killed five Dallas police officers and wounded seven more could have been a lot worse, the city's police chief says. Dallas Chief of Police David Brown told CNN Sunday that the slain gunman told police negotiators he wanted to "kill white people, especially white officers." Bomb making materials and a journal were found at Johnson's home during a search Friday. "The material were such that it was large enough to have devastating effects throughout our city and our North Texas area," Brown said. Micah Xavier Johnson, 25, was killed by police in the deadly attack Thursday night during a protest against police killings of African American men. Since the shooting deaths of two black men by white police officers over two days last week, protests have been held across the country. Scores of demonstrators have been arrested, with one flash point being the southern city of Baton Rouge, Louisiana, where DeRay McKesson, one of the most prominent activists linked to the police reform protest movement Black Lives Matter, live streamed his own arrest. Police defended his arrest as a matter of public safety, but demonstrators told U.S. news outlets they believe McKesson was targeted. McKesson was freed on bond Sunday afternoon after being charged with obstructing a highway. "I remain disappointed in the Baton Rouge police, who continue to provoke protesters for peacefully protesting. There's a lot of work to be done, with this police department specifically,'' he said. But Louisiana Governor John Bel Edwards disagreed with McKesson's assessment. The governor told a news conference Sunday that he is proud of the state's law enforcement officers, calling their response to the protests "moderate." In the northern city of St. Paul, Minnesota, where a second man, Philando Castile, was shot to death last week by a policeman after a traffic stop for a broken tail light, hundreds of protesters hurled firecrackers, rocks and bottles at police on Saturday. The heavily armed officers used smoke grenades and pepper spray to break up the demonstration, with about 100 arrests. Authorities said 27 police officers were injured in the clashes. Protests were held in other cities, too, including Washington, New York, San Francisco, Nashville and Indianapolis. Individuals fired at police in at least two states -- Tennessee and Wisconsin -- and numerous police officials in major cities ordered their officers to patrol in pairs to give them a measure of safety. In Pictures: A Nation Protesting and Mourning President Barack Obama, who cut short a visit to Spain and will visit Dallas this week, said police and activists need to listen to each other. He said violence against police officers is a "reprehensible crime" that needs to be prosecuted. "But even rhetorically, if we paint police in broad brush without recognizing that the vast majority of police officers are doing a really good job and are trying to protect people and do so fairly and without racial balance ... then we're going to lose allies in the reform cause," Obama said Sunday in Madrid. The president will deliver remarks Tuesday at an interfaith memorial service in Dallas. The White House says he is making the trip at the invitation of Dallas Mayor Mike Rawlings. Also Sunday, Brown defended his decision to end the hours-long standoff in Dallas, ordering the use of a robot equipped with a bomb that police detonated to kill Johnson after negotiations for his surrender failed. WATCH: Dallas Honors Fallen Officers Some law enforcement officials have questioned use of the robot to kill an active shooter, apparently a first in U.S. law enforcement, that some say draws a close parallel with the use of U.S. military drones to killed terrorists in the Middle East. But Brown said the gunman was hiding behind a brick wall and that police assault teams would have been exposed to "the gravest danger" if they had tried to rush Johnson to end the mayhem. The police chief told CNN, "I approved it and I'd do it again if presented with the same circumstances. (Johnson) seemed very much in control and determined to hurt more officers." Brown dismissed critics "sitting in the comfort of their homes" about the use of the robot because "they're not on the ground" trying to end Johnson's assault on police. Dallas Mayor Rawlings, speaking on CBS' Face the Nation, said he agreed with the decision. He stressed that Johnson was given an opportunity to surrender. "We ask him, 'Do you want to come out safely or do you want to stay there and we're going to take you down?' And he chose the latter," Rawlings said. At churches around Dallas Sunday, people offered prayers for the victims and their families. "We need to come together and pray for our leaders. And we need to pray for God's healing on our nation," said Robert Jeffress, senior pastor at First Baptist Church in Dallas. VOA's Mike O'Sullivan in Dallas contributed to this story. Zanu PF legilastor for Bikita West, Munyaradzi Kereke, will spend the next 10 years in jail after he was today found guilty by a Harare magistrate of raping a minor child. At the same time, Tajamuka-Sesijikile spokesperson Promise Mkwananzi, who is facing charges of destroying goods in Vice President Phelekezela Mphokos supermarket, has been granted bail. Harare magistrate Noel Mupeyiwa found Kereke guilty of rape in one count in which he used a gun to threaten a minor child when raping her and absolved him on a second charge of allegedly indecently assaulting another minor. The magistrate said although Kereke was allegedly being shielded by suspended prosecutor general Johannes Tomana to evade justice as submitted by the prosecution led by Charles Warara, the court was not satisfied that Kereke acted unlawfully in that respect as he was not responsible for the prosecution of accused persons. Magistrate Mupeyiwa had sentenced Kereke to 14 years in prison but suspended 4 years on condition of good behavior. He added that he was lenient on the legislator because he has three wives and 17 children who look up to him for their survival. Mupeyiwa added that the court had mercy on him because he previously served government in the capacity of advisor to the Reserve Bank of Zimbabwe governor. Prosecutor Warara said he was happy with the courts verdict. The conviction of Kereke means that there would be a by-election in Bikita West to fill the vacancy that has been created by his conviction and subsequent jailing. In another court case, Promise Mkwananzi, the spokesperson of the youth outfit calling itself Tajamuka Sesijikile, was granted bail today by Harare magistrate Tendai Mahwe. The state accuses Mkwananzi of allegedly destroying groceries in Vice President Phelekezela Mphokos Choppies Supermarket in downtown Harare after Mkwananzi is said to have led a group of youth to the shop protesting against Mphokos continued stay at a local hotel on taxpayers expense. Mkwananzis attorney, Trust Maanda of the Zimbabwe Lawyers for Human Rights, confirmed magistrate Mahwes ruling. Meanhwile, the leader of the newly formed Viva Zimbabwe political party, Acie Lumumba, who recently dropped an f-word on President Robert Mugabe, was granted $300 bail on forgery charges. The state accuses the former Zanu PF activist of forging his signature on the registration book of a vehicle that he sold. Zimbabwe was on Monday battening down the hatches for another potentially crippling shutdown this week as police intensified their crackdown on activists. Campaigner, Pastor Evan Mawarire, one of the champions of last weeks stayaway, was lobbying citizens to defy government intimidation and stay home on Wednesday and Thursday. But law enforcement agents had Mawarire and other activists in their crosshairs, summoning them to report to police on Tuesday. Still, Mawarire, who allegedly survived an abduction attempt last week, remained defiant, saying he was particularly disgusted by the police brutality on people who heeded last weeks shutdown. We refuse to live in a Zimbabwe like that, Mawarire said in a Facebook video post which had been watched by more than 44,000 people by close of business on Monday. And because of that and because you (government) have failed to meet our demands, on Wednesday the 13th and Thursday the 14th of July, we are shutting down again. Mawarire will report to Harare police with his lawyers on Tuesday. And in Bulawayo, MDC-T legislator, Thabitha Khumalo, was also summoned to appear on the same day by Law and Order officers. Observers say the success of last weeks shutdown surprised the government which now appears increasingly jittery and pulling out all the stops to thwart any future action. Activists are calling on the long-ruling President Robert Mugabe, 92, to step down over his governments failure to deliver on jobs and to fix the ailing economy. They also want government to lift a ban on food imports that had become the lifeline for many unemployed citizens who were buying goods from neighboring countries such as South Africa for resell. Elsewhere, dozens of those arrested over last weeks stayaway continued to appear in court, including students; among them a Grade 7 pupil in Bulawayo. The government has failed to commit itself on July payment dates for Zimbabwes civil servants after struggling to pay June salaries. According to Apex Council chairperson Cecilia Alexander, government representatives, who attended a crucial meeting on the issue and outstanding pay for some state workers, requested for more time to consult on the July salary dates before coming back to them on Friday. Alexander said they also put government representatives to task because of the delayed June salaries for the rest of the civil servants. I think you are also aware that the government has managed to pay the teachers and the health sector but the rest of the civil service and the pensioners have not yet received June salaries, so government came up with the same position that they will be able to pay them on the 14th and the 19th respectively, Alexander said. But she said as unions they demanded that the dates for their payment should be brought forward at least to Tuesday the 12th July or Wednesday the 13th. The opposition MDC led by Morgan Tsvangirai says Police Commissioner-General Augustine Chihuri should resign for what the party claims are gross human rights violations being allegedly committed by the police on innocent civilians protesting against the current harsh economic environment in Zimbabwe. The call came following the surfacing of photos and videos, some very hard to look at or watch, showing arrested protesters being assaulted by the police while in detention. In one instance, a woman wails as she is being assaulted by police under her feet. Another protester is forced to carry what looks like a heavy stone on his head amid police laughter. MDC T spokesperson Obert Gutu said the Police Commissioner-General should step down for allowing police to abuse citizens. "We as the MDC T, we are saying that the level of police brutality that we recently witnessed after the highly successful stay away that was staged last week is shocking," Gutu said. He said his party will make sure that Chihuri and other top police officers were brought before parliament to explain the alleged police brutality. "It is the kind of brutality that is reminiscent actually of the racist Ian Smith colonial regime," Gutu added. Photo: Paul Zimmerman/Getty Images Earlier this year, it was announced that Goldie Hawn, who hasnt been in a movie since 2002s The Banger Sisters, is coming out of semi-retirement to co-star with Amy Schumer for a mother-daughter movie. Details have been slim on this highly anticipated unnamed action-comedy, but in an interview with Collider, writer Katie Dippold (Ghostbusters) revealed the plots inception and a few details. When I was younger, Dippold recalled, my mom was always very adventurous. Her and my dad divorced when I was in college, and shes gotten more concerned about safety. She wants to make sure the doors are locked. I feel like there used to be a more adventurous spirit in her. Watching her mothers more timid approach to life and dating inspired Dippold. I started daydreaming about, what if I took her on a crazy vacation somewhere, and then I took us off the beaten path, just to shake things up. So then, I started thinking about a movie version of that. Dippold added, [The mom] gets taken off the beaten path, but then everything the mother feared is correct. So, its then basically trying to get to an American embassy through the Amazon rainforest and whatnot. A divorced woman and a sketch-comedy goddess travel to the Amazon? Sounds like the perfect First Wives ClubTrainwreckAnaconda mash-up. Photo: Taylor Hill/Getty Images While Gay Talese was away for the July Fourth weekend, a large piece of masonry fell through his ceiling and destroyed part of his desk. If Id been home, we wouldnt be talking right now, says Talese, as he guides me into the bunker, the windowless basement of a five-story Upper East Side townhouse he shares with Nan Talese, his wife of 57 years, who is a well-known book editor. Down here in the journalists cream-colored, document-filled workman-cave, theres a crater in the table where he wrote The Voyeurs Motel, a book whose credibility cracked open at roughly the same time. Talese, 84, has made a proud career of immortalizing rascals, from the Bonanno crime family to the antihero of Frank Sinatra Has a Cold and the adulterers of the swinging 70s (himself included) in Thy Neighbors Wife. Gerald Foos, the voyeur of his new book, was an Aurora, Colorado, motel owner who spied on his guests for years through custom-made vents in the attic. After three decades of correspondence, Foos finally allowed Talese to use his name in 2013, and the book proceeded quickly by Talesian standards. Then the crumbling began. In early April, the same week The New Yorker ran an excerpt, Talese was publicly shamed for saying at a Boston University conference that no female journalist had inspired him (and for asking a black Times magazine writer how shed gotten her job). Media critics questioned the ethics of the New Yorker article, and two weeks ago, the Washington Posts Paul Farhi revealed factual discrepancies in the book, which is out this Tuesday. It turned out Foos didnt own the motel between 1980 and 1988, when Talese has him continuing to report on sex, crime, and marital strife. Foos claimed to have witnessed a murder, but theres no record of it. Talese responded by disavowing the book: How dare I promote it when its credibility is down the toilet? The day after the Posts piece was published, he reversed himself: He stood by his reporting and the show would go on, beginning with our promotional interview. Upstairs in an elegant ground-floor parlor are Nan and two visibly nervous representatives of Motels publisher, Grove Atlantic. They join us briefly as we climb three steep flights of stairs to another office. I dont sleep here, but I can pull this out, he says, indicating a striped couch. I havent done that in many years. He alludes to fights with Nan, which peaked in the aftermath of Thy Neighbors Wife. In the course of an almost uninterrupted half-hour monologue, Talese explains that this thing that Im going through now is a picnic, a waltz in the park, compared to those dark days in the 70s. Researching Wife, he had an affair, participated in orgies, and managed a massage parlor. Years before it was published, he was pilloried as an obscene person, this slimy, adulterous, disgusting guy. He earned a record $2.5 million for film rights and the worst reviews of my life. In 1980, Talese received a letter from Foos, who offered to supplement the soon-to-be-published Thy Neighbors Wife with important information from his own research the nightly goings-on at the Manor House Motel. But I wanted to get away from sex, says Talese. I wanted out of my marriage, I wanted out of New York, I wanted out of places where Im gonna be called a shitty man, a bad father, a bad husband I didnt want to be smeared anymore. I wanted to clean up my act. This little dagos looking for respect. But ever since childhood, when Talese learned that his uncles fought for Mussolini, his instinct was to chase the disreputable. He fondly remembers gangster Bill Bonanno babysitting his two daughters. Thats called being too close to your sources. Im in bed with the enemy because Im sometimes identifying with the enemy. My origins are fractured, and all the stuff Ive done since is fractured. So his curiosity about the voyeur got the better of him. He said hes got a motel. I said, This guys bullshitting me, and I go down there and find out hes not bullshitting me. On his four-day visit, I saw pretty much what he saw a little sex and a lot of tedium. But Foos had made him sign a confidentiality agreement. I dont have a story without a real name, says Talese. While he received photocopies of Fooss journal and the occasional letter in the mail, he was deep into other work. Dont think Im thinking about the voyeur one lick, he says. I dont want a pen pal. He wasnt busy checking real estate records or police reports. I didnt know he sold the motel, says Talese. He didnt tell me that, but then why the hell should he have? Im in foreign countries and Im not writing about it. In 2013, Foos, pushing 80, told Talese he was ready to go on the record. Talese contracted with The New Yorker for a piece. He also invited a documentarian to film one of his visits. I wanted to have all our interviews on tape, about the murder, all this stuff that youve read, says Talese. I thought when this goddamn article comes out, I might be subpoenaed as a co-conspirator, and Im as guilty as he is and maybe I cant prove it. My little shirt-boards, how do you know theyre not full of lies? He takes a stack of scribbled-on cardboard squares out of his jacket pocket makeshift notepads hes used for decades. Then I do the New Yorker piece, he says. It was much too long, so they cut it and did a hell of a job. The book version makes liberal use of the journals, for which Grove paid Foos. Like the voyeur, Talese considers it first-draft sociology. I mean, writing about men pissing in the sink. I piss in the sink. This is the first chronicle of human nature forget Kinsey that had men pissing in the sink. Not every reader found it quite so enlightening; the mores of New Journalism met the golden age of the hot take. The storys critics had all read about the Twitter outrage over Taleses Boston comments, both onstage and in conversation with Times writer Nikole Hannah-Jones. He remains baffled by it. I went to the Google alert and there are a million things there. And then phone calls, and I am bewildered. Misogynist, hating women. Im still snakebitten by that, he says. The voyeur article came out days later, and Im back to the Google alert. Talese is as guilty as this guy. Coward. So I have again to answer for myself. But the real problem is ahead. Talese writes in The Voyeurs Motel, I cannot vouch for every detail he recounts in his manuscript. Hes just noted that, though Fooss diary begins in 1966, he didnt buy the motel until 1969. (Still, he references Fooss 1966 entries without comment.) He also acknowledges the lack of evidence for a 1977 murder Foos reported causing indirectly (by disposing of drugs) and then witnessing without intervening. I ask Talese when he learned of these discrepancies. The New Yorker had a fact-checker. They said, Where was this murder? They put a detective on this he stops. I dont know if I should talk about this. [Editor-in-chief] David Remnick doesnt want me to talk. Let me tell you this. The voyeur told me that the fact-checkers are bugging the hell out of him. I told him thats what theyre supposed to do. In that way, I cant quarrel with the Washington Post. Both outlets were just checking up on his facts. Its easy, after two hours with Talese, to understand how he could have disavowed his book and then reaffirmed it the next day. He vacillates rapidly between defiance and shame, submission and recrimination. He is, as he says, fragmented. But he has a consistent version of his interaction with Farhi, the Post reporter. When Farhi called to question Taleses facts, he asked if hed heard of Earl Ballard, the man who bought the motel from Foos shortly after Taleses 1980 visit. Shit, I should have checked the records, Talese remembers thinking. Farhi checked the records. I thought, boy, anyone who goes this far is really out to get me. Talese says Foos wasnt planning on speaking with Farhi: These people [the reps from Grove] said to the voyeur, Keep your mouth shut! And they should have said that to me, because I seem to get my feet in my mouth. So then I called the voyeur, Talese continues. I said, Listen, goddamn it, Gerald. I have a book coming out, I cant change anything and you told me lies. Earl Ballard was the owner of that place. Why didnt you tell me that? Well I didnt think youd want a story about two voyeurs in a dispute over real estate, he responded. Thats not gonna wash, I said. Yeah, but I had the key, he said. According to Farhis story in the Post, Ballard said he locked the attic and sealed up Fooss spying vents. This would contradict two later chapters in Taleses book. He wanted to talk to Ballard, so he did some bartering. I called back Mr. Farhi and I said, Im gonna tell the voyeur talk to you. But I want the phone number of Earl Ballard. Because that Ballard thing when I got emotional and disowned the book, it was because of that story. (Reached by email, Farhi confirmed that there was an exchange of sources.) Talese called Ballard and said, Gerald told me that even though you owned the motel, he had access to it. He said Yes, he did, that shit. And you know what? Half the time he was in that motel I didnt even know it. Talese says that he has Ballard on tape admitting this that Foos continued to access the attic. Farhi reiterated to me that while there may have been some time that Ballard let Foos in after buying it, Ballard was pretty adamant that he was in charge and that he shut down the annex shortly after buying it. In a joint statement with Talese on July 1, Grove publisher Morgan Entrekin wrote, Grove takes the Post story seriously and will work with Talese to address any questions in future printings. One definite error is the notion that Fooss son lived in an apartment later occupied by Aurora mass shooter James Holmes. But as for the 80s material, I swear by it, says Talese. And the murder? Talese wonders why Foos, a vain man, would make up the cowardly and possibly criminal act of passively watching a womans death by strangulation. Why do I not think he faked it? I cant prove anything. But no reader will like Foos, and this is the one story that really does the job on him. A sordid, duplicitous guy. Talese will not say the press is being too hard on him, acknowledging that Good journalists dig. He reminds me that he wrote a book about the Times (The Kingdom and the Power) 400 critics in the building, and they didnt charge me with getting facts wrong. Now suddenly the Washington Post says You got your facts wrong. That is not happy news to me. That sets me off into a great sense of despair, humiliation. But I wasnt Jayson Blair. They compared me to fucking Rolling Stone. [Two years ago, that magazine ran a story about a rape at the University of Virginia that later fell apart.] You think I like that? I dont. There was a time, I suggest, when journalists got away with more. Maybe I was a liar in Kingdom and the Power, and I wasnt caught. But Im saying I didnt! Look, my publisher, they dont want me to talk. But I try to tell the truth and I told the truth in Boston by saying No, when asked about female inspirations. Even if Foos did have continued access to the attic, he still told Farhi he stopped spying for good by 1984, which means his second wife, Anita, couldnt have collaborated, as stated in the book. On this, Talese goes back to his records: She is an accomplice and she tells me this on tape for the film. So, I ask, there will be no major amendments to that part of the book? No. No no no no. That four-year period when the voyeur was sneaking in and out and even if he wasnt my story is done before that tale. I dont know what to change! Its just Fooss narrative, then, the character study of one creepy guy? Im the creepy guy, says Talese. Its a self-character study. By now weve spoken a half-hour longer than planned, and a Grove publicist comes in to call time. Fine, were all done, Talese says, before adding to her, But I want to tell you something. Youre gonna tell me tomorrow, whenever you read this guys stuff: See I told you, keep your fucking mouth shut, and dont try to explain. But Im sorry. Kill the interviews from now on and you could. This might be the last one. I dont care. Im sick of having to be both honest and have to shape up to this PR level of being careful. Im not careful. If I was careful I wouldnt have written anything at all. Photo: Christie Goodwin/Getty Images Aerosmith guitarist Joe Perry collapsed backstage during a Hollywood Vampires concert at Coney Islands Ford Amphitheater early Sunday night. In an Instagram video from the concert, Perry, in the midst of a performance with the band a supergroup comprised of Perry, Alice Cooper, and Johnny Depp looks ill and unsteady before he staggers offstage during the song. According to reports, he then collapsed backstage and paramedics quickly escorted the rocker to a nearby Brooklyn hospital. Police sources told Pix11 News that, under medical supervision, Perrys condition had been upgraded to stable. The rest of the band finished the set without Perry. Cooper later addressed fans on Twitter: Thanks to everyone asking about our brother @JoePerry. He is stable right now, with family & is under the best care. On Monday, Hollywood Vampires canceled their late-night appearance together on The Late Show with Stephen Colbert, with Blink-182 stepping up to fill the musical guest spot. Update, July 23: Recovering from what was described as a combination of dehydration and exhaustion, Perry returned to the bands tour Friday evening in California, after nearly two weeks of rest. The band continued on to their scheduled tour stops without him, and now have three more remaining stops left on their Raise the Dead tour. Lin-Manuel Miranda with cast during his final performance curtain call of Hamilton on Broadway at Richard Rodgers Theatre on July 9, 2016, in New York City. Photo: Walter McBride/2016 Walter McBride A Meowth on 46th and 6th almost made me miss a historic night on Broadway, the last performance of Hamilton for star/creator Lin-Manuel Miranda, as well as Tony winner Leslie Odom Jr. (Aaron Burr), Phillipa Soo (Eliza Hamilton), and ensemble member Ariana DeBose. I was blocks away from the Richard Rogers Theatre, with my head down, looking at my Pokemon Go screen, and out of nowhere, one of my favorite Pokemon shows up. I needed to get to the theater, because I knew the scene outside would be a biblical shit-show, but this was Meowth. So I turned and walked the opposite direction from the theater, in an attempt to catch it. It took seven Pokeballs, one slight stumble off the curb, and dirty looks from a family that thought I was creepily taking pictures of them when in reality they were just standing right behind this beautiful Pokemon, but I got it. Celebrating with no one but myself, I remembered that Hamilton was happening in 20 minutes. And upon arriving on the block of the show, I realized that my seven-minute detour might have been a mistake. Because it was packed. Photo: Rembert Browne The scene outside felt like a red-carpet premiere. Look who Im with, one man said looking into his phone, as a famous woman walked by, briefly crossing his screen. After she left, he stopped shooting video and asked his friend who she was. The friend didnt know. It was Mariska Hargitay, which made me want to take his phone and stomp it out for not knowing the Queen. Before I went in, I saw Jane Fonda, Spike and Tonya Lewis Lee, Rosie ODonnell, and many people who looked like Adam Levine but werent. By the time I made it to my seat, Id already heard four people say versions of Were actually in the room where its happening, a reference to the song The Room Where It Happens, in which Aaron Burr describes the envy of not being part of the power circle, in the room where the big decisions are made. Under any other conditions, moments like this would bring out a slight bit of eye-roll, but not tonight. The excitement was understood and it was clear no one was attempting to play it cool, which was oddly refreshing for New York City. Some people were pajama rich, dressed down like they were going to the movies, others glammed out as though theyd just come from the Met Gala. The spectacle was real, and the lights were still on, with six or seven minutes until the show was set to begin. Apparently Jennifer Lopez was there, which certainly gave her the belt for most famous person here. But it made sense she and Lin-Manuel recently made a song together, Love Makes the World Go Around, a tribute to those affected by Orlandos Pulse Nightclub shooting. What a weird thing Hamilton became, that it made sense for J.Lo to be in attendance. Unreal. Sitting there, thinking about J.Lo in the building, and then J.Lo in The Wedding Planner, and then J.Lo and Jane Fonda in Monster-in-Law, and then wondering what Michael Vartan has been up to, I must have missed a crew of men in suits with earpieces enter my area. Snapping out of my analog Wikipedia dive, I cased the room and saw four of these men, two by a doorway, two walking up a staircase. Moments later, Secretary of State John Kerry. One person started clapping, and then more people started clapping, and then a few people stood up, and then the entire room was giving John Kerry a standing ovation. Sorry, Ms. Lopez, but it looks like theres a new belt-holder in town. And I dont know why, but I felt great for Kerry in this moment. Hes not really hurting in any way, but it was nice to see him get some public respect. Its easy to forget about Yung Swift Boat, so this was great. I wondered if hed seen the show before. I wondered if there were parts of this that might get a bit real for him. I mean, he always kind of wanted to be in the room where Okay, I now understood how painfully easy it was to make that annoying joke. It was embarrassing, but who cares. A few minutes later, after being thrilled for Secretary of State Kerry, I felt terrible for him, as things turned into a meet-and-greet, clogging up a staircase. People were talking to him and asking for pictures, and if you werent in the actual line, you were standing in front of him, getting that massive head and granite hair of his in your rudely unauthorized selfie. But then I felt good again, because he bent over to talk to a little kid in a backwards Hamilton hat. This was all so weird, and again, the show hadnt even begun. And then things got really good. The Kerry meet-and-greet picked up steam again, with the long-armed Kerry now taking the selfies himself, again crowding the staircase. Which made it comically difficult for Aaron Paul to get where he needed to go. Photo: Rembert Browne When this happens, you have to break your no photos of celebrities rule. Is Aaron trying to talk to Secretary of State John Kerry? Did he sign up for this meet-and-greet? Is John Kerry a Breaking Bad fan, and if so, will he say something to Aaron? Is Aaron just trying to test his July 2016 swag by walking by Kerry, catching his eye, and seeing what happens? Unfortunately, well never know, because someone thought this was the moment to dim the lights and start the musical. Moments later, How does a bastard, orphan *** Intermission was wild, mainly because I walked around and finally spotted Jennifer Lopez. It wasnt hard to figure out where she was, there were throngs of people standing around, near her as well as up on the balcony, just staring at her. The room was buzzing, after being extremely fired up through the whole first act. Miranda received a standing ovation for the first number, Alexander Hamilton, and the cheers sounded more like what youd hear at a sporting event than a Broadway show. It was exciting, and almost everyone behaved perfectly, except for that one lady. That lady. The thing about Hamilton is that its a triumph, easily one of the great musicals, an extremely transformative experience. The other thing about Hamilton is that some people like it too much, and these people are terrifying and must be destroyed, because they might challenge you to a duel and shoot you between the ribs if you interrupt them while theyre singing Wait for It. I had one of these people in my section, and not only did she sing along, she repeatedly raised her arms and screamed, and would drum on things that werent drums, and any time there was a progressive line about immigrants, slavery, or equal rights, she would either clap, or stand up and then clap. During The Schuyler Sisters the fifth number her presence had already been felt. And throughout that song, in her honor, I mentally changed the word work to woke in the lyrics. Because she was so woke. The woke god. But it made sense, there were groups in this country that helped build it, groups that were treated unfairly and not properly credited. And she wanted you to know that she knew that. God bless her. Anyway, after about 20 minutes, the lights dimmed again. *** Hamilton will go on in a powerful, important way both in New York and on tour but this was certainly the end of an era. While the crowd was in a frenzy, what happened on stage was like any other night. There was one moment when Rory OMalley (King George) blew Odom (Burr) a kiss, making Odom laugh, which delighted the crowd. And both Odom and Christopher Jackson (George Washington) received standing ovations for The Room Where It Happens and One Last Time, respectively. But when it wrapped up, there wasnt a ten-minute Lin-Manuel speech, no one rolled out a cake, Barack and Hillary didnt show up there was no self-initiated hoopla. Photo: Rembert Browne After bows from the full cast, the four actors who were leaving stepped forward, and then Jackson pushed Miranda to the front of the stage for more solo applause from the crowd, which was very much deserved. But right when it seemed to be over, suddenly the orchestra started playing the theme song from The West Wing, a show Miranda has cited as an inspiration. I expected many things on this night, but getting slightly choked up at watching Lin-Manuel Miranda laugh at the surprise, while thinking about my heroes Josh Lyman and Sam Seaborn, wasnt one of them. But this wasnt a normal show, and this wasnt a normal night, so it was a fitting way for it to end, triumphantly abnormal. What a run. This is a letter that I faxed to House Speaker Michael Madigan recently: House Speaker Michael Madigan, Im an Illinois resident and horrified about what is happening to our glorious state. Your crown has slipped down over your eyes and paralyzed your brain. It didnt slip far enough down to cover your mouth. You have lost all sense of decency and common sense. In your frenzy to rule the State of Illinois you neglect to care for the citizens. The bill only takes the state down the disastrous financial path traveled from the past to now. Our bond rating is near junk status, and well have to pay more interest on loans to finance the deal since it is obvious that current state revenue will not pay for just legislated compromise. Have you no shame? No common sense? No spirit of compromise? Do you have any ethics? How can you and your Democratic colleagues go out to face your constituents? The rest of us in the state have to work to be paid. You go to Springfield and put in worthless time and get paid, when there really is not money to pay you. How fortuitous for us in downstate would be to have Chicago secede from Illinois. That would be easily accomplished with your selfish power. When you might choose to return to Springfield, please be a reasonable man to negotiate/compromise with the Governor to get our ship of state back on a realistic course to a balanced budget. Lee York, Tuscola WAHOO Deputy County Attorney in Saunders County Demi Herman is playing a significant role in the advent of a reformed justice system in Mexico. Herman was recently invited to serve as a legal instructor with the Alliance Partnership in Phoenix, Ariz., where she had previously spent time in 2000. Maricopa County, Ariz. is the fifth largest prosecuting agency in the nation. Hermans efforts in Arizona now are aimed to help train Mexican officials as they transition from a document based, closed trial system to a more transparent, oral advocacy based justice system. In Mexico, documents are submitted and the judge makes a decision, said Herman. This will be a complete change for the Mexican justice system. The way the system operates in Mexico now, those accused of a crime are assumed guilty. Victims are not privy to the process that takes place. The new system will presume innocence before being proven guilty, Herman said. Herman helped train lawyers and other members of the judicial system on aspects of Americas multi-disciplinary approach that includes chain of custody, how reports are done and how cases are presented. She also schooled them that the process takes place as a team, not just one office completing their task and handing information off to the next office for processing. This new approach is important to Herman, as it incorporates the human element. The people most directly affected by a crime should have a say, she said. Testimony changes everything. Prosecution is completely different because you cant ignore the emotional and human aspects of it. The change was implemented by the Mexican Congress in 2008 and is to be implemented by 2016. Herman will head to Mexico in October to continue aiding the Alliance Partnership, a group sponsored by the Conference of Western Attorneys General and funded by the U.S. State Department. Herman said the efforts have been fruitful, as at the training in June, there were five Mexican states present and over 200 individuals logged in for their web cast. Theyre able to see the benefit of it, Herman said. Participants have been excited and welcoming of the new aspects of the system. Herman said the rights of the victim rise in this new system. We work to see that the victim is in a better spot than they were before the case started, Hermain said. Certain aspects of due process must take place, but the input and affect on a victim are important. Were not here for convictions, were here to seek justice, she said. And that is the primary aspect of what Herman hopes to help bring to Mexico, incorporating the human element in the justice system. WAVERLY School District 145 once again hosted CASE classes for agriculture teachers these past few weeks. This year, due to construction at the high school, classes were held at Waverly Middle School. This is the third summer that Waverly has hosted the eight-day Institute. Curriculum for Agricultural Science Education (CASE) is a student directed curriculum engaging students in real-life situations where they can apply their problem solving skills, said Waverly voc ag teacher Kris Spath. CASE is a nationally recognized program offering courses in Introduction to Agriculture, Animal Science, Plant Science, Food Science, Biotechnology, Agricultural Engineering and Natural Resources/Ecology. I am currently certified in Intro to Ag, Food and Natural Resources, Animal Science and Natural Resources and Ecology, she said. Schools attending included from Nebraska, Waverly, Sterling, Norris, Filmore Central, Laurel-Concord, Auburn, Conestoga, West Holt, McPherson County, Bertrand/Loomis, Shickley; From Iowa, Creston, Western Christian, South O'Brien; from West Virginia, Jefferson; from South Dakota, Rapid City, one UNL Professor and five UNL pre-service teachers. Teachers were Allen Scoog of Washington and Josh Day of Iowa. Spath said topics this year covered range conservation such as Biomes and Ecosystems, Erosion Control, Water and Air Quality, Wildlife Management, Biodiversity, Land Management, Forestry, Mining ad Fuels, and Urban Impact on the Environment, Recreation. She said that students learn how to problem solve, work as a team and use inquiry when participating in CASE courses. It is very important for students to learn about the environment and how we can protect what we have while enjoying the benefits offered from the natural resources, she said. Through participating in this course I have the opportunity to receive a scholarship sponsored by the Nebraska Soybean Board and Pioneer. To fulfill the requirements of the scholarship I have revised three lessons to include the role soybean stubble plays in erosion control, the impact that soybeans have on the nitrogen cycle and how soy biodiesel plays a role in maintaining air quality in Nebraska. Carrie Coon plays one version of the title character in "Mary Page Marlowe," a new play by her husband, Tracy Letts, premiering at Steppenwolf Theatre Company in Chicago. An array of training aircraft and several retired fighter pilots will be available for the monthly Commemorative Air Force (CAF) Dixie Wing Living History program July 16, covering the development in aircraft and techniques to train combat pilots, from WWII to the modern day. Before becoming United States military pilots in WWII, fledging Army aviators underwent the arduous task of attending and completing Air Corps cadet training. Aviation training consisted of several blocks of lessons, from basic flight instruction to advanced flight school. The task of making it through flight school was not easy, and many would-be recruits found themselves washed out. Learn about the process of becoming a military aviator from the men who did just that during WWII and then went on to transition into the jet age during Korea, the Cold War and Vietnam. The two-hour program begins at 11 a.m. at Dixie Wing Headquarters, Atlanta Regional Airport Falcon Field in Peachtree City, with special guest Dr. Robert Kane, Air Force University Director of History at Maxwell AFB, serving as moderator. Guests include WWII P-51 pilot Paul Crawford and several other retired fighter pilots. The Dixie Wing has arranged to have several training aircraft on display, including its own PT-26, T-6 Texan and T-34 Mentor. Coming in for this event are a PT-17 Stearman, T-28 Trojan and modern basic trainers USAF T-6II from Columbus AFB, and a US NAVY T-6II from Pensacola, Fla. The fee to attend this Dixie Wing event is $10 for the public; admission is free to active and retired military personnel. The Dixie Wing headquarters and museum are at 1200 Echo Ct., Peachtree City, adjoining Atlanta Regional Airport (Falcon Field). The Wing headquarters and museum will open at 9 a.m. For more information, or to schedule rides on Wing aircraft, visit www.dixiewing.org. By Mass Communication Specialist 2nd Class Paolo Bayas, CNAF Public Affairs A 95-year-old, 29 1/2-year veteran famous for being the single-known ace to achieve victories against both German and Japanese aircraft during WWII and later becoming a stunt pilot for 20th Century Fox film Tora Tora Tora in 1969, flew his 100th aircraft above the birthplace of naval aviation, July 9. Retired Cmdr. Dean Diz Laird served in WWII, Korea and Vietnam, operated in 175 combat and training missions, served on 12 different carriers, flew in the Navys first jet squadron, was the first person to land a jet-powered aircraft aboard USS Midway and has the most arrested landings on a straight-deck carrier.I want to thank everyone who took part in making this happen, said Laird. When I found out that I was going to be able to do this, I was shocked. I couldnt believe it. Laird added that flying his 100th plane wasnt for an achievement, medal or trophy. He wanted to set this milestone for himself. Laird flew in the rear seat of a T-34C Turbomentor with Lt. Cmdr. Nicole Johnson, a fleet replacement squadron instructor pilot with the Flying Eagles of Strike Fighter Squadron (VFA) 122. I was so excited and honored to fly with a true legend, how many people can say they flew with Diz Laird, said Johnson. Then he had to make me look bad by being a better pilot at 95 [years old]. It is men like this that paved the way for the rest of us. She continued to say that aviation is a lot different now from what it was, especially when you think about how he is an ace. We train for air-to-air combat our whole career, but very rarely, in this day and age, does that actually happen. Its phenomenal to have just had a conversation and fly with him. Its fantastic and an honor. She added that flying 100 different aircraft is a tremendous achievement for any pilot. In comparison, Johnson has flown only 15 aircraft in her 12-year career as a pilot. The 95-year-old legend had some words of advice for younger naval aviators. He said that his policy has always been that every fighter pilot has two main assets once theyre airborne. One is altitude and the other is speed. Never give up one, without gaining something on the other. During the flight Laird and Johnson flew off the coast of San Diego for a little bit of sightseeing followed by conducting a few aileron rolls in a training area before coming in for a landing. Laird has been recognized on Coronados Avenue of Heroes and continues to actively participate in Naval Aviation organizations. This work, Mass Communication Specialist 2nd Class Paolo Bayas , identified by DVIDS, is free of known copyright restrictions under U.S. copyright law. Your Ultimate Investing Toolkit Sign up for MarketBeat All Access to gain access to MarketBeat's full suite of research tools: Portfolio Monitoring Top Stock Lists Premium Reports Stock Screeners Live News Feed Premium Support Free for your first month. Share your opinion on this topic by sending a letter to the editor to tctvoice@madison.com. Include your full name, hometown and phone number. Your name and town will be published. The phone number is for verification purposes only. Please keep your letter to 250 words or less. Our portfolio of high quality UK commercial property is focused on London Offices and Retail around the UK. We own or manage a portfolio valued at 13.7bn (British Land share: 10.3bn) as at 30 September 2020 making us one of Europe's largest listed real estate investment companies. Our strategy is to provide places which meet the needs of our customers and respond to changing lifestyles - Places People Prefer. We do this by creating great environments both inside and outside our buildings and use our scale and placemaking skills to enhance and enliven them. This expands their appeal to a broader range of occupiers, creating enduring demand and driving sustainable, long term performance. Our Offices portfolio comprises three office-led campuses in central London as well as high quality standalone buildings and accounts for 65% of our portfolio. Our Retail portfolio is focused on retail parks and shopping centres, and accounts for 31% of our portfolio. Increasingly our focus is on providing a mix of uses and this is most evident at Canada Water, our 53 acre redevelopment opportunity where we have plans to create a new neighbourhood for London. Sustainability is embedded throughout our business. Our places, which are designed to meet high sustainability standards, become part of local communities, provide opportunities for skills development and employment and promote wellbeing. In April 2016 British Land received the Queen's Award for Enterprise: Sustainable Development, the UK's highest accolade for business success for economic, social and environmental achievements over a period of five years. PLDT Inc. provides telecommunications and digital services in the Philippines. It operates through three segments: Wireless, Fixed Line, and Others. The company offers cellular mobile, Internet broadband distribution, operations support, software development, and satellite information and messaging services; and sells Wi-Fi access equipment. It also provides fixed line telecommunications services; business infrastructure and solutions; intelligent data processing and implementation, and data analytics insight generation services; and information and communications infrastructure for Internet-based services, e-commerce, customer relationship management, and information technology (IT) related services. In addition, the company offers managed IT outsourcing, Internet-based purchasing, IT consulting and professional, bills printing and other related value-added, and air transportation services; distributes Filipino channels and content services; and provides full-services customer rewards and loyalty programs. Further, it engages in the sale of mobile handsets, broadband data routers, tablets, and accessories, as well as provides domestic leased lines and alternative messaging solutions, such as over-the-top services, social media, and messenger application. As of December 31, 2021, it had 71,221,952 mobile broadband subscribers; 3,619,372 fixed line subscribers; and 2.8 million broadband subscribers. The company was formerly known as Philippine Long Distance Telephone Company and changed its name to PLDT Inc. in July 2016. PLDT Inc. was incorporated in 1928 and is headquartered in Makati City, the Philippines. Siemens Aktiengesellschaft, a technology company, focuses in the areas of automation and digitalization in Europe, Commonwealth of Independent States, Africa, the Middle East, the Americas, Asia, and Australia. It operates through Digital Industries, Smart Infrastructure, Mobility, Siemens Healthineers, and Siemens Financial Services segments. The Digital Industries segment offers automation systems and software for factories, numerical control systems, motors, drives and inverters, and integrated automation systems for machine tools and production machines; process control systems, machine-to-machine communication products, sensors and radio frequency identification systems; software for production and product lifecycle management, and simulation and testing of mechatronic systems; and cloud-based industrial Internet of Things operating systems. The Smart Infrastructure segment offers products, systems, solutions, services, and software to support sustainable transition in energy generation from fossil and renewable sources; sustainable buildings and communities; and buildings, electrification, and electrical products. The Mobility segment provides passenger and freight transportation, such as vehicles, trams and light rail, and commuter trains, as well as trains and passenger coaches; locomotives for freight or passenger transport and solutions for automated transportation; products and solutions for rail automation; electrification products; and intermodal solutions. The Siemens Healthineers segment develops, manufactures, and sells various diagnostic and therapeutic products and services; and provides clinical consulting services. The Siemens Financial Services segment offers debt and equity investments; leasing, lending, and working capital financing solutions; and equipment, project, and structured financing solutions. Siemens Aktiengesellschaft was founded in 1847 and is headquartered in Munich, Germany. Telecom Italia S.p.A., together with its subsidiaries, provides fixed and mobile telecommunications services in Europe, South America, and the Mediterranean Basin. The company operates through Domestic, Brazil, and Other Operations segments. It offers fixed and mobile voice and Internet, and public telephony services, as well as products managed and developed for individuals and families; and voice, data, and Internet services and products, and information and communications technology solutions for small and medium-size enterprises, small offices/home offices, the public sector, large accounts, and enterprises in the fixed and mobile telecommunications markets. The company also manages and develops a portfolio of regulated and unregulated wholesale services for fixed and mobile telecommunications operators; provision of infrastructure for housing radio transmission equipment of mobile telephone networks; and development, engineering, building, and operation of network infrastructures, information technology (IT), real estate properties, and plant engineering. In addition, it engages in customer care, operating credit support, loyalty, and retention activities; and staff functions and other support activities. Further, the company offers office products and services for IT sector. The company was founded in 1908 and is headquartered in Rome, Italy. Thomson Reuters Corporation provides business information services in the Americas, Europe, the Middle East, Africa, and the Asia Pacific. It operates in five segments: Legal Professionals, Corporates, Tax & Accounting Professionals, Reuters News, and Global Print. The Legal Professionals segment offers research and workflow products focusing on legal research and integrated legal workflow solutions that combine content, tools, and analytics to law firms and governments. The Corporates segment provides a suite of content-enabled technology solutions for legal, tax, regulatory, compliance, and IT professionals. The Tax & Accounting Professionals segment offers research and workflow products focusing on tax offerings and automating tax workflows to tax, accounting, and audit professionals in accounting firms. The Reuters News segment provides business, financial, and international news to media organizations, professional, and news consumers through news agency and industry events. The Global Print segment offers legal and tax information primarily in print format to legal and tax professionals, governments, law schools, and corporations. The company was formerly known as The Thomson Corporation and changed its name to Thomson Reuters Corporation in April 2008. The company was founded in 1851 and is headquartered in Toronto, Canada. Thomson Reuters Corporation operates a subsidiary of The Woodbridge Company Limited. Demonstrators blocked the entrance to the Madison Police Department Monday morning in an event organized by Young Gifted and Black, Freedom Inc. and Groundwork. Tesla Motors and General Motors' Chevrolet are preparing to bring out mass-market electric cars next year. If you plan to buy one and help save the planet, people who live near the Atacama salt flat in Chile ask that you spare a thought for the flamingos. The vehicles will be powered by rechargeable batteries containing lithium, a silver-white metal found in brine deposits under the world's driest desert in northern Chile. The 3000-square kilometre Salar de Atacama is also known for wild flamingos, who feed and breed in its lagoons. Some locals say miners sucking water out of the earth to get to the lithium are starving the long-legged birds in the process. A flamingo in the Atacama Desert in Chile. "They are pumping up an absurd amount of water," says Rolando Humire Coca, a biochemist who heads the Naturalist Society of San Pedro de Atacama and is a member of Chile's National Institute for Human Rights. "If they keep using the same methods to extract water, the consequences will be disastrous. All forms of life will be destroyed." Satellite images indicate some lagoons and meadows in the salt flat are shrinking or drying up, while the Chilean Forestry Commission has recorded a drop in the flamingo population. A parliamentary commission set up this year to look into the depletion of water resources across the country has yet to connect it to the lithium miners, leaving it to the local community to drum up interest in the fate of the flamingos. Gina Rinehart's Roy Hill Holdings says its namesake iron ore project remains on target to hit full production by the end of the year, after loading 12 shipments in the month of June. The first shipment of iron ore from the $10 billion Pilbara project departed Port Hedland on December 10, 2015. After a slow start, the project's ramp-up appears to be on track to reach a 55 million-tonne-a-year output target after a significant increase in shipments over the past few months. Australia's top commodity port has set a new monthly record for iron ore exports. Credit:Bloomberg A Roy Hill spokesman confirmed the project loaded 12 ships in the month of June, following 10 ships in May, six in April, four in March and two in December. "Roy Hill is ramping-up production smoothly and as previously advised we continue to work to achieve the target of an annualised nameplate capacity run rate of 55 million tonnes per annum by the end of 2016," the spokesman said. Billabong will pay $45 million to settle a shareholder class action over the timing of earnings downgrades that sparked massive share price falls in 2011. The surfwear company said the settlement was not an admission of liability and that it would have no material impact because it had already been included in previous financial results. The surfwear company says the settlement is not an admission of liability. Credit:Glenn Hunt The settlement includes interest, litigation costs and the legal fees of the investors in the class action. The class action was brought by Slater and Gordon, which confirmed the settlement with Billabong. The settlement still needs to be approved by the Federal Court. Pauline Hanson's pronouncements pose a direct threat to the livelihoods of those who voted for her. Much of the commentary has been about the threat to social cohesion represented by the resurgence of Hansonism. But there is an economic threat also, and those most likely to be damaged by a poorer national economic performance are precisely the disaffected who voted for Hanson. From their rhetoric this week, both major parties recognise this. As did Standard and Poors. How many times have you heard a woman who has a perfectly healthy body weight complain that she needs to lose weight, that she needs to devote a significant amount of her waking hours to the gym and that she can't eat cake because she's too large? The Australian government should follow the lead of governments overseas and take more action to help improve women's body image. For several decades, there has been extreme pressure on women to be extremely, unhealthily thin. Images of models who are extremely skinny are everywhere. Many of these images are Photoshopped, so the images do not look like the women in reality. Research has found that when women see images of women who look unhealthily thin, they compare themselves with the images. If they feel they are larger than the women in the images, they may develop poor body image, which can contribute to them developing an eating disorder. These images impact upon women and children. So much so, that 10-year-old Tish Metton started a petition asking the media to show more diverse images of women. While it's inspirational that a 10-year-old wants to have a positive impact upon women's body image, it's also sad that so many images of women are sufficiently unrealistic that they trouble someone so young. Labor is in danger of falling victim to a shock loss in the historic seat of Melbourne Ports, the Greens and the Liberal Party say. The ALP's Michael Danby has been considered on track to retain the seat, but as the count goes on the Greens are becoming more confident their candidate Steph Hodgins-May can leapfrog Mr Danby into second place on the primary vote count and force a run-off with Liberal Owen Guest. About 30 per cent of the vote is still to be counted in the seat, with an unusually high number of postal votes and absentees yet to decide the result. Anne Aly has claimed victory in the marginal WA seat of Cowan, as the two-party preferred counting revealed the Labor candidate was ahead of Liberal incumbent, Luke Simpkins, by 787 votes. The call comes after a glitch in counting, which mistakenly gave 200 Greens preference votes to Simpkins, was corrected on Monday - making it almost impossible for the Liberal MP to retain his seat, with around 10,000 mainly absentee votes still to be counted. The Australian Electoral Commission confirmed to ABC News the mistake had occurred in the booth of Tapping, in the northern tip of the WA electorate. "So a fresh scrutiny of votes at the Tapping polling place in the division of Cowan has identified 200 votes that were attributed to the Liberal candidate originally on election night, and that should instead have been allocated to the Greens candidate," AEC state manager Marie Neilson said. "Let's see if we can't have a conscience vote in the Parliament first-off," he said when asked about the issue on Monday. Mr Shorten is coming under pressure to show his hand after refusing to answer questions during the election campaign on how the opposition would respond to the Coalition's plebiscite, insisting Labor would form government and promising legislation to reform the Marriage Act within 100 days of the new Parliament. The path to same-sex marriage remains uncertain, with Opposition Leader Bill Shorten seemingly open to blocking the Coalition's plebiscite legislation and suggesting Labor might seek a conscience vote in Parliament first. "What is the case for $160 million to be spent on a taxpayer-funded opinion poll, which the hard right of the Liberal Party said they're not going to be bound by anyway?" Bill Shorten has urged Malcolm Turnbull to stick to his own views on same-sex marriage. Credit:Glenn Hunt Mr Shorten said the Australian people appreciate authenticity and would respect Prime Minister Malcolm Turnbull "if he stuck to his own views before he became Liberal leader". Mr Turnbull was an ardent critic of the plebiscite proposal before becoming Prime Minister, and has continued to concede there are arguments against it, but defends it as a government policy he inherited from former prime minister Tony Abbott. "I think the nation, the people of Australia, made it clear they want the Parliament to work," Mr Shorten said. "I think it would be a lot more practical and common sense to have a vote in the Parliament and be done with the issue and then we can get on with the other big issues, which are out there too." A post-mortem is needed for police to determine whether the death of a man found on the steps of a Perth church is suspicious. Police were called to the Beaufort Street church just after 9am on Sunday and found the body of man, believed to be in his 40s. The man's body was found on Beaufort Street near the metrochurch building. Credit:www.metrochurch.org.au A spokesman said a post-mortem was required to ascertain the cause of death. - AAP Patrick Norman Pat Chapman is a 34-year-old, Caucasian male who was last known to be in Piedmont which is near the area of Greenville, Missouri on May 10, 2020. Pat had stayed the night with a friend and his wife at their home. In the early morning when the friend woke to go to work. Pat was gone in his own Burgundy color 1995 Ford Escort. That is the last anyone was known to have seen him. The vehicle was later recovered on May 29, 2020 in Mill Spring, Missouri. The crowd during the Wisconsin Entrepreneur's Conference at the Alliant Energy Center in Madison. Officials leave a press conference at the Wisconsin Government Accountability Board, which disbanded at the end of June to be replaced by partisan-controlled boards covering elections and ethics. RACINE A 43-year-old Racine man was arrested Friday night for making online threats against law enforcement officials only a day after five Dallas police officers were killed by a sniper. Racine Police said Byron L. Cowan, 43, of Racine, was arrested by detectives and local FBI personnel after he allegedly posted threats against police officers and their families on social media. Cowan faces pending felony charges of threats to injure law enforcement as a hate crime, and felony terroristic threats, police said. One of the posted threats from Cowan, who is black, encouraged black men across America to arm themselves and for every white officer to kiss there (sic) loved ones goodbye. This is war; were (sic) tired, Cowan is alleged to have written. Racine police investigators learned that the subject posting the threats might live in Racine, police said. Police also received tips from concerned citizens and other law enforcement agencies across the country regarding the threats, police said. Upon further investigation, police determined that Cowan lived in Racine. Further posts and comments made by Cowan reiterated the threats made in the initial post, police said. Members of the Racine Police Department Detective Bureau, assisted by the local FBI task force, located and detained Cowan without incident at his home, police said. Cowan was transported to the Police Department. After consulting with the Racine County District Attorney, Cowan was held on the pending felony charges, police said. Cowan was in the Racine County Jail Friday night, jail records showed. While threatened with the use deadly force against the law enforcement community at-large within hours of the assassination of five officers in Dallas, local officers and investigators demonstrated a high degree of professionalism and great restraint in peacefully taking the subject of these threats into custody without incident, said Racine Police Chief Art Howell. I would like to personally thank each and every one who supported our department in providing the critical and timely information that enabled our members to bring this matter to a peaceful resolution. If you have an event you'd like to list on the site, submit it now! Submit A West Side strip mall is getting a makeover, and when its completed, getting a cup of coffee will be a bit more convenient. The Starbucks coffee shop at the corner of South Gammon Road and Gammon Place across from West Towne Mall will soon have a drive-thru. The project, which has closed the business during construction, is part of a $650,000 renovation project on the 10,730-square-foot retail center that also is home to 2nd Wind Exercise Equipment, Scottrade and a Stanton Optical. However, that cost does not include what Starbucks will spend to upgrade the interior of its store, said Jeff Kraemer of Kraemer Development. We basically demolished their entire store, Kraemer said last week. Its a complete new store for them. Work on the center, constructed in 2001, includes renovating the buildings exterior, creating an improved outdoor patio and a drive-thru lane that wraps around the shopping center. The drive-thru window will be located on the south side of the building and the main entrance shifted 15 feet to the south to allow direct access into the coffee shop with the kitchen, service line and seating area shifted from the north side of the space to the south side. As part of the construction, Starbucks will rebuild the inside of their store to align with the new sales and service window, Kraemer wrote to the city. This major renovation is viewed by Starbucks as a new store and thus every aspect of the interior will be rebuilt. Stanton Optical, Scottrade and 2nd Wind Exercise Equipment remain open during the construction. Starbucks is scheduled to reopen in late August, which would mean it would be ready when school resumes in early September at nearby Memorial High School. The improvements to the shopping centers exterior also are meant to better connect the property with the high volume of traffic that passes by on South Gammon Road each day. Future tenants of the property also could have an option of creating an entrance to their businesses from the South Gammon Road side of the building compared to the existing design where the only entrances are on the opposite side of the building adjacent to the parking lot. In addition, improvements to the Stanton Optical space will create a more interactive space and create more visibility into the eye lab area that currently is not visible from the street, said Kraemer, who bought the property in 2012. Slanted windows are being replaced, roof lines are being raised and 2nd Wind will get a larger storefront facing the parking lot. The project also includes new landscaping and exterior lighting, he said. Willy Co-op bond drive hits halfway point: The remodeling of what will become the third Willy Street Co-op is underway on the North Side, but another project of equal importance for the remodel has reached the halfway point. A $1.5 million bond drive to support the renovation of the 20,000-square-foot space that had been home to Pierces Northside Market has raised $751,000, according to an update posted June 30 on the co-ops website. Pierces, 2817 N. Sherman Ave., closed the first week of May, which has left the neighborhood without a full-service grocery store. The co-op is scheduled to open in August in the Northside Town Center once the $2.7 million remodeling project is completed. The co-op announced in January that it was considering the North Side after officials with Pierces said they were not going to renew their 10-year lease. Pierces opened in 2006, three years after Kohls closed a nearby 50,000-square-foot grocery store. The North Side store is about twice the size of the co-ops Madison and Middleton stores, but because of the lower incomes in the neighborhood, it will offer a section of lower-priced foods not typically found in the co-ops other stores. The bond drive allows co-op owners to buy the zero-coupon bonds at $500 each up to a maximum of $50,000. At maturation, a three-year bond will pay $531, a five-year bond $566 and a seven-year bond $615, according to the website. A similar bond drive was held in 2010 to help finance the opening of Willy West in Middleton. That drive raised $1 million. A new North Woods tourist destination for anglers: Wisconsin has no shortage of businesses that serve the fishing industry. They include the Uncle Josh Fishing Bait Co. in Fort Atkinson, founded in 1922; Musky Innovations, a musky bait company in Cleveland north of Sheboygan; and, for the walleye angler, Big Eye Custom Lures in Green Bay. Gollon Bait & Fish Farm in Dodgeville is one of the states largest wholesale bait dealers, boat motors are made at Mercury Marine on the south end of Lake Winnebago in Fond du Lac, and Musky Hunter Magazine is published in St. Germain in Vilas County. When it comes to fishing rods, one of the biggest is St. Croix Rods in Park Falls, which last month began offering tours of its manufacturing facility. The company has had a popular retail store attached to its factory for years, but now anglers will get a peek behind the curtain to see how their favorite sticks are made. Founded in 1948 to manufacture jointed bamboo fishing poles for a Minneapolis hardware store chain, St. Croix has grown to become the largest manufacturer of fishing rods in North America. Their products are used by fresh and saltwater anglers and for fly and ice fishing. Many of their rods cost more than $150, with some exceeding $500. Tours are free and are held daily at 10 a.m. through Sept. 30 and on Monday, Wednesday and Friday from Oct. 1 to April 30. The tours last about 90 minutes with a minimum of four people required and a maximum of 10 people. No reservation will be accepted after 3 p.m. the day prior to a tour and no camera or video equipment is allowed. For more information on the tours, go to www.stcroixrods.com or call 800-826-7042. Three juveniles charged with robbing same Paducah store twice in one day By West Kentucky Star Staff Jul. 11, 2016 | 09:34 AM | MCCRACKEN COUNTY, KY Three people were arrested on drug charges after a search warrant was carried out in McCracken County late last week. According to the McCracken County Sheriff's Office, detectives made the arrests Thursday after a search warrant was executed at a home in the 12,000 block of Blandville Road. During a search of the home, deputies said they seized methamphetamine and marijuana as well as a .45 caliber handgun and ammunition. The two residents of the home, 41-year-old Christopher Woods and 52-year-old Christine Woods, were both arrested. Christopher Woods was charged with possession of methamphetamine and possession of drug paraphernalia. Police said he's a convicted felon and had been released on bond for several drug and gun charges. Christine Woods was charged with possession of methamphetamine, possession of marijuana and possession of drug paraphernalia. Deputies said 19-year-old Mathew Moss of Kevil was arrested on a bench warrant. All three were lodged in the McCracken County Regional Jail. Email To : Multiple e-mail addresses must be separated with a comma character(maximum 200 characters) Email To is required. Your Full Name: (optional) Your Email Address: Your Email Address is required. Distraught by a Facebook post showing a young black man dying after being shot by police, Kiela Doss decided to make a post of her own. The result: a crowd of more than 200 Black Lives Matter protesters marching on State Street on Sunday in Madison, taking their cause to the steps of the state Capitol and to the doorway of the Dane County Jail. Participants voiced their angst after another week of racially tinged bloodshed left Americans seeking answers and in some cases, choosing sides. Black Lives Matter is the movement that arose after the fatal shooting of Trayvon Martin in Sanford, Florida, and garnered greater attention for its protests of the police shooting death of Michael Brown in Ferguson, Missouri, on Aug. 9, 2014, and supporters have renewed their nationwide protests in recent days. The catalyst this time was the fatal police shootings last week of two black men: Alton Sterling in Baton Rouge, Louisiana, and Philando Castile in a St. Paul, Minnesota, suburb. Another tragedy quickly followed: a sniper, Micah Xavier Johnson, shot and killed five police officers in Dallas on Thursday night as they protected Black Lives Matter protesters holding an event there. Saturday night in Baton Rouge, a Black Lives Matter event led to arrests and confrontations between police and protesters. A day later in Madison a city that has faced its share of recent controversy with the use of police force the march unfolded peacefully. Protesters filed down State Street from the UW-Madison campus to the state Capitol, waving signs and chanting, Hey hey, ho ho, these racist cops have got to go, and Black lives matter! They marched through Madisons Art Fair on the Square, where many fairgoers responded with approving nods and waves. Madison police officers escorted protesters as they continued to the Dane County Public Safety Building Jail. There, they took turns using a megaphone to voice their concerns about racism and use of police force. The events organizer was 20-year-old Doss, of Beloit, who told the Wisconsin State Journal she had no experience organizing protests. Upset by recent events including the Castile incident, made famous when his girlfriend filmed the aftermath of the shooting and broadcast it on Facebook Live Doss said she told herself: This has to stop. So Doss created a Facebook page to promote her call for a march in Madison. Watching it unfold in front of the state Capitol, Doss acknowledged it got much bigger than she expected. Doss, who is black, also made a point to explain her view of Black Lives Matter. Everybody thinks that just because people are saying, Black Lives Matter, that were saying we just matter. Honestly, everybodys lives matter. But when we say that, were saying it as in: thats whats going on. We matter, too, Doss said. One of the speakers who took the megaphone was Kaba Bah of Madison. He urged people to use cellphones to film police if they see officers using excessive force. Its been happening forever, Bah said. The only difference now is because we have video cameras to prove it. Its the challenge of this generation to make sure this isnt passed along to the next generation. As the march was about to begin, UW-Madison student Rachel Johnson stood among the protesters holding her 5-year-old son, Travis Johnson. Travis held a sign that said Black Lives Matter. Rachel Johnson said the recent violence made her feel angry and sad. On Sunday, it made her feel motivated to do something. We dont plan to stop until theres actual change, Johnson said. Local groups announced Sunday night that another demonstration calling for racial justice is to be held at 8 a.m. Monday in front of the Downtown headquarters of Madison police at 211 S. Carroll St. Members of Freedom Inc., the Young Gifted and Black Coalition, Groundwork and SURJ Showing Up for Racial Justice, an organization for white racial justice demonstrators said a crowd would gather in front of the police station to put a stop to business as usual and call for black community control of police. The local event will be one of several coordinated protest actions happening across the country Monday and throughout the week, the groups said in a statement. [Editor's note: This story has been corrected to reflect the circumstances of Trayvon Martin's shooting.] By West Kentucky Star Staff Jul. 10, 2016 | 11:46 AM | MARSHALL COUNTY, KY A Mississippi man faces DUI and endangerment charges following a one-vehicle crash on Saturday morning in Marshall County. The Marshall County Sheriff's Office said Marshall County 911 received a call around 12:35 am about an intoxicated man leaving a home on Little Bear Highway with a six-year-old child in the vehicle with him. A deputy met the man's vehicle on Little Bear Highway and immediately turned around to stop it. When the deputy rounded a curve to catch up with the vehicle, he discovered that the man had lost control and rolled his vehicle. The six-year-old child was reportedly standing in the middle of the roadway unharmed. Police said the driver was also unharmed. After reportedly failing field sobriety tests, the driver, 44-year-old Jeffrey Crawford, of Hernando, MS, was arrested and charged with operating a motor vehicle under the influence with aggravated circumstances and 2nd degree wanton endangerment. He was lodged in the Marshall County Detention Center. By West Kentucky Star Staff Jul. 11, 2016 | 09:04 AM | CALLOWAY COUNTY, KY A three-county pursuit Sunday led to the arrest of a Hopkinsville man wanted in several western Kentucky counties. The Calloway County Sheriff's Office said they received a call Sunday of a possible burglary in progress at 30 Lane Street in Dexter. Deputies arrived to identify a man leaving the home in a vehicle matching the callers description. The man refused to stop and led deputies into Marshall County, across the Egners Ferry Bridge into Trigg County. The pursuit ended shortly after crossing the bridge when the driver, 48-year-old Joe Noel, of Hopkinsville, crossed into the westbound lanes of Hwy. 68/80 against oncoming traffic. Calloway County Sheriff Sam Steger authorized the use of a Precision Immobilization Technique (PIT) maneuver to stop the threat to oncoming vehicles. Noels vehicle came to rest in the median of Hwy. 68/80 without injury to anyone including himself or the deputies. Noel was wanted by the Trigg County Sheriffs Office for the theft of the vehicle in which he was eluding law enforcement and on other related charges. Noel was also wanted for thefts in Calloway, Caldwell, Todd, Livingston and Graves counties. He also had warrants out of Montgomery County TN. Noel was charged with 2nd degree burglary, receiving stolen property under $10,000, four counts of 1st degree wanton endangerment, fleeing or evading police, possession of methamphetamine and possession of drug paraphernalia. He was transported to the Christian County Jail. The investigation is ongoing in conjunction with other law enforcement agencies in Western Kentucky and West Tennessee. Advertisement By West Kentucky Star Staff Jul. 09, 2016 | MAYFIELD, KY By West Kentucky Star Staff Jul. 09, 2016 | 09:14 AM | MAYFIELD, KY Police have re-captured escaped inmate Capus Adams. Lyon County Judge-Executive Wade White told West Kentucky Star that Adams was found Monday morning by the Hopkins County Sheriff's Department, after multiple agencies searched for him over the weekend. A search that included scent-tracking dogs on Saturday night and into Sunday lost Adams' scent in a wooded area of Lyon County, and was called off. Officials believed all along that he might be headed back to Hopkins County, since Adams is from Morton's Gap. Original Story: Kentucky State Police are searching for a man who escaped from a Mayfield jail on Thursday. The Graves County Restricted Custody Center contacted KSP at 11:17 pm, saying 28-year-old Capus Cain Adams of Morton's Gap walked away from the facility and jumped over a fence to escape. Adams is described as a white male, six feet tall, with a bald head and brown eyes. Adams was last seen wearing a grey t-shirt and red/white striped jail pants at the time of his escape. Adams was serving time for tampering with physical evidence, theft by unlawful taking, and receiving stolen property. Adams may be headed back to the Morton's Gap area of Kentucky. If anyone has any contact with Adams, contact the Kentucky State Police Post 1 in Mayfield at 1-800-222-5555. By West Kentucky Star Staff Jul. 10, 2016 | 03:19 PM | MARSHALL COUNTY, KY A wreck Saturday morning in Marshall County left a Benton man injured and his girlfriend facing drug and gun charges. According to the Marshall County Sheriff's Office, the crash happened around 2:25 am in the 7000 block of Aurora Highway. When deputies arrived, they found the driver, 38-year-old Michael Glover of Benton, out of the vehicle but with injuries to his head and face. He was transported to Marshall County Hospital and later transferred to Skyline Medical Center in Nashville for treatment of his injuries. Deputies said impairment is suspected as the cause of the crash and charges are pending. Deputies then went to Glover's girlfriend's home at 238 Tanglewood Estates with some of his belongings. Upon their arrival at the home, deputies allegedly saw two marijuana plants growing next to the driveway. The owner of the home, 47-year-old Kimberly K. Alexander of Benton, denied knowing about the plants and gave deputies consent to search the home. During the search, police found a small bag of marijuana, pipes and other drug paraphernalia, as well as a 9 mm rifle. Alexander was arrested and charged with cultivating marijuana under five plants, possession of drug paraphernalia and possession of a firearm by a convicted felon. She was lodged in the Marshall County Detention Center. By The Associated Press Jul. 11, 2016 | 08:59 AM | BAGHDAD, IRAQ Defense Secretary Ash Carter says the U.S. will send 560 more troops to Iraq. Carter says the new forces will help establish a newly retaken air base as a staging hub for long-awaited battle to recapture Mosul from Islamic State militants. Carter made the announcement Monday during a visit to Iraq. A senior defense official says it's not clear when U.S. advisers will begin accompanying battalions closer to the battlefront. But it could be in the coming weeks and months. The U.S. official says a team of American troops went into Qayara for a quick site assessment Sunday and left. Crews have started work on a new home for the Verona Area Community Theater after a lengthy fundraising drive boosted by an anonymous donors gift. A $1.7 million project to build the facility at 103 Lincoln St. broke ground last week, with work expected to wrap up in January, said Terry Dvorak, president of the VACT board of directors and head of its childrens programs. The building will house the organizations theater programs, rehearsals and some small performances in a space that can fit an audience of up to 150 people. The theater company will continue to stage its eight larger performances each year at Verona High School. Once built, the facility will be a big step up from VACTs current home a space on the citys south side Dvorak said she could only describe as a big-ol tool shed. The construction is the culmination of fundraising efforts that started in 2014. In December 2015, an anonymous donor offered up to $100,000 in a matching gift to support the project, which Dvorak said helped spur more donations. The donations just started coming back in again, Dvorak said, and the entire gift was used. We kind of had a dry spell for a while because a lot of people had already given it re-generated some of those same people to give again. VACT will continue raising money to pay off a mortgage on the new building, she said. But seeing work start on the facility is a dream come true, Dvorak said. We never doubted that it would happen eventually, but we are beyond excited that we ... can finally start making it happen, she said. Dvorak said the new facility will also be available for recitals, programs and meetings put on by other organizations, such as the nearby Verona Fire Department. Its a building that we want to share, she said. Q Under the civil service overhaul, why did the number of days an employee can fail to show up for work without notifying a supervisor change from five to three? A During the overhaul, lawmakers deemed the five consecutive day rule to be unreasonable, said Steven Michels, communications director for the Wisconsin Department of Administration. That provision, Michels said, is called job abandonment. Under the old law, job abandonment was defined as if an employee didnt show up to work or notify their supervisor of the absence for five consecutive days. Under the new law, the standard is three days within a calendar year. Michels said under the new policy, any time an employee does not show up or call in to their supervisor the employee will be disciplined. If it happens three times, the employee is considered to have resigned or likely has reached termination under discipline rules. There are some instances, however, where an absence without notice happens because of something catastrophic. In those cases, Michels said the state would consider extenuating circumstances before making a discipline decision. State employees got their first look at these type of changes late last month. The new rules govern the states civil service system after lawmakers overhauled hiring, firing and promotion earlier this year. The new laws take effect this month, but agencies have until Sept. 1 to enforce the new rules. Send questions to: justaskus@madison.com; Just Ask Us, P.O. Box 8058, Madison, WI 53708. The year-end celebrity death roundups will fail to note, but we have lost the contrary farmer, Gene Logsdon. Fortunately he leaves for us an accumulation of books (including The Contrary Farmer) and other writings so highly stacked it would jam the beaters of a medium-sized manure spreader, an image to which I believe he would not object. After all, this is a man who wrote a book called Holy Sh*t only without the asterisk. He ran into difficulty during promotional tours for that one because they wouldnt let him say the title on the radio, a truly stinky irony when you consider all the other things you might hear on the radio. When my wife and I were revving up to raise our own pigs, I spent a lot of time studying Genes instructive All Flesh Is Grass, and in fact kept it handy in the bathroom. I sent him a photo of the book beside the toilet, and he got a charge out of that. My wife and I visited Gene and his wife Carol at their Ohio farm once. For me this was a true celebrity moment, as I still remember reading Genes column in the Farm Journal when I was a kid. Gene would have barked with laughter at my awe, as he was the least star-like fellow. Instead he took us tromping around the acreage and put me at my ease with stories about corn pickers and buck sheep. For a while Gene and I traded letters. I re-read them this week. We shared our thoughts on irrigation, lambing, country music, talk radio, chickens, religion, catalpa tree fence posts, pig fences and writing. For all the fine farming advice Gene gave me (and the world) I think it was those conversations centered around our shared (and largely accidental) work as self-employed writers I cherished most. We were both surprised and delighted to be allowed to type for our supper, and to meet someone else who approached it with agrarian intent: Just put your boots on and keep shoveling (the metaphor extends itself). In fact, Genes output shamed mine: I had been whining about some authorial struggle when my wife pointed to a list of Genes books, and said, Hes writing the pants off you! Gene also out-farmed me. Ive dabbled, but over time other pursuits have reduced me to running a few chickens, and I havent had pigs now for some years. But I still read Genes work. His Small-Scale Grain Raising is sown with far more than planting advice. As an admirer of both men, I nonetheless like to think that Gene Logsdonwith his earthiness, his happy cussedness, his prose a reflection of his twinkling eyes, his willingness to bull forward between moments of reflection was Wendell Berry for us sinners and underachievers skulking around there in the back pews. Finally, as I look at my wife and the lines I have put on her face, I close with respect for Carol, who knew the writer and the farmer as the man he was. Hey there, time traveller! This article was published 11/07/2016 (2299 days ago), so information in it may no longer be current. The developers of a possible condo or apartment block in St. Norbert are hoping to bring different generations together into one modern, French-style building. Construction of Terrasse Boisjoli is set for either fall 2016 or spring 2017 at the corner of Ducharme Avenue and Pembina Highway, said developer Alex Boersma. The five-storey development will consist of 40 one- and two-bedroom suites, a fitness centre, a heated parking garage and commercial space. It will also boast public green space. This is the first project Boersma and his business partner, Ryan Ridge, are working on together after working independently and for different companies in Manitoba. Boersma said St. Norbert appealed to them as a beautiful community with a lot of history. SUPPLIED The Terrasse Boisjoli development in St. Norbert is located near Boisjoli Creek and a railway line, which presented a number of challenges to the projects architects. One of our Realtors brought it to our attention, and we really like the creek; we like St. Norbert, Boersma said. Its really hard to find land that makes sense at a price that makes sense, especially for people starting out, like us. While the properties were exactly what they wanted, the setbacks they came with werent. The architects, Jordy Craddock and Colin Neufeld of 5468796 Architecture, said the nearby railroad tracks and creek, named after the Boisjoli family who lived in St. Norbert for years, gave them a few challenges in designing the structure. Craddock said it was one of the more complicated sites hes had to work with. The creek serves as a major drainage-way for southwest Winnipeg, and they had to work with the city to make sure their design didnt disturb its flow. New guidelines for construction near train tracks also posed a problem. The city recently adopted new guidelines for CN, and those guidelines required certain setbacks from buildings and were designed to ensure the safety in case of any derailment, Craddock said. Basically, CN requires a crash wall actually built into the building. Of the other options, more setback lines and berms, Craddock said the crash wall built into the parking-garage part of the building made the most sense. With that easement from the waterway, we had to sort of opt for that crash wall, which is a bit more of an urban gesture, he said. Terrasse Boisjoli is the latest in a series of recent multi-family housing projects by different developers, kick-started by Sherwood Developments completion of the Renaissance condos at 3420 Pembina Hwy., seven years ago. It was the first condo development since the late 1970s or early 1980s, said Norm Gousseau, CEO of Enterprises Riel, the economic development agency for bilingual districts in Winnipeg, including St. Boniface, St. Vital and St. Norbert. St. Norbert has urban-building-design guidelines requiring developers to use some elements of Franco-Manitoban architecture. Janice Lukes, city councillor for South Winnipeg St. Norbert, said she thinks Craddock and Neufeld did a good job of following the guidelines while incorporating modern, creative architecture styles. What theyve done is theyve taken those principles of the mansard roof, and theyve funked it up, Lukes said. Its not your classic, traditional French. Its nouveau-Parisienne (new Parisian) because theyve kind of made the whole building the mansard roof, and theyve angled it, and theyve tweaked it. Lukes was at an open house the architects held last month and said she thinks the residents who attended are excited for the new development, especially a possible coffee shop that could fill the commercial space on the main level. Theres only two places in St. Norbert to go for coffee one is the Subway and one is the St. Norbert Hotel, she said. The St. Norbert Hotel has fabulous coffee but its always so busy there that its always packed. So they really feel theres enough demand, and I completely agree, to have another coffee shop in town. Boersma is hoping Terrasse Boisjoli will attract a wide range of tenants, from university students to empty nesters. Ive been reading a little bit about buildings where they have a mixture of millennials and generation X and everyone in between, and I like the sense of community a building like that can create, Boersma said. Lukes said with the recent condo and apartment boom in the south end of the city, a development such as Terrasse Boisjoli is a necessary addition to the community. There is a tremendous demand in south Winnipeg for units this size because of the university, because theres a lot of out of town kids moving into the city, she said. Boersma wouldnt disclose the price tag on building the development and said he wants to work with a property manager to figure out how much they should charge tenants. files from Murray McNeill bailey.hildebrand@freepress.mb.ca Hey there, time traveller! This article was published 11/07/2016 (2299 days ago), so information in it may no longer be current. Its a playbook that worked with Pabst Blue Ribbon: breathe new life into a moribund brand by cultivating hipster credentials. Now, the investors who helped revive Pabst beer are taking Hostess Brands public, banking on introducing a new generation of consumers to Twinkies, the companys most famous baked product. Metropoulos & Co., the private-equity firm founded by billionaire C. Dean Metropoulos, made a killing on Pabst, netting a profit of more than US$450 million four years after the investment firm acquired the brewing company. Sales climbed steadily as the new owners cultivated the brands aura, sponsoring concerts and burlesque festivals and selling PBR trucker hats and jackets. That deft marketing helped transform the light lager into the brew of choice for millions of young image-conscious American beer drinkers. Can Twinkies also be cool again? Its a risky bet. The yellow sponge cake tube, first introduced in 1930, is a North American pop-culture icon. But nostalgia for the sugar-filled, artificially coloured snack may go only so far with todays health-conscious customers. The new owners are fighting gravitational forces in the world of food that are very different than the beer market, said Allen Adamson, former North American chairman of the branding company Landor Associates. With Twinkies, they have to get people to consume a product that is bucking all the health trends. Metropoulos and Apollo Global Management, which bought Hostess in 2013, are betting good money on the future of Twinkies. And theyre now joining forces with other investors to take the sweet-snack maker public. Twinkies will be the centerpiece of the companys portfolio, including new varieties to be rolled out later this year. Frozen fried Twinkies anyone? Hostess has incredible brand power, William Toler, the chief executive officer of Hostess said on a conference call said. It has an amazing emotional connection with consumers. Hostess has been close to extinction twice in the last decade as consumers turned away from many of the grocery staples that long dominated shelves. Processed sugar, in particular, has been branded a health bogeyman. Hostess emerged from bankruptcy in 2009, then went under again roughly three years later. Much to the horror of junk-food aficionados, Twinkies disappeared from store shelves for about seven months after its then-owner filed for bankruptcy in 2012 and planned to liquidate the company, spurring laments from fans who worried the iconic cakes were gone for good. Apollo and Metropoulos rode to the rescue the following year, paying about US$410 million for the brand. The new management has slashed jobs and transportation costs and boosted distribution since taking over. To help keep trucking costs down, Hostess more than doubled the average shelf life of its products to 65 days. A long-held urban legend has it that chemical-laden Twinkies could stay fresh for years, if not decades. They are, however, fresh baked goods that use natural ingredients as preservatives, according to Hostess. Hostess sales plummeted when Twinkies disappeared from stores but have rebounded under the new owners to roughly US$650 million in 2015 and are forecast to grow 11 per cent to US$722 million this year, according to a company presentation. Hostess is counting on Twinkies strong brand sparking a youth rebellion to the healthy-food movement as it moves toward a public offering later this year. A brand like Twinkies, that has achieved a certain pop-culture status, might have enough cache for customers to set aside their sugar aversion. They get a lot of press for being extremely unhealthy, said Emily Balsamo, an analyst at the research firm Euromonitor. Theres a trend that kind of indulgence. Bloomberg News Hey there, time traveller! This article was published 11/07/2016 (2299 days ago), so information in it may no longer be current. A plan for mandatory, annual inspections of rooming houses should be ready for implementation by the fall. While the deaths of two people in a rooming house fire last week revealed the lack of any requirements for mandatory inspections, Winston Yee, the citys manager of bylaw enforcement, told a civic committee Monday he has been working with the Winnipeg Fire Paramedic Service since late April for a way to co-ordinate inspections by fire inspectors and bylaw officers. We are looking a current measures we have in place, as well as regulatory tools available to us and opportunities we can leverage existing measures as well as resources, Yee told councillors on the protection, community services and parks committee. ARLEA ASHCROFT photo Above left: Officials investigate at the rooming house at 186 Austin St. North Thursday. Above right: The rooming house well involved with flames early Thursday. Yee said he could have a plan ready for the committees consideration within 90 days. Earlier in the day, Point Douglas community activist Sel Burrows said the deaths of two people in last weeks fire on Austin Street North might have been prevented had the building been inspected for fire safety violations. These are vulnerable people, Burrows said of rooming house residents. Its easy for the city to ignore them, their safety and their needs. Burrows had originally planned to appear before the protection, community services and parks committee to demand annual inspections to ensure rooming houses comply with the citys Neighbourhood Livability bylaw. The proposal for the inspections originated from Couns. Ross Eadie and Janice Lukes, who proposed at an April council meeting that city officials do what it takes to ensure rooming houses are inspected annually. But Lukes admitted she was originally referring only to livability standards, adding she believed that rooming houses were already inspected annually by fire inspectors. In the wake of the Austin Street fire, city officials acknowledged there are no mandatory inspections of rooming house. Eadie said he was pleased with Yees timeline and urged him to report back to the committee sooner if possible. We all understand the need to get something moving along here as soon as we can, Eadie said City officials confirmed that inspections for livability standards only occur on complaints or when new owners apply for a licence. The city has 176 licensed rooming houses and another 469 facilities that had been single family homes or duplexes that have been converted into triplexes or four-plexes. Rooming houses and converted residences used to be inspected for living standard violations every two years but, when the livability bylaw came into effect in 2015, those inspections were eliminated in favour of a complaint-based process. The Winnipeg Fire Paramedic Services committed to inspecting rooming houses and other converted residential dwellings on an annual basis but has been unable to do all 645 buildings. Yee said that while it took two months for the proposal to get to the committee, his staff have been working on a plan during that time. JOE BRYKSA / WINNIPEG FREE PRESS Police have a large perimeter set up around a double fatal house fire at 186 Austin St N as fire officials investigate-The fire started at aprx 130 am this morning.July 07, 2016 -(Breaking News) Janet Bier, director of fire prevention, told reporters that fire inspectors managed to inspect 557 of the 645 buildings last year. Bier said the WFPS charges owners of industrial buildings an inspection fee and added that, as Burrows suggested, extending that fee to rooming house owners would help generate necessary revenue to hire more inspectors. Bier said the Austin Street rooming house was last inspected in February 2014 and it was fully compliant then. Burrows dismissed suggestions that the city doesnt have enough staff to do inspections, saying staffing levels are a deliberate administrative decision. I cant tell (city officials) how to do their job, but I can tell them they should be doing their job, Burrows told reporters. Burrows said most rooming house owners are responsible individuals but added that there are often bylaw breaches with those properties. While he and others can spot problems with the outside of rooming houses, Burrows said he cant get inside, which is a strong reason for annual inspections. While arson is suspected as the cause of the Austin Street fire, Burrows said inspections could have ensured that the building had working fire alarms and fire extinguishers. aldo.santin@freepress.mb.ca Hey there, time traveller! This article was published 11/07/2016 (2299 days ago), so information in it may no longer be current. A former Canadian Forces reservist is sorry for luring a 13-year-old girl into an explicit online sexual relationship. Kyle Hudak told provincial court Judge Ryan Rolston during sentencing on Monday that he thinks about what he did often during sleepless nights and other times. I loathe the senseless man I once was, the 24-year-old Hudak said. (I have) tremendous guilt, shame and anguish I face the consequences of my actions knowing I can give closure to my victim and her family. Rolston reserved his decision until next month. During the first part of the sentencing last month, court was told Hudak, who at the time was an engineer primarily based with 38 Combat Engineers Battalion in Winnipeg, found the girl on an online site for people 17 years of age and over in 2014. During the two months Hudak interacted with the girl online, he convinced her they were in a master and slave relationship and persuaded her to carry out sexually demeaning demands online. But defence counsel Saul Simmonds said the pair did not meet in person, because Hudak chickened out the day they were to get together. Simmonds said Hudak suffered anxiety, depression, an Internet addiction, and since his arrest he has contemplated suicide. He is receiving counselling. Simmonds said while the Crown is asking for a six-year sentence for the offence, he asked the judge to impose a sentence of around two years so Hudak could get an additional three years of probation. Im not asking you to pin a medal on Mr. Hudaks chest but anything in a provincial term or bottom end of a federal term would allow three years of probation. Simmonds said Hudak didnt know the girl was only 13 until after RCMP arrested him in front of his fellow soldiers at CFB Shilo in March 2014. But he had learned during the weeks corresponding with her online she was under 17 years of age. kevin.rollason@freepress.mb.ca Opinion Hey there, time traveller! This article was published 11/07/2016 (2299 days ago), so information in it may no longer be current. Imagine moving thousands of kilometres to Winnipeg in November from a place where the average temperature is 27 C. Emita Mahamat knows what thats like. At the end of 2007, she moved here from Lagos, Nigeria with her husband, Donah, and their children. ZACHARY PRONG / WINNIPEG FREE PRESS Emita Mahamat, 32, volunteers at the Canadian Muslim Womens Institute. It was cold, (and) it was scary, recalls Mahamat, 32. I had no idea how people dress. I had four kids at that time, and I had no experience (with) dressing them and going out. I kept just crying. The Canadian Muslim Womens Institute is one of the resources Mahamat accessed as she was adjusting to life in Canada. The organization promotes and empowers refugee and newcomer women and their families by offering a variety of support groups, an employment referral service, a small pantry for clients in high-need circumstances, clothing and more. Mahamat first got involved with the institute by attending its Moms and Tots program. All the people coming here are newcomers, so it kind of felt like home, she says. I felt blessed that something like this (exists). Mahamat now volunteers at the institute three times a week, sometimes carrying six-month-old Azayne, the youngest of her six children, in a sling on her back while she works. I have the time to (volunteer) because Im home, she says, adding her volunteerism is an expression of her appreciation for those people who helped her get established in Winnipeg. Why not come out and do something to give back? When volunteering at the institute, Mahamat does a variety of jobs. She sorts donations of clothing and household items and helps newcomers find what they need. She also cleans the office, works at the reception desk occasionally and uses her Arabic-language skills to translate. I enjoy my time all the time when I come here, she says. Coming here, seeing people and meeting new people who come here just makes my day. Mahamat appreciates it when the relationships she forms extend beyond the institute. Occasionally, when she is out and about, she will run into someone she assisted there. It was cold, (and) it was scary. I had no idea how people dress. I had four kids at that time, and I had no experience (with) dressing them and going out. I kept just crying They know that I did help them maybe with translating or that kind of thing, she says. Mahamat is a great help, says Diane ONeil, the institutes executive director. Shes such a nice person, ONeil says. Shes absolutely delightful. Shes a hard worker, (and) shes good to work with. When we need assistance at the front when its very busy, shes right there, assisting the clients coming in. Mahamat is one of more than 60 volunteers the institute relies on, and ONeil is currently looking for more people to help. Anyone interested should call 204-943-8539 or email ONeil at dianeoneil@cmwi.ca. Being the mother of six children and volunteering at the institute keeps Mahamat busy, but she hopes to return to school one day to become a teacher. I didnt get the opportunity to go to school when I (was) young, she says. My goal is to be educated. If you know a special volunteer, please contact aaron.epp@gmail.com. IRON RIDGE For decades, the only instances of trouble at the Neda Mine in Dodge County consisted of the occasional party or group of campers who were able to make their way through the gates. That changed Sunday evening when the disappearance of three area boys prompted a massive search, creating a sense of uneasiness in this small rural community. Up to 100 volunteers from public safety agencies around the state, including the Madison and Milwaukee fire departments, assisted in the search of the abandoned iron mine Sunday evening and Monday morning. It was to a relieved audience that Dodge County Sheriff Dale Schmidt announced the boy's safe recovery at a news conference Monday afternoon. Schmidt said Tate Rose and Zachary Heron, both 16, and Samuel Lien, 15, decided to explore the mine around 3 p.m. Sunday, entering through a small secondary entrance. The mine is located a few miles north of Iron Ridge, about an hour northeast of Madison. The boys used the flashlight apps on their phones and a lighter to navigate the mine's tunnels but got lost and the devices' batteries and the lighter ran out, Schmidt said. They then hunkered down in one area, sleeping on the tunnel floor in the pitch black. The mine's temperature was about 58 degrees, he said. Around 1 p.m. Monday search teams in the south end of the mine heard weak voices calling for help and found the boys, said Capt. Brian McNulty of the Milwaukee Fire Department. After the three were led out of the mine, emergency medical responders evaluated the boys, who were uninjured except for a few minor bumps and scrapes. They were then released to their parents. While entering the mine is considered trespassing, Schmidt said authorities will wait a few days before speaking with the boys' parents about potential citations or criminal charges. Schmidt described the tunnels as mazelike, saying it's easy to get lost in the tightly packed twists and turns. Charles Young, the Iron Ridge police chief, said although the mine isnt technically in the villages jurisdiction, his department is often called when trespassers are caught. But Young, whos been with the department for 23 years, said there have been few incidents of trespassing in recent years. Years ago, he said, officers would occasionally catch teenagers partying or camping at the site, although very few actually made the trek into the mines tunnels. Since then, though, the site has become overgrown and even more difficult to enter. Many people dont want to risk entering the mine and meeting the bats that roost there in winter or the waste they produce. Im actually surprised they got in that far, Young said of the three boys. The mine was abandoned in 1915, UW-Milwaukee scientist Gretchen Meyer said, and was donated to the university in 1964. Researchers study the colony of about 100,000 bats that winter in the mine, Meyer said. Meyer said this is the first time since she began working for UW-Milwaukee in 1999 that the mine has been searched for missing people. About 20 friends and family of the boys gathered in a staging area near the mine off of Highway 67 after they were found. One vehicle leaving the area had a towel screening off one of the back windows. Family friend Beth Miskimen said the boys were shaken up and appeared cold and dirty. Cole Ropke, a friend of Tates, said the boys were doing well. He said he didnt know if the boys had ever been to the mine before. Tasha Henkel, a friend of Samuels sister, said she heard that the boys were missing at around 7 p.m. Sunday. She said she wasnt too concerned at first, but as the night drew on she, like others in town, became more worried. The Madison Fire Department rescue team arrived at 5 a.m. Monday and began searching the mine. Team members had cleared almost 80 percent of the tunnels before the Milwaukee Fire Department took over around 11 a.m. An area resident told authorities about a secondary entrance, and searchers found a footprint. The boys were found about a half-mile from where their bikes were found. When he asked Tate what he was going to tell his friends about the cave, Schmidt said, the boy replied: Dont go down there. I think they learned a valuable lesson, he said. Hey there, time traveller! This article was published 11/07/2016 (2299 days ago), so information in it may no longer be current. One of South Sudans lost girls who fled with thousands of other children as their villages were attacked and arrived in Winnipeg as a refugee is returning this month to her former country on a mission to empower women. Rebecca Deng is travelling to Bor, South Sudan, where 33 women were massacred in 2013. There she will lay the groundwork for plans to build the Winnipeg Womens Resource Centre. She and other members of Winnipegs Emmanuel Mission Womens Group are establishing a centre in Bor where women can get literacy and job training and nutrition and health-care programs for their children. ZACHARY PRONG / WINNIPEG FREE PRESS Winnipeg resident Rebecca Deng, one of Sudan's "lost girls," receives citizen journalist training from Noah Erenberg (left), a community news associate with the Winnipeg Foundation and Nolan Bicknell, host of River City 360, before her trip back to Bor, South Sudan, in July. Deng and other women from her church plan to build the Winnipeg Womens Resource Centre in Bor in memory of 33 women massacred there in 2013. After a decades-long civil war, winning independence then suffering through another civil war, the only way South Sudan will find peace and success is if women are equipped to play a greater role in building the nation, said Deng. We can do that, she said. Women having a voice in parliament will help things there come into balance, said Deng. This is my hope, my dream. Its the dream of the Emmanuel Mission Womens Group and its members many of whom lost loved ones in the December 2013 massacre at St. Andrews Anglican Church in Bor, a city of about 300,000 people. Most of the women were affected by what happened there, said Deng. We are far away but were still together with them in our hearts, she said. The massacre in Bor was a revenge attack following deadly fighting in the capital Juba, said Deng. Women were running there to pray, thinking that place will be safe. Her aunt, Agot Leek, was one of the women who sought refuge inside the church. She was a mother and she was ill shed had a stroke two years before. She was disabled and elderly, said Deng. Her aunt was among the 33 women slaughtered, along with two church ministers. It was a shock, Deng recalled. She and other South Sudanese women and her faith community raised money for the Red Cross. The Emmanuel Mission Womens Group wanted to do more to find a long-term solution to prevent such violence from happening in Bor again, she said. They decided the best way would be to empower the women of Bor. Theyve fundraised for the Winnipeg Womens Resource Centre in Bor that will be owned and controlled by the women there, said Deng. Its a major undertaking but definitely doable, said the refugee who came to Winnipeg unable to speak English or read or write in her mother tongue. Now a university student and a single mom with two grown kids, Deng works as a security guard and is off to Africa in this month to lay the groundwork for the Winnipeg Womens Resource Centre in Bor. Her first stop is Rwanda for facilitator training in healing and rebuilding communities. Then, shes travelling to South Sudan to meet with women in Bor about the resource centre. Before her trip, she was given training in citizen journalism funded by the Winnipeg Foundation. It will enable her to video-record and document her time in Bor to help with fundraising for the centre when she returns to Canada. Deng hopes they can inspire hope in women who feel powerless in South Sudan. You dont know youre in the dark until you get out. carol.sanders@freepress.mb.ca Opinion Hey there, time traveller! This article was published 11/07/2016 (2299 days ago), so information in it may no longer be current. Ezra, the Hebrew word for help can take many forms including making donations, volunteering ones time or offering advice. Recently, some members of Winnipegs Jewish community have gone over and above, offering ezra to bring Yazidi refugees to safety by committing to help privately sponsor seven Yazidi families and raising awareness about their persecution locally and nationally. Yazidi refugees are a Kurdish religious minority living predominantly in northern Iraq. Historically threatened for their unique religious beliefs, the Islamic State has violently targeted them for being non-believers since taking control of the area in 2014. This has resulted in widespread murder, mass forced displacement and the systematic rape and sexual enslavement of Yazidi women and girls. Private sponsors have played a critical role in influencing immigration policy, supporting and promoting the interests of newcomers coming to Canada and those already settled. One of the oldest resettlement agencies in Canada, the Jewish Immigrant Aid Society, was founded in 1922 in Montreal to help other Jewish refugees immigrate. Canadas unique model for privately sponsoring refugees was established in large part because of the advocacy of average Canadians from different backgrounds; groups having the desire to help those in need, and recognizing more needed to be done to supplement government efforts. So it really isnt surprising that today a Jewish community is part of an effort to sponsor refugees. While the long-standing resettlement history of Jewish communities in Canada is characterized by sponsoring thousands of their own, groups are moving their work beyond this. Individuals and agencies are now considering helping refugees who are not friends or family and committing to supporting people from a region thousands of kilometres away marred by violence and extremism and whose culture and religion are often misunderstood. Operation Ezra is an important multi-faith partnership fostered between individuals and agencies within the Jewish community, the Yazidi community and local organizations along a spectrum of different faiths and cultures. It has built on the hard work of individuals concerned about the well-being of others at home and abroad. Organizers have relied on the guidance from long-time sponsorship agreement holder Mennonite Central Committee and former refugees with experience and knowledge that has been critical in submitting the necessary paperwork and identifying the needs of new families once they arrive. Operation Ezra represents a necessary step toward strengthening solidarity with refugees and rising above the hatred and a fear of the other that continues to spread around the world. As some Canadian Jewish groups have recently done with Syrian refugees, members of Winnipegs Jewish community are able to look beyond their differences, distance and politics to see a connection with Yazidis. Both Jews and Yazidis have been victims of genocide and share the experience of governments turning their back on them. Recently, both the United Nations and the federal government acknowledged the actions of IS against the Yazidis as genocide. But the government has yet to make much of a commitment to helping those fortunate enough to flee to refugee camps in neighbouring countries or those remaining in Iraq. After the Holocaust, a strong resistance to resettling Jewish refugees was expressed by the Canadian government that claimed none is too many. The first two families arrive Monday after being delayed by violence in Turkey. Their arrival is a bold expression of the often-ignored pledge of never again; a response to the atrocities committed during the Holocaust and a commitment of the international community for the future. Operation Ezra is the only group in Canada working to privately sponsor Yazidi refugees. This is something that should be praised, but also lamented. Currently, Operation Ezra is campaigning for other Jewish communities in Canada to follow its lead and for the federal government to take action to help resettle more Yazidis. More grassroots mobilization such as this can serve to increase awareness and tolerance of newcomers and their experiences. Private sponsorship is not without its shortcomings. In fact, the backlog of cases, the extensive, complicated paperwork that goes into a sponsorship application and the lack of communication between government and sponsors are concerning and aggravating. There is frustration with the Canadian government trying to pass the buck on its resettlement responsibilities and non-Syrian refugees being unintentionally sidelined. But it is these challenges that illustrate how hard private sponsors strive to help over and above these setbacks, and how important it is that this work continue. The advocacy, compassion and commitment to helping others demonstrated by Operation Ezra is a positive step in creating a more inclusive and engaged community. Ultimately, we can find something of ourselves in one another; sometimes we just need to open our eyes, our minds and our hearts. Madison Pearlman holds an MSc in refugees and forced migration studies from the University of Oxford and is a second-year law student at the University of Manitoba. Opinion Hey there, time traveller! This article was published 11/07/2016 (2299 days ago), so information in it may no longer be current. The latest argument for limiting medical assistance in dying to those whose deaths are imminent revolves around the subject of vulnerability. Federal Justice Minister Jody Wilson-Raybould has consistently emphasized the need to protect vulnerable persons who are disproportionately at risk of inducement to suicide. In light of the youth suicide crisis in Attiwapiskat and other First Nations communities, there is a growing concern that aboriginal youth will fall victim to the so-called normalization of suicide, especially if assisted death is extended beyond the imminent death criteria. However, the plight of aboriginal youth is completely unrelated to those gravely affected by non-terminal illnesses. To suggest that assisted dying for the latter will detrimentally impact the former is, quite frankly, a baseless claim. Aboriginal suffering is historically based, due largely to racism associated with the Indian Act, the reservation system and abuse at residential schools. With political will and proper resource allocation, aboriginal suffering can be rectified over time once past grievances are addressed fairly. Adrian Wyld / The Canadian Press Minister of Justice and Attorney General of Canada Jody Wilson-Raybould speaks during a news conference in Ottawa, Thursday June 30, 2016. Not so for those stricken with chronic, degenerative illnesses. For example, Julia Lamb, who suffers from spinal muscular atrophy, recently challenged Canadas assisted-dying law because it is too restrictive and arbitrary. With no possible cure in sight, Lamb could suffer needlessly for years or even decades. The same reality awaits those plagued by multiple sclerosis, spinal stenosis, locked-in syndrome, traumatic spinal injury, Parkinsons disease or Huntingtons disease. The Liberal government, however, would have us believe that a slippery slope is inevitable once the criteria for assisted suicide is broadened to include the chronically ill. In defending her position, Wilson-Raybould warns that expanding eligibility would send the wrong message that society feels it is appropriate to address suffering in life by choosing death. This message may encourage some who are in crisis and already considering suicide to act. This assumption is misleading for several reasons. First, the new assisted dying legislation is all about choosing death to alleviate extreme suffering. Bill C-14 stipulates that assisted death is permissible if the illness, disease, disability or the state of decline causes enduring physical or psychological suffering that is intolerable to the individual and cannot be relieved under conditions they consider acceptable. The message this may send to aboriginal people is not disconcerting whenever ones death is imminent, so why would irremediable suffering in non-imminent cases be problematic? Second, if Wilson-Rayboulds claim were even remotely true, then the Liberal government should not have sanctioned attempted suicide, just in case it sent the wrong message to those with suicidal inclinations. After the Liberals decriminalized attempted suicide in 1972, suicide rates did not skyrocket. In fact, they actually declined on a per capita basis from 13.4 per 100,000 in 1972 to 13.0 in 1999. By 2012, the suicide rate had dropped again to 11.3 per 100,000. In other words, there is no cause-and-effect relationship between a more relaxed law and increases in suicide. For aboriginal people, socioeconomic conditions play a more vital role in this regard. What the Liberal government is saying, basically, is that because some cases of assisted dying might negatively influence a vulnerable cohort, such as aboriginal youth, the thousands of people seeking relief from unbearable suffering and for which there is no cure must be denied the right to end their own lives. Legally speaking, one does not deny or severely limit a fundamental right because it might be abused; instead, one does everything in ones power to prevent the abuse. Therefore, the government should stop playing off one vulnerable group those suffering from incurable diseases or ailments against another aboriginal youth and start addressing the needs of both, albeit in different ways. Ironically, by promoting the idea that a more inclusive assisted dying law may encourage vulnerable populations to commit suicide, Wilson-Raybould has only made Bill C-14 more vulnerable to future court challenges. JONATHAN HAYWARD / THE CANADIAN PRESS FILES Julia Lamb is challenging Canada's physician-assisted dying law. Stuart Chambers, PhD, is a professor in the faculties of arts and social sciences at the University of Ottawa. His doctoral dissertation explored the death and dying debate in Canada. schamber@uottawa.ca BISMARCK, N.D. (AP) The North Dakota Farmers Union will fight for better price protections for milk to stimulate the states slumping dairy industry, the groups president said. Mark Watne said the price paid by consumers is not representative of the price paid to producers. The additional cost is added by people beyond the farm gate, he said. Watne said his group has joined farm union groups from Wisconsin, Minnesota, South Dakota and California to lobby lawmakers for a higher price point that will cover the cost of milk and allow dairies to survive. The national average price received by farmers for milk fell to $14.5 per hundred pounds in May compared with $16.8 a year ago and $24.2 per hundred pounds two years ago in May, according to U.S. Agriculture Department data. It doesnt happen overnight, Watne said of getting price protections started. But were out in (Washington) D.C. having those conversations already. The group, which has more than 40,000 members, also wants farmers to have ownership in any new processing plant built in the Upper Midwest to add to their profits as the raw product goes through processing. He points to similar ownership structures in sugar beet processing. The North Dakota Farmers Union led the campaign and spent more than $1 million to reverse the Legislatures decision last year to exempt pork and dairy operations from the states anti-corporate farming law. North Dakota voters overwhelmingly rejected the law last month. State agriculture data show the number of dairy farms in North Dakota has decreased from about 540 in 2002 to fewer than 90 at present. Shortly before the British voted on leaving the European Union, I told a friend of mine that I thought the British would vote to leave the European Union. He thought that they would stay and gave the reasons why they should stay. I lived in London 1967-1969 while serving at a Navy computer center. I came to know and understand the British quite well. I was a bit amazed that they do not have a constitution. Their legal system is based on common law, precedent, and "what is just and right." This means that things are subject to change. The British have a strong sense of fair play, honor and civility. This is demonstrated by the fact that their Prime Minister, David Cameron, resigned because he had championed staying in the European Union. Further, I learned that there were fewer homicides in the entire British nation than in the city of Houston Texas. The British are very proud of their nation, its traditions, and viewing themselves as a very civilized nation, and a leader. The European Union decision to allow free movement of anyone in the European Union to any other nation in the union has brought about much immigration to Britain and many concerns about what has resulted.The British are concerned about jobs, their culture and their traditions. Keep in mind that they have no constitution. The majority of British citizens are not willing to submit to all of the rules of the European Union. Keep in mind that there are about 27 nations in the European Union, each with its owns laws, institutions, language, culture, customs and practices. This is why we have Brexit. TOWN OF HUBBARD Three missing teenagers were located safe and sound at approximately 12:45 p.m. in the mines near the intersection of Neda and Ore roads in the town of Hubbard. According to Dodge County Sheriff Dale Schmidt, Tate Rose16, Zachary Heron16, and Samuel Lein15, were located together and uninjured inside the Neda mines. Schmidt began his 1:45 p.m. press conference by saying, We have some good news. Thankfully we have been able to locate all three teenage boys that we have been looking for today. The boys were reported missing at 9:45 p.m. Sunday night. Iron Ridge Fire Chief Jason Boeck said during a press conference that one of the boys parents stopped at his residence around 9 p.m. on July 10 to report that her son was missing. An officer located the three boys bicycles outside of the Neda Mine at approximately 2 a.m. It was at this point that additional personnel were dispatched, including K-9 units. Schmidt said that by 2:45 a.m. the Iron Ridge Fire Department had been dispatched to search for the boys. The Madison Fire Department began aiding with the search at 5 a.m. and they were joined by the Milwaukee Fire Department at 10 a.m. According to Milwaukee Fire Department Special Operations Division Battalion Chief David Votsis, the Madison Fire Department had successfully explored approximately 75 percent of the mine prior to their arrival. We worked collaboratively with the Madison Fire Department in order to have a successful outcome, Votsis said. Madison Fire Department Assistant Chief Clayton Christianson said We did the search and rescue of the northern portion of the mine which is more of a gridded system. We cleared that within the first hour or two and then we went to a more meandering mine shaft at the south side which has a lot more water (in it). The mine was used for extraction of iron ore from the 1860s through the early 1900s. Although once a multi-million dollar industry, it was closed after its assets were acquired by U.S. Steel around 1915. The mines were supposedly blocked in recent years, although small access was allowed for university and DNR personnel. Mine shafts and tunnels currently serve as bat habitat owned by the University of Wisconsin System. Christianson said that portion of the mine can have up to 8 feet of water in it and the DNR keeps a canoe near the entrance. When they discovered the canoe was still in place they were assured that the boys were not in that part of the mine. One father told officers of an entrance to the mine that the boys might have utilized. Following this tip officers searched in that section of the mine discovered a footprint and later were able to locate the boys. Schmidt said that the boys were treated by EMS for minor scrapes and bruises before being released to their families. We had a great reunion ceremony over at the command post, Schmidt said. Boeck said, We had a small community band together, made up of volunteers, to accomplish this task. We are an exclusively volunteer fire department organization and this wouldnt be possible without them. Schmidt said that he had the opportunity to speak to Tate Rose about the incident. Schmidt said that Rose reported that they boys entered the mine at approximately 3 p.m. the previous day. They had been in there a long time, Schmidt said. The boys had reportedly been exploring the mine. It has nothing to do with any type of an app as was speculated, Schmidt said. They were just on their way from one place to another and decided to take a detour and do some exploring. They went into the mines and they went in a little bit farther and got lost. They got turned around and as a result they were not able to find that same entrance that they went into. They slept in the caves overnight. It is a maze in there, Schmidt said. The boys did not have any food or water with them when they entered the mines. The only light source available to them was the flashlights on their cellphones and a lighter. Schmidt said that the boys attempted to find their way out of the mine until their cellphones died. Once their main light source was gone, the boys stayed in one place and attempted to get some sleep. Schmidt said that the boys did the smart thing by staying together. I do want to put that message out to the public: These mines are on private property. It is posted No Trespassing. I am sure the University of Wisconsin is very interested in keeping people out of these mines and I am sure they will put additional resources into making sure it is a little bit more secure. I really want to stress to everyone to please not go into these mines. They are very dangerous. We were fortunate we were able to find these teenagers when we did. It could have been a totally different outcome and we are glad it was not. Schmidt thanked the Dodge County Sheriffs Office staff, the IT department who assisted by providing maps, Dodge County Administrator Jim Meilke, Dodge County Emergency Management, Dodge County Emergency Response Team, the American Red Cross and a number of community volunteers who assisted in the search and recovery. Boeck reported that 10 different fire departments in Dodge County were actively searching for the boys along with four EMS agencies. Additionally, four squads from Washington County aided in the search. Boeck also thanked the DNR, Wisconsin & Southern Railroad, Wisconsin Red Cross, K-9 SOS, the Wisconsin and Illinois Search and Rescue Team, as well as all the volunteers who aided in the search. MADISON On Monday Governor Scott Walker appointed attorney Martin J. De Vries to serve as a judge on the Dodge County Circuit Court, replacing retiring judge John R. Storck. I am pleased to appoint Martin De Vries as a Dodge County judge, said Governor Walker. His decades of experience, commitment to the rule of law, and service to Dodge County as a municipal court judge will serve him well in his position on the bench. De Vries has been an attorney at Sager & Colwin, S.C. in Fond du Lac since 1999 specializing in municipal liability, insurance employment discrimination and medical malpractice matters. He also serves as a municipal judge in the town of Fox Lake, beginning in 2015. Before his services in Wisconsin, De Vries practiced law in California (from 1989 to 1999). Attorney Sam Kaufman of Vande Zande & Kaufman, LLP wrote in support of De Vries, As a judge, I would expect that attorney De Vries would interpret the law as written and apply the same in a fair manner to all appearing before his court. De Vries is not only respected within his community but among the attorneys within Dodge County. Attorney Charles H. Bohl of Whyte Hirschboeck Dudek S.C. also recommends the appointment of De Vries to the bench by writing, Mr. De Vries has an excellent understanding of Wisconsin law that is well-grounded in practical experience. His value to the court will also be enhanced by the breadth of his professional experiences. In addition to representing parties in civil litigation, he has served the community as a municipal judge. De Vries received his undergraduate degree from Dordt College and his juris doctor degree from Valparaiso University School of Law. He and his wife Karen reside in Randolph. A Madison man was arrested late Saturday after he stabbed a man who had stepped in to keep another man from being stabbed. Police said that Elvin B. Danos, 22, had asked a stranger for a cigarette, but was refused. Danos felt that the language used by the 30-year-old man was disrespectful, police said. The man said Danos chased him with a knife, trying to stab him. A friend of Danos, a 40-year-old man from Oregon, tried to intervene, but was stabbed in the stomach. He told police that the stabbing was accidental. The stabbing victim was treated at a local hospital for injuries not considered life-threatening, Madison police spokesman Joel DeSpain said. The incident happened about 11:55 p.m. in the 500 block of State Street. Danos was jailed on tentative charges of second-degree reckless endangerment, disorderly conduct and resisting or obstructing police. This past weekend America celebrated another year of independence. Two hundred-forty years after her founding, nearly 47 million Americans are not living the American Dream and are not independent. Just over 50 years ago, President Lyndon Johnson announced the War on Poverty. He followed it up with Medicaid, Medicare, greater federal housing spending, and other federal programs to fight poverty. The poverty rate in America in the late 1950s was an astronomical 22 percent. By the time Johnson introduced the battle plan in 1964, the poverty rate already was trending down toward what has seemingly become a permanent rate of 15 percent. More than $22 trillion later, if you are born poor, you are more likely to stay poor. This is not the American Dream. This is the American Trap. There is a Better Way and Paul Ryan is leading the way. Ryans plan is a goal to provide people with the opportunity to get up and out of the system rather than to continually rely on government handouts. Its available online at http://abetterway.speaker.gov. Ryan introduced the House GOPs Better Way plan over the past few weeks with very little fanfare from the national media. The plan covers everything from national security to health care. These are the Republican ideas President Barack Obama so often loves to state the GOP doesnt have. In a presentation demonstrating GOP unity, Ryans proposal kicked off with A Better Way to Fight Poverty. Its a plan that doesnt cut spending, but changes how those dollars are distributed. It doesnt mean we're kicking Grandma out of her Housing and Urban Development-subsidized apartment. The plan calls for targeting needs and delivering services so people are not trapped in this perpetual cycle. It means that someday grandma can retire without having to need government assistance to pay her monthly rent. Today, there are more than 80 programs for low-income individuals. Its a complex maze of benefits and overlapping services that costs more than $1 trillion per year. How much do you want to bet those dollars are heavily invested in the salaries of bureaucrats rather than getting into the hands of people who need the money? In order to be effective, these programs need to be streamlined. The qualifications and benefit matching procedures for each of these 80 programs is a burdensome expense on the system. To see these dollars get into the hands of people who need it, we have to eliminate the government maze of inefficient and duplicative programs. How complicated should it be to identify standard living costs and map out a simple plan for meeting those needs? These programs also should focus more on individual needs and become incentive based. Right now, there are too many Americans taking minimal work out of fear of losing government assistance. People face whats known as the benefits cliff. People who want to work fear losing income when those extra hours or additional $1 per hour raise are not enough to make up for the loss of welfare money. Its a trap that is a disincentive to do better. Ryans plan also addresses several other key issues that lead people into poverty. As married households decline, more people slide into poverty. Nearly 60 percent of families living in poverty are represented by 18- to 35-year-old single parents. That rate drops dramatically when those families have married parents in the house. Of course, there is no incentive to getting married. The report cites Eugene Steuerle of the Urban Institute who says the expanded subsidies and marginal tax rates create a severe penalty, sometimes causing households to lose a dollar or more for every dollar earned and severely penalizing marriage. In other words, cohabitating or not getting married has become the tax shelter of the poor. The report adds that its a government-induced safety net that discourages people from seeking a better, more reliable route to economic stability. There are a number of other aspects of Ryans plan addressing everything from choice in housing to food assistance. It addresses the need for work-capable adults without dependents to prepare for work. Rental assistance should align with other benefits, and there should be incentives for states, employers and individuals to move people out of federal needs programs and out of poverty. It also addresses the need to help poor kids attain more opportunities in education. Independence Day changed how the world is governed and provided a means for the serfs to live happy, sustainable lives free of government intervention. Its time to find a Better Way. A prolific and poisonous plant has made Bill Smiley hesitant to participate in favorite summer activities, like walks in natural areas and picking wild berries. The Portage attorney said hed heard, via a news article, about wild parsnip a tall weed, with yellow-green flowers, that is growing in abundance at this time of year. And he heard that contact with the plant can irritate the skin, resulting in a red streaky rash wherever the sap might come in contact with skin. In the worst cases, the irritation results in huge, sometimes painful blisters and skin discoloration that can last for months or even years. Id rather have poison ivy than this, Smiley said. So far, Smiley said, he hasnt had a close or toxic encounter with wild parsnip. But the sheer abundance of it just look at the ditches on almost any road or highway in rural Columbia County makes him want to sound a note of caution. Smiley is right to be wary, said George Koepp, agriculture agent for the University of Wisconsin-Extension Columbia County. Two things, Koepp said. Dont get the sap on your skin, and stay out of the sun. Wild parsnip (Pastinaca sativa) is a biennial plant. The thickets of weeds that you see today came from seeds dispersed two years ago, Koepp said, which is why the plant seems more abundant in some summers than in others. The aggressive and invasive plant (which originated in Europe and Asia, but whose presence in Wisconsin has been documented since the late 19th century) has stalks that are 4 to 5 feet tall at maturity, with large flat clusters of greenish-yellow flowers on thick stems. If the sap (usually from leaves or stems) comes in contact with bare skin, Koepp said, it should be washed off immediately. But if the affected area is exposed to the sun, he said, rashes and blisters can show up 24 to 48 hours later. Its the exposure to the sun that usually triggers the skin reactions. In Wisconsin, wild parsnip is found mainly along highways, in prairies or in patches bordering farm fields. Town of Courtland farmer Jo Ann Wingers, who also is a Columbia County supervisor, said wild parsnip is everywhere on the farm. Thats why, when any of it touches exposed skin on her arms or hands, she covers the area with the cut-off cuffs from discarded mens socks, to keep the suns rays from hitting the affected skin. Wingers said shes known people whove had to seek medical attention for their wild parsnip rashes; one person she knows is so sensitive to it, just walking near the plant will cause a breakout. Koepp advises anyone engaging in outdoor activities to wear long pants and long-sleeved shirts if they anticipate being around wild parsnip. Columbia County Highway Commissioner Chris Hardy said that same advice applies to highway department workers, who usually mow roadside ditches in open-cab mowers. I wont send a guy home if he doesnt have on a long-sleeve shirt, Hardy said, but I tell them its a good idea. Wingers said she mows wild parsnip whenever she sees it, to lessen the chance of the seeds dispersing. Koepp suggested that other farmers do the same, though he acknowledged that laws requiring the control of noxious weeds are not often or easily enforced. Mowing, he said, will reduce the population for this year, and for two years down the road. However, Koepp urged caution in mowing, to guard against coming into contact with sap. You dont want to go after it, he said, with a weed whacker. Are Columbia Countys public health nurses underpaid? Thats a conversation that Health and Human Services Director Dawn Woodard wanted to start at Thursdays Health and Human Services Board meeting, though the board took no action. The Columbia County budget authorizes five full-time public health nurses, whose tasks include vaccinations, health education and outreach to people such as pregnant women and infants. The outreach includes Columbia Countys Amish population, Woodard noted. But one public health nurses job has been vacant since February. And Woodard said she suspects the starting pay might be one reason why. Columbia Countys job classification and compensation system, put together by the consulting firm Carlson Dettmann, puts the starting hourly pay for public health nurses at $23.45. Woodard had put together comparisons for the starting pay for nurses in surrounding counties, and in Wisconsin counties with similar population to Columbia County. The starting wages that she found for other counties include: Sauk County, $30.20. Marquette County, $24.83. Dodge County, $24.36. Dane County, $30.25. Green Lake County, $23.62. Waupaca County, $22.69. HHS Board Member Cathy Brunt who used to be a public health nurse noted that the job requirements include a four-year college degree. Before the 2011 implementation of Act 10 the law that eliminated nearly all collective bargaining rights for nearly all public employees Columbia Countys public health nurses were represented by the Wisconsin Federation of Nurses and Health Professionals. Brunt said the unions negotiations with the county typically factored in the starting pay for public health nurses in other counties. HHS Board Member Nancy Long of Lodi observed, Its pretty clear that salary is an issue. HHS Board Chairwoman Teresa Sumnicht of Columbus said the county must think in terms of competing to attract and keep employees. People dont stay in jobs for 30 years anymore, she said, so we have to be competitive in some ways. Any possible adjustment in the pay scale would have to be taken to the County Boards Human Resources Committee, which could forward it to Carlson Dettmann for consideration. Carlson Dettmann based the classification and compensation system on detailed job descriptions from county employees, and aimed for Columbia Countys compensation to be at about the middle of comparable public and private sector employers in the area. The United States and Great Britain are suffering through an upsurge of hateful slights and slurs aimed at others people with dark skin or foreign accents or strange clothes. And there is a clear cause behind the episodes in both countries. Call it the Trump Brexit Effect. Politicians on both sides of the Atlantic have given permission for this sort of despicable behavior. They have encouraged and exploited an ancient impulse xenophobia, the fear of foreigners for cynical political advantage. Donald Trump says hell Make America Great Again, but he really wants his supporters to hear that hell Make American White Again. His code words arent even subtle: build the wall, block the Mexicans, bar the Muslims, boot the immigrants. In Great Britain, the victorious Leave campaigners had their own set of signals. Instead of building walls, they want to demolish the bridges that have connected the U.K. to Europe since the end of World War II. The message in both places is the same: Its all right to hate. Cut off the world. Keep out the others. Return to a time when white Christians, especially white Christian men, ran everything. In describing the Brexit vote to the Huffington Post, Paul Bagguley of the Centre for Ethnicity and Racism Studies at Leeds University could have easily been referring to the Trump campaign, too: I think thats given people a sense at which they can express racist ideas and do things they frankly wouldnt do, said Bagguley. It legitimizes people saying things they might keep private, or just between friends and people they trusted. I dont think weve quite seen that before, he added. I think this is more of a kind of celebratory racism. As if its in celebration that white England has finally got something. The latest example of celebratory racism here in the U.S. was the Trump campaign tweeting out a red Star of David, layered over a pile of $100 bills and positioned next to a picture of Hillary Clinton, who was labeled Most Corrupt Candidate Ever! The Trumpists insist the image was benign, but they removed it two hours later; investigative reporters traced its origins to an internet-based movement associated with white nationalism, reported The New York Times. Erick Erickson, a prominent conservative commentator, skewered Trumps attempts to duck responsibility: A Star of David, a pile of cash, and suggestions of corruption. Donald Trump again plays to the white supremacists. The key word is again. Trump has repeatedly played the race card to stir up supporters, going back to the days when he led the birther movement that questioned Barack Obamas credentials to be president. And his vile fear-mongering is filtering down to the playgrounds of America. Columnist Petula Dvorak in The Washington Post tells the story of Evelyn Momplaisir, mother of a brown-skinned third-grader in northern Virginia. I just got a call from my sons teacher, Momplaisir posted on Facebook in March, giving me a heads up that two of his classmates decided to point out the immigrants in the class who would be sent home when Trump becomes president. They singled him out and were pointing and laughing at him as one who would have to leave because of the color of his skin. Similar stories have erupted across Britain since the Brexit vote. The National Police Chiefs Council reports a 57 percent increase in hate crimes. Graffiti scrawled on a Polish community center fulminated, Leave the EU, no more Polish vermin. Conservative politician Sayeeda Warsi told Sky News that human rights activists have told her really disturbing (stories) from people being stopped in the street and saying, look, we voted Leave. Its time for you to leave. All of a sudden, a small group of extremists feel empowered, Joanna Ciechanowska, an activist in Londons large Polish community, told The Guardian. The margins of society feel they can do it because they think they have the support of half the nation. Leaders in both countries must show that the haters are wrong. They should follow the example of David Cameron, the outgoing British prime minister, who vigorously denounced the verbal abuse hurled against individuals because they are members of ethnic minorities. Lets remember these people have come here and made wonderful contributions to our country, he said. We will not stand for hate crimes or these kinds of attacks. They must be stamped out. Cameron is correct. The Trump Brexit Effect violates our most cherished Anglo-American values. It must be stamped out. Wisconsin company wrestles with the FDA over an infant formula Nikos Linardakis says the FDA has stymied efforts that he and James Esselman have made to launch their Bene Baby Co.s product. Many of the tips UW-Madison officials give students to stay safe while theyre studying abroad probably sound familiar. Be aware of your surroundings. Walk in groups. Hang on to bags, purses and other valuables. The same things we would tell a student around here, said Ron Machoian, who manages international safety and security for UW-Madison. The death of UW-Madison sophomore Beau Solomon earlier this month in Rome shocked the campus and raised questions about how safe students are when they go overseas. Solomon was robbed and then was thrown or fell into the Tiber River just hours after hed arrived in the city for a study abroad program. Machoian and others say cases such as Solomons, while tragic and serious, are rare for American students studying abroad. He also questioned whether students are at any greater risk of crime while abroad than they are on their home campuses. There are several threats to students that are greater while abroad UW-Madison recommends students avoid public transportation in some countries, for instance, and gives tips for reducing the risk of being hurt or killed in a terror attack, Machoian said. Danger to students can also rise depending on the political, economic and security situations in the country where theyre studying, warn advocates for greater oversight of international programs. Still, data from UW-Madison indicate the most common threats to students are relatively minor crimes such as robberies that officials note could also happen in Madison. Brooke Appe, a UW-Madison alumna who studied in Buenos Aires, Argentina, said she did not generally feel as safe in the city of nearly 3 million people as she had in Madison. But Appe said she researched safety threats before she left and paid attention to the tips in her orientation. I had that extra awareness that I brought with me, Appe said. Tim Bradley, a partner in the travel security company IMG GlobalSecur, said the question of safety in foreign countries often comes down to knowing the risks and how to reduce them. You can travel safely anywhere, but theres really some common-sense things you have to do, Bradley said. Minor crimes a concern Data provided by UW-Madison showed robberies have been the most common crime committed against the universitys students while abroad in recent years. The numbers reflect on- and off-campus crimes reported to UW officials since 2011 in two of the universitys largest study abroad programs, which together represent nearly 90 percent of students who go abroad. About 2,200 UW-Madison students studied abroad in the most recent year data were available. There were 24 reported robberies of UW students over the five-year period, along with 12 burglaries, eight petty thefts and six sex offenses. During her time in Argentina, Appe said her camera and wallet were stolen during a bus trip, and others in her program were robbed. UWs data show six crimes reported against students in Italy along with Solomons death, there were two robberies, two aggravated assaults and one burglary. Violent crime is rare in an area such as Rome, Bradley said. But muggings, pickpockets, purse thefts and credit card scams are common given the large crowds of tourists in the city, he said. The main crime in Rome and western Europe in general is petty crime, Bradley said. UW-Madison tells students to keep track of their wallets and purses in large crowds to defend against pickpockets, for instance, and to avoid showing signs of wealth such as jewelry or large amounts of cash that could attract unwanted attention. Data not d efinit ive The limited national data that compare the risks college students face abroad and at home doesnt point definitively toward either location being safer. One study released by the Forum on Education Abroad this year found that mortality rates were lower for students who were studying abroad than they were for students at American colleges. The data supports it, Machoian said, citing the study. Youre safer abroad than you are at ... U.S. colleges and universities. But Inside Higher Education reported another study found female students were more likely to be victims of sexual assault while studying abroad in non-English-speaking countries than they were while on domestic campuses. Sheryl Hill, who has criticized universities for not keeping better data on crimes against students in study abroad programs, cited that study to say international programs are more dangerous. Hill also noted that students can face risks just by virtue of being in an unfamiliar foreign country. They dont know where they are, they have no support system, Hill said. It is a lot different. Travel abroad experts say students today are better prepared to face those risks, however, because programs have improved staff training and student orientations in an effort to bolster security. Its not a risk-free undertaking by any means, but we put processes in place to mitigate that risk, just as we do at home, Machoian said. Despite the security concerns on her trip, Appe said going to Buenos Aires built her confidence and helped push her to continue traveling abroad. Its really valuable to be forced outside your comfort zone, she said. Teacher smashes stereotypes The Wits Business School graduate and Soweto teacher, is eyeing the country's top post in education. Joy and excitement was palpable as an entourage arrived on campus. Leading them with a spring in her step was Phathutshedzo Sadiki, a teacher, about to graduate alongside public sector and corporate professionals who were part of her class. Thursday, 7 July was a crowning moment for the Soweto teacher who had successfully completed the demanding Postgraduate Diploma in Management (PDM) specialising in monitoring and evaluation. The PDM is offered by the Wits Business School. Her choice of study continues to puzzle many; however, she laughs it off. This is part of her plan as she wants to play a bigger role in influencing the education system in the country. I was only a year into my teaching career when I began to feel restless and unhappy about some aspects of our education system, says Sadiki. She cites the various policy changes in education, which were poorly implemented and monitored, as one of the factors that prompted her studies in monitoring and evaluation. Her goal is to play a more strategic role at provincial or national level. Her determination speaks for itself. Sadiki lifted herself from poverty when she enrolled for a teaching degree in 2008 after two years at home. When she completed her matric in 2005 her dreams to study further were thwarted due to funds. Fortunately, the Funza Lushaka Bursary provided by the government to promote teaching as a profession opened the gates for her. The physics and mathematical science teacher admits that teaching was not her first choice. She envisioned herself in biomedical technology or analytical chemistry as she excelled in math and physics. However, this all changed in her first year of study. I fell in love with the teaching profession as we went through the course material at the Wits School of Education. This love deeply anchored itself when I went for my first teaching practical in first year. Teaching also helped her discover herself, she says. Growing up Sadiki was shy and would not participate in class unless a teacher pointed at her. This is something many would find hard to believe, as she oozes confidence. Its quite ironic that I speak in front of people every day now! Teaching also assisted her to secure her brothers future. As a third year student, Sadiki saved the wages from a tutoring job to pay for her brothers upfront registration fee. Her hard work paid off when her brother graduated with a Diploma in Computer Science from Tshwane University of Technology. Her mother, who was present at the graduation, was beaming. She never had the chance to finish school and her late husband only reached matric. Today her house has two graduates. Although she could not afford the fees, her daughter thanks her for her support during all these years. Personal sacrifices have been made on this journey. Sadiki self-funded her PDM studies on a teachers salary while some of her classmates in the public and corporate sector received support from their employers. I sacrificed buying a car and a house, because I know that if I invest in my education I can buy an even better and bigger house once I have reached my destination. I did a cost benefit analysis and education made sense. I prize personal growth over material growth, says the spirited teacher. Although her studies required that she attends class twice a week after hours, this however, did not affect her commitment to her learners. Proof of this is the string of congratulatory text messages that come through her phone during the interview. The Letsibogo Girls High School teacher smashes all stereotypes about educators. With two qualifications in her bag, Sadiki is preparing to read for her masters, thereafter, a doctorate. The African experience of Doctors without Borders at Wits Wits hosted the MSF Scientific Day Southern Africa 2016 in association with Medecins Sans Frontieres (MSF)/Doctor Without Borders Southern Africa. In Rustenburg, South Africa, 25% of women have been raped in their lifetime. In Lesotho, despite demand for contraceptive implants, access to family planning is limited because the Roman Catholic Church funds the health facilities and forbids contraception. In Mozambique, 58% of sex workers are HIV+ and most of them are 27-years-old. In Liberia, 34% of Ebola survivors suffered depression and Post-Traumatic-Stress-Disorder. These and other findings were revealed in presentations made at the MSF Scientific Day Southern Africa 2016 hosted at the School of Public Health in the Faculty of Health Sciences at Wits on 9 June 2016. Prof. Laetitia Rispel, Head of the School of Public Health, said the choice of venue was appropriate as the School is a lived space for gatherings that enhance Wits as an enabling environment for research and teaching excellence. In light of our national and global responsibility to serve and elevate public health academic endeavours, the Wits School of Public Health congratulates MSF on its inaugural operational research symposium, she said. This symposium aims to improve the quality of medical care, share knowledge and influence practice, with a particular focus on the medical humanitarian field. The topics were aligned to both the research and teaching endeavours of the School. Professor Martin Veller, Dean of the Faculty of Health Sciences delivered the introductory address. Professor Ashraf Coovadia, Principal Specialist in the Department of Paediatrics and Child Health at the University chaired the panel in session 1, entitled Reaching 90-90-90: What does MSF have to say? 90-90-90 is a UNAIDS objective that, by 2020, 90% of all people living with HIV will know their status, 90% of those diagnosed will receive sustained anti-retroviral treatment (ART), and 90% of those will have viral suppression. Abstracts presented within this theme explored early access to ART in Swaziland; the role of community ART groups in Mozambique; implementing viral load monitoring in Lesotho, Malawi, Mozambique and Zimbabwe; and the impact of treatment-resistant TB in South Africa. Session 2, entitled Confronting womens health challenges featured abstracts on HIV testing strategies for sex workers in Mozambique; treatment services for survivors of sexual violence and rape in Rustenburg; the impact of mothers self-screening for malnutrition in Niger; and addressing maternal mortality through family planning in Lesotho. The third session focused on providing healthcare to migrants and refugees (specifically in Europe), while session four abstracts focussed on new strains, drugs, and apps in infectious diseases, and included a case study of a new strain of meningitis in Nigeria. MSF Scientific Days aim to connect audiences across countries, organisations, specialties, and disciplines and to promote dialogue around the evidence underpinning medical humanitarian operations. This engagement helps guide MSF operations, influence policy, and ultimately improve the quality of care for patients. The most sacred currency: Life Professor Glenda Gray tells Health Sciences graduates that medical history can be made in South Africa by doctors at Wits. Gray is President of the South African Medical Research Council. She is a Wits alumna and a Professor of Paediatrics at the University. As a childrens doctor and a scientist she has pioneered research into the treatment of HIV treatment over 30 years, particularly in mother-to-child transmission. When I first started treating children in South Africa with ARVs, I saw them go to school, get a Matric and go to university and get degrees. And thats why Im so proud to be a doctor in South Africa. Youre doing something in the most sacred currency: Life. When Gray graduated from Wits Medical School in 1986, she had heard of this new disease: The slim disease they called it, but HIV was theoretical, she recalls. It was only in 1988 that I came face to face with HIV. This face was that of a white male who was a formidable, brilliant surgeon. He was the first person I met who was HIV positive. He died a year after I left the ICU at Baragwanath Hospital, in 1989 seven years before drugs became available, says Gray. In the 1990s the HIV war-zone changed focus, to children at Baragwanath Hospital where Gray worked. She co-founded and led the Perinatal HIV Research Unit at the hospital and began researching treatment as well as practicing as a doctor. Faced with HIV infection with infants, I designed a study to prevent mother-to-child transmission through breast feeding, she says. The research was controversial but ground-breaking and it demonstrated that early initiation of treatment reduced infection in infants. It led to women being able to make an informed choice about either breast-feeding or opting for forumula. It changed behaviour, says Gray. Gray began studying vaccines for HIV in the mid-2000s. She wants a vaccine developed by African scientists in Africa and she hopes it comes out of Wits. The clinical development of an HIV vaccine remains my dream, she says. Our Medical School is one of the greatest on the continent a trailblazer, she says, adding that the first black female doctor, Mary Susan Malahlela, graduated from Wits 70 years ago. Education is the strongest weapon with which to change the world, says Gray, quoting Nelson Mandela. From Wits you are very well equipped with that education. Gray concedes that the spectrum of disease today differs from when she graduated. However, the burden of disease remains huge and unequal. We need new minds and new innovations to address the collision of communicable and non-communicable diseases. We need to find solutions locally that can have global impact, she says. You will deal with people who will die. You will diagnose promising young people with cancer, but you will also breathe life into people and give them treatment so that they can return to their families. Gray spoke at the graduation ceremony for the Faculty of Health Sciences on 5 July 2016. BERLIN On a trip to the beach, a German friend recently saw two teenage Afghan refugee boys stare in shock at female bathers in scanty bikinis. She overheard one youth agitatedly ask the German volunteer accompanying him: Where are their fathers? Where are their fathers? The good news is that the boy spoke German and had a German friend who could explain the culture gap between Afghanistan and Europe. The bad news is obvious: Germany has an overwhelming task trying to integrate many of the million or so Muslim migrants who arrived in 2015. And a debate has gone public over a subject that was once considered unmentionable in public here: whether Islamic precepts are compatible with the West. A sizable number of migrants are from the educated middle class, especially those arriving from Syria, around 40 percent of the total. But many others are young men from poorer backgrounds in Syria, Iraq or Afghanistan. They were sent ahead to establish a family beachhead in Europe or escape the army. A good number are unaccompanied minors. Many Germans not just members of the anti-immigration or populist movements worry that such youths are tempting targets for Islamists. They also wonder whether the gap between Germany society and conservative Arab Muslim cultures may be too wide to bridge. Fear toward Islam is increasing in Germany, says Thomas Volk, coordinator on Islam and religious dialogue at the Konrad Adenauer Foundation. Fifty-seven percent of the non-Muslim population thinks Islam is dangerous or very dangerous. In May a poll showed that 60 percent think Islam doesnt belong to Germany. Those polled dont distinguish between Islamist ideology and the Muslim religion, says Volk. The German publics warmth toward refugees faltered after a New Years Eve episode in Cologne, where hundreds of young Arab men accosted German women during street celebrations. The men were mostly North African, not war refugees, and many were here illegally. Yet this awful event also spurred a necessary public debate about how to integrate the newcomers quickly and how to avoid the emergence of Arab Muslim ghettos, or parallel societies, as the Germans call them, where Islamists or criminal gangs could take root. The government now sees providing jobs for immigrants as the main antidote to Islamization. But it appears to be paying less attention to another critical issue: Who will fund the mosques and imams that serve the new influx of Muslims? About two-thirds of Germanys roughly 4 million Muslims (5 percent of the population) are of Turkish descent, and Turkeys religious ministry provides around 900 imams. This arrangement was long viewed as satisfactory until the government of President Recep Tayyip Erdogan began Islamacizing what had been a secular country. However, the new immigrants are largely though not all Arabic speakers. The German press reported last year that Saudi Arabia offered to build 200 mosques in Germany for the newcomers (the Saudis have denied this), which sparked controversy. In an astonishingly frank interview with the German newspaper Bild am Sonntag in December, Vice Chancellor Sigmar Gabriel warned: We have to make clear to the Saudis that the time of looking away is over. Wahhabi (Islamic fundamentalist) mosques all over the world are financed by Saudi Arabia. But a proposal by the conservative Christian Social Union party that Germany ban foreign funding of mosques and train its own imams gained little traction. This is a hot-button issue that wont go away. Four theological schools have begun training German-speaking imams, leading some to hope Germany can produce a unique variant of European Islam that emphasizes tolerance and the peaceful aspects of the religion. But the largest Turkish Muslim association in Germany has made clear it will not accept the newly minted imams. It is also unclear whether they would be welcomed in mosques created for Arabic newcomers. They might still prove immensely valuable in teaching European Islam in schools, since religious education is required in Germany. Official Catholic, Protestant and Jewish religious bodies now oversee training of teachers who give religious instruction in public schools. But no one organization speaks for all Muslims in Germany. Maybe the way is to emphasize training in schools, says Deniz Nergiz, a Turkish-German expert on integration, where these new theologians would teach, but would not be in the mosques. The question of how to ease young migrants into German society and culture touches on the nerves of those who fear youths may be easy targets for radical proselytizers outside of school. Puritan salafi Muslims of whom there are said to be about 9,000 in Germany have been caught trying to infiltrate refugee shelters. We are afraid of recruitment, particularly among unaccompanied minors, says Deidre Berger, the Berlin representative of the American Jewish Committee which has concerns about threats to Jewish life in Germany. Can the Afghan boys on the beach find a way into German society where they can practice their faith but dont feel alienated by their surroundings? And can the debate over clashing cultures be addressed without encouraging a racist backlash that alienates those whom Germany is trying to integrate? At this point no one can say. Wits honours frog lover Carruthers has built a remarkable career as a wildlife author and environmental consultant. Wits University has awarded a Gold Medal to Vincent Carruthers in recognition of his contribution in the fields of zoology and ecology. Carruthers has been credited with catapulting the frogs of southern Africa into the limelight through his work. He and Neville Passmore collaborated to produce the first reliable, scientifically valid, and beautifully and clearly illustrated field guide to the frog fauna of South Africa. The book, South African Frogs (Wits Press 1979) in set a world standard. Over the years Carruthers has expanded on this legacy and is credited with being one of the few people who, through his studies, recognised early that amphibians play a role as biological indicators of climate change and wetland health. Through meticulous research, scholarship and outreach as a citizen scientist, Carruthers has made a valuable and outstanding contribution to our knowledge and understanding of many aspects of the South African natural environment. He is surely deserving of the University Gold Medal for his remarkable work, achievements and his influence, states the citation read when the medal was awarded. The medal was presented at the Faculty of Humanities graduation ceremony on Monday, 4 July 2016. In his acceptance speech, the environmentalist thanked the University for the honour, adding: I am very proud to be associated with such an eminent institution at the forefront of scholarship and political and economic life of the country. Carruthers, whose relationship with the University began in 1979, reflected on the role played by the University through the years. Wits has earned its place, as each decade placed challenging demands on the Universitys capacity to survive and to thrive but thrive it has. It has become a critical pillar of wisdom in these complex times. Carruthers showed his mettle when he called on the University and the graduands to break the boundaries between the humanities and science disciplines. The solutions to todays challenges can only be solved by interdisciplinary teams who realise the value and contribution of other fields, he emphasised. Over four decades, Carruthers has built a remarkable career as a wildlife author and environmental consultant and his origins in this field distinguish him. His early academic pursuits in the field of commerce stand in stark contrast to his later career of an environmental consultant. In the course of his environmental career, Carruthers has received awards that recognise his leadership. These include the Chancellors Medal from North-West University (2013); the Zoological Society of South Africas Stevenson-Hamilton Medal, for his exceptional amateur scientific endeavours(1989); the Paul Harris Fellowship from the Rotary Foundation (2009); Certificate of Merit from the Transvaal Herpetological Association (1990); and honorary life memberships of, to give just one example, the Mountain Club of South Africa. He is an outstanding facilitator and has assisted a number of organisations, including Wits University, the South African National Parks, and the former Transvaal Division of Nature Conservation with management, research, and structural issues. Under his leadership as executive director (1982-1985), the Wildlife Society of Southern Africa experienced its peak years as a player in the environmental arena. Recognising the value of responsible tourism, Carruthers and his partners established the Sustainable Tourism Research Institute of Southern Africa (STRISA) in 1998. STRISA focusses on community-driven small and medium enterprise projects in rural areas, focusing on Limpopo Province. Conectas is hiring Conectas Human Rights is seeking a short-term consultant to conduct a research about alternatives of institutional design for a grievance mechanism in the New Development Bank (BRICS Bank). The research is hosted under the Business and Human Rights Project of the organization, which aims at strengthening corporate accountability and liability mechanisms for human rights violations committed by the private sector, in particular by Brazilian companies operating in Brazil and abroad. Conectas Human Rights Conectas Human Rights is a non-profit organization founded in 2001, with an international mandate to strengthen human rights voices within the global south (Africa, Latin America, and Asia). Development Finance and Human Rights Conectas monitors and conducts advocacy activities aimed at enhancing the accountability of development finance institutions and promoting reforms in the institutional and regulatory framework that governs these organizations. We believe that the fundamental goal of all development financing institutions should be the pursuit of sustainable development that is inclusive and grounded in the protection of human rights. The BRICS New Development Bank At the 5th BRICS Summit, held in Durban, in South Africa, leaders of the BRICS countries (Brazil, Russia, India, China, South Africa) announced the creation of a new Multilateral Development Bank (MDB), intended primarily to fund infrastructure projects in emerging and developing countries. In their 6th Summit, in Fortaleza, Brasil, the BRICS signed the constitutive agreement of the New Development Bank, with the mission of mobilizing resources to infrastructure and sustainable developments in the developing world. Requirements: Strong knowledge of human rights and development finance Demonstrable experience with human rights litigation before domestic courts, preferably in cases involving corporate-related human rights abuses Familiarity with extrajudicial mechanism for remediation of human rights violations Demonstrable experience in developing academic research and/or technical reports Fluency in English Comfort working remotely (eventually could work at Conectas office, if based in Sao Paulo, Brazil) Capable to consistently produce deliverables according to deadlines Detail-oriented Scope of Work Over the period of two months, the researcher will: 1. Conduct research on the state of the art of extrajudicial mechanisms for remediation of human rights violations Using primary and secondary sources, to evaluate the performance of Independent Accountability Mechanisms (IAMs) of Development Finance Institutions (DFI), through the analysis of quantitative and qualitative data (such as number of cases filed with the IAMs, outcomes etc.). Using primary and secondary sources, to assess the performance of other extrajudicial mechanisms for redress of human rights violations, such as the OECD National Contact Points (NCP) and private companies Ombudsmen. Identify key applicable international standards (such as the UN Guiding Principles on Business and Human Rights). By 25 August, provide a partial report. 2. Develop case studies of infrastructure projects handled by IAMs and other extrajudicial mechanisms (e.g. National Contact Points) Develop in-depth case studies of at least five (5) cases of violations related to infrastructure projects that were taken to IAMs and/or other extrajudicial mechanisms. Conduct interviews with different actors involved in the cases. 3. Produce a final report with guidelines for the NDB grievance mechanism Elaborate a background paper (15-20 pages, including graphics and images) and a policy paper (max. 5 pages). The policy paper is due on 20 September. Questions that should guide the proposal include: What should be the role of a grievance mechanism of a Multilateral Development Bank (MDB)? Which responsibilities should be assigned to an MDB grievance mechanism and which should be left for local judiciary systems, the international human rights protection mechanisms and other avenues for remediation of human rights violations? What are the basic principles, institutional features and procedural aspects of an effective and human rights-oriented grievance mechanism? Develop a cross-cutting analysis that emerges from the comparative studies, surveys, in-depth case studies and interviews. Work with the Business and Human Rights project team to refine a final proposal based on feedback of experts. Remuneration: The total remuneration is US$2400, to be provided in two installments. The first installment will be provided upon the signature of the contract, and the second installment will be provided following the completion of all remaining items in the scope of work. The entire time span for the contract will be two months. To Apply: Please send a CV and cover letter to rh@conectas.org. Please fill the Subject field (only) with EDH011016. Is inspiration contagious? From inspired to inspiring: William & Mary psychology professor Todd Thrash recently conducted research that shows inspiration is contagious from writer to reader. Photo by Stephen Salpukas Photo - of - Hide Caption A quick Google search for the word contagious yields a collection of not-so-pleasant news headlines. But a recent study by Todd Thrash, associate professor of psychology at William & Mary, notes that contagion can also be used to refer to the spread of something much sweeter: inspiration. The feeling of being inspired is sometimes presumed to just be a feeling and nothing more, he said. But my data indicate that it is consequential. If you are feeling inspired, you are in fact in the kind of state in which you can produce a text that may have a similar effect on other people. His study, which was published in The Journal of Personality and Social Psychology in April, is the first scientific study to test this theory. Though the idea, Thrash notes, isnt new; theorists in the humanities have been hypothesizing about inspiration contagion for centuries. One of the main contributions of this work is that it bridges ideas of the humanities with methods of science, said Thrash, who co-authored the study with Laura Maruskin '10, Emil Moldovan '14, Victoria Oleynick '15, and Will Belzak '17. People in the humanities have been talking about inspiration contagion but have never had a rigorous way to test their ideas. Meanwhile, scientists have had the methods but havent taken on some of these big, timeless questions. So Ive seen my role as a scientist as connecting these different worlds. An inspired endeavor Thrash first began pondering the phenomenon of inspiration as a graduate student. His early research involved linking inspiration to creativity, an often debated topic in the humanities, as some argue that creativity is more a product of perspiration, or plain old hard work. While Thrash believes both play a role, the power of inspiration is too significant to overlook. Writers who are more inspired report that their ideas came to them more fully formed; they write more efficiently; and they use shorter words all suggesting a swift articulation of ideas while they are fresh in the minds eye, said Thrash in his study. Only recently has Thrash begun focusing on the spread of inspiration from writer to reader, after encountering several hypotheses from poets and theorists dating as far back as Plato, who posited that inspiration flowed from the Muse (the daughter of the goddess of Memory) to poets, to performers, to audiences. There hasnt really been a way to test this until now, because so many different factors are in play, said Thrash. Inspiration could depend on the writer, the reader, and the different personalities of these individuals. I was in a position to get a large sample of writers, a large sample of readers, and was able to make use of new statistical techniques that allow a large number of variables to be taken into account. The science of contagion Thrash divided his research into two parts, utilizing two groups of around 200 William & Mary students one to write poetry, the other to read it and asked each group to self-report their levels of inspiration. The writers were also asked to evaluate factors such as the amount of effort put into their work, variables related to inspiration, such as feelings of awe, excitement and determination, and feelings typically not associated with inspiration, such as nervousness and fear. The readers were similarly asked about their levels of awe and excitement, nervousness and fear, and whether they got chills while reading each students poem. Awe and chills are both closely related to inspiration, said Thrash. The poet Housman said that he knew he had a good idea when he cut himself shaving because if he had an idea while shaving hed get goosebumps. Theres anecdotal evidence about the role of chills in the creative process but very little research on the topic. Noting the inherent differences in individuals, Thrash also evaluated the personalities of readers, to see whether those who exhibit certain traits were more or less likely to become inspired by a poem. You can explain a lot more of the reader response if you take into account the readers personality, he said. In particular its people high in the trait of openness to experience who are prone to becoming inspired. So we found that the writers who are more inspired write things that are more inspiring to the average reader, but this effect is driven by readers who are high in openness. Thrash also notes that, while those who are more open tend to become more inspired by what they read, theyre only inspired if the text is of high quality. Using a panel of advanced English and American literature students, Thrash had each students poem evaluated on qualities such as originality, pleasantness, rhythm, insightfulness and clarity. Inspired writers wrote things that were of higher quality across the board, but only certain characteristics then explain why readers are inspired, said Thrash. In particular its insightfulness and pleasantness. The more inspired writers wrote things that were more insightful and pleasant and these texts were also more inspiring. On the other hand, some qualities of poems written by inspired writers had the opposite effect on the reader. Inspired writers generated original ideas, but original ideas weren't inspiring to the average reader. The reason is that when we see something original, we often feel like its the property of the person who wrote it, said Thrash. Thrash found that the work of writers who reported being inspired during the writing process produced an overall higher quality poem that was more likely to be rated as inspiring by readers than work created by non-inspired writers. Beyond words While Thrashs research focused on poetry, the concept of inspiration contagion could potentially transfer to different types of creative mediums as well and, he notes, the statistical techniques he used here could be used to study inspiration contagion in all of its possibilities. Going back to Plato, he talked about inspiration being transmitted from poets to actors to audiences, he said. So thats not just transmission through the written word but also a person-to-person transfer of inspiration. Thats an interesting concept: If pastors, professors, or leaders of companies were more inspired, would they be more inspiring to their congregation, students or employees? I would suspect the answer is yes in many different areas of life. China News on Women Sorry, the page you requested was not found. If you're having trouble locating a destination on Womenofchina.cn, try visiting the Womenofchina Home page First criticality for Indian reactor 11 July 2016 Share Kudankulam unit 2 has achieved first criticality. India's 22nd nuclear power reactor is expected to be synchronized to the country's southern grid within 30 to 45 days. Celebrating first criticality at Kudankulam 2 (Image: NPCIL) Construction of the Russian-designed VVER-1000 reactor was completed at the Tamil Nadu site in July 2015, and it was loaded with its first fuel in May 2016. Following the completion of safety tests, Nuclear Power Corporation of India Ltd (NPCIL) began the process of approaching criticality on 8 July by diluting neutron-absorbing boric acid in the primary coolant water. A controlled self-sustaining nuclear fission chain reaction - or criticality - was attained on 10 July at 8:56 pm, NPCIL said. The reactor's power will now be increased in stages, in line with procedural and regulatory requirements. It will be synchronized to the grid when output reaches about 400 MWe. Kudankulam 2 is the second of two AES-92 VVER-1000 reactors supplied by Russia's Atomstroyexport under a Russian-financed contract. The two Kudankulam units have been built by NPCIL and also commissioned and operated by NPCIL under IAEA safeguards, with supervision from Russian specialists. Enriched uranium fuel for the entire life of the plant is to be supplied by Russia. Construction of the Kudankulam units began in 2002. Kudankulam 1 achieved first criticality in mid-2013 and entered commercial operation in December 2014. The Kudankulam units are India's first pressurized water reactors to enter operation and are the largest reactors in the country. With the exception of two boiling water reactors at Tarapur, the rest of India's operating nuclear fleet are pressurized heavy water reactors (PHWR). India currently has four PHWR units and one fast breeder reactor under construction, with plans for construction to begin on over 20 units over the next few years. These could include Westinghouse-designed AP1000 reactors and Areva EPRs as well as Russian VVERs and indigenous PHWR designs. Two further AES-92 VVER-1000s are planned for construction at Kudankulam, with longer-term plans for up to 12 Russian reactors. Earlier this year Russian President Vladimir Putin said negotiations for Kudankulam 3 and 4 were at an advanced stage. India's prime minister, Narendra Modi, welcomed Kudankulam 2's first criticality via Twitter. "Second reactor at Kudankulam became critical yesterday. This is a piece of great news, which makes us happy," he tweeted. "I congratulate the atomic energy scientists, both from Indian and the Russian side for this major technological success," he said. Researched and written by World Nuclear News Related topics Russia and Bolivia strengthen nuclear training and public acceptance 11 July 2016 Share Rosatom and the ministry of hydrocarbons and energy of Bolivia have signed a memorandum on personnel training and education in nuclear power, and a separate memorandum to cooperate on public acceptance projects. Announcing the agreements today, the Russian state nuclear corporation said the framework for cooperation had thus been set in two key areas of Bolivia's nuclear power program. The agreements were signed by Rosatom's director general for development and international business, Kirill Komarov, and the Bolivian Minister of Hydrocarbons and Energy, Luis Alberto Sanchez, in the presence of Bolivias vice president, Alvaro Garcia Linera. Bolivian president Evo Morales announced last October that a nuclear technology research and development centre is to be constructed in the Bolivian city of El Alto with Russian assistance. The centre - which will cost $300 million and be built within four years - would have a cyclotron radiotherapy facility, a multi-purpose gamma radiation facility and a nuclear research reactor. Komarov said in Rosatom's statement today that public acceptance is one of the key conditions for implementation of a country's national nuclear program. "The Centre for Nuclear Research and Technology will be for the benefit of Bolivian society," he said, "and so it is important that information on a nuclear technology project is factual, open and accessible." He added: "Rosatom has accumulated vast experience in working with people in regions where nuclear infrastructure facilities are being constructed, both in Russia and abroad. We are ready to assist Bolivia and to share best practices that will help [them] ensure the absolute safety of a project - for the environment and the population - and to see the full benefits of the Centres start of operations." The two countries have also signed an agreement on the development of project facilities at the State University of El Alto. The document was signed by the president of JSC Rusatom Overseas Inc, Eugene Pakermanov, and the CEO of the Bolivian Atomic Energy Agency, Silverio Rios Chavez. The agreement relates to construction of the Centre and the key conditions for commercial contracts to be signed this year, Rosatom said. The Centre will establish Bolivia's production of radioisotopes for their widespread use in the diagnosis and treatment of cancer, thus increasing the availability of nuclear medicine to the Bolivian population, it added. The use of nuclear technology will also enable Bolivia to control agricultural pests and bacteria, increasing the shelf life of food products and increasing the country's agricultural exports. Russia provides 20% of all operating research reactors in the world - 120 research reactors in more than 20 countries, including China, the Czech Republic, Egypt, Germany, Hungary and Vietnam, Rosatom said. Researched and written by World Nuclear News Related topics Email Sign Up For Our Free Weekly Newsletter Hannah Dwyer Sign Up Free | The WPJ Weekly Newsletter Relevant real estate news. Actionable market intelligence. Right to your inbox every week. Go Thank you for your interest! You will now be receiving our Weekly Real Estate Newsletter. Real Estate Listings Showcase According to global real estate consultant JLL, 2.3 billion ($2.54b USD) of commercial property has traded in last 3 months in Ireland. Year-to-date total volumes now stand at 2.9 billion ($3.2b USD).Ireland's Q2, 2016 investment volumes were boosted by 4 large deals greater than 80 million, which accounted for 60% of this total. This included the sale of Blanchardstown Town Centre, which comprised Blanchardstown shopping centre, 2 retail parks and office space. Green Property sold the Centre and it was purchased by Blackstone for 950 million. The other significant sales were One Spencer Dock (Dublin 1 office), which was purchased by a Middle Eastern fund for over 240 million, Project Kells (Dublin office portfolio) purchased by Meyer Bergman and BCP from Aviva for 93 million, and LXV, St Stephens Green (Dublin 2 office), which was purchased by CNP Insurance for 85 million.Hannah Dwyer, Associate Director and Head of Research at JLL said that "Q2 has exceeded expectations in terms of investment market activity, with a few large transactions boosting totals for the quarter. 2.3 billion of investment deals have traded in the last 3 months, which is more than 3 times the volume that traded in the same quarter last year (660 million). At the mid-point in the year, this is already close to the year-end figure for 2015 which was 3.4 billion. A notable feature of this volume is that the number of transactions is down but their value is up".Hannah added, "It is difficult to gauge where investment volumes will end up at this point in the year. Ireland is well-positioned from an occupier and investor perspective to benefit from some of the post-Brexit uncertainty, and we are expecting to see a positive short-term bounce in demand from these sectors. However, we cannot ignore that we are entering a very sensitive period for global markets, and Ireland's close links to the UK is of concern from an economic perspective. It is too early to predict how Brexit will unfold and its longer-term impact on the Irish economy". Woman next to her Audi (illustration) By: Tanya Malhotra A man who drives an Audi, was arrested on a charge of robbery after allegedly stealing cash from a woman at an ATM, according to police in the United Kingdom. Brimscombe police said that they have arrested the 45-year-old man, who was not identified, after telling the woman that atimes are harda before grabbing her cash and driving away in his expensive luxury car. He was charged with one count of robbery. According to the police investigation, the 25-year-old woman withdrew cash from an ATM at the Brimscombe post office located on London Road, around 12:00 p.m. on Thursday. She then noticed the suspect, who told her that atimes are hard.a He then grabbed the money and bag from the woman, went into his Audi A4, and drove off. The woman ran after the man, who threw out her bag, but kept the cash. He also repeatedly shouted atimes are hard.aA man who drives an Audi, was arrested on a charge of robbery after allegedly stealing cash from a woman at an ATM, according to police in the United Kingdom. Brimscombe police said that they have arrested the 45-year-old man, who was not identified, after telling the woman that atimes are harda before grabbing her cash and driving away in his expensive luxury car. He was charged with one count of robbery. According to the police investigation, the 25-year-old woman withdrew cash from an ATM at the Brimscombe post office located on London Road, around 12:00 p.m. on Thursday. She then noticed the suspect, who told her that atimes are hard.a He then grabbed the money and bag from the woman, went into his Audi A4, and drove off. The woman ran after the man, who threw out her bag, but kept the cash. He also repeatedly shouted atimes are hard.a A young man wanted to make a point about racism in the United States, but his plan backfired when he was exposed for a liar by police. 20-year-old Khalil Cavil of Texas was working at the Saltgrass Steak House in Odessa when he claimed he was discriminated against because of his Muslim name. Cavil took Chelsea Lorson By: Tanya Malhotra A teenage boy wanted to give his younger brother an amazing birthday gift so he recruited his teacher to help out. The former teacheras assistant of Pennsylvania, admitted in court that she had sex with the two underage children. 25-year-old Chelsea Lorson of Milton, pleaded guilty to charges of statutory sexual assault and institutional sexual assault. The judge ordered the married mother of two children to undergo an assessment to determine whether she is a sexually violent predator before handing down a sentence. Lorson admitted to having sex with a student, 17, and his younger brother, 15, in her car in the driveway of the boysa house in Lewisburg, on two occasions. Buffalo Valley Regional Police said that the older boy sent a Facebook message to his teacheras assistant at the Lewisburg Area High School, and asked her to give his brother aa birthday present,a and she agreed. The sexual encounter occurred after Lorson learned she had two sexually transmitted diseases (STD), but she did not tell the boys about it before having sex with them. Under the plea agreement, Lorson faces up to 17 years in prison. She will also have to register as a sex offender. Car Damaged After Colliding With Lamp Post This article is old - Published: Monday, Jul 11th, 2016 A car has been damaged after colliding with a lamp post earlier this afternoon. A spokesperson for North Wales Police confirmed they were called to a collision at 2:24pm involving a Toyota Aygo outside the Abbey Field Day Nursery on Cefn Road. Wrexham Council have also been informed regarding the damage caused to the lamp post. A Welsh Ambulance Service spokesperson said: We were called shortly before 2.15pm to reports of a road traffic collision on Cefn Road in Wrexham. A rapid response vehicle attended the scene and a female was given a precautionary check-up, but did not require hospital treatment. *Picture sent to Wrexham.com by Angela Wrexham & Clwyd South General Election Candidates React To New PM & Possible New Poll This article is old - Published: Monday, Jul 11th, 2016 We have asked the former local candidates of the last Westminster election for their reaction to Theresa May becoming the new Prime Minster, and their views on a possible new early General Election. Earlier today it was confirmed that Andrea Leadsom had pulled out of the member election for the role of Conservative leader, leaving Theresa May as the sole candidate and therefore winner. As the leader of the party, she will take on the Prime Minister position. Labour MP Jon Trickett, who was one of the MPs who nominated Jeremy Corbyn and is Labours campaigns and elections chair said today I am now putting the whole of the party on a General Election footing. Earlier today Angela Eagle also formally launched her Labour leadership challenge against Jeremy Corbyn. Other parties and political figures have also called for a snap election. Due to the eventful day we enquired to all the former candidates, and eventual winners, of their reaction to the news of a new Prime Minister and the various processes that have been involved, plus the speculation over an early General Election. The views of those who replied are below Labours Ian Lucas, who won the Wrexham seat, told us: In extraordinary times, I congratulate Theresa May on her election as Leader of the Conservative Party. She is likely to be Prime Minister very soon. The country is in a very difficult place as a result of decisions of Governments of which she was a member. She has a responsibility to extract the country from the mire. I think her election, without a full contest, makes a General Election soon much more likely. It is essential that, in such circumstances, that the Labour Party is a credible alternative Government. In order for that to happen, the first step that must happen is that Jeremy Corbyn must stand down as Leader. Plaid Cymrus Carrie Harper who stood in Wrexham said, The two main London based parties are currently in meltdown and the prospect of an unelected right wing Prime Minister in Theresa May sounds yet more alarm bells. Based on the flurry of resignations, back stabbing and power grabs over the last few weeks, I have no confidence that either the Tories or Labour have the faintest idea how to effectively govern the UK post Brexit. Along with UKIP, they have both displayed a shocking lack of leadership, arrogance and blatant self interest for all to see. My biggest concern now is the real danger that Wales could become side-lined in the upcoming Brexit fallout and Westminster quagmire, at the very time we cannot afford a weak hand at the negotiating table. Its vital our interests are not brushed aside whilst the Labour party pulls itself to pieces and the Tories settle in for the long term. With the prospect of Scottish Independence also on the horizon, we cannot afford to be treated simply as a region of England in this upcoming debate and need a strong united party to speak up for Welsh interests. A democratic choice has been made by the people of Wales and Wrecsam to leave the EU and we in Plaid Cymru respect the result of the vote. It is now time to work towards securing the best possible outcome for the people of our nation and were ready for that challenge. We need better pay, better working conditions, greater job security and opportunities, affordable housing, an NHS that works and better public services. These are Plaids priorities and in the post Brexit landscape its becoming ever clearer that the London parties are simply incapable of providing the leadership needed to deliver for our communities here in Wales. Andrew Atkinson, who stood for the Conservatives in Wrexham replied to our query by saying: As Prime Minister and Leader of the Conservative Party I think that Theresa May will provide strong leadership and bring a wealth of experience from the highest level of government. During these unprecedented times, Ms May is well-placed to deliver a deal that works for Wrexham, Wales, and the UK as a whole; and to lead a Government which provides much needed stability and calms the markets. It would have been good as a party to vote on our leader but I can see the benefits of the process happening so quickly and bringing the stability that we need much faster, I was concerned that 2 months was too long given the current climate. In 2015, Conservatives won a majority to implement an ambitious manifesto, and Ms May is superbly placed to carry on the work started by outgoing Prime Minister David Cameron. I think we will need an election before 2020 but right now I think its important that we have strong leadership and get on with running the Country. Rob Walsh, who stood for the Liberal Democrats in Wrexham and is now a local Lib Dem Councillors, said, I congratulate Theresa May on the news that she will become Britain`s next Prime Minister later this week. I sincerely hope that her first act as PM is to reveal a coherent Brexit plan, something that has been suspiciously lacking since the referendum. There is talk as to whether the country needs an immediate General Election as a result. My personal opinion is whats the point? As I said during last years General Election campaign, the biggest lie that has ever been sold to the British people is that we vote for Prime Ministers. Vote for governments. We dont. The Queen appoints Prime Ministers and will do so later in the week. All a General Election does is give the public a chance to re-elect or change their local MP. As the current crop of MPs were only elected 14 months ago, I don`t see the point in having an election unless there is a great public demand to have an injection of new blood in parliament. The problem with British democracy is that it is still stuck in the past. I would like to see radical electoral reform in the future, to enable people to actually vote for who they want to lead the country and for all votes to be equal and not skewed towards a handful of voters in marginal constituencies. Besides, the only way there can be a General Election, is if two-thirds of MPs vote for one. Can`t see that happening somehow! (You can relive our coverage from last year by clicking below) The winner in Clwyd South was Labours Susan Elan Jones who told us, It now looks as though David Camerons close Cabinet colleague Theresa May will become our next Prime Minister. My fear is that we will now see the right-wing of that Conservative Government gain further ground, as they use the narrow Leave vote in the EU referendum to undermine business confidence and impair the rights of working people. At such a difficult time for our country, I hope that the new Prime Minister seeks to unite not divide people, recognising that it should not be the poorest in our country who have to shoulder the burden of the Governments economic choices. At this time also, its vital that we have an effective opposition that shows itself to be an alternative party of government in waiting. Plaid Cymrus challenger in Clwyd South was Mabon ap Gwynfor, who said today: This whole process has been a farce. The Brexit leaders who wanted to take back control have all refused to take responsibility for what is to come. The UKs next Prime Minister will not have even been elected. At the same time the Labour Partys MPs, including our local representatives, are more interested in attacking their own leader in a bizarre Westminster coup than speaking up for communities being battered by austerity. Both the main London parties are failing to address the real issues that concern people. Plaid Cymru respects the recent referendum vote and wants to work with others to strengthen our economy, ensure better jobs with decent wages, and make sure our services including the NHS are working. While people may talk of a snap general election, we in Wales have faced two general elections and a referendum over the last two years, and will be voting in Councillors for our County and community Councils next year, so I dont think there is much desire for an election. Having said that, seeing the mess that the political establishment is in today I wouldnt bet against seeing a change in colour for this constituency if an election was held. David Nicholls, who was the Conservative Parliamentary Candidate in Clwyd South said, I am delighted Theresa May is going to be our next Prime Minister. She will provide the firm leadership that the country needs, giving us certainty, stability and unity in marked contrast to the chaos engulfing Labour. Not only does Theresa recognise that Brexit means Brexit but she emphasises that we need to make a success of it. This means putting in place a strong cohesive team for Government and commencing negotiations with our European friends as soon as possible. The last thing we need now is the further uncertainty and delay that a General Election would cause. I doubt there will be one until 2020. I have every confidence that under Theresas leadership the UK will confidently power ahead to new strengths. Mr Cameron himself did not seem that bothered by the handover, with this sing song and right captured by ITV Labour MP Angela Eagle will today officially declare herself the challenger for the leadership of the UK Labour Party. Her announcement, directed against incumbent leader Jeremy Corbyn, is a move by the partys Blairite right wing, which enjoys the support of the vast majority of the Parliamentary Labour Party (PLP). Corbyn was elected just ten months ago with the votes of hundreds of thousands of Labour members and supporters on the basis of an anti-austerity, anti-war ticket. The attempt to remove him is a declaration by the partys MPs and their backers in the ruling elite and the media that no such policy will be tolerated. With Labour expected to play the leading role in managing the political, economic and social fallout from the June 23 referendum vote for the UK to leave the European Union, a long-planned move against Corbyn was immediately put into operation in the referendums aftermath. Less than two weeks after a motion of no confidence in Corbyn was backed by 172 Labour MPs and opposed by only 40, all efforts by Corbyn, his supporters in Momentum, and Unite union leader Len McCluskey to head off a direct contest for control of the party have ended in failure. The divorce between the PLP and the partys base is extraordinary. Since the move against Corbyn was initiated, almost 130,000 people have joined Labour, bringing the partys total membership to 515,000. The vast majority of these have joined to support Corbyn. But instead of mobilising these forces against the PLP, Corbyn has again made party unity his watchword, relying on McCluskey, the leader of Britains biggest union and Labours main financial backer, to pressurise the partys right wing to accept a compromise based on an agreement that Corbyn would quit before the scheduled 2020 general election. The negotiations quickly came to nothing, even though Corbyn refrained from naming Blair a war criminal in Parliament following the publication last week of the Chilcot Inquiry into the Iraq war. Just three days later, Labours deputy leader, Tom Watson, announced that negotiations with the trade unions, which had warned repeatedly of a split in the party, were at an end. Labours hierarchy declare that their intention is to make Labour electable. What they mean is to make it acceptable to the ruling classrestoring its credentials as a trusted representative of big business, committed wholeheartedly to NATO and seeking, in alliance with the Liberal Democrats and others, to restore UK membership of the EU. Only this can account for the depiction of Corbyn, who has offered up only the mildest of reformist measures, as the representative of a revolutionary insurgency against Labours traditional values. At a meeting of the PLP, held in Corbyns absence, former Labour leader Neil Kinnock made a speech that was subsequently widely publicised, in which he stated, In 1918, in the shadow of the Russian Revolution, they [Labours founders] made a deliberate, conscious, ideological choice that they would not pursue the syndicalist road, that they would not pursue the revolutionary roadit was a real choice in those days. They would pursue the parliamentary road to socialism. Corbyn and anyone who supports him were judged by Kinnock, whose period as leader was characterised by witch-hunts, expulsions and the betrayal of the 1984 miners strike, to be an alien revolutionary tendency that must be driven from the party. In declaring an end to negotiations, Watson stated, The Labour party was founded with the explicit aim of pursuing the parliamentary path to socialism... It is clear to all that Jeremy has lost the support of the PLP with little prospect of regaining it. The emphasis on the PLP is necessary because the coup plotters have little or no chance of winning a leadership contest, other than by the most nakedly undemocratic means. Prior to Eagles announcement, her own Constituency Labour Party (CLP) in Wallasey voted to back Corbyn as leader, with 40 members voting in favour of him, none against, and just four abstentions. Nothing is being ruled out by the PLP, including the possibility that Corbyn will not even be allowed to stand. Following the formal launch of Eagles campaign Monday, Labours National Executive Committee is scheduled to meet the following day to rule on whether Corbyn requires the support of 20 percent of Labour MPs, that is, more than 50, to stand in defence of his leadership position. The Blairites have taken legal advice that concludes that Corbyn must secure MPs nominations, and the National Executive, which is elected tomorrow, could rubber-stamp such a move. However, if it decides not to keep Corbyn off the ballot, then the PLP plotters will do whatever they can to secure victory, including purges and attempts to block from voting those who joined as supporters last year or more recently as members. When questioned on ITVs Peston on Sunday show about the vote against her in Wallasey, Eagle said of her own local members, Theres a disruptive, newly joined element, many of whom were thrown out [of the Labour Party] in the 1990s, who are making a lot of noise. Corbyn has again responded to the challenge from the right wing with appeals for unity. On Saturday, he addressed an audience of thousands of workers and youth at the annual Durham Miners Gala but refused to refer directly to the coup against him, including Eagles leadership challenge, stating only, Theres a lot of debate about whats happening in the Labour Party at the present time, and that he had patience that is infinite. Corbyn said he was very disappointed in those who resigned from his shadow cabinet, noting that he had written to them thanking them for their contribution. He did not refer at all to the Chilcot report, which provided conclusive proof that those responsible for the war, including Blair, had blood on their hands. Speaking to ITV at Durham, he said that MPs who opposed him were going through an interesting phase in their political debate at the present time, adding, I want to reach out to them and work with them. On the BBCs Andrew Marr Show on Sunday, Corbyn said he would mount a legal challenge to any move to keep him off the ballot because the rules of the party indicate that the existing leader, if challenged, should be on the ballot paper. Pressed by Marr, he said that he might back a parliamentary motion moved by Conservative MP David Davis declaring Tony Blair guilty of contempt of Parliament because he deceived MPs while making the case for war against Iraq. Even then, he begged his opponents for peace, stating, Ive reached out in the broadest way I could. Im keen to reach out. We are going to come together, discussing how we deal with the possible UK negotiations over the next few months over the European Union. Theres an awful lot of policy areas wheres theres a great deal of agreement, he added. Asked by Marr if he would eventually get rid of the MPs who oppose you and have mandatory reselections and get them all out, Corbyn replied, Its a democratic party, not a dictatorship. While Corbyn speaks of discussing how to deal with EU negotiations in the Labour Party, the Guardian reported Saturday that a cabinet minister has said Tory and Labour MPs have held informal discussions about establishing a new political party in the event of pro-Brexit Tory MP Andrea Leadsom becoming prime minister and Corbyn staying as Labour leader. The talks, involving senior players in both parties, have discussed founding a new centrist grouping... A Tory party source said Labour and Conservative MPs who campaigned in favour [of EU membership] had become closer during the campaign and increasingly come to regard themselves as a tribe. The refugee crisis is not resolved, but the solution is progressing well in Europe and very well in Germany, claimed interior minister Thomas de Maiziere at the announcement of the latest figures for asylum applications in Germany. It is possible for someone to make such a cynical appearance before the press only if they believe that the solution of the refugee crisis means drastically reducing the number of refugees that reach Europe and Germany. In fact, the refugee crisis has sharply deteriorated internationally. The United Nations refugee agency (UNHCR) has registered a significant rise in the number of people fleeing their homelands around the world, to over 60 million. The number of those who have lost their lives while fleeing has also risen in the first half of the year. According to official statistics, 2,920 refugees have already died on their way to Europe. The Mediterranean Sea is once again becoming a mass grave. Refugees from the wars in Syria, Iraq, Yemen or Afghanistan, stranded in Turkey, Jordan or Lebanon, are sinking into poverty, misery and hopelessness. The EU has responded by making Europes borders even more impassable for refugees. Although the EU leaders remained deeply divided at their latest meeting in Bratislava over the distribution of refugees, they agreed on a speedier deportation of refugees and closer collaboration with African despots to deter them. Italian interior minister Angelino Alfano complained at the meeting above all about the difficulty of deporting refugees who have received no asylum in the EU. The danger is that the refugee institutions explode and the system can no longer be sustained. The problem of repatriation is an issue that Italy has repeatedly placed in the forefront in Brussels, according to Alfano. To overcome these problems, all considerations of human rights which have thus far stood in the way of deportations should be thrown overboard. The interior ministers discussed the implementation of the migration agreement adopted by the EU summit on 28 June with selected African states, which are to be incentivised into cooperation with the EU by offering them the prospect of economic and military assistance to block routes of flight and accept the return of refugees. The EU summit set as the goal of European refugee and immigration policy the rapidly operating repatriation of irregular migrants. The heads of government authorised foreign policy representative Federica Mogherini to quickly begin negotiations with African despots so that by the end of the year the first migration pacts can be concluded. The EU Commission has since made clear what is to happen with the billions labelled development assistance. A document published last Tuesday proposed the redirection of 100 million in aid to Sudan into military and border security measures. This would mean the EU would for the first time be directly financing the military apparatus of another countryone, moreover, whose army and government militias are notorious for serious violations of human rights. The Sudanese government militia Rapid Support Force (RSF) deployed 1,000 personnel to al-Dabbah in the north of the country to control the borders with Libya and Egypt. The goal is to perform the EUs dirty work and block refugees from Somalia, Ethiopia and Eritrea. The RSF boasts that it has already captured hundreds seeking protection on the border. These refugees now run the risk of being detained in internment camps in Sudan or returned to the torture chambers of their own country. The EU has no qualms about Sudanese president Omar al-Bashir having been sought for arrest by the International Criminal Court in The Hague on charges of genocide and war crimes. The EU commissioner for development aid, Neven Mimica, instead announced that a further 100 million was being made available, and declared, Development and security go hand in hand. The EU would also like to conclude a migration pact with Eritrea, even though the director of the EU border protection agency Frontex, Fabrice Leggeri, recently said, In Eritrea there is persecution and a brutal dictatorship, the fleeing people require protection. Nonetheless, Isaias Afwerki, the president since 1993, is to be given 200 million in aid to combat smugglers and those assisting refugees, and expand border controls with Sudan. The German government is playing a particularly perfidious role. While it has officially suspended cooperation with the East African countries because of their human rights records, it has involved the Society for International Cooperation (GIZ) in deterring refugees. A spokesperson for GIZ confirmed to online magazine Euractiv.de that it plans to work with 11 African states to take action against criminal networks of people traffickers and smugglers. German interior minister Thomas de Maiziere also once again raised the idea of internment camps in North Africa at the summit. People rescued on the Mediterranean route could then be brought back, but not released anywhere, rather in secure camps. In the camps, which would be jointly run by the EU and UNHCR, asylum applications would be processed. It would therefore not be the wallet of the refugees, or smugglers deciding who comes to Europe, but the European states themselves, stated de Maiziere in Bratislava. Given the long-running conflict over a few thousand refugees from Syria, it is already evident that the camps will become a trap for the refugees from which they will not be able to escape. As Europeans, we cannot close our eyes when we have to deal with flight in the world and people need protection, said refugee organisation Pro Asyl director Gunter Burkhardt on Deutschlandfunk, criticising the EUs plans. Now they are trying to offload all responsibility and strengthen other states according to the principle, out of sight, out of mind; others can deal with refugees, but the main thing is: not like Europeans. Sending refugees back to Libya would be criminal. Refugees would be detained, tortured and abused. Several detention centres where refugees are housed are controlled by militias. Responding to a parliamentary question in the Bundestag, the German government had to quietly acknowledge that the conditions for refugees and migrants in Libyan detention centres [are] very bad. The sealing off of European borders against refugees is being further expanded. On 6 July, the European Parliament agreed to the establishment of a new EU agency responsible for border protection. The previous border protection agency Frontex is to be integrated into the new agency, which will receive an additional 1,500 personnel for police and military activities in surveilling the borders, and will be equipped with much more wide-ranging powers than Frontex. The agency will be capable of deploying to a border area according to its own judgment and even against the will of an affected state, enabling it to intervene significantly into the sovereignty of EU member states. In addition, the agency will actively deport refugees; forward data, including fingerprints, to Europol; and bring refugees intercepted on the high seas to the closest safe port. Given the rapid expansion of the list of safe third countries and countries of origin, this will result in the EU agency in the future sending refugees back to Morocco, Tunisia, Algeria, Turkey, Egypt or Libya. In line with this, the Dutch government has suggested the establishment of hot spots at sea. Refugees would not only be registered on special internment ships, but their grounds for asylum would also be reviewed in a quick procedure so that those seeking protection would not even reach dry land in Europe. Reports of rapidly rising numbers of refugees crossing the Mediterranean do not correspond with reality. Since the closing of the Balkan route and the EUs deal with Turkey, the flow of refugees across the Aegean Sea has been practically halted. The alternative routes through Egypt or Libya are hardly reachable for refugees from the civil wars in Syria, Afghanistan or Iraq. According to figures from the International Organisation for Migration (IOM), 70,978 had crossed the Mediterranean to Italy by 6 July, practically the same number as in the same period last year. Despite this, with officially 2,499 deaths, the number of victims on the central Mediterranean route has risen by around 30 percent. Responsibility for this also lies with the Eunavfor Med Sophia mission implemented by the EU. As the Frankfurter Allgemeine Zeitung reported, operation Sophia is not even connected to the Italian coastguards emergency system, because it is not seen as a rescue mission, but as a combat mission against people smugglers. However, military ships are not on the coastguards radar. The Italian coastguard always has to first ask the office of commander Enrico Credendino whether naval vessels are in the region of a stranded refugee boat. The other side of the policy of hermetically sealing off and deterring refugees from the European Union, which de Maiziere describes as a solution of the refugee crisis, is the misery and bitter poverty of Syrian, Iraqi and Afghan refugees, whose route to Europe is blocked. A growing number of people now fall, after years of exile and after using up all their savings, into poverty, said the spokesperson for the UNHCR, Leo Dobbs, to Reuters. According to the UN, more than 70 percent of the one million Syrian refugees in Lebanon live below the poverty line. Two years ago, it was only 50 percent. In Jordan, it is even higher, with 90 percent of the 650,000 refugees living in poverty. Sixty-seven percent of families which have fled are highly indebted. Families in both countries are compelled to skip meal times or life-saving medication. Children are taken out of school to work. A meeting on The relevance of socialism today took place at Berlins Humboldt University on July 5. On the podium were two philosophy professors, Axel Honneth and Christoph Menke, and two politicians, Gesine Schwan (Social Democratic Party) and Sahra Wagenknecht (Left Party). The event centred on the presentation of The Idea of Socialism, a book by Axel Honneth, published in 2015. Honneth (66) is the director of the Institute of Social Research in Frankfurt. He is, in other words, the official head of the Frankfurt School. The Universitys auditorium was packed, with around 800 people in attendance. But all those who had come to hear a contribution on the evenings official subject, the relevance of socialism today, were to be bitterly disappointed. The contributions were so far removed from social reality that at times they assumed comical dimensions. A playwright seeking to convey the aloofness, class prejudice and arrogance of a German professor could not have come up with a more accurate depiction. Honneth began by insisting that his book was a metapolitical essay. He was trying neither to place himself or socialism in the context of todays conflicts, nor to review the history of the socialist movement up to its present stage, thereby gaining insights into its possible future. Instead, what followed was a discussion about normative ideas, which carefully avoided drawing any connection to actually existing events or developments. The current historic levels of social inequality were not mentioned. Nor were the global financial crisis, the break-up of the European Union or the growing danger of war. An uninformed observer would have concluded that socialism arises not from the class struggle within society, but from disputes over normative ideas in the heads of German professors. The discussion offered Schwan and Wagenknecht the opportunity to paint their own parties reactionary politics in the rosiest of colors. After all, when the SPD implemented its Agenda 2010 social welfare cuts and the Left Party decimated public services in the state of Berlin, they were both putting into practice the normative idea of democratic socialism. Schwan, herself a philosophy professor and a member of the SPDs basic values commission, is well acquainted with this form of doubletalk. The metaphysical and abstract character of the discussion was not, however, simply the result of academic estrangement from the world. Whenever he attacked Marxism, Honneth became concrete. He regards anything related to the class struggle, the working class or the abolition of capitalism as a horrifying prospect. In his contribution, as in his book, Honneth referred to three conceptions from which socialism had to be liberated: the idea of the proletariat as the revolutionary subject; the idea that progress develops out of a law-governed process; and the idea that economy, i.e. property relations, must be changed. Max Horkheimer and Theodor Adorno, the founders of the Frankfurt School, had earlier rejected the class struggle and the working class. In the aftermath of the Second World War, they introduced into the Frankfurt School the ideology of corporatism, that is, institutionalised class collaboration, directed against communism and revolution. Social improvements and wage increases during that period gave to this type of politics a certain degree of plausibility. By the time Jurgen Habermas became the Frankfurt Schools leading representative, the period of social reforms had already ended. He became a propagandist for constitutional patriotism and the regulation of social conflicts through communicative action. But today, the democratic mechanisms that Habermas idealized are breaking down under the pressure of social contradictions. Class tensions are once again erupting to the surface. That is why the speakers were unable to base themselves on the realities of social life in the course of their pseudo-intellectual discussion. On the contrary, they were compelled to avoid any reference to the real world as they advanced their reactionary theories. The International Youth and Students for Social Equality, which has four representatives in the student parliament at Humboldt University, explained its attitude to Honneths book in a leaflet (see below) that was distributed to the audience and which met with great interest. One student told the IYSSE after the meeting that the leaflet was the only interesting thing about the evening. Four Theses on Axel Honneths The Idea of Socialism 1. The IYSSE student club at Humboldt University welcomes a discussion on the relevance of socialism today. The urgency of this question arises from the deep crisis of capitalism. Twenty-five years after the collapse of the Soviet Union, all of the unresolved questions of the twentieth century are re-emerging. Social inequality has swelled to an unprecedented extent since the financial crisis of 2008, the European Union is disintegrating, militarism and nationalism are on the rise everywhere, and the danger of a third world war grows as the major powers rearm. Social opposition is on the rise all over the world. Under these conditions, the perspective of socialism as founded by Marx and Engelsan international movement of workers for an equal society and a democratically planned economytakes on decisive significance. Honneths book is explicitly directed against such a perspective and provides a defence of capitalism. He mentions neither the social attacks of the last 25 years, nor the danger of war, nor the growth of nationalism. He gives no serious consideration to the idea of socialism as it historically developed, but juggles entirely abstract ideas and concepts. His book claims to be an academic study and avoids all concrete political questions. But in the final analysis it is a targeted attack on Marxism and an ideological justification for the right-wing policies of the Social Democratic Party (SPD), the Left Party and Syriza in Greece. 2. Although Honneth entitled his book The Idea of Socialism, he ignores the history of this idea spanning over more than 200 years. He does not deal with the intense debates that pre-occupied generations of socialists and that fill entire libraries. This is not just an issue of abstract, theoretical differences; rather the different conceptions were tested out in practice with consequences for the fate of millions. Socialism was never simply a theory, but a living movement. Generations of workers fought for their social and democratic rights under the banner of socialism. When the idea of socialism gripped the masses, it led to the greatest triumphs of human history. In 19th century Germany, the SPD developed into the first mass socialist party. In Russia, the workers gained power in the 1917 October Revolution. Conversely, attacks on the materialist foundations of socialism were bound up with catastrophic defeats of the working class. Honneth praises Eduard Bernstein in a footnote. However, he does not even mention in passing that Bernsteins revisionism, as it was universally called at the time, made a substantial contribution to the historic betrayal by social democracy of its own program in 1914, when it supported the First World War and sent millions of its supporters to certain death in the trenches of Verdun. If this historic betrayal is omitted, the catastrophes of German history become completely incomprehensible. The SPD betrayal paved the way for the split in the workers movement, the growth of National Socialism, the Second World War and the Holocaust. Honneth is also silent on the epic dispute between the Stalinist bureaucracy and the Trotskyist Left Opposition in the Soviet Union, which dealt with every aspect of the idea of socialism, culminated in the physical liquidation of tens of thousands revolutionary socialists in the Great Terror of 1937, and ultimately sealed the fate of the Soviet Union. 3. Honneths historical blindness is no accident. These historical questions are irrelevant to the Frankfurt professor because his purpose is not to provide an appraisal of the contemporary significance of socialism, but to attack it. At the very moment when social struggles are breaking out all over the world and workers are defending themselves against war and attacks on their rights, Honneth explicitly rejects a socialism based on a movement of the working class, of the oppressed masses. He wants to separate socialismor what he calls socialismfrom any social actor. Rather, he claims, institutional achievements represent the material foundation of socialism. Honneth even denies that socialism presupposes overturning capitalist property relations. He explicitly attacks the Marxist conception that the lever for producing solidarity in social relations is the reform or revolutionary overthrow of the capitalist market economy. In place of the abolition of capitalism he proposes experimental reformism aimed at increasing social freedom. That is, Honneth wants a socialism without a social movement and without revolution, preserving capitalist property and competition. He drags up the shallow and hackneyed conceptions of social reformism, which have proven their bankruptcy and hostility to the working class time and time again. He advocates a socialism of the kind represented by the SPD in Germany, the Socialist Party in France and Syriza in Greece, whichin the name of social freedom and justiceenforces the reactionary Hartz laws, the El Khomri law and the dictates of the troika. In his rejectionor rather his fearof a socialist movement of the masses, Honneth proves himself the true intellectual heir of the Frankfurt School. Its founders, Max Horkheimer and Theodor Adorno, claimed in their book Dialectic of the Enlightenment that the supposed authoritarian character of workersrather than the failure of Social Democracy and the Stalinist leaders of the workers partieswere responsible for the rise of Hitler. Honneth articulates the interests of the upper middle class, which fears a mass movement against capitalism far more than it fears capitalist reaction. 4. Honneth not only rejects an independent movement of the workers, but also opposes every form of critical analysis of society. He accuses Marxism of determinism, which leads to attentismi.e. a passive wait-and-see attitude. This is an intentional misrepresentation. The real object of Honneths criticism is the Marxist analysis of the law-governed character of social being. Marxists do not hold that socialism automatically arises out of capitalism, but that the intrinsic contradictions of capitalism place before humanity the alternatives of socialism or barbarism. This historical question of the 20th century is once again on the agenda. To pose this question is the exact opposite of passivity. The recognition that the class struggle is the result of the contradictions of capitalism once again poses the central task of fighting for socialist consciousness in the working class and building a revolutionary party, which the Frankfurt School has opposed since its outset. In Washington, DC, thousands protested throughout the weekend against the police killings in Louisiana and Minnesota. On Sunday, a rally and prayer vigil held outside the African American Civil War Memorial on the U Street Corridor drew a crowd of over 500 youth and workers. In contrast to the racialist conceptions preached from the podium by the events organizers, which included the NAACP and the Nation of Islam, the event saw a diverse turnout of young people from all racial and ethnic backgrounds. I think it is an issue that mayors and the citys political establishments are let off too lightly, said Robert, who told the WSWS his family had worked in steel in Pennsylvania. It is the mayor who appoints the police chief and oversees the work of the police. Robert stated that the issue of racism was a means to divide the working class from itself, and that white racism wasnt directed only at African-Americans, but as a means of the capitalist class seeking to pacify white workers. If youve ever served in the army, youd see that blacks and whites definitely can see eye to eye, and the ruling class uses them when it serves its benefits. This is an international phenomenon; they are trying to divide workers from their class brothers in other countries as well, such as Koreans from Japanese in Japan. A woman who identified herself as a relative of Philando Castile, the young man who was savagely gunned down by police in Minnesota just days earlier, told the WSWS, Im just here to mourn the loss of my cousin. Speaking with great emotion, she said, I will not allow you or anyone to tell me that this justice system works when things like this happen. Speaking of Philando, she recalled, He would telephone us after hed get pulled over by police and say that they were profiling him. This is simply too much. (Press reports indicate that Castile was stopped by police 52 times over the last ten years while driving in the Twin Cities area.) She continued, It is a class issue; the rich saw poor whites and blacks coming together so they promoted racism to divide them. I am against something wrong, regardless of what the color of someones skin is; I remember seeing a white guy tasered for what must have been 20 minutes by the police on a video and I was and am still outraged by it. There are white people and black people that have been misled to believe that class doesnt exist; we need someone to educate them, she stated. In Jacksonville, Florida, workers and young people marched through downtown by the hundreds on Sunday afternoon to protest police violence. A group of several hundred marchers rallied first at the Jacksonville sheriffs office headquarters and then proceeded to the Duval County Courthouse where a rally was held. Speakers called for an end to police killings and oppression. Protesters chanted, No justice, no peace, and Black lives matter. The Jacksonville sheriffs office presence was heavy, with officers on foot spread throughout downtown and police cruisers lining the streets. Several protestors denounced local states attorney Angela Corey, who leads the state in prosecutions of juveniles as adults. Others spoke out about the killing in May of DAngelo Stallworth, a 28-year-old Jacksonville man, who was shot six times in the back by police while unarmed. As the rally concluded, protesters marched towards the interstate with the intention of blocking it but were stopped by the sheriffs department. As the march proceeded through a commercial area, many spontaneously joined the protest. Workers in nearby shops came out in support of the demonstrators. One fast-food worker was seen walking off the job in his uniform and apron to join the marchers. As Jacksonville police continued to block protesters from entering the on-ramps to the interstate, the marchers crossed the Main Street Bridge and circled back downtown. The protest ended in a sit-in in front of police headquarters. Reporters from the World Socialist Web Site spoke to protesters outside the courthouse. Local hip hop artist Boo Deezy spoke to the WSWS in front of the courthouse. Police violence has been going on so long, something has to happen, he said, adding, Violence in any form wont change our circumstances. When asked if any political leaders had responded to the police killings, he said, We as civilians are pawns and pawns have no voice. This has been going on for years. Clinton couldnt change it, Obama couldnt change it. With what happened to Trayvon Martin, Freddie Gray, Michael Brown, its like were caught in a rogue wave, but when the wave hits the beach you cant stop the water. Two young women protesting on the courthouse steps, Addison, and Reagan, told the WSWS, We are here to protest the multiple instances of racist police killings. If you take an oath to protect you cant be racist. When you have police going into neighborhoods with their stereotypes, where everyone lives in poverty and fear, this is going to happen. When asked if they saw a connection between escalating U.S. militarism overseas and police violence domestically, Addison said, I do see a link. The more we promote violence in other countries the more we create tensions here. No government agency in the United States tracks killings by law enforcement officials. Starting with deaths reported on May 1, 2013, however, the private website killedbypolice.net has documented over 3,700 dead, an average of more than three a day, or roughly one every eight hours. This carnage does not include non-lethal shootings or other extreme uses of force such as noxious gas, tasers and hogtying. It also does not include victims left in a permanent vegetative state or with catastrophic injuries such as paralysis. The total, which includes data for only three years and two months, is more than double the number of US military deaths in hostile action in Afghanistan over the last 15 years. Dylan Noble, an unarmed 19-year-old white youth, was added to the list on June 25, 2016. Two police officers from Fresno, an impoverished city of about one-half million located in the heart of Californias Central Valley, shot him during a mid-afternoon traffic stop. Fresno Chief of Police Jerry Dyer immediately defended the officers, claiming that their body camera video, which he said could conveniently not be released pending an investigation, exonerates the officers. Fresno Police Department Lieutenant Burke Farrah told the press that while officers were responding to a report of a man on foot with a gun, they pulled Dylan over for speeding. During the traffic stop, the driver refused to show his hands to the officers, making a conscious effort to conceal one hand behind his back, then in his waistband, as he exited the truck, and walked away from officers, according to Farrah. Supposedly summarizing the body camera recordings, Farrah said that the officers repeatedly ordered Dylan to show his hands and to get on the ground. Farrah said that Dylan, with a hand still behind his back, turned toward the officers, said he hated his effing life, and advanced toward the officers, who, in fear for their lives, fired four times. As more facts came out, the Fresno Police Department acknowledged that Dylan was not armed and was not the man reported to have had a gun that the officers were dispatched to find. Demonstrations took place locally, focused on the demand for the release of the body camera recordings. The controversy over the shooting and the Fresno Police Departments stonewalling may well have remained confined to the Central Valley except that a short cell phone video, shot from around the corner of a building and about a hundred feet away, exposes the official police story. The video begins after the first two shots had been fired from an officers pistol. Dylan can be seen lying on his back on the passenger side of his truck. He is barely moving and, at one point, can be heard telling the officers he has been shot. The officers shout confusing commands at the teenager, who appears too seriously wounded to understand or to comply. One officer fires a pistol round for no apparent reason, and then 14 seconds later a different officer fires a shotgun. Dylan died in surgery that night. There is no indication yet whether he would have survived had the officers not shot him twice as he lay helpless on the ground. The shooting was the sixth for the Fresno Police Department just this year. There were three others last year. In the aftermath of the mass shooting of police officers in Dallas, Texas Thursday night, the American media and political establishment has sought to portray the police killings of unarmed people and widespread protests against police violence as proof of deepening and unbridgeable racial divisions in the United States. According to the media presentation, the homicidal actions of police across the country are somehow a manifestation of white people expressing their elemental, collective racial hatred of African-Americans. The New York Post, for example, ran a banner headline proclaiming Civil War, while the New York Times led its Sunday opinions section with a column titled Divided by Race, United by Pain. This presentation is grotesquely at odds with reality. What is taking place in America is not a race war, but rather public protest against police violence in a country where more than a thousand people a year are executed without trial by police forces run amok. Racism, of course, exists and it may be a factor in many police killings. Blacks are targeted for police attack in numbers disproportionate to their share of the population. But the facts themselves demonstrate that the scourge of police violence and murder is not limited to blacks or minorities, but extends to working people and youth of all races and ethnicities, especially the poorest and most vulnerable sections of the working class. According to a database compiled by the Guardian, through July 9, 571 people had been killed so far this year by police in the US. The dead included 88 Hispanics and 138 African-Americans, but nearly half281 peoplewere white. Last year 1,146 people were killed by the police, of which the majority, 586, were white. Many of the cops who carry out these murders are themselves members of minority groups. Three of the six officers charged in the April 2015 killing of Freddie Gray in Baltimore, an outrage that sparked nationwide demonstrations, were African-American. In that city, as in many others where police brutality is rampant, both the mayor and the police chief were black. Even the government seems unable to rein in the police. When New York Mayor Bill de Blasio made comments deemed sympathetic to protests against police violence, following the police killing of Eric Garner in Staten Island, he faced a virtual insurrection by New York City police. The claim, made without either factual substantiation or historical explanation, that the United States is suddenly convulsed by sectarian hatred, is a falsehood that does not withstand any serious analysis. It is being promoted as part of a narrative that serves definite political interests. This presentation conceals the nature of the state and distracts attention from the fundamental questions of social class that are at the root of the relentless exercise of police brutality and murder. The wave of state violence takes place under specific conditions: a deepening economic and social crisis, an immense growth of social inequality, mounting signs of a resurgence of class struggle and a broad process of political radicalization within the American working class. The number of days lost to major strikes in the US in 2015 was nearly four times that of 2014, and this year, with the month-long strike by Verizon workers, the figure will be far higher still. Even more disturbing to the ruling class, there are mounting signs, including the near-rebellion last year by autoworkers, that the trade union bureaucracy is losing its grip on the working class. And the mass support among workers and especially among youth for the campaign of Bernie Sanders, who describes himself as a socialist and talks of a political revolution against the billionaire class, has revealed the widespread growth of anti-capitalist sentiment, to the horror of the ruling elite. The aim of the campaign to inundate the public with a racialist narrative concerning police violence and all other aspects of American society is to divert attention from the capitalist system itself and head off the development of what the ruling class fears mosta broad, popular movement uniting the working class in the struggle against this economic system. This requires grossly distorting popular attitudes toward race. There have, in fact, been vast changesgenerally of a healthy charactersince the heyday of Jim Crow segregation in the South and widespread racial discrimination in the North. In the America of the 1930s and 1940s, lynchings of blacks were virtually a daily occurrence. The great mass of African-Americans in the South did not have access to the ballot, and there were virtually no black political representatives. Fifty years ago, in 1966, Edward Brooke was elected senator from Massachusetts, becoming the first African-American popularly elected to the United States Senate. Police forces throughout the country were almost exclusively white, and intermarriage between blacks and whites was virtually unknown. These circumstances were radically altered by a 30-year upsurge of the working class between 1934 and 1964, which broke the back of segregation in the South and led to the racial integration of state institutions, including the police and all levels of government. The United States, after all, elected an African-American president in 2008 and reelected him in 2012. Today, 87 percent of Americans, including 84 percent of whites, say they support interracial marriage, up from 4 percent in 1958. Fifteen percent of all new marriages in 2010 were interracial, more than double the share in 1980. What really happened last week? The killings of two black men, Alton B. Sterling and Philando Castile, both caught on video, sparked outrage and opposition throughout the United States and internationally. With less publicity but no less chillingly, local media published a video showing police executing Dylan Noble, a 19-year-old white man in Fresno, California, as he lay motionless on the ground. Mass protests by people of all ethnicities throughout the country were met by the arrest of hundreds of demonstrators, carried out by highly militarized police who look and act like occupation forces. As for the actions of Micah Johnson, the Dallas shooter, the fact that he himself was killed by means of a bomb-wielding robotthe first incidence of drone-type warfare within the borders of the United Statesmakes it difficult to determine what his precise motives were. While it seems that his actions were to some extent motivated by police killings of African-Americans, it is also the case that he was a military veteran who spent nearly a year in Afghanistan. His actions follow the pattern of the dozens of mass shootings, many by military veterans, that take place in the United States every year. The promotion of a sectarian outlook is embraced by politicians and academics who have a deep and vested interest in racial politics. They generally have nothing but praise for President Obama, who has presided over eight years of unending war, growing social inequality and poverty, and the arming of police departments with military-grade weapons throughout the country. These purveyors of racial politics are indifferent to the social distress of broad sections of the working class and have no proposals to improve their plight. We urge all workers and youth to reject the reactionary, racialist narrative being peddled by the media and political establishment. The struggle against police violence, like all great social questions, requires uniting all sections of the working class in a common struggle against the capitalist system. Large demonstrations continued across the United States over the weekend sparked by recent police murders in Baton Rouge, Louisiana, Falcon Heights, Minnesota, and New York City. The new wave of protests followed the release of video footage showing police shooting and killing unarmed black men on Tuesday and Wednesday. Hundreds were arrested as police confronted protesters in a heavy-handed and provocative manner. In many locations, officers were outfitted in riot gear and armed with military-style weapons. Besides Baton Rouge and the Twin Cities area in Minneapolis, where the killings took place, demonstrations were reported in Atlanta, Baltimore, Boston, Chicago, Detroit, Ft. Lauderdale, Los Angeles, Memphis, Nashville, Newport, RI, New York City, Oakland, Philadelphia, Pittsburgh, San Francisco, Washington D.C. and many other cities. At a protest in Baton Rouge on Sunday, the day after the mass arrests, hundreds assembled at the Wesley United Methodist Church and marched on the state capitol building downtown. There were many students and youth, as well some local workers and elderly. Danielle Edwards, a 21-year-old student from the University of Louisiana-Lafayette, told World Socialist Web Site reporters that she had come because of her disillusionment with the role of the police. She wondered aloud if she could be a victim of police violence and become the next hashtag. After proceeding through downtown, some marchers sought to continue their protest but were blocked by riot gear-equipped police who threatened to fire tear gas to disperse them. Among those arrested over the weekend in Baton Rouge was prominent Black Lives Matter activist DeRay McKesson. Police reportedly slammed McKesson to the ground in what appeared to be a deliberate targeting. The arrest was captured on live video feed. A number of police officers were also injured after clashes with protesters. Tens of thousands turned out for the demonstrations despite attempts by the media and government officials to create an atmosphere of official mourning and sympathy for the police following the murder of five policemen in Dallas, Texas Thursday by an African-American veteran of the Afghanistan war who was angered by the police killings in Minnesota and Louisiana. In the face of the relentless attempt to portray the killings by police in strictly racial terms, large numbers of black, white and Hispanic workers and young people marched in cities across America to express their shock and anger, showing by their actions that they did not regard these crimes as of concern only to African-Americans. The wave of protests followed police violence that took the lives of Philando Castile in Falcon Heights, Minnesota and Alton Sterling in Baton Rouge. Bystanders recorded the killing of both men on video. Castile, age 32, was shot and killed after a traffic stop on July 5. The shooting took place in front of his fiancee, Diamond Reynolds, who was sitting in the front passenger seat. She broadcast the aftermath of the shooting live on Facebook. Sterling was gunned down outside a convenience store where he had been selling CDs. Police tackled him and pinned him to the ground where they shot him execution style. In another police shooting, 37-year-old Delrawn Small was killed in Brooklyn July 4 by an off-duty cop. The murder took place after Small confronted the officer, who was in an unmarked vehicle, over a traffic incident. Small was unarmed at the time of the shooting. The events unfolded in front of Smalls wife and children, who were still in the car at the time. Over the weekend multiracial protests took place from coast to coast. In one of the largest demonstrations more than 10,000 protested peacefully Friday night in Atlanta, Georgia. They marched into downtown, where they were confronted by police who prevented them from entering the Downtown Connector highway. More than a dozen people were arrested in the city over the course of three days of protests. In St. Paul, more than 100 people were arrested after demonstrators blocked off a freeway. A large group of protesters marched from the residence of Minnesota Governor Mark Dayton over to Interstate 94, which they blocked for several hours before police drove them from the freeway using smoke bombs and pepper spray. Police set bond at between $250 to $400 per person for some 120 people arrested in Baton Rouge Saturday night and Sunday morning. In additional to McKesson, police also arrested a local reporter for National Public Radio. On Sunday protesters again took to the streets of Baton Rouge. They were confronted by police in riot gear firing tear gas. In New York City about 20 protesters were arrested as some 500 demonstrators marched in front of city hall in downtown Manhattan to denounce the shooting of Delrawn Small. Hundreds of protesters marched in downtown Fort Lauderdale Saturday to the Broward County Courthouse chanting No Justice, No Peace and Hands up, dont shoot. Prisoners at the Broward County Jail tapped on the windows in response to the chants of protesters. Several hundred also protested in downtown Miami, briefly blocking intersections. More than a thousand people rallied at Campus Martius in downtown Detroit Friday night. A large contingent of police monitored the protest, including officers mounted on horseback, in helicopters and perched on rooftops. Protesters also demonstrated in front of the White House in Washington D.C. on Friday. Protesters were back in Washington on Sunday, with some 500 rallying at the African-American civil war memorial. The shooting of the five police officers in Dallas is being manipulated by the media and political authorities in an effort to further criminalize dissent. US flags have been ordered flown at half-staff, and President Obama has cut short a trip to Europe and plans to attend a memorial service in Dallas on Tuesday. There has been almost nonstop, sensationalized coverage of the investigation into the shooting on the major networks while reports of the repression directed against protests over killings by police are being buried. There has been virtually no official criticism of the decision by police to kill the alleged police shooter in Dallas, Micah Xavier Johnson, using a robot-controlled bomb. This is the first time police have used a device to kill someone and represents a marked escalation of police repression, bringing home to America the methods used by US military forces overseas. There was no explanation of why police used a bomb rather than nonlethal means such as tear gas to flush out the alleged shooter or simply waited until the wounded man, who was surrounded by police, surrendered. His killing was all the more extraordinary given that police were working on the assumption at the time that Johnson was part of a larger conspiracy. His killing meant that he could not be questioned about his ties to a broader network. The attempt by the news media and politicians such as President Obama and presumptive Democratic presidential nominee Hillary Clinton to present police killings in strictly racial terms, directed solely against blacks, flies in the face of reality. In fact whites comprise the largest single group of police shooting victims. For example the media has almost completely ignored the shooting death of 19-year-old white youth Dylan Noble on June 25 at the hands of police in Fresno, California. Police shot Noble, who was unarmed, after a brief car chase. The young man was lying on the ground with his arms raised in the air when he was shot multiple times. Newly released security video footage shows that off-duty New York Police Department (NYPD) officer Wayne Isaacs fired on Delrawn Small as he approached Isaacs car at a traffic intersection shortly after midnight on July 4 in Brooklyns East New York neighborhood. Small can be seen walking up to Isaacs window and then staggering back as bullets hit him. He glances off of another car and drops to the street where he dies. Small had been driving a car with his girlfriend, Zaquanna Albert, and their two young children. After the killing, the New York media reported the incident as one of road-rage in which Small grabbed Isaacs and punched him. The Murdoch-owned New York Post not only described Small pummeling the cop but also carried a follow-up story that alleged that his relatives were seeking revenge. The New York Times, for its part, uncritically quoted Police Commissioner William Bratton as saying, We are comfortable, based on the preliminary investigation, that it was an apparent road-rage incident that precipitated the events. The next day rumors of the existence of a video began to surface. By July 8, when the video was made public, the police story fell apart. The New York State Attorney Generals office took over the investigation of the killing, as is now routine for cases of police shootings in which the victim is unarmed. Smalls brother, Victor Dempsey, responded by saying, The video is as clear as day. That everything they told us from the very beginning was a lie. Was a lie. Every single thing. And I dont know how to feel now. All I know is my brother was murdered. Point blank period murdered. Smallss family has held a vigil, and various political figures around the Democratic Party have come forward to contain popular anger, including the Reverend Al Sharpton and Democratic Party City council member Charles Barron. But the media has been distinctly quiet at what is almost certainly an unprovoked police murder and subsequent attempt at a cover-up. The New York Times has written no editorials, and its op-ed columnists have not expressed their outrage. Moreover, protesters organized by groups around the Democratic Party have not raised Delrawn Smalls namewith a few exceptionsalongside Alton Sterling in Louisiana and Philando Castile in Minnesota, both of whom were brutally murdered by police officers shortly after Small and whose murders were graphically caught on video. The reason for this is not hard to find: both Delrawn Small and the cop who killed him, Wayne Isaacs, are black. This follows a predictable pattern. In all but the most egregious cases, such as the murder of Freddie Gray in Baltimore, assaults that involve black, Asian or Latino officers generally receive far less publicity. Wherever possible, crimes by prison guards or cops are downplayed in the media when the issue of race cannot be put to the forefront. To cite only two examples form New York City alone: In March 2015 a retired African-American Rikers Island prison guard followed, shot and killed a young Latino man with whom he had had a dispute on the subway in Brooklyn. There was no outcry in the bourgeois media, and the case was quickly hushed up and fully legitimized by the police. No charges were brought against the retired guard. Then there is the killing of Akai Gurley, who was black, in an unlit stairwell in November 2014 in the East New York Pink Houses by NYPD officer Peter Liang, who is Chinese-American. The virtual police occupation by the NYPD of public housing in New York City did not become a topic of concern for the New York Times. When Liang was given no jail time for the killing, there was no mobilization of dissent by the Timess editorial pages and no marches were sponsored by the promoters of #BlackLivesMatter, since it did not fit into the racialist narrative of sections of the ruling elite, including the Times and the Democratic Party. Whatever role racism may play in one or another police killing, these incidents and many others demonstrate that police violence is fundamentally a class question, in which workers and young people of all races are the victims of the police as an institution of the state and a defender of the ruling class. The United States and South Korea formally announced on Friday that a Terminal High Altitude Area Defense (THAAD) system would be deployed to the Asian country. The anti-ballistic missile system is a key part of Washingtons preparations for war against China as well as Russia, and will lead to sharper tensions in the region. Washington and Seoul aim to have the THAAD system operational by the end of 2017. Lt. General Thomas Vandal, chief of staff for US Forces Korea (USFK), and Deputy Defense Minister for Policy Yoo Jeh-seung made the announcement at a news conference. South Korea and the US have made the joint decision to deploy the THAAD system with US Forces Korea as part of a defensive action to guarantee the security of the Republic of Korea and our people from North Koreas nuclear weapons, weapons of mass destruction and ballistic missile threats, Yoo stated. Japan welcomed the decision, but China and Russia both voiced their sharp displeasure. The THAAD system does not help achieve the denuclearization of the Korean Peninsula and also hurts peace and stability in the region, the Chinese foreign ministry stated. Beijing previously warned South Korea that allowing a THAAD installation on its soil would seriously harm relations between the two countries. A Russian foreign ministry statement said the US-South Korean decision would undermine the existing strategic balance in the Asia-Pacific region and beyond and have the most negative impact on global strategic stability, which Washington so likes to discuss a commitment to. Yevgeny Serebrennikov, the deputy chairman of the Russian upper houses arms committee, said Moscow could deploy missile units to the eastern part of the country in response to THAAD, indicating the strong potential for an arms race developing quickly in East Asia. The THAAD anti-ballistic missile system is vital to Washingtons pivot to Asia, aimed at militarily surrounding and economically undercutting China. The US has bolstered its ballistic missile capabilities throughout the region. A THAAD battery includes interceptor missiles and the AN/TPY-2 X-band radar system. THAAD is designed to knock out incoming missiles in their terminal phase, that is, as they are falling to earth. At present, there is only one deployed battery, which is on Guam, but Japan currently hosts two X-band radars, one in the north at Shariki and the other in the south at Kyogamisaki, and is considering deploying a THAAD battery as well. As part of its pivot, Washington has inflamed tensions in the East and South China Seas by exploiting longstanding, yet previously minor, territorial disputes and turning them into dangerous flashpoints. The US has already carried out three provocative freedom of navigation operations in waters claimed by Beijing and stated it would back allies like Japan militarily over their claims. The US and South Korea began formal discussions in March, using North Koreas fourth nuclear test in January and a ballistic missile launch in February as the pretext. On Saturday, North Korea conducted a submarine-based missile launch, likely in response to the THAAD announcement and additional sanctions imposed on leader Kim Jong-un last week. According to Seoul, the projectile left the submarine successfully, but exploded after a short flight. Such North Korean actions serve only reactionary ends, playing right into the hands of Washington. Both US and South Korean officials claim the THAAD system is aimed solely at North Korea. These claims, however, are completely contradicted by US plans and actions. Washingtons strategy for war with China, known as AirSea Battle, includes large-scale, possibly even nuclear, strikes on Chinese military positions. The THAAD system would be used to prevent a retaliatory strike from hitting US bases in South Korea or Japan, which would be on the front lines of any war in Asia. Moreover, according to the New York Times, after formal THAAD discussions between the US and South Korea began earlier this year, Beijing requested through South Korean President Park Geun-hye that the X-band radar be adjusted so as not to penetrate so deeply into Chinese territory. The request was rejected. THAAD will also help integrate South Korean forces not only with the US but also with the Japanese military. In January, Seouls defense ministry announced that it would establish a connection to Link 16, an intelligence network used by the US, Japan and NATO nations to share information on enemy positions in real-time and includes THAAD. A Japanese official made clear at the time the connection was indeed aimed at China, saying: There will be significant benefits to Japan if we can get information from South Korea, which is geographically closer to North Korea and China. North Korea is simply a convenient excuse for US military activity in East Asia. The Pentagon regularly holds large war exercises with allies like South Korea and Japan, which are clearly aimed at China, not the backward North Korean military. The scope of firepower the US could direct against the North in any conflict would dwarf the horrific death and destruction inflicted upon the country during the 19501953 Korean War. The planned location of THAAD has yet to be announced. The exact spot, in fact, will be kept concealed from the population so it does not become a focus of anti-war sentiment in South Korea. Proposed sites include Pyeongtaek, where USFK headquarters is located, Wonju, near the North-South border, and Chilgok, near Daegu in North Gyeongsang Province. None of the so-called progressive parties in South Korea is opposed to Washingtons and Seouls war preparations. The main opposition Minjoo Party of Korea (MPK) did not oppose the THAAD deployment but stated: We would like to express discontent with the decision not having gone through enough public discussion. The MPK refused to take a clear stand on the issue during last Aprils general election, concealing the escalating war preparations from the public. The Peoples Party and the Justice Party, the third and fourth largest parties in the National Assembly respectively, opposed Fridays announcement on economic grounds and the potential impact on trade with China, rather than opposition to war. The political crisis produced by the July 2 election entered a new stage yesterday, with the opposition Labor Party conceding that it cannot form a government and Prime Minister Malcolm Turnbull claiming victory on behalf of the Liberal-National Party Coalition. With votes still being counted and a number of seats considered too close to call, Turnbull will head one of the most precarious governments in post-war Australian history. In the 150-seat House of Representatives, the lower house, the Australian Electoral Commission is currently projecting that the Coalition will likely hold 76 seatsa majority of just onethough it might still be forced to rule as a minority government. Among the parties that make up the Coalition, the greatest losses were suffered by the Liberal Party which has won only 45 seats, down from 58. The Queensland-based Liberal National Party (LNP) lost only one seat and still holds 21, while the rural-based National Party gained one seat and has 10 members in the new lower house. Despite recording its second-lowest vote in a century, the Labor Party appears likely to win 69 seats, up from 55, and could potentially win several more. The Greens did not win any additional seats and have just one member. Four other seats will be held by state-based independents and groupings. The make-up of the House of Representatives is only a pale reflection of the massive repudiation delivered to the two-party system on July 2. In the Senate, where far more parties stand than in lower house seats, as many as 35 percent of voters took the opportunity to reject the Coalition and Labor. Labor leader Bill Shortens decision to concede yesterday, despite counting still continuing, was aimed at signaling to both the financial markets and the United States, Australias key strategic ally, that the dominant parties of the political establishment will come together to try to stabilise the situation the best they can. Shorten declared: I understand that we need to make this parliament function I pledge, and I have indicated to Mr Turnbull, that where there is common ground, we will work very hard to accomplish it. The Australian people expect all sides of politics to work in the national interest. In his victory speech, Turnbull praised Shorten for his statements and vowed national unity. One of the immediate concerns in both the US and the Australian foreign policy and military apparatus was that ongoing uncertainty over the election result could prevent Canberra responding to the sharp escalation of tensions with China expected when the UN Permanent Court of Arbitration in The Hague rules tomorrow on South China Sea territorial disputes. Greg Sheridan, the international editor of the Murdoch-owned Australian, wrote today: It is understood Canberras national security agencies are working on a range of possible responses, with the ruling posing a potential crisis for the newly re-elected Coalition government. A potential crisis could include requests by the Obama administration for Canberra to dispatch Australian warships and aircraft to join US operations in the South China Sea. During the election, the Labor Party vowed full support for confrontational US actions against China and demanded that the Coalition also fully commit to the deployment of the Australian military to back Washington. According to Sheridan, Chinese officials have threatened economic consequences if Australia intervenes in the South China Sea. After the entire political and media establishment did everything possible throughout the election to prevent any public debate on the rising danger of war, the issue is set to erupt to the centre of discussion. The second concern underlying Shortens concession was last weeks threat by Standard & Poors to downgrade Australias AAA credit rating unless there were commitments from the new parliament to impose sweeping austerity measuresregardless of the popular opposition to this agenda expressed in the election outcome. Australia is rapidly sliding into slump and toward its first recession in 25 years, which will be only intensified by conflicts with China, its largest export market and trading partner. Shortens vow of common ground was echoed today by Labor Treasury spokesperson Chris Bowen, who has called for the Coalition to adopt Labors budget repair policies so it can give them bipartisan support. During the election, Labor committed to over $40 billion in budget cuts over four years and endorsed stripping $57 billion from health care funding to the states over the next decade. The government, if they have a spirit of goodwill, will find willing partners with Labor, Bowen declared. Above all, the Coalition will rely on Labor to push militarist and austerity policies through the Senate, the upper house of parliament. Turnbulls decision to call the first double dissolution election since 1987, with all seats up for re-election in both the lower house and the Senate, has resulted in a debacle. Far from gaining a majority in the Senate, the position of the Coalition has drastically worsened. So far, it appears that the Coalition parties have definitely won only 29 of the 76 Senate seats. Labor appears likely to win just 25 and the Greens nine. The protectionist South Australian-based Xenophon Team will hold at least three. The anti-immigrant One Nation has probably won two. Tasmanian right-wing independent Jacqui Lambie and Victorian law-and-order campaigner Derryn Hinch have also been elected. Six seats remain in doubt and the final results may not be known for weeks. Whether the Coalition will hold together once it faces the political backlash that will erupt as it attempts to impose unpopular policies is by no means certain. Already, sections of the Liberal Party are demanding that Turnbull repudiate measures that could affect the superannuation tax schemes that benefit the ultra-wealthy. The rural-based Nationals, facing a resurgent One Nation, especially in the state of Queensland, are making demands on issues ranging from milk pricing to foreign investment, and an additional ministry in the cabinet. A break-up of the Coalition is only one of a number of possibilities that could lead to a new election. The starkest feature of the political situation, as the ruling elite desperately tries to work out how to implement its agenda, is the crisis of political perspective in the working class. Millions of workers and young people are alienated from the capitalist parties, due to decades of ever-widening social inequality and worsening social conditions. At present, however, that disaffection has been largely diverted behind right-wing populists, leaving power in the hands of the corporate and political establishment. What must be developed is the independent political intervention of the working class on the socialist and internationalist program that represents its interests. The fight for that perspective was at the centre of the campaign conducted by the Socialist Equality Party. It will be further elaborated at the SEPs upcoming public meetings, The Australian election crisis: The way forward for the working class. 25 Years Ago | 50 Years Ago | 75 Years Ago | 100 Years Ago 25 years ago: Leaked cables creates furor over US provocation of Iraq On July 12, 1991, the Washington Post published excerpts of secret State Department cables revealing the trap that was set by the US to provide a pretext for the bloody war against Iraq. One year after the meeting between US Ambassador April Glaspie and Iraqi President Saddam Hussein, 10 months after the release of an Iraqi transcript of the discussion and four months after Glaspie denounced the Iraqi document as a fabrication in Congressional hearings, the leaked cables verified the Iraqi transcript and exposed Glaspie as a barefaced liar. Democratic Senator Alan Cranston issued a statement charging, April Glaspie deliberately misled the Congress about her role in the gulf tragedy. Other Democrats declared that the newly uncovered cables demonstrated a failure of intelligence on the part of the Bush administration as to Iraqi intentions toward Kuwait. The July 25, 1990 meeting took place barely one week before Iraqs invasion of Kuwait and less than two weeks before George H.W. Bush announced that he was drawing a line in the sand. It was held at the request of the Hussein regime, which asked for a discussion on the deterioration in US-Iraqi relations over the previous months. In her testimony before the Senate, Glaspie insisted that she had delivered a tough warning to Saddam Hussein about US determination to defend vital interests in Kuwait and that Hussein had responded with assurances that he intended to settle his disputes with the oil emirate by peaceful means. At the end of her two days of testimony in March 1990, delivered in the midst of the official euphoria over US imperialisms victory over a virtually defenseless Iraqi army seeking to flee Kuwait, Glaspie was being lionized by the capitalist politicians in the Senate, as well as by the bourgeois media, for having stood up to Hussein. The Iraqi transcript, which painted a rather different picture, was universally dismissed as disinformation and the cloud cast over Glaspie by her rather long silence on the matter was attributed to a failed attempt to make her a scapegoat for the administrations earlier dealings with the Iraqi regime. Now it was evident that the disinformation was coming not from Baghdad but from the US State Department. [top] 50 years ago: US war in Vietnam deepens This week in 1966 witnessed another deepening of the US war against Vietnam, as the Johnson administration attempted to crush North Vietnam and its support for the nationalist and pro-unification NLF rebels fighting against the American puppet regime in South Vietnam. On July 15, the US launched Operation Hastings, a large-scale Marine action whose stated aim was sweeping North Vietnamese fighters out of an area adjacent to the so-called demilitarized zone (DMZ) separating South Vietnam from North Vietnam. Simultaneously, the US launched a record number of bombing missions against targets in North Vietnam. On July 17, North Vietnamese President Ho Chi Minh ordered a partial mobilization of the army and, in a radio address marking the 12th anniversary of the Geneva accords ending the French war against its Southeast Asian colonies, warned that the war could last another 20 years or longer. In the same address, Ho Chi Minh spelled out terms for ending the war with the US. First, the total withdrawal of US forces from Vietnam. Second, in keeping with the Geneva accords, an end to all foreign military alliances with either South or North Vietnam. Third, the settling of South Vietnams internal politics without foreign influence. Fourth, the reunification of Vietnam to be determined by the populations of both sides without foreign interference. [top] 75 years ago: Uprising in Montenegro against Italian occupation On July 13, 1941 peasants, workers and youth in Montenegro, a southern district of Yugoslavia, erupted in an insurrection against Italian occupation forces one day after Mussolini announced he would annex Montenegro to fascist Italy. The territory was occupied by Italian troops after the joint German-Italian invasion overran Yugoslavia in early April. The Montenegrin population rose up in a massive onslaught on Italian military garrisons. In two days a large number of Italian soldiers were killed and 3,000 taken prisoner. The uprising was sparked by initial attacks by partisan bands under the direction of Josip Broz (Tito), head of the Yugoslav Communist Party. After Hitler launched his invasion of the USSR on June 22, Stalin urged Tito to carry out limited guerrilla actions against German and Italian forces. He demanded popular front policies within Yugoslavia, expressly forbidding any revolutionary activity in order to curry favor with British imperialism. One of Titos lieutenants, Milovan Djilas, returned to Montenegro one week before the uprising to make preparations. He rode roughshod over the Montenegrin Provincial Committee of the Communist Party and denounced as sectarian their proclamation, which branded both Britain and Germany as imperialist, and called for the militant alliance of workers and peasants in the struggle for the realization of Soviet power and a final settling of account with the capitalist system. Djilas ordered CP members to rein in the mass uprising against the Italian fascists. The Stalinist leadership spread confusion and demoralization in the ranks of the workers as Mussolini launched a genocidal counteroffensive, burning down villages and executing peasants and workers to crush the rebellion. [top] 100 Years Ago: British troops launch surprise attack on the Somme On July 14, 1916, 20,000 British troops attacked German lines near the Somme River, in northern France, at 3:25 a.m., after five minutes of bombardment. The decision to make a night raid followed a protracted struggle within the British high command. During the night of July 13, special details were sent out to place white tapes to guide the troops along the 1,000-yard march. Further tapes were placed at right angles to mark the forward line where the troops were supposed to form up so they would start parallel with their objective. Shortly after midnight, the battalions assembled, moving up as long lines or platoons in single file. At 3:20 a.m., the barrage fell on German trenches. Five minutes later, the whole British line moved towards the assault. Five miles of the German second line were rapidly overrun and the attacking troops passed beyond it. Three divisions of cavalry were in readiness but took a long time in coming, held up by the mud and craters on the battlefield. The troops were battle-weary and many of them were mowed down by machine-gun fire. Although most of the wood was cleared by evening, the northern corner of the flanking trenches remained under German control. The Germans called up fresh reserves, tightened their hold and the British fell back. The surprise attack of July 14 degenerated into another battle of attrition, which dragged on into November. It was one of a series of bloodbaths in which imperialist commanders slaughtered millions of young workers to shift the battlefront a few miles in either direction. During the Battle of the Somme, the British are thought to have suffered 420,000 casualties, the French nearly 200,000 and the Germans about 450,000. [top] Tensions between the United States and China are about to markedly escalate as the UN Permanent Court of Arbitration prepares to hand down its judgment tomorrow in The Hague on the Philippine legal challenge to Chinese maritime claims in the South China Sea. Beijing has refused to recognise the courts jurisdiction, has not participated in its proceedings and has declared it will not abide by its findings. In the lead up to the court decision, the Chinese military has conducted exercises, due to finish today, in waters near the Paracel Islands that are under its control. Washington has cranked up its propaganda apparatus and military activity in the South China Sea, setting the stage for a dangerous confrontation. There is no shortage of commentators in the US and international media accusing China of refusing to abide by international rules and warning in sensational terms of an aggressive Chinese response to the court ruling. Among the most significant are the remarks of Kurt Campbell, former US assistant secretary of state, reported in an article today in the Australian entitled, Beijing tantrum on sea ruling looming. Campbell, now working as a foreign policy adviser to Hillary Clinton, declared there was no question there would be a substantial reaction from Beijing. The outrage and unhappiness in China will not be staged and its likely there will be some [military] deployments and active steps taken, he said. Campbell warned that Beijing might respond by carrying out naval patrols near, or building military facilities on, the Scarborough Shoal, with very negative consequences for everyone. He also repeated the much-canvassed suggestion that China could declare an Air Defence Identification Zone (ADIZ) over the South China Sea, to which it has claimed historic territorial rights. Along with Clinton, Campbell was a key architect in the first Obama administration of the US pivot to Asiaa comprehensive diplomatic offensive, combined with economic measures and huge US military build-up, aimed at subordinating China and the region to American domination. In 2010, Clinton deliberately inflamed territorial disputes in the South China Sea as a means of driving a wedge between China and its South East Asian neighbours, thus creating a dangerous new regional flashpoint. Lurid accusations of Chinas aggression and belligerence serve as the pretext for Americas military expansion throughout Asia, including in the South China Sea. Since 2010, the US has secured access to military bases in the Philippines and Australia, strengthened military alliances and partnerships, restructured and reinforced its own military forces in Asia and expanded its anti-ballistic missile systems, most recently in South Korea, in preparation for a war with China. While the media has highlighted current Chinese naval exercises in the South China Sea, scant attention has been paid to heightened US naval activity. An article in the Navy Times last week pointed out that over the previous fortnight, the destroyers Stethem, Spruance and Momsen patrolled very close to Chinese-controlled features at the Scarborough Shoal and in the Spratly Islands. We have been regularly patrolling within the 14 to 20 nautical-mile range of these features, an unnamed official said. In other words, the warships remained just outside the 12-nautical-mile territorial limit of Chinese-controlled reefs and islets. Since last October, the US navy has provocatively sent destroyers inside the 12-nautical-mile limit on three occasions in so-called freedom of navigation operations. Last Wednesday, the USS aircraft carrier Ronald Reagan and its strike group of cruisers and destroyers began a new patrol of the South China Sea. Bonnie Glaser, an analyst with the Washington-based Centre for Strategic and International Studies (CSIS), told the Navy Times that the persistent presence of a US aircraft carrier in the region was part of an enhanced US military posture in the South China Sea. Glaser said the number of days that US warships spent in the South China Sea was dramatically escalating, from more than 700 in 2015 to a projection of more than 1,000 this year. On any given day you are seeing two or more ships operating in the South China Sea, she said. Glaser heads the China Power Project at the CSIS, which has been closely involved with the Pentagon in implementing its military build-up in Asia. Last week, Chinese Foreign Minister Wang Yi spoke to US Secretary of State John Kerry by telephone to urge Washington to stay out of territorial disputes in the South China Sea and take no actions that harm Chinas sovereignty and security interests. Washington, however, intends to ramp up the pressure. Unnamed officials told Reuters the US would escalate its freedom of navigation challenges to China if Beijing ignored The Hague ruling. Washingtons claims to be solely interested in freedom of navigation and the international rule of law are utterly hypocritical. In the first place, unlike China, the US has never ratified the UN Convention on the Law of the Sea (UNCLOS) and so has been compelled to use its former colony, the Philippines, as a legal surrogate. It is no accident that the Philippine case has been drawn up and argued by Washington attorneys. Secondly, while condemning China for failing to abide by international law, the US treated the court proceedings in The Hague with complete contempt. All its reckless naval intrusions into Chinese-claimed waters proceeded before the UN court handed down its judgment. While it is highly likely that the tribunal will favour the Philippines and thus the US, its lengthy delay in announcing a decision highlights the cases political character and the complexities involved, which could have ramifications in other maritime disputes. Under UNCLOS, the UN court has no jurisdiction to decide on matters of disputed land territory; it is restricted to adjudicating on maritime disputes. The court ruled last year it had clear jurisdiction to hear only seven of the 15 issues in the Philippine case and would have to decide on jurisdiction in another sevenincluding the key issue of Chinas historic claims to much of the South China Seaafter further consideration in conjunction with the merits. In doing so, it left open the possibility that it might not decide on some of the most contentious issues. On the last of the 15 issues, that China shall desist from further unlawful claims and activities, the tribunal called on the Philippines to clarify and narrow its submission. Whatever the legal decision, the US will escalate its military confrontation with China in the South China Sea. While declaring that it defends freedom of navigation, Washington regards US control of these strategic waters as crucial to its preparations for war with Beijing. The Pentagons AirSea Battle plan envisages a massive air and missile onslaught on the Chinese mainland, complemented by a naval blockade to cripple the Chinese economy. The South China Sea is central to both components of this strategy. VALDOSTA, Ga. (WTXL)-- Valdosta Police Officer Randall Hancock is recovering at South Georgia Medical Center after being shot at least twice in his protective vest and once in the abdomen. The Georgia Bureau of Investigation says Hancock responded to a 911 call about a vehicle break-in and when he got out of his patrol car he was shot by a suspect Friday morning at Three Oaks Apartments. Chief Brian Childress says officer Hancock got up to walk the other day but is a little sore. He says he's receiving an outpouring of support from the entire community and that this shooting had nothing to do with the anti-police movement. On Saturday, the GBI interviewed 22-year-old Stephen Paul Beck who is at Tallahassee Memorial Hospital, guarded by police. During the interview, agents say Beck admitted he called 911 and shot officer Hancock because he wanted the police to shoot him, and that he wanted to die. Beck told the GBI he suffers from depression and has no hatred for police. Aggravated assault on a police officer charges are pending against Beck. VALDOSTA GA.-- The St. Barnabas Episcopal Church will hold a vigil to honor the victims of the Dallas Shooting and the Police Officer injured in Valdosta, on Tuesday July 12th. The event will be hosted by Frank Lee, Former National Director of the Guardian Angels, and will begin at 7pm. The event is free and community members are encouraged to join in remembering each of those affected by this tragedy and in prayers for peace. The public as well as all law enforcement and first responder agencies are invited to attend. St. Barnabas Episcopal Church is located at 3565 Bemis RD Valdosta, GA 31605 Ten years after the Second Lebanon War the details of one of its most harrowing battles come to light as acts of heroism were immortalized in photo and audio recently declassified by the IDF and released Sunday. Follow Ynetnews on Facebook and Twitter During the battle at Bint Jbeil, one of the most significant battles in the 2006 war against Hezbollah, eight IDF fighters from the Golani Brigade's Battalion 51 were killed. All 16 of the soldiers who took part in the battle were given a military decoration to commemorate their courage under fire. Bint Jbeil (Photo: IDF spokesperson's Unit) The town of Bint Jbeil was unofficially declared to be the Hezbollah capital in Southern Lebanon, and as such the IDF decided to overtake it during the third week of the war. Hezbollah was prepared for the troops, though, and had set up a deadly ambush for them in advance. Extracting the bodies from Bint Jbeil X As dawn broke, the fighting began, lasting for over ten hours. The ensuing extraction also took several hours under heavy Hezbollah fire. There were many moments of heroism and unwavering camaraderie in the battle. One moment, though, became etched in IDF history: it was when Deputy Battalion Commander Roi Klein flung himself on a grenade thrown at his unit. The grenade sent hundreds of shards into his body, killing Klein, whose actions saved his soldiers. Bint Jbeil (Photo: IDF spokesperson's Unit) IDF Chief of Staff Gadi Eisenkot was Head of Operations Directorate during the Second Lebanon war. In a letter he made public, he reflects on the war and its relevance in current Israeli reality. "I see the IDF spirit pulse through generations of commanders and soldiers, despite all the threats they face, as they complete their missions with perseverance, responsibility and ethics. Lebanon still constitutes a threat that holds many challenges, which demand that the IDF to prepare itself for any possible scenario," he wrote. The battle of Bint Jbeil X He went on to say that "Though our enemies are constantly watching us, I am sure that when the time comes, we will stand strong and prove that the IDF is a well-prepared, strong and decisive army. If and when the order comes, I am certain that we will be able to realize the IDF's destiny: to protect our country, guarantee its existence and if it becomes necessarywin the war." (Photo: IDF Spokesperson's Unit) The family of Sgt. Elor Azaria, an ID soldier on trial after shooting dead an already-neutralized terrorist in Hebron, managed to raise over NIS 400,000 from the public by Monday morning to fund legal expenses for their son, exceeding their expectations. Follow Ynetnews on Facebook and Twitter Azaria's parents launched a crowdfunding campaign on the website Headstart on Sunday evening and were able to raise their goal of NIS 400,000 and more. "I turn to you, dear mothers, please help me bring my son home," Azaria's mother Oshra says in a video on the campaign page. Sgt. Elor Azaria, right, in court with his father (Photo: Motti Kimchi) charges of manslaughter , is being represented by four senior attorneys who are experts in cases like his. "All of the trial expenses cost a fortune, and in our situation we can't afford it," Charlie, Azaria's father, says in tears in the video. "We want the truth to come out and for him to come back home. Today it is my son, tomorrow it could be your son, your grandson, your great-grandson," Charlie adds. "How can it be that a soldier who merely went to serve his country is abandoned, and suddenly we're told 'deal with this on your own'?" Just as in any crowdfunding campaign, each donation is rewarded by a perk. For NIS 50, the donor will receive a certificate of support and appreciation from the family. Those giving NIS 100 will get a personal letter of thanks from the Azaria family. Protest outside the courthouse in support of Azaria (Photo: Yariv Katz) For NIS 200, the donor will receive a T-Shirt that says "All for one, Am Israel Chai," while a NIS 300 donation will reward the contributor with the T-Shirt as well as a certificate of appreciation. Those who donate NIS 500 will get a tour of the Channel 20 studios and a lecture on the media, a NIS 10,000 donation will get the contributor a parlor meeting in his home and a lecture on the media. Azaria's trial has been ongoing for several weeks at a military court. His defense team is led by Eyal Besserglick, an expert on criminal law, and Ilan Katrz, the former Deputy to the Military Advocate General. Other members of the team include Karmit Shahiber and Binyamin Malka. The lawyers did not object to the campaign. "How the family raises money is their own business," said Besserglick. "Anything that can help fund the expenses is good. The defense has a lot of expensesfees for professional services, expert witnesses, consultations over legal opinions, even photocopying expenses. This is an intensive case that has five people just from my office working on 24/7, and of course there were clients I had to reject because of it. The pace is crazy. In such a trial, you are usually given about half a year to present evidence, but in this trial we were given a week." Israeli residents living around the Gaza Strip were informed On Monday that the Color Red alert system may go off due to celebratory shooting within the Gaza Strip, as high school diplomas are set to be handed out. A Palestinian resident from Khan Yunis has reportedly been killed due to these shootings. Two soldiers of the Kfir Brigade's Shimshon Battalion, who were with Sgt. Elor Azaria when he shot dead an already-neutralized terrorist, testified in court on Monday, with one of them, Sgt. M., saying "at first we thought was Elor did was grave, and only later did I realize there could have been a bomb on the terrorist. I realized Elor acted correctly, based on instinct." Follow Ynetnews on Facebook and Twitter Sgt. M., who is seen in a B'Tselem video of the incident receiving Azaria's helmet from him and is one of the few to have seen the shooting up close, also confirmed the testimony he gave to the IDF's Criminal Investigation Division (CID) according to which Azaria told him that because the terrorist stabbed his friend, he deserved to die. In four different opportunities during his questioning by the CID, Sgt. M. testified that "if I had known what Elor was about to do, I would have stopped him," adding "He didn't seem to regret the shooting but he appeared to be stressed." Sgt. Elor Azaria in court (Photo: Motti Kimchi) Sgt. M. had a hard time talking and asked to write what he wanted to say: "The state didn't back Elor. He's not a hothead. He's a good man who helps a lot." Explaining the change in his testimony, Sgt. M. said that during his questioning at the CID, "the interrogator yelled at me and was angry at me. I don't know if it was because I gave him answers that would help Elor. There was a tensed atmosphere at the CID, the interrogator wouldn't let me go outside." Speaking of his service in Hebron, Sgt. M. said, "even though we were not briefed about explosives, Elor and I were afraid of them (in general YZ)." "We were not drilled on terror stabbings or briefed on it, or on isolating the scene of an attack, or on how to deal with an explosive belt on a terrorist," Sgt. M. explained. Sgt. M. arriving at court (Photo: Motti Kimchi) "The terrorist's coat was very inflated between his abdomen and chest area," Sgt. M. recounted. "A terrorist with such a warm coat was what raised suspicion. We kept our distance from the terrorist because he was not cleared by the bomb squad. I was scared and so were others." Judge Col. Maya Heller pressed Sgt. M., "Who exactly were the ones scared? Earlier you said you weren't scared. Why the change?" Sgt. M. responded, "I was scared and so was Elor. I wasn't scared during the incident itself, but after the incident I realized where the concerns had come from. Fear can manifest in several ways. One of the things I felt during the incident itself was stress. This could also be perceived as fear. The terrorist could not have gotten up and physically hurt us, but he could've set off a bomb." He also claimed his testimony at the CID was influenced by the B'Tselem video showed to him during questioning, attributing it to the title of the video: "Soldier executes Palestinian lying down." Judge Col. Heller pressed further, "But an hour and 20 minutes before that, even before you saw the video, you said you spoke to the defendant and told him the shooting was not proper and 'You shouldn't have done it.' Regardless of the video or its title, in the field, soon after the shooting, you told Elor the shooting was improper. Why was Elor's shooting improper, as you told him?" Sgt. M. responded, "It appeared to me at the time, in the field, in that moment, that the shooting was improper. But I've now realized that I'm justifying his actions." 'I didn't feel like terrorist posed a danger to me' Later, Sgt. A., a squad commander who was also on the scene, took the stand. His was a different version to Sgt. M.'s version. "The terrorist was grunting or maybe convulsing. I didn't feel like he posed a danger to me. He may have been dangerous for other reasons. When there's suspicion of an explosive belt, we were instructed to keep our distance and apply the rules of engagement. I didn't feel in danger from this terrorist," he repeated. However, he added that "the terrorists, as far as I knew, were not yet cleared (by the bomb squad) and that's why no one approached them. It's strange the bomb squad did not come to check the terrorists." Sgt. A. accused the Kfir Brigade commander (Col. Guy Hazut) of "telling us something very inciting in the briefing: That the settlers were yelling (about the terrorists having explosives YZ) without a reason, just so we don't treat the terrorists." He read his testimony to the CID: "Elor told me after the shooting, 'My friend was stabbed, they wanted to kill him.' He said it as if in apology, emotionally." "The atmosphere at the scene of the attack was to wait for the bomb squad... the police shouted there was a bomb disposal expert on the way. I didn't look at the terrorists so I would not be horrified by the sights," he added. Sgt. A. went on to say that "in the days following the incident, the company commander, the battalion commander, the brigade commander and the IDF Spokesman's Office's representative spoke to us about the incident on several occasions and told us it was grave. This has an effect on me, when the commanders talk about the incident. Even the IDF chief of staff said this incident was wrong. I think the military needs to first and foremost back the soldiers, and come out against them only after an investigation." Sgt. A. said Azaria was "sensitive, gentle and not quick-tempered. He was very quiet and family oriented. Not a guy who causes trouble. He wasn't a Beitar Jerusalem fan, or part of the La Familia fan group." Elor's sister, however, previously described her brother as "a diehard Beitar fan" and photos on his Facebook page confirm this. The fans of the Jerusalem-based soccer club have a long history of racist comments and violent outbursts, particularly against Arabs. A specific fan group of the soccer club, La Familia, is particularly notorious of its hate speech. Battalion commander's testimony: 'Elor was panicked' Azaria's battalion commander, Lt.-Col. David Shapira, is expected to testify on Tuesday. He is the most senior commander to testify who also spoke to Azaria following the incident. "About an hour and a half to two hours after the shoot, I spoke to Elor for the first time, after consulting with the brigade commander and agreeing the soldier would come to me for a debrief," Shapira told ICD investigators in a lengthy testimony spreading over nine pages. Lt.-Col. David Shapira (Photo: IDF Spokesman) "We spoke next to the guard post at the Jilbar checkpoint, where the incident happened," Shapira continued. "I asked him why he shot (the terrorist) and he answered that he felt in danger because he saw the terrorist with a knife close to him. I asked him, 'So why didn't you go and kick the knife away? Why did you have to open fire?' And he said 'I felt in danger.' I told him I thought he was not telling the truth and that I did not consider the shooting justified, as the company commander was standing nearby and did not feel in danger. Because of the severity of the incident, I informed him he was suspended from fighting and that he will be taken for questioning with the brigade commander." ICD investigator: "How did Elor react to this?" Shapira: "To the best of my memory, he was pretty quiet, didn't say anything. He seemed a bit panicked." Shapira stressed to investigators at this point that "the things Elor told me definitely contradict what he said after the shooting to the company commander, Maj. Tom Na'aman. (Azaria) told (Na'aman) he didn't feel in danger, which is contradictory to what he told me as an explanation for the shooting." The prosecution is expected to use this testimony to show the changes in Azaria's claims within hours of the incident. At first, he claimed "He's a terrorist who tried to stab my friends, so he deserves to die." Later, he explained: "I felt in danger because he was close to the knife, and moved." And then he claimed he was "Afraid of an explosive belt on (the terrorist's) body." The battalion commander did admit to not specifically addressing how to handle a situation in which a terrorist is carrying an explosive. "We spoke about what to do when there is danger, but we didn't specifically mention the explosive belt option." When asked what should be done in such a situation, the battalion commander said, "If I see a terrorist about to set off the bomb, I would shoot to neutralize. If the terrorist was completely neutralized and there was no chance he could set off the explosive, I'd move everyone away and call for a bomb disposal expert to neutralize the bomb. These are strictly my instincts and how I understand the rules of engagement." The battalion commander said Elor was an excelling soldier and combat medic, and was promoted as a consequence. "In the weeks that preceded the incident, his parents called and said the smile disappeared from his face because of the workload and the pressure. I rebuked the company commander who didn't address this immediately after I asked him to." On March 24, two terrorists carried out a stabbing attack in the Tel Rumeida neighborhood in Hebron, moderately wounding one soldier. Both were shot and neutralized. One was killed and the other, Abed al Fatah a-Sharif, remained lying on the ground seriously wounded. A B'Tselem cameramen who was filming the scene after the incident captured Azaria cocking his weapon and shooting a-Sharif, killing him. B'Tselem footage of the Hebron incident (: . ) X Initial investigation found the shooting occurred six minutes after the incident and after other soldiers had already neutralized the terrorist. Two platoon commanders and the company commander were rebuked by the Kfir Brigade commander for not treating the wounded terrorist and evacuating him to the hospital. An investigation by the GOC Central Command found that Azaria decided to open fire of his own volition. Ten years after the Second Lebanon War, the IDF Artillery Corps is preparing for a scenario in which it might have to enter Lebanon with a grand-scale training exercise. The Corps Unit 215, also known as the Pillar of Fire formation, practiced responding to a situation that required its troops to cross the border deep into Lebanon. As part of their training, a simulated village was erected in the Jordan Valley, in which soldiers from the Nahal and Givati Brigades posed as Hezbollah operatives. Follow Ynetnews on Facebook and Twitter The practice included the shooting of the highly precise Tamuz missile. The missile is equipped with an electro-optic system, so that the blast was recorded from the missiles point of view. Video: IDF Spokesperson's Unit (: " ) X The Artillery Corps refrained from entering Lebanon during the Second Lebanon War, shooting its missiles from within Israel. Since then, however, Hezbollah has greatly dispersed its forces, setting up its missile launchers and centers of operations just north of the border. Artillery missile practice (Photo: IDF Spokesperson's Unit) According to military estimations, Hezbollah now has the capabilities to locate the source of the IDFs artillery fire and to intercept it. Subsequently, the IDF estimated that if and when another confrontation with Hezbollah will come about, Israel will be forced to send its ground forcesspecifically its Armored, Infantry, Engineering and Artillery Corpsinto Lebanon. Artillery missile practice (Photo: IDF Spokesperson's Unit) To train for such a scenario, the Artillery soldiers taking part in the exercise were ordered to set up flash deployments in the field, while also being able to pack up and move sites on demand. The exercise lasted for a week and a half, during which hundreds of mortar shells were simultaneously fired and then reloaded on the minute. Artillery missile practice (Photo: IDF Spokesperson's Unit) The Artillerys Pillar of Fire formation has been operational since 1986, but its existence was only made public knowledge a few years ago. As the Tamuz missile is almost never used for live practice, and its incorporation into the exercise is a rare occurrence. Two women accused of raising money for the Somali terrorist group al-Shabab are set to go on federal trial. Thirty-six-year-old Muna Osman Jama of Reston, Virginia, and 46-year-old Hinda Osman Dhirane of Kent, Washington, were arrested and charged in 2014 with providing material support to terrorists by funneling small amounts of money to al-Shabab, an al-Qaida affiliate. Prosecutors say the women used coded communications to hide their intent, referring to al-Shabab as "the family" and truckloads of supplies as "camels." US forces will move advisers and other staff to an Iraqi airfied recaptured from ISIS to help locals organise a push on Mosul, the militants' largest stronghold, US Defense Secretary Ash Carter said before arriving in Baghdad on Monday. Iraqi government forces said on Saturday they took back control of Qayara airbase, about 60 km (40 miles) from the northern city, backed by air cover from a US-led military coalition. The recapture of Mosul, on major supply roads running further north to the borders of Syria and Turkey, would be a major boost for the Iraqi government and US plans to weaken the group which has launched and inspired attacks in the West. British Home Secretary Theresa May is set to become the United Kingdom's next prime minister after her opponent dropped out of the race on Monday, leaving her the only candidate for the leadership of Britain's ruling Conservative Party. Follow Ynetnews on Facebook and Twitter May became the single and final candidate for the Conservative Party position after her only opponent, Minister of State at the Department of Energy and Climate Change Andrea Leadsom, announced she was dropping out of the race on Monday. Theresa May (Photo: Gettyimages) Leadsom cited not having the necessary support from Parliament for her to stand a viable chance of wining the leadership. "Strong leadership is needed urgently to begin the work of withdrawing from the European Union," Leadsom said in a statement to the press. "I wish Theresa May the very greatest success. I assure her of my full support." Andrea Leadsom (Photo: GettyImages) Once she will be confirmed as Conservative leader, May will automatically become the new prime minister, replacing outgoing leader David Cameron, who resigned in June following Britain's vote to leave the European Union. While she initially pushed for Britain to remain within the EU, May eventually changed her position following the referndum, saying that "Brexit means Brexit" and that if elected, she would make sure that Britain follow through and leave the EU. In an earlier speech on Monday, May decribed her economic vision, calling for "a country that works for everyone, not just the privileged few." She added that "In the coming weeks I will set out (how) to take our economy through this period of uncertainty, to get the economy growing strongly across all parts, to deal with Britain's long-standing productivity problem, to create more well-paid jobs, to negotiate the best terms for Britain's departure from the EU and to forge a new role for ourselves in the world." Minutes before Leadsom's announcement that she is dropping out f the Conservative leadership race, Opposition lawmaker Angela Eagle said she would challenge Jeremy Corbyn for the leadership of the Labour Party. Corbyn has recently found himself in hot water over comments made by other members of his party seen as vehemently anti-Semitic, and with Corbyn himself being seen as anti-Israeli after comparing the Israeli government to ISIS. After being elected last year with overwhelming support from grassroots Labour activists, Corbyn has more recently ignored a vote of no confidence from the party's lawmakers, saying he has a responsibility to carry out that mandate. Though May has not yet confirmed, she has already received the support of Britain's right-leaning newpaper the Daily Mail, which endorsed her in an editorial posted online on Thursday, saying she could heal divisions exposed by the country's vote to leave the European Union. The editorial stated that "The Mail believes only Mrs. May has the right qualities, the stature and experience to unite both her party and the country, and possibly usher in a new, cleaner, more honest kind of politics." The Daily Mail, owned by the Daily Mail and General Trust, is Britain's second biggest-selling newspaper, with a daily circulation of about 1.5 million. Bilal Abu Ghanem, who carried out a terror attack on a bus in Jerusalem's East Talpiot (Armon HaNatziv) neighborhood in October, murdering three Israelis, was sentenced on Monday to three life sentences and an additional 60 yearsa total of 135 years. Follow Ynetnews on Facebook and Twitter Abu Ghanem, who was convicted of three murders, seven attempted murder, and aiding an enemy in a time of war, was also ordered to pay NIS 250,000 in compensation to each of the victims' families, NIS 150,000 to each of the wounded, and an additional NIS 100,000 to the driver of the bus. He was convicted after the Jerusalem District Court rejected a plea deal reached between the prosecution and Abu Ghanem's lawyers, because the defendant refused to admit to his crimes. Abu Ghanem also refused to mount a legal defense and his lawyers, Mohammed Mahmoud and Tariq Bargut, agreed to submit all of the evidence without cross-examination. Bilal Abu Ghanem in court (Photo: (Photo: Alex Kolomoisky) Abu Ghanem, along with Baha Aliyan , boarded the number 78 bus on October 13, 2015, with Abu Ghanem opening fire at the passengers and Aliyan stabbing them with a knife. Even after running out of ammunition and breaking their knife, the two continued strangling passengers with their bare hands until Border Police arrived at the scene, shooting them both and killing Aliyan. Three Israelis were murdered in the attackHaviv Haim, 78, and Alon Govberg, 51, and Richard Lakin, 76and seven others were wounded. Bus driver Israel Zaken said after the verdict, "I hope he remains in prison for the rest of his life and not get out as part of a deal." Maya Rahimi, who was wounded in the attack, added: "I'm glad we reached the end (of the process). To me, this is closure. But my own journey is still long. It's hard for me to return to normal, being afraid to go on buses." The prosecutor, Uri Korev of the Jerusalem District Attorney's Office, said after the verdict, "The defendant, a known Hamas operative, committed a barbaric slaughter of three innocent people and wounded others. He shot elderly people to death at point-blank range while his partner stabbed them with a butcher knife." JOHANNESBURG (AP) -- Twin brothers have been charged with conspiring to blow up a U.S. mission and various Jewish institutions in South Africa. Police spokesman Hangwane Mulaudzi said Monday that Brandon-Lee Thulsie, Tony-Lee Thulsie and two other people who are yet to be charged were arrested in Johannesburg on Saturday. The four were arrested before boarding a flight to Syria and had been under surveillance for nearly a year. If we are to judge based on "test results," almost all of Israel needs to tip their hats to Ehud Olmert, Amir Peretz, and Dan Halutz, and ask for their forgiveness. We have accused them, blamed them, and sinned. The indisputable facts are that northern Israel has been almost completely quiet for a decade, and it's posible that this quiet will continue for years to come. An entire generation of children have never been inside of a bomb shelter in the north. When has Israel ever seen quiet lasting this long on the northern border? Follow Ynetnews on Facebook and Twitter Israel is marking a decade since the outbreak of the Second Lebanon War, and people are still asking if this quiet was "worth" that confusing war. Excuse the cliche phrase that "there is no just war," but the time has come to say that this war is included in this definition. Even if the IDF learned several important lessons, such as the fact that the IDF was not prepared to fight against a guerilla force, and the fact that the IDF was not prepared to deal with the damage caused to the civilian population. There is no war to end all wars, and if there was one, it should be started immediately. Not every war ends with a peace treaty and forced friendship like in World War II. There is also no war which will end all war with Israel. This type of war is the wet dream of a large portion of the Muslim world, a war which would see Israel wiped off the map. In Israel, we too are mistaken in believing that historic victory after historic victory will put an end to the wars with the Arabs. Fighting during the Second Lebanon War (Photo: IDF Spokesperson's Unit) Thats how it was at the end of the Six Day war with the Suez Canal. The Egyptians would shoot at Israel from the Egyptian side of the canal, and a certain well known tank commander would feign surprise. These obnoxious people weren't fighting out of patriotism. These small wars such as the War of Attrition are what terrorist organizations such as Hezbollah and Hamas dream about. A low level conflict. Israel will always be drawn into, and will get out of too late (22 days for the Second Lebanon War, and 51 days for Operation Protective Edge.) There are those who say that the Second Lebanon War was "worth" the heavy price due to the thousands of peaceful and quiet nights on the northern border, a border which has never known quiet like this before. This would have been true had Hezbollah been sitting idly by after the war ended. Israel would have been publishing op-eds similar to what was published before the Yom Kippur War (a famous headline from that time, "The Egyptian guns are rusting from lack of use). Prime Minister Ehud Olmert on a tour of the border with Lebanon (Photo: Moshe Milner) But we can't forget that Hezbollah has armed itself with tens of thousands of precision rockets and mortars and have thousands of soldiers who are practicing their fighting skills and gaining experience in Syria. They have promised us that we will forget all about the last 10 years of quiet once they fire the first rocket from Lebanon, and we will forget about the quiet even more so when the rockets arrive in salvos. So says the Hezbollah leader who has been too afraid to step out of his bunker for the past decade. The conclusion is that Israel can and must go to war only once the knife is at our throat, and we must know from the beginning that there's no such thing as a tactical war, just big wars. While it's true that Zionism lives on the short intervals whereby our enemies are sitting by idly and stupidly, they are slowly but surely getting smarter. Yet despite everything thats been written here and I'm guessing that this sentence won't comfort the bereaved families we must thank Olmert, Perez, and Halutz for a decade of quiet. It's something. The Saudi-owned Al Arabiya news network has reported that Israeli Prime Minister Benjamin Netanyahu has expressed willingness to meet with Palestinian President Mahmoud Abbas at a possible summit in Cairo. Netanyahu reportedly expressed this willingness during a meeting with Egyptian Foreign Minister Sameh Shoukry. The PMs office responded to the report by stating, Whether this is being discussed or not, Israel always says it is ready to directly negotiate, bilaterally, and without preconditions. South African twins arrested over the weekend were planning attacks on buildings owned by Jewish people and on the US Embassy in the capital Pretoria police said on Monday. Follow Ynetnews on Facebook and Twitter Four South Africans, including the twins, Brandon-Lee and Tony-Lee Thulsie, faced charges in court ranging from conspiracy to firearms offences, the spokesman for the elite police unit Hawks, Brigadier Hangwani Mulaudzi, said. The four, arrested in Johannesburg on Sunday, will be detained in custody until July 19, when their case will be heard, Mulaudzi said. Iraqi forces with a captured ISIS flag (Photo: AP) Quoting the charge sheet, the News24 news organisation said the twins had been attempting to fly to Syria. Security officials say there are no known militant groups operating in South Africa, but Britain and the United States warned in June of a high threat of attacks against foreigners in the country's shopping malls. Mulaudzi named the other two siblings as Fatima and Ibrahim Mohammed Patel. "The indictment does talk to issues of terror plots that they were planning against the U.S. Embassy as well as Jewish Buildings in the country," he said, referring to the twins. "The twins have been charged with conspiracy," Mulaudzi added. "The Patel siblings have been charged with the violation of the Firearms Control Act for now." The twins' preliminary charge sheet states that their conspiracy occurred between October 2015 and July 8 this year, local newspaper the Times said on its online service. Egyptian media was surprised to hear about the rare visit by Egyptian Foreign Minister Sameh Shoukry to Israel on Sunday after an Egyptian foreign minister hasn't visited Israel in nine years. Follow Ynetnews on Facebook and Twitter Despite the shock, it's important to note the substantial security cooperation which has been slowly been exposed over the last several months. Hazem Khairat, the Egyptian ambassador to Israel, began his job several months ago after years of no Egyptian diplomatic presence in the country . His presence is just one of many changes. Netanyahu and his wife meet with Egyptian Foreign Minister Samah Shoukry (Photo: Kobi Gideon) Another example of these changes in relations is when Egyptian Parliamentarian Tawfik Okasha met with the Israeli Ambassador to Egypt, Haim Koren. The meeting caused fury in the Egyptian media, and the Egyptian parliament ended up suspending Okasha over the issue. Then in May came Egyptian President Abdel Fatah a-Sisi's famous call to the Israeli and Palestinian leaders to end their fighting as soon as possible. The Egyptian presidents asked to be broadcasted on Israeli TV, and called on the political parties in Israel to unify in light of Netanyahu trying to form a government. This address paved the way for Shoukry's visit to Israel. Responses in Egypt to the foreign minister's visit in Israel were varied. One of the op-eds which were written following the visit dealt with the connection between Shoukry's visit and Netanyahu's Africa trip. Shoukry's visit rippled through Egyptian social media, and on Twitter, Egyptians expressed their opinions of the visit using the hashtag #foreign_minister. One Egyptian was optimistic, saying "I hope that ths will benefit our brothers in Palestine and that it won't only be words." Another Egyptian woman said "Foreign Minister Samah Shoukry, went to travel openly for the sake of Palestine. He never heard the words of Anwar Sadat 40 years ago. Maybe the situation is different." Egyptian Ambassador to Israel Hazem Khairat (Photo: AFP) Egyptian parliamentarians who are supporters of the a-Sisi also participated in the visit to Israel. One of those was Saad al-Jamal, Chairman of the Arab Affairs Council in the Egyptian Parliament and who is currently serving as head of the largest Egyptian political faction in the parliament. He stressed that the visit is the implementation of a-Sisi's previous calls to revive the peace process and the two state solution in accordance to the French and Arab initiatives. According to al-Jamal "the goal of this initiative is to solve the Palestinian issue and the issue of the settlements which are on Palestinian lands. The formula of land for peace, if implemented with honest intentions, will bring calm to the Palestinians." He added that "serious dialogue will result in the Palestinian resistance factions not being present. Some of them have strayed from their goals and use violence as a tool to tear the different sectors apart." 'There is trust building between the countries Meanwhile, the Chairman of the Egyptian National Security Council, Kamal Amr, explained that "Egypt has had a peace treaty with Israel for over 30 years. That means that there has been trust building between the two countries, and this trust has been built over the course of a lot of different events. This visit is natural and in accordance with the treaty which syas that there will be bi-lateral meetings to in the name of diplomatic coordination on many different subjects. There were also a good number of Egyptian parliamentarians who were against the meeting. One of them is Haisam al-Hariri, who said that it's possible that this visit is connected to Netanyahu's recent visit to Africa, and includes a warning to Israel not to get involved in Ethiopia's project to dam the Nile River, something which has strained Ethiopia-Egypt relations for generations. Parliamentarian Anisa Hasuna, a member of the Parliamentary Foreign Affairs Committee, said that the Committee submitted a request to the Egyptian Foreign Ministry for Shoukry to explain the results of his visit in Israel. Egyptian President Abdel Fattah a-Sisi (Photo: AFP) Another interesting response to the visit came from Mustafa Bakri, an Egyptian parliamentarian and former TV presenter. He is the one who led the campaign to suspend Tawfik Oshaka after the latter met with the Israeli Prime Minister. The parliament was surprised by this visit," Bakri said. "The visit was designed to be an update to what was going on in Africa and to follow what's happening in the occupied territories. This unannounced visit requires the foreign minister to come before parliament and explain the results of his discussions with the Israelis at all levels." But the person who expressed the harshest criticism of the visit was the Chairman of the Egyptian Lawyers Association, Samah Ashur, who is against the normalization of relations between Egypt and Israel. "There is no justification for this visit." He explained that "this isnt justified, especially at a time when Israel is trying to destroy Egypt's water security, especially after he visited with the leaders of the four countries which control the sources of the Nile, and in light of the current (climatic) conditions in the region." Guarding the gates Citizen Airmen with Lukes 944th Security Forces Squadron travelled to Kadena Air Base, Okinawa, June 26, to provide extra manning for their active-duty counterparts. The joint mission is part of the reservists annual tour, dubbed Operation Patriot Habu, and Kadena Airmen are excited to have them here. Having the 944 SFS come in and bring seasoned troops really helps us out, said Staff Sgt. Ian Watson, 18th Security Forces Squadron training instructor. Were hurting for manpower during this [PCS] season, when people are moving to other bases, so the fact they came is a huge help. Many Airmen with the 944 SFS serve as civilian law enforcement members, which allowed them to seamlessly embed themselves within the flights here. We integrated the reservists into our five flights: two day-shift flights, one swing-shift flight, and two mid-shift flights, Watson said. When we found out many of them are civilian law enforcement, it was easy incorporating them into our daily training and operations since we know they have their certifications. The younger Airmen, relatively new to the Air Force, are excited to learn from their experienced visitors. Im impressed with the level of experience [the Reservists] brought with them and its going to be interesting to learn from them, said Airman 1st Class Trent Jones, 18th SFS unit scheduler. I want to know what they know and use that knowledge at my current level to get me on my game. The excitement of working with new people is shared by the visiting Airmen as well. Going off-station for this years annual tour is very beneficial to me because I have the opportunity to work with active-duty Airmen, meet new people and do something new, said Senior Airman Dominique Castillo, 944th SFS member. Between the monthly unit training assembly with the 944th, Castillo serves as a correctional officer back home in Arizona. With the high level of professionalism both sides bring to the table, non-SFS members are hard-pressed to distinguish between active-duty and Reserve cops patrolling the base or standing watch at the gates. Oklahoma Citizen Airmen arrive for RIMPAC Citizen Airmen from the 507th Air Refueling Wing arrived in Hawaii July 7, 2016 to support the Rim of the Pacific Exercise also known as RIMPAC. The Oklahoma Reservists join forces with other forces from twenty-six nations, 49 ships, six submarines, about 200 aircraft, and 25,000 personnel who are participating in RIMPAC from June 29 to Aug. 4 in and around the Hawaiian Islands and Southern California. The world's largest international maritime exercise, RIMPAC provides a unique training opportunity while fostering and sustaining cooperative relationships between participants critical to ensuring the safety of sea lanes and security on the world's oceans. RIMPAC 2016 is the 25th exercise in the series that began in 1971. Adm. Scott Swift, U.S. Pacific Fleet commander, and Vice Adm. Nora Tyson, U.S. 3rd Fleet commander and exercise RIMPAC Combined Task Force commander, explained the exercise is a one way cooperation between partners and allies promotes continued prosperity in the Indo-Asia-Pacific region. From building partnerships to promoting safer sea lanes and experimentation to innovation, Tyson said there is one thing for certain. "I think I can speak for each of the international leaders here and the more than 25,000 personnel taking part, when I say that we are excited to get this exercise underway," Tyson said. "We're looking forward to a great exercise with our friends and partners, and we all recognize that the time and the effort that went in to planning and executing this complex exercise will result in a naval force that is collectively more capable of keeping our oceans safe and global commerce moving . RIMPAC 2016 will certainly help us meet those expectations." Secretary of Defense Ash Carter announced today the 15 recipients of the 2016 Secretary of Defense Employer Support Freedom Award, the highest honor the DOD gives to employers for support of National Guard and Reserve employees."These 15 employers have distinguished themselves through their outstanding support of our Guard and Reserve members and their families," said Secretary Carter. "Without the unfaltering support of employers like them, the men and women of the National Guard and Reserve would not be able to fulfill their vital roles in our national security strategy. It is a great honor for me to recognize these employers, and I congratulate them on their receipt of the Employer Support Freedom Award."The 2016 Freedom Award recipients below will be honored at a ceremony in the Pentagon on Aug. 26:Albuquerque Police Department; Albuquerque, New Mexico; nominated by Air National GuardBenjamin Franklin Plumbing; Springfield, Missouri; nominated by Navy ReserveBurford Corporation; Maysville, Oklahoma; nominated by Army National GuardCarolinas Healthcare System; Charlotte, North Carolina; nominated by Air National GuardClackamas County Sheriff's Office; Oregon City, Oregon; nominated by Army National GuardHope Valley Industries; North Kingstown, Rhode Island; nominated by Air National GuardIdaho State Police; Meridian, Idaho; nominated by Army Reserve / Army National GuardLowe's; Mooresville, North Carolina; nominated by Navy ReserveMaryland State Police; Pikesville, Maryland; nominated by Army ReservePrairie Grove Consolidated School District 46; Crystal Lake, Illinois; nominated by Marine Corps ReserveSeattle Fire Department; Seattle, Washington; nominated by Navy ReserveThe Goodyear Tire & Rubber Company; Akron, Ohio; nominated by Navy ReserveThe 2016 recipients were selected from 2,424 nominations received from Guardsmen and Reservists. The Freedom Award was instituted in 1996 under the auspices of the Employer Support of the Guard and Reserve (ESGR) to recognize exceptional support from the employer community. In the years since, 220 employers have been honored with the award.For more information on the 2016 Freedom Award program, contact Maj. S.J. Brown at (571) 372-0692 or visit www.freedomaward.mil . For more information on ESGR, visit www.esgr.mil. This has been a long time in the making, but in our continuing pursuit to bring only the best of firearms, 2nd Amendment and defence related news to our readers, we are very excited to announce the next step in our evolution as a company. As of 2020, Minuteman Review is now the proud owner and operator of Your Defence News, a website with a long history of breaking huge news stories and investigative journalism. We hope you are equally as excited as us. This means that now the teams of Minuteman can combine with the firepower of Your Defence News to stay at the absolute forefront for our readers. Keep an eye. Big things are coming soon. We couldn't be more excited. In the meanwhile, here are some of our most popular posts and categories to keep you busy. Happy shootin' my friends! Buying Guides: Firearms Firearm Accessories Ammunition Gun Safes Scopes & Optics Hunting Air Rifles Best AR-15 Best AR 15 Scope Best Hunting Rifle Best Gun Safe Best AK 47 Best AR 10 Best Glock Triggers Best Glock Best Home Defense Shotgun Latest News Washington, DC - We are deeply saddened and concerned by reports of the tragic killing of prominent Cambodian political commentator Dr. Kem Ley. We offer our sincere and profound condolences to his family, friends, and colleagues. We are following developments in this case closely, noting the Cambodian government's call for an investigation, and urge that authorities ensure this process be thorough and impartial. Yuma News Yuma, Arizona - As recently as 2013, extensive research has shown that increasing the rate of community college completion is essential for the economic success of our students, states, and nation. Given the proper resources and with guidance to navigate difficult life challenges, we know that our community college students can rise to their potential. The TRIO KEYS Program at Arizona Western College is a federally grant-funded program that serves approximately 240 students each school year. The KEYS Program assists students in earning their associate degrees by providing a range of financial, academic, and personal supports including comprehensive and personalized advisement, career counseling, tutoring, peer mentoring, graduation and transfer guidance, and financial aid and scholarship assistance All in one convenient location! The TRIO KEYS Program also offers reserved cohort classes for students who need additional support and assistance with English and math courses. Additionally, our first-year students can take advantage of having a dedicated Peer Mentor to help them navigate the challenges of their first and second semesters. The TRIO KEYS Program office is now located on the northwest end of the AWC Yuma Campus in Cottage 1/KEYS Building. We are excited for this new space that allows us to bring our advising staff, tutors, peer mentors, and students together in one central location. The TRIO KEYS Program is currently accepting applications for the 2016 2017 school year. You can contact the Program office at (928) 344-7761, or visit us online at www.azwestern.edu/keys FARC rebels in Colombia have clashed with government forces in the center of the country, Defense Minister Luis Carlos Villegas said Sunday, as the two sides push to finalize a historic peace deal. "This took place 48 hours ago in La Uribe. A FARC patrol unit came upon an army rapid response team patrol and engaged," Villegas said. "There was a number of injured," Villegas said without further details about the injuries. The rebels were offered medical assistance, he said. And no troops or civilians were injured, an army statement added. The injured rebels were members of a delegation that was due to travel to the ongoing peace talks being held in Havana. The violence comes as a discouraging sign while the government and rebels are thought to be close to ending five decades of civil war. And Carlos Lozada, who serves as a FARC negotiator in the peace process, charged on Twitter that "what happened there was not a clash by patrols bumping into one another." "This was an attack on FARC delegates who were on their way to Havana," Lozada said, insisting that the army refused to evacuate by air the rebels who were injured, undermining trust at the negotiating table. The FARC currently has about 7,000 members. It signed a ceasefire and disarmament arrangement with the government last month at peace talks in Cuba. The government said it hoped to move on to a full peace deal within weeks. The Colombian conflict started in the 1960s as a rural uprising for land rights that spawned the communist FARC. It has left 260,000 people dead, 45,000 missing and nearly seven million displaced, according to official figures. The rebels signed a unilateral ceasefire in 2015; the government responded by de-escalating the conflict. A bilateral ceasefire only takes effect after the peace deal is signed. New Delhi: PM Narendra Modi is currently on the last leg of his four-nation tour of Africa. Noteworthy, this is PM Modis first visit to the African mainland. Here are some of the key takeaways from the PM's Africa tour:- Tackling the terror menace 'How to curb terrorism' was one of the key focuses of PM Modis visit to Africa. Africa has seen several terror-related problems due to threat from the Sunni Islamic State. PM Modi's ambitious 'Make in India' project PM Modi during his visit to Africa met several top defence businesses to set up a manufacturing base in India. This move by the PM could boost businesses in India and South Africa for export to other countries. Pact for food security The trip was quite an important one in terms of food security. India signed an agreement for the import of pulses from Mozambique. According to experts, the pact will help India tide over shortage of pulses in the country that has led to a spurt in prices. Boost to Indo-Africa ties Throughout the visit, PM Modi emphasised the deep connection and shared experience of struggle against colonial powers by India and Africa. The PM also chalked out plans for future cooperation. Washington: Three countries have warned their citizens to stay on guard when visiting U.S. cities rocked by sometimes violent protests that erupted after a string of police shootings of black Americans. The United States regularly issues travel warnings urging Americans to either avoid or exercise caution in countries marred by violence or political instability. Now America is the focus of concern by foreign governments in the Middle East and Caribbean as protesters marched in U.S. cities throughout the weekend after police killed black men in Louisiana and Minnesota. The protests have led to numerous arrests, scuffles and injuries in confrontations between police and demonstrators. America was also tense after a lone black gunman on Thursday shot dead five police officers during a protest in Dallas. The U.S. embassy of Bahrain, a tiny Middle Eastern island nation, on Saturday urged citizens via twitter to "be cautious of protests or crowded areas occurring around the U.S." Bahamas, a Caribbean nation where most people identify as being of African heritage, on Friday warned its people to be careful when visiting U.S. cities rocked by "shootings of young black males by police officers." "In particular young males are asked to exercise extreme caution in affected cities in their interactions with the police. Do not be confrontational and cooperate," Bahamas foreign ministry said in a travel advisory. The United Arab Emirates urged its students and other citizens in the United States to also be careful, using similar language the U.S. State Department employs when warning Americans about countries that have fallen victim to attacks by extremists. "Please be aware of immediate surroundings and avoid crowded places when possible," the UAE embassy said in a statement that urged people to stay away from any U.S. demonstrations. "Exercise particular caution during large festivals or events, be alert and stay safe." In July alone, the United States has issued travel warnings for Bangladesh, Venezuela, Iraq and Mali. On Sunday, some tourists in New York`s bustling Times Square said they were nervous about the tension and recent violence in America. "I don`t like to be in crowded places anymore," said Eleanor Fairbrother, who was visiting from Ireland. The Dallas gunman is thought to have been plotting a major bomb attack, authorities said Sunday after 200 were arrested in a new night of protests over police violence against blacks. Anger around America over the deaths of two black men at the hands of police last week -- the stated reason for the black Dallas gunman`s deadly rampage targeting white officers -- showed no signs of abating with a prominent Black Lives Matter activist among those arrested. President Barack Obama cautioned protesting Americans against casting all police as racially biased, as the White House announced he would travel to Dallas Tuesday to address an inter-faith memorial service. "If we paint police in broad brush without recognizing that the vast majority of police officers are doing a really good job... then we`re going to lose allies in the reform cause," he said. The past week`s violence -- the black deaths, and then Dallas -- have shocked a country seemingly inured to its epidemic of gun violence and injected new urgency into the national debate on race relations and how largely white police forces deal with black suspects. Most of the protests Saturday night into Sunday were peaceful. People inspired by the Black Lives Matter movement -- which arose in response to police using lethal force against unarmed blacks -- took to the streets in New York, Los Angeles and San Francisco. But authorities said a full-scale riot broke out in Saint Paul, Minnesota and resulted in 102 arrests. Protesters blocked a freeway and attacked police with rocks, bottles, fireworks, Molotov cocktails and metal bars, police said. Twenty-one officers were injured in the hours-long melee, one of them when a rioter dropped a 25-pound chunk of concrete on his head from a bridge or overpass, police spokesman Steve Linders said. It was in a Saint Paul suburb that one of last week`s deaths occurred. In Baton Rouge, where the other death took place, more than 100 protesters were also arrested, local media reported citing police, among them the activist leader DeRay McKesson who livestreamed the incident. Both killings were caught on horrific video that has since gone viral. In another similar case gaining attention on social media, a black man was shot dead by police Saturday in Houston, the largest city in Texas. City police quoted by local media said that Alva Braziel was carrying a gun, and was believed to have pointed it at officers. More than 500 people have died from US police bullets so far in 2016, according to data compiled by the Washington Post.Chilling new details about Dallas shooter Micah Johnson Sunday fleshed out a still sketchy portrait of the 25-year-old US Army reservist and Afghanistan war veteran who apparently supported black militant organizations, some classified as hate groups, and died in the standoff with police. Johnson opened fire Thursday evening with a powerful rifle during a peaceful protest against the shooting deaths of the two men in Louisiana and Minnesota, triggering hours of chaos in the downtown section of the big Texas city. A search of his Dallas-area home turned up bomb-making materials and a manual in which he wrote about military tactics. Police now believe he had been planning something big long beforehand, and that last week`s deaths were a trigger, Dallas police chief David Brown told CNN on Sunday. Investigators believe "based on evidence of bomb-making materials and a journal that the suspect had been practicing explosive detonations and that the materials were such that it was large enough to have devastating effects throughout our city and our north Texas area," Brown said. "We`re convinced that this suspect had other plans," he added. The deaths in Minnesota and Louisiana "just sparked his delusion to fast-track his plans and (he) saw the protest in Dallas as an opportunity to begin wreaking havoc on our officers," Brown said. Johnson toyed with police as he negotiated with them during a standoff after he first started shooting, Brown said. "We had negotiated with him for about two hours. And he just basically lied to us, playing games, laughing at us, singing, asking how many did he get and that he wanted to kill some more," Brown said.Johnson insisted on speaking only to a black police officer when he began negotiating, Brown said. He knew the route of the Dallas march, and his military training apparently benefited him during the shooting, as he effectively triangulated police and started taking them out with his high caliber rifle, Brown said. At one point earlier, Johnson, apparently wounded in the exchange of gunfire with police, wrote the letters "rb" in his blood on a wall at the community college where he had holed up. Brown said it was not immediately clear what those letters meant. The police chief said he told officers to improvise a plan because sharpshooters could not get a view of Johnson as he hid behind a brick wall. Brown accepted the idea of taking him out with a bomb carried by a police robot to within a few feet of Johnson. "And I`ll do it again if presented with the same circumstances," he said. Washington: President Barack Obama will travel to Dallas tomorrow where he will address an interfaith service in memory of five police officers shot dead in a sniper attack during a protest decrying police shootings of black men. "At the invitation of Dallas Mayor, Obama will deliver remarks at an interfaith memorial service with the families of the fallen police officers and members of the Dallas community whose unity is reflective of who we are as Americans," the White House has said yesterday. Obama will also meet privately with the families of the five slain police officers and those wounded to express the nation's support and gratitude for their service and sacrifice, it said. The memorial service would also be attended by Vice President Joe Biden and former President George W Bush and his wife Laura. Bush will deliver brief remarks, the White House said. Obama earlier condemned the sniper-style shootings of Dallas police officers, calling the assault a "vicious, calculated and despicable attack on law enforcement." Houston: President Barack Obama will travel to Dallas on Tuesday and address an interfaith service in memory of five police officers shot dead during a protest decrying police killings of black men last week. Obama will speak on Tuesday during an interfaith memorial service at the Morton H Meyerson Symphony Center. He is visiting on invitation of the mayor of Dallas, the White House said. The President was overseas in Poland at the time of the deadly attack, and will depart from Rota, Spain after a short visit with troops at the naval station there, the White House says. Obama will return to Washington tonight, a day earlier than planned, skipping a stop in Seville. Fourteen people, including 12 police officers, were shot by a lone gunman on Thursday night after a peaceful protest against officer-involved violence around the country, officials said on Friday. Five of those officers were killed. Obama condemned the sniper-style shootings of Dallas police officers Friday, calling the assault a "vicious, calculated and despicable attack on law enforcement." Washington: A police officer in US` Kansas city was fired after he threatened an African-American woman`s five-year-old daughter on Facebook. Rodney Lee Wilson worked with Overland Park Police Department in Kansas, Buzzfeed reported on Monday. "We`ll see how much her life matters soon. Better be careful leaving your info open where she can be found :). Better hold her close tonight, it`ll be the last time," Wilson posted below LaNaydra Williams` picture of her daughter named India. "It was obvious that our officer did not meet the standards of professionalism for the Overland Park Police Department. Overland Park has terminated the officer, effective immediately," read the statement from Overland Park Police Department. The department first thought that Wilson`s Facebook account might have been hacked. But the inquiry led to the truth that it was Wilson who posted that comment. "I want to assure our community, and those outside our community, that our highest priority is the safety and welfare of the citizens of Overland Park," Police Chief Frances Donchez Jr. was quoted as saying. "I want to publicly apologise to those affected by our officer`s personal actions," Donchez added. A criminal probe was underway as a result of information discovered during the course of the personnel investigation. An African-American man Philando Castile, 32, was shot by a police officer after a traffic stop in Minnesota last week, which created a furore across the US and on social media. Castile`s girlfriend went live on Facebook immediately after her fiance was shot by police in his car. Castile`s death occurred within a day of the shooting of 37-year-old Alton Sterling in Baton Rouge, Louisiana. Sterling was killed during an altercation with two white police officers and a video of the incident recorded by a bystander on his smartphone triggered outcry on social media. The United States has transferred Saudi-born Yemeni inmate Fayiz Ahmad Yahia Suleiman to Italy, the Pentagon announced Sunday, as President Barack Obama seeks to close the reviled American military detention center in Cuba. The 41-year-old, who was recommended for transfer in January 2010 by the Guantanamo Review Task Force, was originally brought to Guantanamo Bay in January 2002. "In accordance with statutory requirements, the secretary of defense informed Congress of the United States` intent to transfer this individual and of the secretary (of defense)`s determination that this transfer meets the statutory standard," a Pentagon statement said. "The United States is grateful to the government of Italy for its humanitarian gesture and willingness to support ongoing US efforts to close the Guantanamo Bay detention facility. The United States coordinated with the government of Italy to ensure this transfer took place consistent with appropriate security and humane treatment measures." A total of 78 inmates now remain at Guantanamo, which Obama took action to begin closing in one of his first acts as president. Yemenis account for the biggest group of detainees. Obama has been unable to fulfill his long-held campaign promise due to opposition from the Republican-held Congress, concerns at home over plans to hold one-time terror suspects on US soil and the reluctance of allies to take in the prisoners. Some of those concerns have crystallized in recent days after the disappearance of a former Guantanamo inmate in Uruguay, where he had been resettled in 2014 along with five other ex-detainees. Jihad Diyab -- a 44-year-old Syrian -- went off the South American country`s radar several weeks ago after apparently evading border controls and crossing into Brazil. According to US government statistics, about 13 percent of prisoners freed from Guantanamo since Obama began his first term have returned to violent extremism or are believed to have done so, down from 35 percent under his predecessor George W. Bush. Paul Lewis, the Pentagon`s special envoy for Guantanamo closure, said that 14 ex-prisoners have gone on to participate in attacks that killed Americans. In February, with less than a year left in his term, Obama released a last-ditch plan to close the facility, hoping to speed up the resettlement of all but 46 of the remaining prisoners, for whom he hopes to find alternate, secure detention centers in the United States. All those he is seeking to resettle abroad have been deemed eligible for transfer. Patna: Expressing grief over the death of 18-year-old Rahul Kumar, who was killed after his bike was hit by on the vehicles escorting him to Patna, Union Minister Ram Kirpal Yadav on Monday said the loss is unfortunate as the deceased was like his own child. "I am in profound grief over the accident as he was our own child. He was very poor boy and I am feeling distressed at the loss. The incident was tragic and I have sympathy with his family," said Yadav. "No one was there to see him, but I helped him and met his family members. I stopped immediately, rescued them and found all six of them injured, we rushed them to Nalanda Medical College and Hospital and stayed there for two hours," he added .Yadav said that the government didn`t offer any help to the family, they were waiting whole night till he reached there early in the morning for the post mortem. He also clarified that the accident did not took place with his car, but one the vehicles in his convoy. On Saturday, Rahul`s motorbike collided head on with a jeep in the cavalcade escorting Yadav in Patna, resulting in biker`s death on the spot and injuring six security men. The minister was on his way to Patna after inaugurating `Ujjwala` scheme at Masaurhi, the area which falls under his Lok Sabha constituency Patliputra. New Delhi: A New Delhi court on Monday pulled up the Central Bureau of Investigation (CBI) and gave them a last chance to complete its probe in a 1984 anti-Sikh riots case in which Congress leader Jagdish Tytler was given clean chit by the agency. As CBI filed its status report in the case before Additional Chief Metropolitan Magistrate Shivali Sharma, she pulled up the agency for not taking proper steps to complete the probe. The CBI also sought two more months for further investigation, which was allowed. The court fixed September 14 as the next date of hearing in the case. The court on December 4, 2015, ordered the CBI to further investigate a riots case against Tytler, pointing to arms dealer Abhishek Verma`s statement that Tytler had tried to influence a witness. The court had said it should be found out whether Verma`s statement was true or not. Verma, in his statement, told the CBI that Tytler had tried to influence one of the witnesses by giving him a hefty sum of money and promising to settle his son abroad. New Delhi: The Supreme Court on Monday clarified that the two amicus curiae appointed by it on the appeals of the four death row convicts in December 16 gangrape and murder case do not undermine the credentials of the lawyers engaged by the condemned prisoners. A three-judge bench headed by Justice Dipak Misra made the remarks after one of the counsel appearing for two convicts said that "erroneous aspersions" have been cast in the minds of people regarding the capability of lawyers as apex court appointed amicus curiae in the matter at the last hearing. "We clarify that the court appoints amicus curiae even when parties have engaged their counsel. It never means that the counsel is not competent," the bench, also comprising justices C Nagappan and R Banumathi, said. Advocate M L Sharma, appearing for two convicts, referred to a media report and said after the amicus curiae were appointed in the matter, it was reported that lawyers engaged by the convicts were "not competent". However, the bench said, "we have appointed amicus curiae in the matter as we wanted to learn. We wanted to have a perception and view of the amicus curiae. Even in matters in which senior advocates have appeared, we have appointed amicus curiae." "We are not depriving you ... From arguing your case," the bench said and fixed the matter for hearing on July 18. The bench also allowed an application filed by victim's mother seeking to implead her as a party in the case. The apex court, on April 8, had appointed senior advocates Raju Ramachandran and Sanjay Hegde as amicus curiae to assist the court in the matter. While Ramachandran would assist the court in appeals of convicts, Mukesh and Pawan, Hegde would assist in appeals of other two convicts, Vinay Sharma and Akshay Kumar Singh. Madrid: The Solar Impulse 2, world's largest solar-powered aircraft on Monday succesfully took off from Seville in Spain for Cairo, Egypt. The solar plane reached Seville on June 23, 2016 and will reach Egypt within 48 to 72 hours after flying over a number of airspaces, including Tunisia, Algeria, Malta, Italy and Greece. After intense preparations, Pilot Andre Borschberg communicated with the control tower just after 6 a.m. and was given confirmation he could take off and continue the aircraft's round-the-world journey, as reported in Efe. Before taking off, Borschberg appeared before the media at the foot of the plane to express his hopes to continue "without disappointing the world because we cannot disappoint everyone who sees us and follows us", referring to both the international press and the thousands of people following the mission on social networks. Around 1,000 people are connected to the live-streaming app 'Periscope' to follow the event and most of them can interact with the pilot during flight throughout many phases of the journey. Every day, due to cameras installed in the plane's cockpit and wings, thousands of followers can track the aircraft's journey, monitored in real time by a team of engineers at the mission control centre in Monaco. The single-seater aircraft, which is made of carbon fibre, can maintain a cruise speed of between 45 and 55 miles per hour, and reach a maximum flying attitude of 8,500 metres. Starting the journey in March 2015, Solar Impulse has moved rapidly around the Earth since renewing its challenge in Hawaii on April 21. In 2015, the plane flew eight stages from Abu Dhabi to Kalaeloa, including a remarkable four-day, 21-hour leg over the western Pacific -- the longest solo flight in aviation history in terms of the time it took. But it was damage to its batteries on that stage that forced Solar Impulse to then lay up for 10 months, for repairs and to wait for optimum daylight length in the northern hemisphere to return. Watch the video: (With IANS inputs) London:British opposition Labour lawmaker Angela Eagle launched her bid to take over the leadership of the party on Monday, saying current leader Jeremy Corbyn was not up to the task of defeating the ruling Conservatives. The challenge marks the latest in a struggle for control of Britain`s second-largest political party triggered by the June 23 vote to leave the European Union - which many in Labour have blamed on Corbyn, saying he didn't campaign hard enough. The outcome of the leadership contest, seen as a battle between the party`s hard left and more moderate factions, will define Labour`s voice in the negotiations to set the terms of Britain`s EU exit. "Today I am announcing my decision to stand for the leadership of the Labour Party," said Eagle. "I am a practical socialist driven by a strong set of values who wants to get things done." The party`s general secretary later confirmed the leadership contest had officially been triggered and said the timetable would be set out after a meeting of Labour`s national executive committee. The contest, in which Corbyn has said he will stand again, comes amid calls for a fresh national election as the Conservatives prepare to appoint a successor to Prime Minister David Cameron. "We alone can hold this right wing Tory (Conservative)government to account and then replace it by winning a general election," Eagle said. "Jeremy Corbyn is unable to provide the leadership this huge task needs." Corbyn was chosen as Labour leader last year on a wave of support from the party`s most left-wing activists. But he has clashed with its lawmakers who overwhelmingly passed a no-confidence motion in his leadership. Corbyn`s support among the party`s ordinary members, who will elect the party`s next leader, remains strong, leading some lawmakers and commentators to speculate the leadership contest may split the party. Barack Obama wrapped up a lightning visit to Spain on Sunday, an abbreviated first presidential trip to the country overshadowed by the fatal shooting of five police officers in Dallas. Obama squeezed in the trip after a key NATO summit, before dashing home to deal with the aftermath of the wrenching attack. Greeting Spain King Felipe at the Royal Palace in Madrid, Obama acknowledged that "we`ve had a difficult week in the United States." The US president cut a two-day visit to Spain down to one and cancelled a trip to Seville with the king altogether, after a black army veteran killed five white police officers. Obama, who prides himself on his measured approach, is usually loath to alter travel plans. But the shooting -- just blocks from the site where John F. Kennedy was assassinated -- has pushed a country crackling with political tension to the edge. Obama, speaking in Madrid, renewed his appeal for calm and cautioned those protesting police killings of black Americans against blanket criticism, saying the majority of officers do a good job. "If the rhetoric does not recognize that, then we`re going to lose allies in the reform cause," he said. On Saturday, speaking in Warsaw just before Air Force One departed for Spain, Obama insisted the country`s divisions were overplayed. This was not, he insisted, the crisis-ridden era of the 1960s, when US cities burned, the Vietnam War raged and the Kennedy brothers and Martin Luther King were slain. But his decision to shorten the trip is a tacit acknowledgement that the United States faces a combustible mix of deteriorating race relations, hyper-charged election politics and seemingly never-ending gun violence. In recent months, videos of deadly mass shootings, or of white police officers killing black civilians, have become routine. "Whenever those of us who are concerned about fairness in the criminal justice system attack police officers, you are doing a disservice to the cause," Obama said in Madrid, as protests against police brutality continued around the country.Obama will attend an interfaith service in honor of the five slain officers on Tuesday. Before leaving Spain, he visited a US and Spanish Naval Station at Rota, a base at the mouth of the Mediterranean, and addressed US personnel stationed there. Since the time of the Greeks, Romans, Moors, Phoenicians and Visigoths, the Bay of Cadiz has been seen as a prime piece of geopolitical real estate. During the Cold War nuclear weapons were positioned there. Today, Rota has taken on renewed importance with the deployment of four US Aegis destroyers. They form an integral part of a guided European missile defense system much despised by Russia. The transfer of the system to NATO control was a key part of an alliance summit in Warsaw which stressed deterring Moscow from destabilizing eastern Europe. Obama thanked the US personnel for their service and listed all the challenges NATO allies faced, from terrorist attacks to "Russia`s aggression against Ukraine" which he said threatens peace in Europe. He also boarded the US Ross, one of four destroyers temporarily stationed in Rota that launch guided missiles, to greet uniformed soldiers waiting at a red carpet flanked by decorative wooden missiles.Obama`s visit comes as Spain remains mired in a months-old political crisis, with two general elections resulting in no clear victor. The two centre-left and centre-right parties that have dominated Spanish politics since the return of democracy in the late 1970s have, in the face of insurgent leftists, so far been unable to form a government. Obama met acting premier Mariano Rajoy as well as opposition leaders. Rajoy`s conservative Popular Party (PP) won a repeat general election on June 26 but fell short of an absolute majority and needs to support of other parties to form a government. "I will make all necessary efforts to quickly form a government," Rajoy said to reporters after meeting Obama. Meanwhile, dozens of people braved the heat Sunday at noon to protest outside the US embassy, some carrying signs against the TTIP free trade deal being negotiated between the US and EU, and banners that read "Obama go home" or "War". London: Theresa May is the only remaining candidate to be the new leader of Britain`s ruling Conservative Party and a formal process will now take place to confirm her in the role, the chairman of a party committee running the leadership contest said on Monday. Graham Brady made the statement minutes after Andrea Leadsom, who had been running against May, announced she was pulling out. Once confirmed as Conservative leader, May will automatically become the new prime minister, replacing outgoing leader David Cameron. Brady did not make clear what the timeframe was for May to take up both roles, but said the process should conclude "very soon". London: The Dallas gunman was plotting a major bomb attack, authorities said, urging calm as hundreds of people were arrested in weekend protests in US cities over police violence against African-Americans. Demonstrators marched demanding justice for two black men shot dead by cops in Minnesota and Louisiana, their dying moments captured in video that went viral online. Peaceful marches attracted large crowds in major US cities, but became especially unruly in St. Paul, Minnesota and Baton Rouge, Louisiana, where police killed the two men. Scores of people were arrested in both cities on Saturday and Sunday. The demos come days after black army Afghan war veteran Micah Johnson, 25, used a high-powered rifle to kill five police officers and wound seven in a sniper attack at a protest in Dallas, Texas late Thursday. Johnson said before he was killed that he wanted to murder white cops in revenge for the black deaths. Seeking to restore calm, President Barack Obama, scheduled to speak in Dallas at an inter-faith memorial service Tuesday, cautioned protesters against casting all police as racially biased. The Dallas community`s "unity is reflective of who we are as Americans" during these trying times, said Obama, speaking Sunday in Madrid. The president, who cut short his European visit, will meet privately in Texas with the families of the five fallen police officers and those wounded. Vice President Joe Biden will also be at the Dallas memorial, along with former president and ex-Texas governor George W. Bush.Large crowds gathered to march peacefully Sunday in Atlanta, Washington DC and New York. In Baton Rouge, at least 48 people were arrested Sunday, local media said, hours after Sheriff Sid Gautreaux said that 102 protesters had been arrested in late Saturday demonstrations. Among them was Black Lives Matters activist leader DeRay McKesson, who livestreamed the incident. He was released on bond Sunday. "The only people that were violent last night were the Baton Rouge police department," McKesson told reporters outside the jailhouse. Gautreaux however said that one officer was injured late Saturday. Protesters will not be "allowed to incite hate and violence, to engage in unlawful activities," said Louisiana Governor John Bel Edwards. On Sunday police in armored cars and clad in riot gear confronted a crowd in what began as a peaceful march, the local The Advocate newspaper reported. Police even used an ear-splitting, high-pitched siren in an attempt to disperse the crowd. Despite the tension, harsh words and arrests the protest remained peaceful, The Advocate said. Separately in St. Paul, authorities were dealing with the aftermath of the late Saturday unrest, where 102 people were arrested when protesters blocked a freeway and attacked police with rocks, bottles, fireworks and a Molotov cocktail. Twenty-one officers were injured in the hours-long melee, including one who suffered a broken vertebrae when a rioter dropped a 25-pound (11-kilogram) chunk of concrete on his head from an overpass, police spokesman Steve Linders said. "What happened last night and early this morning does a disservice to those who have lost their lives this last week," St. Paul Mayor Chris Coleman said. CNN put the tally of weekend demo arrests across the country at 300.Dallas Police Chief David Brown described chilling new details about Dallas shooter Johnson in a TV interview on Sunday. He said that Johnson, who apparently sympathized with black militant organizations classified as hate groups, had been planning something -- and was urged to action by police killing the two black men. A search of Johnson`s Dallas-area home turned up bomb-making materials and a journal on military tactics. Investigators believe that Johnson "had been practicing explosive detonations," and that he had enough explosives "to have devastating effects throughout our city and our North Texas area," Brown told CNN`s "State of the Union." Then he toyed with police during negotiations in a standoff. "He just basically lied to us, playing games, laughing at us, singing, asking how many did he get and that he wanted to kill some more," Brown said. The police chief described Johnson as "in control," but also "delusional." "We`re convinced this suspect ... thought that what he was doing was righteous," and believed that he was going to make police "pay for what he sees as law enforcement efforts to punish people of color," Brown said. At one point Johnson, apparently wounded in an exchange of gunfire with police, wrote the letters "RB" in his own blood on the wall. Brown said it was not clear what those letters meant. Gandhinagar: Gujarat High Court on Monday granted bail to Patidar agitation leader Hardik Patel in connection with Visnagar rioting case. The high court, however, barred Hardik Patel from entering Mehsana for a period of nine months. The court allowed Patel to appeal against its decision to bar him from Mehsana only after the completion of an earlier six-month ban order. The high court had last week granted bail to Patel in the sedition case filed against him after the Patidar agitation turned violent. Patel was asked by the court to stay out of Gujarat for a period of six months. He was, however, not released from jail as another case was pending against him. The court observed that it was unfair to blame Patel for the violence without any prima facie evidence pointing at his involvement. Patidars, demanding reservation under the OBC quota, had set on fire to state transport buses and blocked roads in several cities. New Delhi: Some shocking details of FIR have come to light in connection with molestation case against AAP MLA Prakash Jarwal. AAP MLA Prakash Jarwal, an AAP MLA from Delhi's Deoli constituency, was booked by Delhi Police on charges of molestation on Friday. Shocking 'details' by victim The victim, a resident of Sangam Vihar, has alleged that Prakash Jarwal and his men misbehaved and manhandled her outside Delhi Jal Board's office in Greater Kailash. What happened actually? The woman alleged that on June 2 she had gone to Delhi Jal Board's office in Greater Kailash to complain against irregular water supply in her colony. When she was waiting outside a senior official's office, some supporters of the MLA started hurling abuses at her. According to the woman, the MLA's supporters threatened her. After some time, Prakash Jarwal allegedly arrived there and joined his men in abusing the woman. The woman alleged that Jarwal had an argument with her and he pushed her to the ground and even touched her inappropriately, according to a report in TOI. Also, the MLA left threatening to harm her family. Noteworthy, Prakash Jarwal is a member of DJB. "We have registered an FIR under IPC Section 354 (molestation using criminal force) on the woman's complaint and are probing into the allegations," said DCP (southeast) M S Randhawa. New Delhi: Congress president Sonia Gandhi on Monday expressed anguish over the loss of innocent lives in protests in Kashmir following the killing of most wanted Hizbul Mujahideen terrorist Burhan Wani last week. It is a matter of great anguish that so many innocent lives have been lost these past days in parts of the Kashmir Valley, Sonia Gandhi said. The political process has made many gains in J&K over the last two decades particularly and these must not get lost, she added. The Congress chief, however, stressed that there can be no compromise on matters relating to national security. Terrorism must be dealt with firmly and forcefully, she stated, as per ANI news agency. The Congress president's remarks came as the death toll in clashes between protesters and security forces in Kashmir rose to 23 on Monday, with over 250 people injured. Normal life remains paralysed for the third day in the Valley due to curfew-like restrictions and separatists-sponsored strike there. Protests and violence have erupted in Kashmir in the wake of the killing of the Hizbul Mujahideen commander in an encounter on Friday. Mobile services have been suspended in the four districts of south Kashmir since Friday evening. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. (With agency inputs) Jorhat: The flood situation in Assam remains grim, affecting thousands of people residing in the area. The River Brahmaputra is flowing above the danger mark in Jorhat, putting immense pressure on embankments in the district. Several tributaries of the Brahmaputra, including the Bhogdoi and Dhansiri have inundated over 100 villages in Jorhat and Golaghat districts, which are the worst hit in terms of crop and livestock damage. The Assam Disaster Management Authority (ADMA) has confirmed that 90 roads, embankments, culverts and bridges in the state have been damaged by the flood waters. Officials said that nearly 50,000 people have been affected in Jorhat district alone. The government has set up relief camps and shelters for the flood affected people. The district administration is providing food and other facilities to those rescued from flood affected areas. Chief Minister Sarbananda Sonowal has reviewed the flood situation and instructed the state health department to monitor hygiene related issues. Sonowal stressed on providing proper relief materials to women and infants. Heavy rains in Assam during past few weeks have created a flood like situation in the state, disrupting the lives of the residents. Srinagar: There seems to be no end in sight to ongoing Kashmir violence. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23 on Monday. Normal life remains paralysed Also, normal life remained paralysed for the third day on Monday in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of the killing of Hizbul Mujahideen commander Burhan Wani in an encounter on Friday. "Two persons have died in an incident of violence in Kulgam district yesterday and have been identified as Feroze Ahmad Mir (22) and Khursheed Ahmad Mir (38)," a police official said. He said the information about the death of these youth was not available yesterday due "inadequate" communication facilities in south Kashmir district. Mobile telephony has been suspended in the four districts of south Kashmir since Friday evening as violent protests erupted following killing of Wani in an encounter with security forces. With these, the death toll in the clashes between protesters and security forces has gone up to 23, including a policeman. More than 250 persons have been injured in the violence and the situation continues to be tense across the Valley. Curfew on Curfew-like restrictions continued to be in place in many parts of the Valley including parts of Srinagar city, where the first death in the clashes occurred last evening. Authorities have strengthened the presence of security forces in vulnerable areas of the city and elsewhere in the Valley to contain the protests, a police official said. He said the restrictions were being enforced strictly today to avoid any further loss of life or damage to property. Mobile internet services continued to remain suspended for since Saturday while normal life remained affected due to the strike called by the separatists groups. Shops, private offices, business establishments and petrol pumps were shut, while government offices and banks witnessed thin attendance, officials said. Public transport was completely off the roads while private cars and auto-rickshaws were seen plying at few places in the areas where there were no restrictions, they said. Educational institutions in the Valley were closed on account of the ongoing summer vacations, while Central University of Kashmir (CUK), Islamic University of Science and Technology (IUST) and Jammu and Kashmir Board of School Education (JKBOSE) have postponed the examinations due to the prevailing situation. Amarnath yatra remains suspended No yatri was allowed to move towards the Kasmir Valley from winter capital Jammu to perform the Amarnath Yatra for the third consecutive day on Monday, police said. "No yatri will be allowed to move towards the Valley from Bhagwati Nagar Yatri Niwas in Jammu city on Monday," a senior police officer said. "The Yatra has been suspended due to the prevailing law and order situation in the Valley," he added. Separatists under house arrest Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Srinagar: Protests over Burhan Wani's killing are still on. Curfew continued on Monday in most parts of the Kashmir Valley following tension over the death of 21 people during protests against the killing of a top Hizbul Mujahideen commander, Burhan Wani. Victims: 21 dead so far including one cop The victims comprised 21 protesters and a police driver. They were killed during clashes with security forces, mostly in Anantnag, Shopian, Kulgam and Pulwama districts. Shabir Ahmad, the first victim from Srinagar succumbed to bullet injuries late Sunday evening, Kashmir erupts in anger Kashmir Valley erupted in anger on Saturday after security forces shot dead Burhan Wani, a top leader of the Hizbul Mujahideen, along with two of his associates on Friday. His funeral was attended by thousands. Three policemen taken hostage by angry mobs in south Kashmir on Friday, returned back safely, but their weapons were seized, police said here on Monday. Four police stations, 36 civil administration offices and dozens of vehicles were destroyed by unruly mobs. Over 100 civilians and 100 security personnel were injured in the bloody clashes. Separatist leaders under house arrest All senior separatist leaders including Syed Ali Geelani and Mirwaiz Umer Farooq, were placed under arrest by the authorities while the state government on Sunday requested them to help normalise the situation. It is feared if things are not quickly brought under control, Kashmir could be in the throws of another bloody unrest when in 2010, 112 protesters died in clashes with security forces. New Delhi: Controversial Islamic preacher Zakir Naik, who has been in news ever since it emerged that two of the Dhaka attack terrorists were inspired by him, will be returning to India on Monday from Saudi Arabia. Reports said Naik will also address the media in Mumbai tomorrow, amid probes into his controversial activities by multiple agencies. It is also being reported that Naik will be summoned by the Mumbai Police as well as the National Investigation Agency (NIA) upon his return. Further, The Indian Express reported today citing sources that he will be constantly monitored by various central and state intelligence as well as counter-terrorism agencies after he lands at the Mumbai airport today. Security has also been tightened in Mumbai as different groups are likely to hold protests as well as marches in his support. Further, policemen have been posted outside the Mumbai office of the Islamic Research Foundation (IRF), a charitable organisation founded by Naik. A television channel run by Naik, a televangelist, has already been banned by the Bangladesh government. 'Peace TV' has also come under the scanner of the Indian government with ministers saying it had no license to downlink in India. The focus of probes by multiple agencies are Naik's speeches and videos in which he is alleged to have advocated Muslims to take up terrorism. The Mumbai-based preacher has, however, denied asking Muslims to resort to terrorism, saying no innocent person should be killed. Naik has also described the Islamic State terror group as un-Islamic. It may be recalled that the Islamic State had claimed responsibility for the recent terror attack in Dhaka where hostages were held and killed at a popular cafe in the Bangladeshi capital's diplomatic zone. Thiruvananthapuram: Saying terrorists have no religion, Kerala Chief Minister Pinarayi Vijayan on Monday said an entire community should not be blamed for the alleged links of some with the IS. Extremist activities cannot be attributed to any particular religion alone, the Communist Party of India-Marxist leader told the assembly. "Vested interests are creating an anti-Muslim feeling," Vijayan cautioned. "This will not be allowed as a huge majority in our society is against such activities. "It's a very small segment which does this. To blame one particular community alone is not fair," he said. The Chief Minister spoke after three legislators raised media reports about several Keralites reportedly going missing to join the Islamic State. Vijayan said the government would not be able to tackle the problem on its own. "There has to be an overall awareness against terrorism and extremism and we need to take everyone into confidence. "The state government will do its job and will work in tandem with the Centre to probe these reports," he said. According to Vijayan, 21 people, including children, were missing and this included 17 from Kasargode district, in the northern tip of Kerala, and four from Palakkad district. Opposition leader Ramesh Chennithala said: "Overall Kerala is known to be a peaceful state. Even though we have no confirmation (that people are missing), it is disturbing and the need of the hour is to strengthen internal security and all of us would like to know the truth." Congress legislator P.T. Thomas pointed out a lady from his constituency was missing. She was working in Mumbai and had reportedly attended meetings in Andheri and was reported to be with the IS now, Thomas said. "One way to bring an instant impact against these subversive forces is to see that this topic is introduced in schools in our state," he said. The Kerala Police and central intelligence agencies are said to be probing the issue, taking down statements from families which have alleged that their family members have gone missing. New Delhi: Soon after Pakistan termed slain Hizbul Mujahideen militant Burhan Wani as a 'Kashmiri leader', Defence expert Qamar Agha on Monday said the hostile neighbour's statement was baseless and should be condemned. Taking a strong exception to Pakistan's assertion, Agha insisted that even the Kashmir people will not accept Wani being branded as a 'Kashmiri leader'. 'Burhan Wani was a militant from banned organisation' "First of all Burhan Wani was a militant from a banned organisation and was a self-declared terrorist. Hence the killing of Wani comes under the ambit of United Nation's sanctions because he was part of a movement spreading terrorism in the state. Hence calling him a Kashmiri leader is itself demeaning to Kashmiri people. Even the Kashmir people will not accept Wani being branded as Kashmiri leader," Agha said. "There is only small amount of faction in Kashmir which supports militants. Hence Pakistan's statement is baseless and wrong and we should condemn it. It is against the principles of International governance," he added. What did Pakistan say on Wani? Pakistan said the 'extrajudicial' killing of Kashmiri leader Burhan Wani and scores of other innocent Kashmiris is deplorable and condemnable. "Pakistan reiterates that the resolution of the Jammu and Kashmir dispute is only possible by the realisation of the right to self determination of the people of Jammu and Kashmir, as per the UNSC resolutions, through a fair and impartial plebiscite under UN auspices," the official statement said. "Such acts are a violation of fundamental human rights of Kashmiris and can not deter the people of Jammu and Kashmir from their demand for the realisation of the right to self determination," it said. Islamabad also expressed its concern over the detention of Kashmiri leadership and called upon New Delhi to fulfill the human rights obligations as per the United Nation. "Pakistan also has serious concerns over the detention of Kashmiri leadership in Indian Occupied Kashmir and calls upon the Indian government to fulfill its human rights obligations as well as its commitments under the United Nations Security Council Resolutions," the statement said. Kashmir on the boil The Kashmir valley has been on a boil as widespread protests were carried out over Wani's killing with many separatists' calling for a shutdown. Burhan Wani, the poster boy of Hizbul Mujahideen, who was killed by security forces in an ecounter on Friday night, was buried in his native place Tral on Saturday, while violent mobs attacked installations of police and paramilitary forces at various places in the Valley and set ablaze several buildings including three police installations. Burhan's funeral was attended by thousands of people in particular the youth. Delhi: Islamic preacher Zakir Naik, who is under scanner for his 'controversial' speeches and writings, said on Monday that so far not a single official Indian government has contacted him for any clarification regarding the issue. He also said, "Would be my pleasure to cooperate with any official Indian government investigation agency for any information they might require from me," and maintained, "I do not support terrorism or violence in any form whatsoever. I have never supported any terrorist organisations." "I strongly condemn anyone taking my statements out of context and using it for violence of any form," he pointed out, as per ANI. At the same time, he said, "If time permits in next few days I will give replies to some of the major allegations on video and give it to the media as well." Meanwhile, Naik, who was expected back in India today from Saudi Arabia, is unlikely to return to the country for another two to three weeks as he is planning to visit some African countries for public speeches. Naik also cancelled his press conference planned through skype for tomorrow on the allegations of inspiring militants through his speeches, an aide of the preacher said today. "Dr Zakir Naik was never supposed to be physically present for the media briefing that was to take place on Tuesday. He had decided that he will address the media through Skype and answer all queries that media persons may have had," he said, as per PTI. "His (Naik's) travel schedule had been made long back. After performing Umrah, he is scheduled to travel to Jeddah from where he will visit Africa for his public talks scheduled there. He is therefore not expected in the country for another 2-3 weeks at least," he added. On the other hand, Bangladesh today cancelled downlink permission for Naik's 'Peace TV', a day after it banned the channel over reports that his 'provocative' speeches encouraged some of the militants to unleash the worst terror attack in the Muslim-majority nation. Reports from Bangladesh said that cable operators have begun stopping broadcast of the channel throughout the country after the government order. The doctor-turned televangelist is facing heat in India and Bangladesh after it emerged that Naik's speeches goaded some of the militants who stormed the upmarket cafe in Dhaka's diplomatic area on July 1, triggering to a hostage-situation. Rohan Imtiaz, one of the young terrorists who carried out the attack on the cafe, had quoted Naik in a Facebook post in January where he urged "all Muslims to be terrorists". Twenty two people, including 9 Italians, 7 Japanese, and an Indian girl, were hacked to death in the brutal attack. 'Peace TV' was launched by Naik's Mumbai-based Islamic Research Foundation in 2006. An Urdu version was launched in 2009, followed by a Bangla version in 2011. The contents in English, Urdu and Bangla are telecast from Dubai. Britain and Canada have banned Naik from visiting the two countries several years ago while Malaysia banned his lectures fearing that they could instigate inter-racial tensions. (With Agency inputs) Srinagar: Violence and arson continued unabated in Kashmir Valley for the third day on Sunday with normal life paralysed due to curfew-like restrictions and separatists-sponsored strike over the killing of Hizbul Mujahideen commander Burhan Wani. Wani, 22, a new face of Kashmirs separatist war, was shot dead with two of his associates in a south Kashmir village on Friday evening. Fresh violence in Kashmir Mobs torched a police station today in Sopore and targeted the Air Force airport in Pulwama along with other security installations in Kashmir. There was also no let up in stone pelting incidents. "Two persons have died in an incident of violence in Kulgam district yesterday and have been identified as Feroze Ahmad Mir (22) and Khursheed Ahmad Mir (38)," a police official said. With this, the death toll in the violence has gone up to 23, including a policeman. Around 250 people were also injured. On the other hand, National Security Adviser Ajit Doval rushed home today from Kenya to join in efforts to defuse the turmoil. Doval, who was rushed home 24 hours early by Prime Minister Narendra Modi in view of the tense situation in Kashmir, is regularly taking stock of the developments in the Valley with officials. Doval was accompanying the PM on his four- nation tour of African countries - Mozambique, South Africa, Tanzania and Kenya. They were due to return home tomorrow. "If there are problems, there are solutions. We are quite confident & competent of finding solutions," he told PTI when asked to comment on the situation in Kashmir. Some elements hell-bent on fomenting trouble in Kashmir, says Mehbooba Mufti Reacting to the situation, J&K Chief Minister Mehbooba Mufti today said that some elements were hell-bent on fomenting trouble and mayhem in Kashmir for their vested interests playing with the lives of innocent youth by instigating them to indulge in violence "resulting in a vicious cycle of frenzy and bloodshed". She sought whole-hearted cooperation of the people in restoration of peace and normalcy in the valley to prevent further loss of precious lives and destruction of public and private properties. Without identifying anyone, the CM, who was interacting with civil society members, said while certain quarters are only interested in playing politics over the dead bodies of youth, it is the family members of these slain youth who have to live with this pain forever. "It is only the parents and other immediate family members of these ill-fated youth who have to live with the life-long trauma of losing their near and dear ones to this senseless violence," she said. Mehbooba said that the government was taking various measures to restore calm and it needs the support of the people in this endeavour. "I appeal to all sections of the society including the political leaders, media, the religious heads, the business community and the prominent citizens to rise to the occasion and help the government in restoring peace and normalcy in the Kashmir in the wake of the prevailing law and order situation," Mehbooba said. She added that the police and the paramilitary forces have been asked to exercise maximum restraint to avoid loss of lives or grievous injuries. Pakistan needles India; MEA hits back Amidst the tension in J&K, Pakistan Prime Minister Nawaz Sharif deplored 'excessive' force against civilians in the Valley while expressing 'deep shock' over the killing of Wani. Sharif, who recently returned from London after an open-heart surgery, came out with a late night statement yesterday condemning the action of Indian security forces in Kashmir. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement. He said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani. "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he added. Reacting sharply to Pakistan's comments, India today said it reflect its continued "attachment to terrorism and its usage as an instrument of State policy." India also asked Pakistan to refrain from interfering in the internal affairs of its neighbours. "We have seen statements from Pakistan on the situation in the Indian state of Jammu and Kashmir. They reflect Pakistan's continued attachment to terrorism and its usage as an instrument of State policy. Pakistan is advised to refrain from interfering in the internal affairs of its neighbours," External Affairs Ministry Spokesperson Vikas Swarup said. PM Modi to chair high-level meeting tomorrow on J&K Meanwhile, PM Modi will chair a high-level meeting tomorrow to review the situation in J&K. Ahead of the meeting, Union Home Minister Rajnath Singh today chaired a discussion with senior Union Ministers and officials during which it was suggested that security forces make 'judicious' use of force and ensure smooth movement of Amarnath pilgrims. According to official sources, the PM, who will return from a four-nation tour of Africa early tomorrow, will be given a detailed presentation on the prevailing situation in Kashmir Valley. (With Agency inputs) New Delhi: External Affairs Minister Sushma Swaraj on Monday indicated that India was planning to evacuate its nationals from the African nation of South Sudan, where fighting has erupted again between forces loyal to the country's president and vice president. At least 272 people have been killed in the renewed fighting in the world's youngest nation, Reuters reported. Writing on Twitter, Swaraj advised Indian nationals not to travel to South Sudan. I am aware of the developments in South Sudan. We are planning evacuation of Indian nationals. Please register yourself with Indian Embassy and do not panic, the minister wrote. Indian nationals are advised not to travel to South Sudan, she added. The minister's remarks came as several Indians from South Sudan wrote to her on Twitter seeking help. As per a Reuters report, forces loyal to South Sudan Vice President Riek Machar said yesterday that his residence was attacked by the President's troops. There was no immediate response from the government of President Salva Kiir to the statement by Machar's spokesman. The violence has raised fears of a slide back into full-blown conflict in the five-year-old nation. The two leaders had fought each other in a two-year civil war that started in late 2013. Kolkata: Handlers of terror groups like Islamic State, and JMB are recruiting unemployed youths from Muslim community in a bid to expand their networks in border districts of West Bengal. Jamaat-ul-Mujahideen Bangladesh (JMB) has been targeting unemployed youths and the Islamic State too could be following its tactics. The recent arrest of 25-year-old Mohammed Musiruddin has exposed the presence of the terror group in the state, particularly in the districts bordering Bangladesh including Burdwan, Murshidabad and Birbhum, a top CID official of West Bengal said on the condition of anonymity. The group has also spread its tentacles in different pockets of the city, the official said describing the Khagragarh blast in 2014 as a clinching proof of the existence of its bases in the state. Burdwan district entered the terror map in October 2014 when two suspected JMB terrorists were killed while making improvised explosive devices at a rented house at Khagragarh. In fact, NIA in its supplementary chargesheet in connection with the Khagragarh blast had claimed that JMB had been recruiting youths from the border districts of West Bengal. "Unemployed youths are the main targets of terror groups. JMB had been doing that and the ISIS has started the same process," the official said adding that this fact has again got a confirmation during the grilling of Musiruddin, who was part of the of recruitment network. The name of Ashique Ahmed, alias Raja, a resident of Hooghly's Dhaniakhali, had surfaced during an interrogation of one Abdus Sami Qasmi, who was arrested by the agency from Uttar Pradesh in February. The NIA sleuths, who had seized some documents from Ashique's ancestral home, were initially hesitant to arrest him as he was a teenager and had no criminal record. "This is another tactic to choose someone with no criminal records but has some education. Youths are told that they do not need to come to Syria to join the war actively but can initiate their own jihad from their native places," the officer said. The handlers, he said, communicate with their leaders mostly based either in Syria, Iraq or Bangladesh through the social media like Facebook and also via Whatsapp and other messengers. Musiruddin's mobile phone showed calls received and made to numbers in countries like Syria, Iraq and Bangladesh, apart from the App he was using to communicate to the leaders, the officer, who is part of the probe team, said. With PTI inputs Panaji: The Goa unit of Congress on Monday criticised Defence Minister Manohar Parrikar for attending programs in his native state when Jammu and Kashmir was on the boil. "When Kashmir was burning, India's Defence Minister was busy distributing bulbs to the people in Goa. This is shameful," Congress spokesperson Sunil Kawathankar said here. Parrikar should have been at the command centre monitoring the action in Kashmir, he said. Kashmir remained on the boil and normal life paralysed due to curfew-like restrictions and separatists-sponsored strike over the killing of young Hizbul commander Burhan Wani on Friday. A total of 23 people have been killed in the violence. The Defence Minister had yesterday distributed free LED bulbs under the Ujala Scheme at a program here. Goa government has tweaked the scheme, renaming it `Jyotirmay Goa', under which three bulbs are provided free to every consumer. Kawathankar also said that Parrikar was busy inaugurating fish stalls in his home state and Prime Minister Narendra Modi was signing Rafale deal in France. "This gives a bleak picture of BJP government's failure with regard to the defence ministry," he added. Puri: A man was arrested for allegedly climbing one of the three chariots during Lord Jagannath's annual car festival here, police said on Monday. The accused, identified as Deepesh Shah (51), hails from Gujarat. After screening of CCTV footage, he was arrested yesterday for allegedly climbing the chariot of Lord Balabhadra in the early hours of July 8. The police later stopped him while he was trying to climb 'Nandighosa', Lord Jagannath's chariot. A case has been registered against Shah under IPC Section 188 IPC (Disobedience to order promulgated by public servant) and a section of Shri Jagannath Temple Act, police said. As per a directive of Orissa High Court, devotees are barred from moving onto the chariots of the presiding deities of the 12th century shrine during nine-day car festival. After the Ratha Yatra was held on July 6, the three chariots are now parked in front of Gundicha Temple. The chariots are scheduled to take the deities back to their temple during the return car festival on July 14. A temple servitor had reportedly allowed his daughter to climb Lord Jagannath's chariot on Friday but no action has been taken so far. New Delhi: Information and Broadcasting Minister M Venkaiah Naidu on Monday suggested media focus on developmental news and inspiring success stories as he rued "sensationalisation" is becoming the news these days and "disorder the order". "The news is only good news if it is connected with development. This has to be understood by all. Unfortunately, sensationalisation is becoming the news. Disorder is becoming the order. Obstruction is order of the day. This is unfortunate for the country (like India)," Naidu said. Naidu, who took charge of the ministry last week, made the remarks at an event where he felicitated leaders and officers of municipalities of Alappuzha (Kerala), Panaji (Goa) and Mysuru (Karnataka) with Clean City Awards given by environmental NGO Centre for Science and Environment (CSE). Naidu made the comments while referring to success stories carried by an English daily relating to initiatives taken by people in Karnataka in constructing toilets under the Centre's Swachh Bharat Mission. "There was a story about Beti Bachao, Beti Padhao, there was a story also about drugs (in newspapers). This is the way the media should focus?the media should focus its attention on Alleppey, Panjim and Mysuru and making an all-India story out of it so that people understand?will be inspired by such success stories," he said. Naidu maintained people have the right to criticise the government whenever required, but hoped success stories are published in all Indian languages. During the event, Naidu also launched CSE's book titled 'Not in My Backyard' which deals with solid waste management in Indian cities. The event was addressed also by CSE Director General Sunita Narain. New Delhi: Monsoon rains will cover the whole of India in the next 48 hours, the country`s weather office said on Monday, boosting hopes of a rise in farm output and incomes after two straight years of drought. The monsoon has yet to cover parts of Rajasthan and Gujarat and rains will be 107 percent of long period average in July, B.P. Yadav, head of the national weather forecasting centre of the India Meteorological Department told Reuters. Monsoon rains, the lifeblood of India's agriculture-dependent economy, arrived a week later than usual this year and are crucial for the planting of summer-sown crops such as cotton, rice, soybean and sugarcane. New Delhi: The Supreme Court has decided to extend working hours for speedy justice in the 2012 Nirbhaya gang-rape case. Taking up the matter for hearing today, the court said that the Special bench will from 2-6 pm from July 18 onwards. In April this year the court had appointed two senior lawyers to appear for the four convicted rapists Mukesh, Pawan, Vinay and Akshay. A bench headed by Justice Dipak Misra appointed senior advocates Raju Ramachandran and Sanjay Hegde as amicus curiae to assist the court in the matter. While Ramachandran would assist the court in appeals of the convicts --Mukesh and Pawan, Hegde would appear for convicts Vinay and Akshay in the case. The convicts had approached the apex court against the Delhi High Court's March 13, 2014 verdict, which had termed that their offence fell in the rarest of rare category and upheld the death sentence awarded to them by the trial court. A 23-year-old paramedic was brutally assaulted and gang-raped by six persons in a moving bus in South Delhi and thrown out of the vehicle with her male friend on the night of December 16, 2012. She had died in a Singapore hospital on December 29. The prime accused, Ram Singh, had been found dead in a cell in Tihar Jail in March 2013 and proceedings against him were abated. On August 31, 2013, another accused, a juvenile at the time of the crime, was convicted and sentenced to three years in a reformation home.s released from observation home in December last year. New Delhi: The Central government on Monday said that Pakistan may be behind the violent protests in Kashmir Valley as it called for a sensitive handling of the situation in Jammu and Kashmir in the aftermath of terrorist Burhan Wani's killing by security forces. Pakistan could be responsible for protests in Kashmir, MoS PMO Jitendra Singh was quoted as saying by CNN-News18. The remarks from Singh came shortly after Union Home Minister Rajnath Singh reached out to the Opposition leaders including Sonia Gandhi to build a political consensus over spiralling situation in J&K. Sources said security forces and central para military forces were asked to exercise restraint and use minimum force against those protesting the killing of Hizbul Mujahideen militant Burhan Wani. Attempts were also made to reach out to unemployed young men and teenagers who were earlier found involved in stone-pelting. The state government also tried to contact parents asking them tio convince their children not to take part in the protests for the third day. In telephone conversations with Congress chief Sonia Gandhi and National Conference leader Omar Abdullah, Rajnath discussed efforts being taken to bring back peace and normalcy in the Valley. The Home Minister's discussions with Gandhi and Omar, who ruled Jammu and Kashmir between 2009 and 2015, are believed to be an attempt by the central government to take opposition leaders into confidence. Meanwhile, the separatists have extended the strike issued till Monday to July 13 expressing anguish over the killing of civilians in the past three days. In a joint statement, chairman Hurriyat (G), Syed Ali Geelani, chairman Hurriyat (M), Mirwaiz Molvi Umar Farooq and Jammu and Kashmir Liberation Front chief Yasin Malik gave a call to extend the strike till Wednesday. New Delhi: The Congress on Monday condemned Pakistan Prime Minister Nawaz Sharif's statement on the Jammu and Kashmir situation and said it should first learn the meaning of human rights. "Pakistan Prime Minister's statement supporting a declared terrorist is absolutely condemnable," Congress leader Abhishek Manu Singhvi told reporters here. "They are forgetting that Pakistan itself is imploding. And it seems they don`t know the meaning of human rights. They don't know they are known as the world's largest exporter of terrorism," the Congress leader added. Lashing out at the Centre, Singhvi said, "You get such kind of reply as a result of your diplomacy." Sharif on Monday called for a plebiscite in 'Occupied' Jammu and Kashmir to let its people decide if they want to remain with India or align with Pakistan. He also voiced 'concern and deep sorrow' over Hizbul Mujahideen Burhan Wani's killing on Friday by security forces. Sharif also expressed concern over the detention of Kashmiri separatist leaders and said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. "No one can support a declared terrorist, who had a history of terrorist activities... As far as other people are concerned, they may be misguided sympathisers who must be dealt with very carefully with sympathy as well as firmness...," the Congress leader said. "It is a matter of regret, misfortune and even disgrace because our Prime Minister is totally absorbed in self-promotion and involved in photo opportunities and event management, from the US to Pakistan to China to Australia," Singhvi said. The Congress leader said that the Indian foreign policy is in a downward spin. "The best example is that in the recent Nuclear Supply Group's meeting, our so-called supporters whom the Prime Minister feted, applauded and hosted were India`s principal opposers." Delhi: Reacting sharply to Nawaz Sharif's comments on the situation in Kashmir in the wake of the killing of militant Burhan Wani, India on Monday sent out a stern message to Pakistan asking it to refrain from interfering in the internal affairs of its neighbours. In a pointed statement, India also said that remarks from Pakistan regarding J&K reflected its continued attachment to terrorism. Issuing a statement, Vikas Swarup, MEA spokesperson posted on Twitter, "We have seen statements from Pakistan on the situation in the Indian state of J&K. They reflect Pakistan's continued attachment to terrorism and its usage as an instrument of state policy." "Pakistan is advised to refrain from interfering in the internal affairs of its neighbours," he added. Our response to Statements by Pakistan on Jammu & Kashmir: pic.twitter.com/3pjjonaQxu Vikas Swarup (@MEAIndia) July 11, 2016 India's reaction came after Prime Minister Nawaz Sharif, who has been under attack from the opposition for remaining 'silent' over the killing of protesters in Kashmir, issued a statement expressing 'shock' at Hizbul Mujahideen commander Burhan Wani's killing. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement, as per PTI. Sharif said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani. "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he added. On the other hand, yesterday, Pakistan Foreign Office had issued a statement condemning continued 'killing of innocent Kashmiris'. "The extra-judicial killing of Kashmiri leader Wani and scores of other innocent Kashmiris is deplorable and condemnable. Such acts are a violation of fundamental human rights of Kashmiris and cannot deter the people of Jammu and Kashmir from their demand for the realisation of the right to self determination," it had said. Wani was killed in an encounter on Friday. Kashmir remained on the boil today and normal life paralysed due to curfew-like restrictions and separatists-sponsored strike. (With Agency inputs) New Delhi: A Manipuri woman has alleged racism and harassment at the Delhi airport by immigration officials. As per reports, Monika Khangembam was asked about her nationality by an officer at the immigration desk despite carrying a valid Indian passport. Monika wrote on Facebook on July 9 that despite telling the official about her being India, the officer kept on asking questions about her nationality. Monika wrote she felt humiliated by the immigration officer's behaviour. The officer looked at my passport and said you dont look like an Indian, Monika wrote on Facebook. In fact, as per a report in The Indian Express, the officer at the airport also asked the girl from the Northeast about the number of states in India and the number of states bordering her home state Manipur. I would like the authorities to take necessary action. I am planning to write to the Ministry of External Affairs about this, Monika told ANI news agency today. Her sister described the incident as unfortunate. It's unfortunate that my sister carrying an Indian passport was asked by the immigration officer about her nationality. Such type of treatment is unacceptable. The concerned authorities must look into it, Monika sister told ANI. It may be noted that after Monika wrote about her ordeal on Facebook, External Affairs Minister Sushma Swaraj had apologised to her on Twitter and promised that she would soon ask Home Minister Rajnath Singh to ensure immigration officials undergo a sensitisation programme. Monika Khangembam - I am sorry to know this. Immigration is not with me./1 Sushma Swaraj (@SushmaSwaraj) July 10, 2016 New Delhi: In a development seen as a fallout of the Zakir Naik episode, Information and Broadcasting (I&B) Minister Venkaiah Naidu on Monday warned of strict action against unauthorised channels on television networks. The government has banned Peace TV after its promoter Zakir Naik was accused of inciting the terrorists involved in the deadly Dhaka attack. He's also been the subject of a probe to find out if he promoted hard line views on Islam and incited religious fundamentalists to commit crimes. The I&B Ministry has written letters to all the state chief ministers asking them to take strict action against unauthorised TV broadcast, Naidu said. He also appealed to the public to inform the ministry if they see any unauthorised channels on cable networks, the the minister added. Nairobi: Prime Minister Narendra Modi on Monday emplaned for New Delhi from Nairobi, after completing his four-nation tour in the African continent. "My trip to Kenya was memorable. Has made economic and cultural relations between India and Kenya to be more consistent," he said prior to his departure. Earlier in the day, India and Kenya exchanged several agreements ranging on a number of fields and Prime Minister also held bilateral talk with Kenyan President Uhuru Kenyatta on a wide range of issues aimed at boosting ties in the African continent, particularly in the economic sphere. "India-Kenya ties have stood the test of time. Both our nations have had very strong people-to-people ties and both nations have successfully fought colonialism in the previous century," the Prime Minister had said ahead of the visit. Before arriving in Kenya on the last leg of his visit, Prime Minister Modi had visited Mozambique, South Africa and Tanzania. The Prime Minister held active interacions with the Indian communities during his visit to South Africa, Mozambique, Tanzania and Kenya. New Delhi: President Pranab Mukherjee will be on three-day visit to Darjeeling beginning tomorrow where he will attend various functions. The government of West Bengal will host a state reception in honour of the President at Chowrasta (The Mall), tomorrow, a release issued today by Rashtrapati Bhavan said. On Wednesday, Mukherjee will attend the birth anniversary celebrations of 'Shri Bhanubhakta Acharya', which will also be held at the Chowrasta. On the same day, the government of West Bengal will host a dinner in his honour, it said. The President will address the annual general meeti ng of Darjeeling Tea Association on July 14, the release said. New Delhi: Supreme Court on Monday sought the government's response on a plea of an ex-servicemen's body seeking implementation of One Rank-One Pension (OROP) as recommended by the Koshyari Committee with an automatic annual revision, instead of the current policy of periodic review once in five years. A bench comprising Justices Dipak Misra and C Nagappan issued notice and sought the response from the government in eight weeks. The Indian Ex-servicemen Movement (IESM) and others have challenged the government's policy of periodic review of pension once in five years, saying such an approach was dilution of the February 26, 2014 announcement by which the revision in pension was to automatically pass on to the past pensioners on an annual basis. They have contended that five-yearly periodic review did not meet the demand of the ex-servicemen seeking OROP for the service personnel who had retired with same length of service in the same rank. "OROP is the uniform desire of all three defence services. Ex-servicemen are presently drawing pension that is not consistent with their rank and/or length of service. In fact, some ex-servicemen are even drawing lesser pension than other ex-servicemen who retired with a subordinate rank or (in the same rank) which is unjust and unconstitutional," the petition said. It said that the Centre's February 3, 2016 letter sent to the chiefs of Army, Navy and Air Force on OROP was "unjust, arbitrary and violative of Article 14 and 21 of the constitution." The plea sought a direction to the Centre "that the pension of past pensioners be automatically and contemporaneously enhanced, whenever there is any future increase or enhancement in the rates of pension." It further said the government should be directed to fix the pension on the basis of highest pension of financial year 2014-15 and not 2013. IESM in its petition has referred to the December 19, 2011, report of Rajya Sabha's Petition Committee then headed by Bhagat Singh Koshyari which rejected all reservation advanced by the government while "strongly recommending" OROP. In its 142nd report, the Koshyari Committee had said, "the Committee strongly recommends that Government should implement OROP in the defence forces across the board at the earliest". New Delhi: Hizbul Mujahideen terrorist Burhan Wani, who was killed by security forces on Friday, used to spew venom against India and its security forces on Twitter and other social media platforms. He was quite active on Twitter and used the platform to spread hate against Indian authorities through his anti-India tweets. From Pampore to Kupwara terror attack, he celebrated the death of brave and courageous Indian security personnel while termed the terrorists (who gunned down innocent people) as 'martyr'. Here are some of his tweets revealing his true face i.e. anti-India. Burhan Wani only believed in violence; it seems peace had no place in his life There is only One solution Gun solution Gun Solution pic.twitter.com/CuU4NiVeCf Burhan Bhai (@Gazi_Burhan2) July 2, 2016 He was happy about killings of brave CRPF men in Pampore attack; hailed Pak terrorists Pampore attack: Three more CRPF men succumb; toll 8 Burhan Bhai (@Gazi_Burhan2) June 25, 2016 " Both the two Lashkar Fidayeen brothers belong to pakistan May Allah acept them _aameen_ Burhan Bhai (@Gazi_Burhan2) June 25, 2016 He termed terrorists of Kupwara attack as 'martyr' Funeral Prayers In Absentia Held in Downtown For 6 Mujahideen Martyred in Kupwara gunfight. pic.twitter.com/MRR83GlO7p Burhan Bhai (@Gazi_Burhan2) June 24, 2016 Didn't even leave children from anti-India violence Kashmiri children playing with guns in solidarity with our mujahideen fighting with indian brutal occupiers pic.twitter.com/6JugShilaq Burhan Bhai (@Gazi_Burhan2) June 21, 2016 Urged to boycott Kashmir voting, said it's haram in Islam Voting in Kashmir-like situation haraam in Islam: BURHAN BHAI Burhan Bhai (@Gazi_Burhan2) June 20, 2016 Kashmir remains tense as 23 dead Strict curfew continued on Monday in most parts of the Kashmir Valley following heightened tension over the death of 23 people during protests against the killing of a top Hizbul commander, Burhan Wani. The victims comprised 22 protesters and a police driver. Srinagar: A soldier was killed on Monday along the LoC in Jammu and Kashmir`s Kupwara district when the army battled guerrillas who tried to sneak in from Pakistan. Defence ministry sources told IANS here that a group of heavily armed terrorists tried to breach the Line of Control (LoC) to sneak into India in Keran sector, triggering a fierce battle. "A soldier has been martyred in the ongoing operation," an official said. "The infiltration bid has been foiled." New Delhi: The Sashastra Seema Bal (SSB) on Monday started its first on-field Border Outpost (BoP) training module for its 50,000 personnel guarding the India-Nepal and India-Bhutan borders. The exercise is aimed to refresh critical learning elements and boost the effectiveness and professionalism of the force. The training module being conducted simultaneously at 270 company locations involving personnel of 625 BOPs was inaugurated by Minister of State for Home Affairs (MoS), Kiren Rijiju through video conferencing here. The SSB has been mandated to guard the India-Nepal and India-Bhutan borders which touch five Indian states with a total length of 1,751 km and four Indian states with a total length of 699 km, respectively. An SSB official said that its the first phase of a two-week intensive refresher training programme for BoPs of SSB in which 15,000 personnel will participate. "Such exercises are shared with SSB personnel in the training camps but this is a first on-field BoP training module. A total of 50,000 personnel guarding Indo-Nepal and Indo-Bhutan borders will be provided the training in three phases," the official added. The MoS expressed his happiness, saying a new chapter has been added to the historic role of the SSB as the force has been transformed into not only a border guarding force, but also a specialized force due to its responsibility to provide services to the VIPs and Left Wing Extremism affected areas. "Its task becomes more difficult in case of porous borders with some neighbouring countries like Nepal and Bhutan, where there is no fencing. This training module will benefit all SSB personnel," Rijiju said while speaking on the occasion. Rijiju also released a handbook for BOPs on the occasion. Senior officers of SSB, Ministry of Home Affairs (MHA) and a large number of SSB officers and personnel deployed all along the India-Nepal and India-Bhutan borders witnessed the launching of the programme from 49 locations through video conferencing. The Director General of SSB, Archana Ramasundaram, said that all the battalions personnel deployed along the borders will be able to attend this BOP training module while discharging their routine operational duties. "The training is very important as it turns a common man into a disciplined soldier. The emphasis has been given on various aspects such as soft skills, stress management, gender sensitivity and health. Several case studies have also been included in the training module," she said. "The module has been designed to refresh the critical learning elements with an aim to increase overall effectiveness and professionalism of the force," she added. The Director General also said that services of various agencies like Wildlife Crime Control Bureau (WCCB), Narcotics Control Bureau (NCB), National Investigation Agency (NIA) and NGOs working in the SSB's operational areas of responsibility have also been taken to make this module more fruitful and professionally enriching. New Delhi: Following Pakistan's remark that called the killing of Hizbul Mujahideen commander Burhan Wani "deplorable', former external affairs minister Salman Khurshid on Monday said nobody has the right to comment on the internal affairs of India. "I think nobody has any business to comment on anything which is entirely our own internal matter," he said. Pakistan on Sunday condemned the killing of Wahni and Kashmiri protesters who clashed with security forces following Wahni's death. Khurshid asserted that the security forces have the right take any precautionary step to uphold law and order situation in Kashmir state. "If there is a state of emergency situation in which our armed and paramilitary forces have to take action, both to protect their own lives as wells secure law and order in our country, they have to take that action and nobody has the right question it," Khurshid told ANI.ANI. He added that proper safeguards have been put in place by Supreme Court, and the state Human Rights to check human rights violations and any foreign entity does not have the right to comment on it. "Our own safeguards that have been placed by Supreme Court, Human Rights commission of Jammu and Kashmir government, by the Government of India itself, those safeguards will be always applied and put in place but I don't think anybody from outside has the right to comment on this," he said. The statement released by Office of the Spokesperson, Pakistan, on Sunday said the extrajudicial killing of Wani and scores of other innocent Kashmiris is deplorable and condemnable. "Pakistan reiterates that the resolution of the Jammu and Kashmir dispute is only possible by the realisation of the right to self determination of the people of Jammu and Kashmir, as per the UNSC resolutions, through a fair and impartial plebiscite under UN auspices," the statement said. "Such acts are a violation of fundamental human rights of Kashmiris and can not deter the people of Jammu and Kashmir from their demand for the realisation of the right to self determination," it said. Islamabad also expressed its concern over the detention of Kashmiri leadership and called upon New Delhi to fulfill the human rights obligations as per the United Nation. "Pakistan also has serious concerns over the detention of Kashmiri leadership in Indian Occupied Kashmir and calls upon the Indian government to fulfill its human rights obligations as well as its commitments under the United Nations Security Council Resolutions," the statement said. The Kashmir valley has been on a boil as widespread protests were carried out over Wani's killing with many separatists' calling for a shutdown. Muzaffarnagar: Three persons including two minors, were killed and as many injured after the van in which they were travelling overturned and fell into a deep ditch on Delhi-Haridwar highway here, police said on Monday. The accident took place last night near New Mandi bypass in which Akila (65), Zubair (8) and Ilma (6) were killed and three others injured, they said. The victims were returning to Phulat village from Chapar area, police said, adding the injured were shifted to the district hospital in a critical condition. New Delhi: Prime Minister Narendra Modi, who will be leaving for New Delhi later today from a four-nation tour of African countries, will on Tuesday take stock of the situation in Kashmir in the wake of growing protests over the killing of Hizbul Mujahideen militant Burhan Wani. According to a report from India Today, PM Modi will be briefed about rising tension in Kashmir on his return from Africa visit after which he will chair a high-level meeting on the issue tomorrow. Early today, National Security Adviser Ajit Doval cut short his visit to Kenya and reached back here, at least 24 hours early. With unrest continuing in Kashmir, Doval took stock of the developments in the Valley with the officials. Doval, who chaired a high-level meeting with senior Union ministers and officials over J&K unrest, told media, If there are problems, there are solutions. We are quite confident and competent of finding solutions. Doval was accompanying the Prime Minister on his four-nation tour of African countries Mozambique, South Africa, Tanzania and Kenya. They were to return home on Tuesday but the NSA came a day early amidst the soaring protests in Kashmir. Kashmir has been on the boil ever since the killing of Hizbul Mujahideen terrorist Burhan Wani, who was the poster boy militant, on Friday last in an encounter with security forces in Anantnag. Nairobi: In an apparent reference to Pakistan from where several anti-India terror groups carry out strikes in India with the support of Pakistani establishment, Prime Minister Narendra Modi on Monday said that the world must condemn those who give shelter to terrorist and use them as political instruments. Modi was addressing students at the University of Nairobi today along with the President of Kenya as he recalled contributions of prominent Kenyans, from Wangari Maathai to Lupita Nyongo. Amidst growing heat on Islamic preacher Zakir Naik and soaring protests in Jammu and Kashmir over the recent killing of Hizbul Mujahideen militant Burhan Wani, PM Modi, without mentioning Naik in his speech, warned that 'preachers of hate and violence are threatening the society'. "Terrorism knows no boundaries, no religion, no race and no values. We live in a world where preachers of hate and violence are threatening the fabric of our society," PM Modi said. "As young dynamic citizens of Kenya and as members of the African society, you would need to be watchful of those who spread radical ideologies. And, be equally condemning of those who give shelter to terrorists and use them as political instruments," he added further. PM: We must be equally condemning of those who give shelter to terrorists and use them as political instruments Vikas Swarup (@MEAIndia) July 11, 2016 Talking about the need to counter radicalization, PM Modi said, "Youth can play an important role in building a counter-narrative to extremist ideologies. PM on the need to counter radicalization: Youth can play an important role in building a counter narrative to extremist ideologies Vikas Swarup (@MEAIndia) July 11, 2016 His comments assume significance in view of the expanding footprint of ISIS terror group, which is particularly attracting the youth through a campaign of radicalisation. On maritime security Turning to maritime security, PM Modi said, 'there is a need to stand guard against sea-borne threats'. He also pressed the need for ensuring that piracy does not affect the movements in the maritime area and that freedom of navigation is ensured for all. Pitching for closer security cooperation with Kenya and other African countries connected with India by the Indian Ocean, he said the eastern coast of Africa is facing challenges. "Coastal security is a matter of deeper engagement," he said while asking Africa to ensure that its safety is not compromised. On cooperation with Kenya "India is ready to share its experiences and expertise in diversified areas like telecom, agriculture, energy and IT. As you march towards your destiny, you will find in India a trusted and reliable partner," PM Modi told the Kenyans. On developmental strides The Prime Minister, while speaking about developmental strides, said, "A steady march towards our economic goals is indeed a priority. But, we also cannot ignore the safety of our people." "Both Kenya and India are flourishing democracies. We are two developing nations with peace and prosperity. With significant presence of Indian companies in Kenya, our investment partnership is robust, diverse and vibrant," Modi asserted. Prior to his speech, the Prime Minister offered floral tributes at a statue of Mahatma Gandhi in the university. PM paying tributes to Mahatma Gandhi at @uonbi. pic.twitter.com/jARhNaYcAD PMO India (@PMOIndia) July 11, 2016 The sapling planted is the 'Green Heart of East Africa' esp chosen for the occasion by Wangari Maathai foundation pic.twitter.com/PrzCsCxJiE Vikas Swarup (@MEAIndia) July 11, 2016 India and Kenya signed seven agreements on Monday following delegation-level talks headed by Modi and Kenyan President Uhuru Kenyatta. Modi arrived in Kenya on Saturday on the final leg of his four-nation African tour. He will leave for New Delhi later on Monday. New Delhi: Bangladeshi author Taslima Nasreen has described preacher Zakir Naik as dangerous because he promotes 7th century Quranic texts on sex slaves, polygamy and wife beating in 21st century. I listened to Zakir Naik's speeches. He cites Quranic texts and tries to justify. He's dangerous because it's dangerous to spread 7th century texts in 21st century, Nasreen said in a series of tweet. Referring to the deadly attacks in Bangladesh by Islamists, she said that Many Bangladeshi would-be-terrorists are inspired by Zakir Naik. He is not having machetes in hands. But his followers are having machetes in hands. She said that banning Peace TV won't stop people from becoming terrorists as there are many more dangerous stuff out there that incites people to kill. I'm not against Zakir Naik's free speech but I am against him for inciting violence. Fatwabaz should be banned from issuing, she added. "We are a peace-loving nation and do not require any Peace TV, the author said. Expressing her views on Islam, she said, Islam is a violent ideology. Most Muslims are not violent because they believe Islam is not violent. Islamic terrorists are dedicated to the ideology. When I criticize Islam, people think I criticize Muslims. Islam is a religion. Muslims are people. Muslims are good and that doesn't mean Islam is good. When Muslim terrorists are killed by Americans, you say America kills Muslims. When Muslim terrorists kill your family, you say they are terrorists. Why the subcontinent's Muslims whose language is not Arabic claim that they understand the Arabic Koran more than ISIS do? If you know the meaning of all the verses of the Quran, you will be a terrorist or an atheist. Moderate Muslims read Quran without knowing the meaning. Why wrongful interpretation of Quran makes people terrorists? Why wrongful interpretation of Bible, Torah, Gita and Tripitaka don't make them terrorists, she asked. Who told you I am not pro-Muslim? I'm pro-Muslim, pro-Hindu, pro-Christian, pro-Humans. I'm not pro-religion and pro-fundamentalism, she added. New Delhi: Congress veteran Mani Shankar Aiyar on Monday alleged that the BJP-led NDA regime has raised the Zakir Naik episode for mere publicity and dubbed the entire sequence of events to be shameful as the video in question is four years old. Aiyar asked as to why the government did not initiate any action against Naik when they have been receiving intelligence reports and information about the latter for the last 18 months. "This government seems to have forgotten the fact that they are in power for the last two-and-a-half years. They still are of the view that the 2014 Lok Sabha polls are going on.It is all over and you have won; now it`s time to run the government," he told ANI. Aiyar said the government is only interested in media management and attaining political advantages."Even on issues of national security, the government tends to seek political advantages and glamor in media channels, which is a matter of shame," Aiyar said. Stating that Naik has been talking about peace and brotherhood, the Congress leader said the government shifted its focus towards him only when his name cropped up in Bangladesh. "The government has raised this issue for mere publicity. Instead of working towards resolving national and economic matters, the government is more focused on hyping up this matter for political gains. And they are not serious about the security issues that pose a threat to the country," Aiyar said. "Until and unless they work peacefully and send a message of communal harmony, the matters of security and terrorism will not settle down," he added.Aiyar`s remark came as several Muslim activists and the Indian Union Muslim League came out in Naik`s support saying the "advocate of peace theory in Islam" is being harassed for no "valid reason". Former Mumbai Police commissioner and now Baghpat MP Satyapal Singh had said in a recent interview that there was an event of mass religious conversion by Naik in 2008 but the then Congress-led UPA regime didn`t act against the hard-line preacher on the basis of his report. Meanwhile, the Bangladesh Government has banned the broadcasting of Naik`s Peace TV channel following reports that some of the terrorists, who carried out the country`s worst terror attack at a cafe in Dhaka, were inspired by his speeches. Naik earlier on Saturday sought support from the Muslim community against what he called was a "media trial" over allegedly provocative statements promoting militant views that have triggered calls for his arrest.Introducing a new Twitter handle - @drzakirofficial - the Mumbai-based preacher also appealed to people around the world to "eliminate terrorism from our midst". Naik, a popular but controversial Islamic orator and founder of the Mumbai-based Islamic Research Foundation, is banned in UK and Canada for his hate speeches.He is among the 16 banned Islamic scholars in Malaysia. Jammu: The Amarnath Yatra which was suspended for three days resumed on Monday afternoon with pilgrims leaving the Jammu city for Kashmir Valley. "Amarnath bound yatris left for the valley in 40 buses around 4.20 p.m. from Bhagawati Nagar Yatri Niwas in Jammu city," a senior police official told IANS. "The convoy of the yatris is protected by the security forces who are escorting the pilgrims to the valley," he said. Due to the prevailing law and order situation in Kashmir the yatra was suspended for the last three days as no pilgrim was allowed to proceed towards the valley during this period. Meanwhile, authorities are arranging a special train which will leave Jammu around 8 pm on Monday to carry those pilgrims back home who reached the city in 200 buses from the valley after performing the yatra. Jammu: As Kashmir continues to remain tense over the killing of Hizb-ul-Mujahideen militant Burhan Wani, Jamaat-ud-Dawah (JuD) chief Hafiz Saeed and Hizb-ul-Mujahideen founder Sayeed Salahuddin have vowed to avenge his killing. Reports on Monday said that a small prayer meeting was held in the memory of Burhan Wani at Hizbul's Muzaffarabad base on Saturday. The gathering was addressed by both Saeed and Salahuddin during which they vowed to take revenge of Wani's killing and hailed his 'martyrdom'. The duo addressed the gathering of Hizbul militants from a makeshift stage which also had posters of slain terrorist Burhan Wani. Hafiz Saeed, who was accompanied by some of the key members of JuD's cyber cell, also held extensive meetings with Hizb-ul chief Sayeed Salahuddin and his close associates in Muzaffarabad. If sources are to be believed, it was Hafiz Saeed's idea to use social media extensively in order to fuel the anti-media sentiments in the Kashmir Valley. Saeed's JuD is very active on social media and its cyber cell continues to posts provocative messages and videos related to jihad in Jammu and Kashmir. Hafiz has been working extensively on these cells over last few years in order to defy a ban imposed on JuD's media coverage in Pakistan. This media gag was imposed sometime back by Pakistani authorities but Saeed managed to escape it through events organised under the banner of several parallel platforms such as Difa-e-Pakistan Council and Falah-E-Insaniat foundation Pakistan, claimed a report in India Today. The unholy alliance of JuD-Hizbul in Kashmir Valley It has now come to light that both JuD and Hizbul has been working together to aid the separatist groups active in the Kashmir Valley with the support from Pakistan. Compared to Hizbul, JuD has a weaker presence in the Valley and hence it was virtually impossible for Hafiz to control and coordinate the series of protests in the area. However, soon after the news of Burhan's encounter spread, Saeed travelled to Muzaffarabad along with his team to fan anti-India sentiments among Kashmiri youths. This recent unrest in Kashmir has seen a unique social media strategy where provocative anti-India contents kept flooding the social media at a regular interval. Pakistan's Ministry of Foreign Affairs was also quick to take advantage of the situation in Kashmir and called the encounter of wanted Hizbul militant Burhan Wani an "extrajudicial killing of a Kashmiri leader". Jammu: As Kashmir Valley continues to boil over Hizbul Mujahideen militant Burhan Wani's killing by security forces, terror outfit Jamaat-ud-Dawah (JuD) has released a new video showing its chief Hafiz Saeed renewing call for jihad in Jammu and Kashmir. In JuD's latest video, which was posted hours after Wani's killing, Saeed has hailed his martyrdom and said that his sacrifice will not go in vain. Referring to the situation in Kashmir, the Jud chief said, ''dozens of innocent Kashmiris have been killed by the Indian security forces, while several hundreds are injured. Kashmiris are on the streets for 'Azaadi' and 'God willing' the mission will be achieved very soon.'' Many more Burhan Wanis will wage a war against India, he further warned. The video was posted by JuD's Twitter handle. New Delhi: VHP on Monday said the situation in Kashmir was similar to that of the 1990s when militancy was at its peak and appealed to the government to take strictest actions, including issuing shoot-at-sight order, against "Pakistan- backed terrorists". "Whatever is happening in Kashmir is very unfortunate. The reaction to the action taken against (Hizbul Mujahideen commander Burhan) Wani by police was unfortunate. The current situation seems like the 90s era is returning to the Valley. "This is not a time to say these are 'misguided' people. The people backed by Pakistan should be isolated and strictest action should be taken against them, even if it is shooting at sight," VHP joint general secretary Surendra Jain said. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of Wani's killing in an encounter on Friday. Alleging attacks on Amarnath pilgrims, the Vishva Hindu Parishad (VHP) said it was "unacceptable" and that targeting Hindus will have "serious repercussions". Jain alleged Amarnath pilgrims had been attacked by members of a particular community in the state yesterday when they tried to organise a 'bhandara' there. "They should realise the ghati (Valley) doesn't belong to a particular community only. It belongs to Baba Amarnath too. Any attempt to target the pilgrims will prove to be a costly affair for the attackers," he said. The VHP leader also hit out at JNU student Umar Khalid for comparing Wani to a revolutionary. "This is so unfortunate that a terrorist who was wanted for years is being compared to a revolutionary," he said. Jain also asked Kashmiris to denounce the terror activities. New Delhi: CPI(M) on Monday urged the Centre and the Jammu and Kashmir government to call an all-party meeting to discuss efforts to end the "growing strife" in the Valley, which is witnessing protests over the killing of Hizbul poster boy Burhan Wani, and restore peace there. "Both the central and the Jammu and Kashmir government, in which BJP is a coalition partner, must initiate a dialogue with all political forces and groups to end the growing strife in the state and restore peace and normalcy. At the central level, the Home Minister must convene an all-party meeting immediately," party politburo said in a statement. "Deteriorating situation in Kashmir is a cause of grave concern. Govt must start political process immediately (sic)," party General Secretary Sitaram Yechury tweeted. Terming the incidents in Kashmir as "condemnable", it said the administration should desist from using "excessive" force against the protesters and demanded that those responsible must be identified and punished. "While the activities of extremist groups need to be checked to maintain law and order and civilian rule, it cannot be an excuse for the use of force against stone-throwing protesters," it said. Jammu: Expressing concern over their safety, Kashmiri Pandits on Monday demonstrated here demanding security for their residential areas following an attack on a colony of the migrant employees in south Kashmir during the violence in the Valley. The protesters said the "stone pelting" attack has created fear among migrant employees living in areas like Haal in Pulwama, Vessu and Mattan in Anantnag and other places in north and central Kashmir. "The KP employees, who volunteered to serve in the Valley, feel scared due the present happenings there as their lives are in danger. We urge the government to ensure their security," President of Youth All India Kashmiri Samaj (YAIKS), R K Bhat said. Maintaining that the present scenario in Kashmir Valley poses a "new challenge" for the minority community, including the employees of Prime Minister's package, the protesters said it is the responsibility of the majority community to protect the rights of the minority community. Meanwhile, expressing concern about the safety and security of Hindus living in Kashmir, Panun Kashmir today urged the state and the Centre to shun their "indecisiveness" and perform there constitutional duty of protecting the life and property of the minority community. "The fundamentalist upsurge being witnessed in Kashmir following the killing of dreaded terrorist Burhan is fast taking the form of a genocidal assault on the Hindus living in the Valley," Panun Kashmir Convenor Dr Agnishakher said. Panun Kashmir Chairman Dr Ajay Chrungoo claimed that Hindu transition camps in Hall, Vessu, Mattan, Sheikhpora and other places have been the target of stone pelting by the communal mobs. "The frenzy of these mobs have brazen genocidal intentions. It is shocking that the government has been lethargic to respond to such a situation," Chrungoo said. "We are witnessing one more cycle of religious cleansing unleashed on Hindus of Kashmir," he claimed. Jagti Tenement Committee (JTC) and Soan Kashmir Front (SKF) also expressed their concerns over the deteriorating law and order situation in Kashmir valley following Wani's killing. "The migrant community and employees putting in transit camps were subjected to stone pelting, thereby damaging quarters and vehicles of the community members. It has created a sense of fear and insecurity among them," President of JTC, S L Pandita said. He alleged that PDP-BJP government has failed to curb militancy and provide necessary security to the migrants putting up in Kashmir. "Various minority places and temples in Kashmir valley and also Amarnath yatris have been targeted by the militants and the anti-social elements. The government is a mere spectator to all the happenings," Pandita said. He said separatists should desist from actions which may put to Kashmiri Pandits to any sufferings. "I warn communal forces in Kashmir to mend their ways, otherwise face consequences," he added. Jamshedpur: Jharkhand Chief Minister Raghubar Das on Monday appeared before local courts in connection with three cases related to violation of code of conduct during the 2009 Parliamentary and Assembly elections. Das appeared before the court of Chief Judicial Magistrate, G K Tiwary, in connection with violation of code of conduct case during Lok Sabha polls in 2009. A case was registered against Das, who was then BJP Jharkhand president, and 12 others for hoisting party flags and banners without permission from the district administration in Bistupur ahead of the visit of BJP leader Lal Krishna Advani in 2009. The next date of hearing has been fixed as August 29. The CM also appeared before the court of 1st Class Judicial Magistrate, S N Lamay in connection with two cases of violation of code of conduct during the Assembly polls. While Das alone was made accused in one case, he was charged along with two others including Chandrasekhar Mishra, former East Singhbhum district unit President of BJP, in the other. The case was registered for hoisting party flag and opening a party office without prior permission from the district authorities in Sidgora and Bagunnagar in November 2009. Kochi: The Kerala High Court on Monday granted bail to all 41 people accused in the Puttingal Devi temple fire tragedy case. "Kerala High Court grants bail to all 43 accused in Puttingal temple fire tragedy," reported ANI. The high court granted bail to all accused because a chargesheet was not filed within 90-day deadline. The ruling comes nearly three months after the devastating fire claimed the lives of at least 106 people and left over 383 others injured. The fire was caused by an unauthorised display of fireworks at the temple. The mishap had occurred as sparks from fireworks fell on the storeroom 'Kambapura' and the crackers kept there exploded. The blaze spread quickly trapping devotees within the complex. The explosions also ripped chunks of concrete and plaster from the temple and nearby buildings which fell on people killing some on the spot and wounding many others. The official statement said 75 bodies have been identified and 84 post-mortems had been conducted. Police had registered a case of attempt to murder and other offences against six persons, including members of temple managing committee and associates of firework contractors in connection with the tragedy. Bhopal: Seven more persons died in flood-related incidents in Madhya Pradesh in the last 24 hours, taking the toll so far in rain-related incidents to 22, even as the meteorological department predicted more rainfall in almost the entire state. "Twenty two people have died due to flood so far and nine persons are missing," an officer of the Chief Minister Press Cell told PTI today. Five persons each have died in Bhopal and Jabalpur while three in Vidisha. One person each has died in Raisen, Rewa, Sagar, Mandla, Tikamgarh, Seoni, Damoh, Sehore and Agar-Malwa districts, he added. As many as 7,000 people have been rescued so far in the state, the officer added. According to the officer, 3,32,400 persons have been affected by downpour in the state including 80,000 in Bhopal, 50,000 in Shajapur, 45,000 in Jabalpur, 25,000 each in Satna and Harda and 21,000 in Panna districts. Nearly 15,819 people ravaged by flood were living in the 68 camps, of them 6,710 were putting up in 14 shelter homes in Bhopal, 2,000 in 18 camps in Harda, 2,000 in eight camps in Sehore, 1,400 in seven camps in Vidisha, 1,265 in five shelter homes in Satna and rest in other districts, he said. He said, 2,360 houses have been completely damaged due to the flood while 17,236 houses have been partially damaged. According to Indian Meteorological Department, Bhopal Centre, 34 districts have received more than average rainfall, 11 districts have registered normal rains, less rainfall has been recorded in five district and poor rain in one district. Rain and thunder shower is likely to occur at most places over Madhya Pradesh in next 24 hours, IMD Bhopal Centre's Director Anupam Kashyapi said. He said heavy to very heavy rainfall likely to occur at isolated places over Guna, Neemuch, Mandsaur, Ratlam, Ujjain, Shajapur, Rajgarh, Sehore and Agar-Malwa districts in next 24 hours. New Delhi: Controversial Islamic preacher Zakir Naik, who was supposed to return to India from Saudi Arabia on Monday, will not be coming back, for now. The Islamic Research Foundation (IRF), a charitable organisation founded by Naik, has confirmed this. Earlier, reports had claimed that Naik, who has been in news ever since it emerged that two of the Dhaka attack terrorists were inspired by him, has delayed his return to India amid probes by multiple agencies into his controversial activities. An IRF spokesperson further said that a press conference which Naik was due to address in Mumbai tomorrow also stands cancelled. Zakir Naik is not coming back to India neither today nor tomorrow, the spokesperson said, adding he will return only after things become clear on investigations by agencies. It was being reported that Naik will be summoned by the Mumbai Police as well as the National Investigation Agency (NIA) upon his return to India. Further, reports had said citing sources that he will be constantly monitored by various central and state intelligence as well as counter-terrorism agencies after he lands in Mumbai. Earlier, security was tightened in Mumbai as different groups were planning to hold protests as well as marches in Naik's support after his return. Further, policemen were posted outside the Mumbai office of the Islamic Research Foundation. A television channel run by Naik, a televangelist, has already been banned by the Bangladesh government. 'Peace TV' has also come under the scanner of the Indian government with ministers saying it had no license to downlink in India. The focus of probes by multiple agencies are Naik's speeches and videos in which he is alleged to have advocated Muslims to take up terrorism. The Mumbai-based preacher has, however, denied asking Muslims to resort to terrorism, saying no innocent person should be killed. Naik has also described the Islamic State terror group as un-Islamic. It may be recalled that the Islamic State had claimed responsibility for the recent terror attack in Dhaka where hostages were held and killed at a popular cafe in the Bangladeshi capital's diplomatic zone. New Delhi: Controversial Islamic preacher Zakir Naik, who has been in news ever since it emerged that two of the Dhaka attack terrorists were inspired by him, is reported to have delayed his return to India, reports said on Monday afternoon. Times Now channel reported that Naik, who was to arrive in Mumbai today from Saudi Arabia, has postponed his return amid probes by multiple agencies into his controversial activities. Consequently, a press conference which Naik was due to address in Mumbai tomorrow also stands cancelled. It was being reported that Naik will be summoned by the Mumbai Police as well as the National Investigation Agency (NIA) upon his return to India. Further, The Indian Express reported today citing sources that he will be constantly monitored by various central and state intelligence as well as counter-terrorism agencies after he lands in Mumbai. Earlier, security was tightened in Mumbai as different groups were likely to hold protests as well as marches in Naik's support after his return. Further, policemen were posted outside the Mumbai office of the Islamic Research Foundation (IRF), a charitable organisation founded by Naik. A television channel run by Naik, a televangelist, has already been banned by the Bangladesh government. 'Peace TV' has also come under the scanner of the Indian government with ministers saying it had no license to downlink in India. The focus of probes by multiple agencies are Naik's speeches and videos in which he is alleged to have advocated Muslims to take up terrorism. The Mumbai-based preacher has, however, denied asking Muslims to resort to terrorism, saying no innocent person should be killed. Naik has also described the Islamic State terror group as un-Islamic. It may be recalled that the Islamic State had claimed responsibility for the recent terror attack in Dhaka where hostages were held and killed at a popular cafe in the Bangladeshi capital's diplomatic zone. New Delhi: An individual's privacy on social media is of utmost importance and Facebook has decided to take this one step further. After introducing message encryption on WhatsApp, Facebook will soon introduce the same on the social networking site's Messenger service as well. "Providing more ways for people to safely share is an important part of making the world more open and connected," the social network's vice president David Marcus said on his Facebook page. Facebook fully expects this to be available to everyone by end of the summer, a spokesperson said. "Whether you're asking a doctor for medical advice, sending sensitive account information to your spouse, or even your Social Security number, it's important to have options available for sharing these kinds of very sensitive messages." The tech giant announced that this feature would be called 'secret conversations' and can only be read by the sender and the recipient exclusively. A Facebook statement said the new feature will be optional "because many people want Messenger to work when you switch between devices, such as a tablet, desktop computer or phone" and that the encrypted messages may only be read on one device. "Secret conversations are available on a limited test basis right now, but we will be making the option more widely available this summer," the statement said. As per Recode, a new feature will also allow you to set messages to "disappear automatically" after a specified time period - between five seconds to 24 hours. (With Agency inputs) Dhaka: Mumbai-based controversial Islamic preacher Zakir Naik`s Peace TV went off the air in Bangladesh on Monday after authorities cancelled its broadcast rights. The information ministry issued the order on Monday, a day after the Cabinet Committee on Law and Order decided to end its broadcast, bdnews24 reported. The decision followed allegations that the Indian preacher`s speeches, sermons and interpretation of Islamic issues were "inspiring acts of terrorism" and radicalising youths. The information ministry order read: "Based on the Cabinet committee`s decision, free-to-air TV channel Peace TV`s downlink permission has been cancelled for violating downlink conditions." The ban comes in the wake of bloody terror attacks in Bangladesh this month. Lucknow: The Vice-Chancellor of Aligarh Muslim University, Zameer Uddin Shah has said that the minority character of the famed institute is important because it will reflect who the Muslims in India are being treated. The government has recently submitted its affidavit withdrawing support to the institute, but Shah said he is not unduly worried about that. Let me tell you that it all depends on our ability to convince the court....of our rightful place in India as a minority institution, he told India Today in an interview. Last time when we had the backing of the government we lost the case in the Allahabad High Court. This time we don't have its backing but we are going to win the case, I assure you on this, he told the reporter. Asked why the minority character is important for the institute, he said, The minority character is important because the way the Aligarh Muslim University is going to be treated, will reflect how the Muslims of India are being treated. It is in style and substance that the treatment meted out to Aligarh University will reflect the treatment that is going to be meted out to the Muslims of India. That's very important and that is why we are fighting for it, Shah said. Explaining how a centrally-aided institute can have a minority status, Shah said, Nothing debars government from funding a minority institute. After all, so many of these universities are funded by the government. Kolkata: Unemployed youths preferably from Muslim community are being roped in by handlers of terror groups like ISIS and JMB to expand their networks in border districts of West Bengal. Jamaat-ul-Mujahideen Bangladesh (JMB) has been targeting unemployed youths and ISIS too could be following its tactics. The recent arrest of 25-year-old Mohammed Musiruddin has exposed the presence of the terror group in the state, particularly in the districts bordering Bangladesh including Burdwan, Murshidabad and Birbhum, a top CID official of West Bengal said on the condition of anonymity. The group has also spread its tentacles in different pockets of the city, the official said describing the Khagragarh blast in 2014 as a clinching proof of the existence of its bases in the state. Burdwan district entered the terror map in October 2014 when two suspected JMB terrorists were killed while making improvised explosive devices at a rented house at Khagragarh. In fact, NIA in its supplementary chargesheet in connection with the Khagragarh blast had claimed that JMB had been recruiting youths from the border districts of West Bengal. "Unemployed youths are the main targets of terror groups. JMB had been doing that and the ISIS has started the same process," the official said adding that this fact has again got a confirmation during the grilling of Musiruddin, who was part of the of recruitment network. "They have handlers who supervise one to two districts and keep a tab on educated but unemployed youths including girls in the age group of 16-30 years preferably from the Muslim community," he said. "The brainwashing starts from the first meeting which helps them convince the youth to join the group. And from there they are moved to the training camps in the bordering districts," the officer said referring to the arrest of a 19-year-old polytechnic student at Durgapur by NIA this March. The name of Ashique Ahmed, alias Raja, a resident of Hooghly's Dhaniakhali, had surfaced during an interrogation of one Abdus Sami Qasmi, who was arrested by the agency from Uttar Pradesh in February. The NIA sleuths, who had seized some documents from Ashique's ancestral home, were initially hesitant to arrest him as he was a teenager and had no criminal record. "This is another tactic to choose someone with no criminal records but has some education. Youths are told that they do not need to come to Syria to join the war actively but can initiate their own jihad from their native places," the officer said. "It is nothing but asking them to carry out 'lone-wolf' attacks which are very hard to stop until they are executed," he said, adding that these camps also worked as a fund-raising machinery too. The handlers, he said, communicate with their leaders mostly based either in Syria, Iraq or Bangladesh through the social media like Facebook and also via Whatsapp and other messengers. Musiruddin's mobile phone showed calls received and made to numbers in countries like Syria, Iraq and Bangladesh, apart from the App he was using to communicate to the leaders, the officer, who is part of the probe team, said. Pointing to a 2015 report of the Intelligence Bureau, the officer said the posters were put up in several districts of the state asking the youths to join the JMB. In West Bengal, districts like Burdwan, Nadia, Murshidabad, North 24 Parganas, Malda and Birbhum have been witnessing increasing fascination for the ISIS. "The state's secular and cosmopolitan nature has made it quite easy for the terror groups from across the border to use it as a corridor for smuggling of arms, explosives and fake currency notes and the city as a transit route," he said. Procuring ration card, passport, voter ID cards and all sorts of documents to establish their Indian citizenship are easy and sleeper cells help illegal immigrants in getting them. "Marrying local women is also another process of establishing yourself as an Indian citizen. But that is actually a curtain to cover the actual activity," he said. Pyongyang: North Korea on Monday threatened to cut off one of its official contact channels with the US, the first of its countermeasures against new sanctions imposed on the country. North Korea on Sunday made the statement in a message to the US denouncing recent sanctions against Pyongyang, which "impaired the dignity of the supreme leadership" of the country, Xinhua news agency reported. Currently, North Korea Permanent Mission to the UN in New York acts as one of the few communication channels between Pyongyang and Washington, which the country threatened to close down. On Wednesday, the US Treasury Department imposed sanctions on North Korean top leader Kim Jong Un and other senior officials over alleged human rights abuses. It also slapped sanctions on 10 other individuals and five entities for their ties to North Korea`s abuse. North Korean Foreign Ministry on Thursday urged the US to withdraw the newly announced sanctions, saying they were an "open declaration of war against Pyongyang". The ministry also warned that North Korea will eventually cut off all channels of diplomatic contact with the US if the latter fails to withdraw the sanctions. Tokyo: An emboldened Shinzo Abe called on Monday for debate on Japan's pacifist constitution, which he said it was his "duty" to revise after scoring a strong win in weekend elections. Voters backed the hawkish prime minister, despite a lacklustre economic performance, handing his Liberal Democratic Party and its allies control of more than half of the upper house of parliament. Analysts say with the support of small nationalist parties, Abe may now have the numbers to push through a change to the constitutional bar on Japanese troops waging war. "We have always set a goal of revising the constitution...that is my duty as president," Abe said. "But the party does not have more than two-thirds of seats in both chambers by itself, so I don`t expect the draft would pass as is," he said, referring to the parliament`s lower house as well, and suggesting compromise was needed. "So I hope debate will steadily deepen." Japan`s constitution, imposed by occupying United States forces after World War II, prohibits the use of aggression to solve international conflicts. The provisions are popular in the public at large, but reviled by rightwingers like Abe, who see them as outdated and punitive. The LDP's own draft amendment plan calls for keeping the war-renouncing spirit of the constitution, but wants to remove language it sees as infringing on the country`s means to defend itself. Unofficial results from Sunday's vote compiled by media show the LDP and its Buddhist-backed allies, Komeito, now occupy more than half -- at least 147 -- of the seats in the upper chamber of parliament. Full official results are expected Tuesday. With backing from fringe parties that also favour consitutional change, Abe could now have the two-thirds majority that he needs in both houses to push through amy proposal to amend the country's basic law. However, observers point out that corralling support for a revision from its coalition partner Komeito which has traditionally shied away from nationalist posturing could be difficult.And the proposal would still face a referendum, with pollsters saying the vast majority of the public are wary of any softening of the country`s pacifist stance. The conservative mass circulation Yomiuri Shimbun daily described the expansion of the pro-amendment bloc as "progress" but expressed caution about prospects for change. "It is too early for an amendment proposal to be realistic as opinions on specific revision points vary between parties," it said Monday in an editorial. Abe had soft-pedalled his constitutional ambitions during the campaign, preferring to stress his management of the economy. The 61-year-old was swept to power in 2012 on a promise of kickstarting growth after decades of lassitude and underperformance. But despite massive fiscal stimulus, his "Abenomics" programme has largely failed to deliver, having done little more than weaken the value of the yen -- which is now back on the rise. Voters, meanwhile, appeared to hold out little hope for the dissolute opposition to do a better job, and Abe seems to have benefited from his incumbency and perceived competence. He also garnered support from the young after Japan`s voting age was lowered from 20 to 18, with Jiji Press exit polling data showing more than half of votes from those 18 and 19 years of age went to the ruling coalition. Abe said the election result shows that he has popular support for his economic policies. "I take it as people`s strong confidence in Abenomics and for its acceleration." Stocks surged on the election results, with expectations high Abe would unleash yet more stimulus. The Nikkei 225 surged 3.98 percent, or 601.84 points, to 15,708.82, while the broader Topix index of all first-section shares climbed 3.79 percent, or 45.91 points, to 1,255.79. He said he would direct the government`s minister in charge of boosting the economy to "prepare economic measures" but did not specify their size. Canberra: Australia`s Prime Minister Malcolm Turnbull has on Monday returned to capital Canberra to decide on his cabinet ministers for the 45th Parliament, after he secured victory in the 2016 federal election. Turnbull proclaimed victory on Sunday, Xinhua news agency reported. However, before Turnbull can be sworn into Parliament, he must decide on which ministers will take up cabinet positions, after three cabinet members were not re-elected at the election. Turnbull said there would likely be "many new and younger faces" in the new-look coalition government, to be decided on over the next week. "Regrettably, several ministers have not been returned and so there will be some changes," Turnbull said on Monday. Also prior to the swearing in ceremony, Turnbull must negotiate a new coalition deal with Nationals leader Barnaby Joyce. The Nationals are expected to be in a better bargaining position for cabinet positions after they secured a higher than expected percentage of seats in the lower house at the election. It is expected that Liberal and National MPs will meet in Canberra on July 18, and will be sworn in once Governer-General Peter Cosgrove returns from an official visit to France next week. Debate has continued to rage over the future prospect of implementing an online or electronic voting system for future election; counting continues in five seats which are determined too close to call. Prime Minister Turnbull said he has been "an advocate of electronic voting for a long time", while Labour Leader Bill Shorten said it is unacceptable to not have a clear result in the election eight days after voters went to the polls. "We`re a grown up democracy - it shouldn`t be taking eight days to find out who won and who lost," Shorten said over the weekend, "We can`t afford to let Australia drift for eight days after an election." The elections took place on July 2. Washington: President Barack Obama and former President George W. Bush are slated to address an interfaith memorial service in Dallas on Tuesday for the five police officers who were killed in a sniper attack last week. According to CNN, the President will visit the Texas city at the request of Dallas Mayor Mike Rawlings, White House Press Secretary Josh Earnest said in a statement on Sunday afternoon. On Sunday evening, the White House announced that Bush would join his successor at the memorial service in Texas to mourn the victims who were killed on the night of July 7 when they came under attack during a protest staged against the recent killings of two African-Americans, Alton Sterling in Louisiana and Philando Castile in Minnesota. The suspect that attacked the protest rally was identified as Micah Johnson, 25, who died after a long stand-off with police. Vice President Joe Biden will also attend the service at the Morton H. Meyerson Symphony Centre, as will former first lady Laura Bush. Obama will also meet with families of the fallen officers. The president cut short a European trip to travel to Dallas. While in Spain on Sunday, Obama condemned citizens who attack police officers, saying they are performing a "disservice to the cause" of criminal justice reform, CNN said. Obama said that police and activists need to work together and "listen to each other" in order to mobilise real change in America. Sterling, 37, was fatally shot on July 5 by two Baton Rouge police officers after being tackled to the ground. In a similar incident, Castile, 32, was also shot dead on July 6 by the police in Minnesota's Falcon Heights. London: More than 1,000 prominent British lawyers have signed a letter urging Prime Minister David Cameron to allow Parliament to decide whether the United Kingdom should leave the European Union. The signatories, which include senior lawyers, describe the Brexit referendum as advisory and based on "misrepresentations of fact and promises that could not be delivered". The lawyers said that there must be a free vote in parliament before the formal EU divorce procedure is triggered by invoking Article 50 of the Lisbon treaty. "The result was only advisory," the lawyers said in the letter, which was quoted by the Guardian newspaper. "The referendum did not set a threshold necessary to leave the EU, commonly adopted in polls of national importance, eg, 60 percent of those voting or 40 percent of the electorate." Turnout in the June 23 referendum was 72.2 percent. A total of 17.41 million people, or 51.9 percent, voted to leave the EU while 16.14 million, or 48.1 percent, voted to remain in the EU. While the leading contenders to succeed Cameron say the vote will be implemented, the government is facing legal challenges over whether it can begin divorce proceedings without approval from parliament. "The parliamentary vote should take place with a greater understanding as to the economic consequences of Brexit, as businesses and investors in the UK start to react to the outcome of the referendum," the lawyers said. They said the British government should establish a royal commission to review the evidence and to report on the benefits and risks of triggering Article 50. "The parliamentary vote should not take place until the commission has reported," the letter said. Washington: Bernie Sanders will join Hillary Clinton at a presidential campaign rally in New Hampshire, her campaign announced. The Clinton campaign said today that Sanders will join the former secretary of state at a high school in the town of Portsmouth tomorrows "to discuss their commitment to building an America that is stronger together and an economy that works for everyone, not just those at the top." Sanders has yet to endorse his former rival for the Democratic nomination. But the New York Times reported last week that Sanders would appear at a Clinton rally tomorrow in New Hampshire, and that he would formally endorse her. The daily said the anticipated pledge of support is the result of weeks of talks between the two campaigns aimed at unifying the party. Clinton clinched enough delegates to secure the nomination in early June, after a yearlong battle with Sanders, a senator from Vermont. But the feisty self-described democratic socialist nevertheless has refused to concede defeat to his more moderate rival, although he has said he will vote for Clinton and will do anything to help defeat Republican Donald Trump. Sanders has been negotiating with the Clinton campaign to ensure that his ideas are part of the party platform presented at the Democratic convention later this month, when Clinton is formally nominated. Party officials met over the weekend in Orlando, Florida to finalize the Democratic platform for the November election. The two sides reached agreement on language concerning climate change, health care and raising the minimum wage in America to $15 per hour. They failed, however, to reach common ground on the Trans-Pacific Partnership trade accord, media reports said. Egypt`s foreign minister met Israeli Prime Minister Benjamin Netanyahu on Sunday on reviving peace efforts with the Palestinians, the first such visit in nearly a decade and the latest sign of warming ties. The trip came amid talk of renewing an Arab peace initiative and with Israel`s military having recently saluted "unprecedented" intelligence cooperation with Egypt to combat the Islamic State group. Speaking to journalists alongside Netanyahu before their meeting, Foreign Minister Sameh Shoukry said it was a "crucial and challenging juncture for the Middle East". Resolving the decades-old Israeli-Palestinian conflict would have a "far-reaching and dramatic and positive impact on the overall conditions of the Middle East region", he said. "Egypt remains ready to contribute toward achieving this goal." Shoukry also warned of the "constant deterioration" of the situation on the ground since the last round of Israeli-Palestinian peace talks collapsed in April 2014. He said the current lack of process towards peace was "neither stable nor sustainable", and stressed the need for "serious steps to build confidence" toward the two-state vision. Netanyahu welcomed Egyptian President Abdel Fattah al-Sisi`s "recent offer of Egyptian leadership and efforts to advance peace with the Palestinians and a broader peace in our region". He also called on Palestinians to engage in direct negotiations, as he has repeatedly done in the past.An Israeli official said that during the meeting, Netanyahu asked for Egypt`s help in returning the bodies of two Israeli soldiers held by Hamas in Gaza, as well as two Israeli civilians detained by the Islamist movement in the Palestinian enclave. Shoukry responded in the affirmative, the official said. Netanyahu and his wife Sara later hosted the Egyptian foreign minister for dinner at their Jerusalem residence. Palestinian leaders say years of talks with Israel have not ended the occupation, and have instead pursued international diplomacy to promote their cause. On June 29, Shoukry met Palestinian leaders during a visit to the West Bank city of Ramallah. Sisi said in May there was a "real opportunity" for an Israeli-Palestinian deal that could lead to warmer ties between his country and Israel. In 1979, Egypt was the first Arab state to sign a peace treaty with Israel after years of conflict, and it remains an influential player in the region. However, ties have been formally cold over Israel`s policies toward the Palestinians, and relations further soured after the June 2012 election of the Muslim Brotherhood`s Mohamed Morsi as Egyptian president. After president Hosni Mubarak`s ouster in the 2011 revolution, protesters stormed Israel`s embassy in September that year amid clashes with police. Morsi was ousted in July 2013 by then army chief Sisi, who was elected Egypt`s president in 2014. In June, representatives from 28 Arab and Western countries, the Arab League, European Union and the United Nations met in Paris to discuss ways to help advance peace efforts. Israel strongly opposes that initiative, which is being promoted by France. Netanyahu has at the same time spoken of reviving a long-dormant Arab peace initiative dating to 2002. That proposal essentially calls for Israel to withdraw from the occupied territories and resolve the issue of refugees with the Palestinians, leading to the creation of a Palestinian state, in exchange for normalised relations with Arab countries.But some analysts have questioned whether Netanyahu`s comments were an attempt to fend off international critics over his appointment of hardline Defence Minister Avigdor Lieberman and his opposition to the French plan. Netanyahu has said he would seek changes to the Arab proposal. The Arab League has said the terms cannot be changed. There have been repeated warnings that Israeli settlement building and Palestinian attacks are eroding the possibility of a two-state solution, especially with peace efforts at a standstill since April 2014. Violence since October has killed at least 214 Palestinians, 34 Israelis, two Americans, an Eritrean and a Sudanese. Most of the Palestinians killed were carrying out knife, gun or car-ramming attacks, according to Israeli authorities. Others were shot dead during protests and clashes, while some were killed by Israeli air strikes in the Gaza Strip. Egypt and Israel have cooperated in other areas, particularly after jihadists in Egypt`s Sinai Peninsula pledged allegiance in November 2014 to the Islamic State group. In April, Israel`s deputy chief of staff spoke of an "unprecedented level of cooperation" with Egypt, mainly regarding intelligence. Shoukry said the situation in the Middle East was "becoming ever more volatile and dangerous, particularly as the phenomenon of terrorism continues to grow and proliferate, representing an existential threat to the peoples of the region and the world at large". Dhaka: Eight militants from Bangladesh's terror group Jamaatul Mujahideen Bangladesh (JMB) have been charged with the murder of a Japanese farmer who was shot dead in the country last year. Hosi Koniyo, 66, who was headed to an agriculture farm on the outskirts of northern Rangpur city in a rickshaw, was shot thrice in the chest, shoulder and hand on October 3 last year. He died on the spot. Though international terror outfit Islamic State was quick to claim responsibility, the government had refuted it. A frequent visitor to Bangladesh, Koniyo had been in Rangpur for the past six months and was familiar in the neighbourhood because of his philanthropic work. He had set up the farm, where he visited daily by a rickshaw, with the help of a local businessman to grow grass for cattle. Following the incident, police had arrested five people including Hoshi's business partner Humayun Kabir Hira. Multiple interrogations of these five people showed JMB's involvement, bdnews quoted police as saying. These five have are now lodged in Rangpur Central Jail. Three are absconding. The militants were charged as the country reels under the killing of 20 people, most of them foreigners from Italy, Japan, India and the US. The country has blamed homegrown terror group JMB for the cafe siege. Phnom Penh: Hundreds of mourners gathered for the funeral Monday of a prominent Cambodian political commentator gunned down in broad daylight, as the country`s premier vowed a thorough investigation. Kem Ley, a well regarded political analyst and grassroots campaigner, was shot dead on Sunday as he drank coffee at a convenience store attached to a petrol station in the capital Phnom Penh. The slaying of the 46-year-old has shocked the nation and comes as tensions boil between strongman premier Hun Sen and the country`s political opposition. Buddhist monks led a large crowd, many wearing black and white, at a temple in Phnom Penh where Kem Ley`s body was laid out, covered by Cambodia`s national flag and strewn with flowers. One distressed mourner cut his arm with a razor blade in front of the victim`s body, according to an AFP journalist at the scene, in an act of protest at the silencing of the respected analyst. Police say they have arrested the gunman, who identified himself as Chuob Samlab -- an unlikely Khmer name which translates as "meet to kill" -- and confessed to killing the analyst over an unpaid debt. But the suspect`s apparent motive and his name have been questioned by activists in a country where the rule of law is threadbare and criticism of powerful figures carries great risk. Hun Sen on Monday described the murder as "a heinous act" and ordered authorities to bring anyone behind Kem Ley`s murder to book. "I hope people will let authorities conduct their work thoroughly and avoid turning this tragedy into a political case which will lead to a troubling situation," Hun Sen said. "I hope that other politicians will not politicise this case to incite (people), that would lead the nation into chaos," he added. Police could not be reached for comment on Monday. Washington led the international outcry over the murder of a well-known anti-government critic. The US was "deeply saddened and concerned by reports of the tragic killing," State Department spokesman John Kirby said in a statement. "We are following developments in this case closely, noting the Cambodian government`s call for an investigation, and urge that authorities ensure this process be thorough and impartial," he added. Kem Ley was critical of both the government and opposition parties, advocating for a new era of clean politics in a notoriously corrupt nation which is expected to hold a general election in 2018. Nairobi: Prime Minister Narendra Modi on Monday held talks with Kenyan President Uhuru Kenyatta on a wide range of issues aimed at boosting ties in the African continent, particularly in the economic sphere. Modi, who arrived here yesterday on the final leg of his four-nation Africa tour, was accorded ceremonial guard of honour at the State House here. "The fourth welcome in 5 days, PM @narendramodi receives ceremonial honours at the State House in Nairobi," External Affairs Ministry Spokesperson Vikas Swarup tweeted. He earlier paid tributes to Mzee Jomo Kenyatta, the first president of Kenya and a towering political figure of Africa. "Homage to a great son of Africa, a friend of India. PM lays wreath at Mausoleum of Jomo Kenyatta, 1st Prez of Kenya," Swarup said. Ahead of the visit, the Prime Minister had said, "India-Kenya ties have stood the test of time. Both our nations have had very strong people-to-people ties and both nations have successfully fought colonialism in the previous century." He visited Mozambique, South Africa and Tanzania before arriving here. The focus of the African tour is on deepening cooperation in areas of hydrocarbons, maritime security, trade and investment, agriculture and food. Naypyidaw: A Spanish tourist faces deportation from Myanmar over a Buddha leg tattoo that offended monks, police said Monday, in a country where the influence of religious hardliners is growing. The man was initially detained in Bagan, an ancient town in central Myanmar where tourists flock to visit thousands of picturesque temples and pagodas. "Monks in Bagan saw a Buddha tattoo on his right leg because he was wearing shorts. They informed us as it`s not appropriate," a police officer in Bagan told AFP, requesting anonymity, adding that the Spaniard was promptly sent back to Yangon. A police official at Yangon airport, who also asked not to be named, confirmed the detention and said the man would be deported to Bangkok on Monday evening. "We will send him back because he violated the rules as a tourist here," he said. Buddhist-majority Myanmar has seen a dramatic political transformation over recent years, with decades of junta rule giving way to a civilian-led government and a once isolated country opening up to lucrative foreign investment and tourists. The changes have coincided with the rise of a small but influential Buddhist nationalist movement that rails against the five percent of the population that are Muslim and what are perceived to be corrupting Western influences. Portrayals of Buddha can land foreigners in hot water. Last year a New Zealand bar manager spent 10 months in jail for "insulting religion" by using a Buddha image to promote a cheap drinks night. A Canadian tourist and practising Buddhist was detained in 2014 for sporting a leg tattoo of Buddha. Although many Buddhists, particular Western adherents, boast tattoos of the religion`s founder, it can cause offence in some nations where the faith is practiced. A British nurse was thrown out of Sri Lanka in 2014 for a Buddha tattoo on her arm despite her insistence she was a devotee who got inked as a mark of respect. In Thailand, airports put up posters warning visitors not to get Buddha tattoos or buy statues. Countries like Myanmar and Thailand believe the body becomes less sacred from the head down, making Buddha leg tattoos particularly problematic. North Korea on Monday threatened to take "physical action" to counter a sophisticated US anti-missile system planned to be deployed in the South. The warning follows an announcement by Seoul and Washington Friday to deploy the Terminal High Altitude Area Defense (THAAD) system in South Korea to counter growing threats from the North. The two allies have not yet revealed exactly when and where in the South the system would be deployed but said they were in the final stage of selecting a potential venue. "The DPRK will take a physical counter-action to thoroughly control THAAD... from the moment its location and place have been confirmed in South Korea," the artillery bureau of the North`s military said in a statement, according to the official Korean Central News Agency (KCNA). North Korea`s military, which has "sufficient latest offensive strike means", will take "more merciless and powerful successive corresponding measures against the US keen to ignite a war by deploying THAAD", it said. It also warned the South of "miserable self-destruction" as a consequence of deployment of the THAAD system. "We once again warn the enemies that it is the steadfast will of the KPA to make merciless retaliatory strikes to reduce south Korea to a sea in flames, debris once an order is issued," the statement said. A day after Seoul and Washington`s announcement, North Korea test-fired what appeared to be a submarine-launched ballistic missile, sparking swift international condemnation. The launch followed Pyongyang`s back-to-back tests of a powerful new medium-range Musudan missiles on June 22 -- theoretically capable of reaching US bases as far away as Guam. Tensions have soared since Pyongyang carried out its fourth nuclear test in January, followed by a series of missile launches that analysts said show the North is making progress toward being able to strike the US mainland. North Korea also claimed that Seoul was "offering" the South as a "US nuclear outpost". Since the announcement on the THAAD system, residents from possible deployment sites have launched mass protests against bringing the missile defence system to their towns. On Saturday, some 3,500 residents of Chilgok county, North Gyeongsang Province, protested against the possible deployment, saying the region had not been properly developed since US troops were stationed there in 1960. Around 5,000 residents from Eumseong county, North Chungcheong Province, will also launch a mass demonstration Monday to protest at the lack of information provided to the public about the dangers of the defence battery. The plan to deploy the powerful THAAD system in South Korea has also angered Beijing and Moscow, which both see it as a US bid to flex military muscle in the region. New Delhi: Pakistan Prime Minister Nawaz Sharif on Monday expressed shock at the excessive force used on unarmed civilians by the security forces in Kashmir and said that India must respect its human rights obligations. Sharif also expressed dismay at the killing of Hizbul commander Burhan Wani and asked India to respect human rights and hold plebiscite in Kashmir. Unarmed Kashmiris cannot be deterred from their struggle for self-determination, ANI quoted Sharif as saying. Deplorable that excessive and unlawful force was used against civilians protesting against the killing of Burhan Wani, Sharif said. The Pakistan prime minister also called on the Indian government to fulfil human rights obligations under the UNSC resolutions. He expressed serious concern over the continued detention of the Kashmiri leadership. Meanwhile, the toll in Kashmir unrest rose to 23 on Monday even as the Centre has sent additional forces to the Valley as reinforcement. The Centre has promised all necessary assistance to the state government. Union Home Minister Rajnath Singh has been personally monitoring the situation and has directed officials to ensure that paramilitary forces in Kashmir provide all assistance to the state government for restoration of peace. Singh has also spoken to Congress chief Sonia Gandhi and former Jammu and Kashmir Chief Minister Omar Abdullah to brief them about the situation. Seoul: North Korea's military said on Monday it will make a "physical response" to moves by the United States and South Korea to deploy the advanced THAAD missile defence system on the Korean peninsula. The United States and South Korea said on Friday that the Terminal High Altitude Area Defense (THAAD) anti-missile system will be used to counter North Korea`s growing nuclear and ballistic missile capabilities. The announcement was the latest move by the allies against the North, which conducted its fourth nuclear test this year and launched a long-range rocket, resulting in tough new U.N. Sanctions. "There will be physical response measures from us as soon as the location and time that the invasionary tool for US world supremacy, THAAD, will be brought into South Korea are confirmed," the North`s military said in a statement. "It is the unwavering will of our army to deal a ruthless retaliatory strike and turn (the South) into a sea of fire and a pile of ashes the moment we have an order to carry it out," the statement carried by the official KCNA news agency said. The North frequently threatens to attack the South and U.S. interests in Asia and the Pacific. South Korea`s Defence Ministry spokesman Moon Sang-gyun warned the North not to take "rash and foolish action". Otherwise, he said, it would face "decisive and strong punishment from our military." The move to deploy the THAAD system, which drew a swift and sharp protest from China, came a day after the U.S. Treasury Department blacklisted leader North Korean leader Kim Jong Un for human rights abuses. North Korea called the blacklisting "a declaration of war" and vowed a tough response. South Korean President Park Geun-hye said on Monday the THAAD system was not intended to target any third country but was purely aimed at countering the threat from the North, in an apparent message to Beijing. "I`m certain the international community knows full well that we have no intention whatsoever to target any other country or threaten them," Park said at a meeting with her senior advisers, according to the Blue House. Chinese Foreign Minister Wang Yi said on Saturday that THAAD exceeded the security needs of the Korean peninsula, and suggested there was a "conspiracy behind this move." South Korean Finance Minister Yoo Il-ho downplayed the possibility that China, Seoul`s biggest trading partner, would retaliate economically over the THAAD decision. "(China) is expected to separate politics and economics," he told lawmakers on Monday in response to a question during a parliamentary session. A South Korean Defence Ministry official said selection of a site for THAAD could come "within weeks," and the allies were working to have it operational by the end of 2017. It will be used by U.S. Forces Korea "to protect alliance military forces," the South and the United States said on Friday. The United States maintains 28,500 troops in South Korea, a legacy of the 1950-53 Korean war. The system will be focused solely on North Korean nuclear and missile threats and would not be directed towards any other nation, the two countries said last week. Dallas: The gunman in the deadly attack on Dallas police had plans for a larger assault and possessed enough explosive material to inflict far greater harm, the city's police chief and top elected official said. Micah Johnson, a black Army veteran, began firing on officers while hundreds of people were gathered in downtown Dallas to protest recent fatal police shootings. Authorities have said the 25-year-old kept a journal of combat tactics and had amassed a personal arsenal at his home that included bomb-making materials. "We're convinced that this suspect had other plans and thought that what he was doing was righteous and believed that he was going to target law enforcement, make us pay for what he sees as law enforcement's efforts to punish people of color," Dallas Police Chief David Brown told CNN's "State of the Union" yesterday. The fact that Johnson had material for explosives and talked of using homemade bombs during a standoff with police before he was killed indicated he could have inflicted more damage with more time, said Dallas County Judge Clay Jenkins. "If this had not been a crime of opportunity where the protest was quickly organized in response to events in the same week. He could have caused a lot more harm than he did," Jenkins said. Five officers were fatally shot in the attacks, and at least nine officers and two civilians were wounded. Also yesterday, Brown revealed new details about Johnson's negotiations with police, saying that Johnson taunted authorities, laughing at them, singing and at one point asking how many officers he had shot. Johnson, who served in the Army Reserve for six years starting and did one tour in Afghanistan, insisted on speaking with a black negotiator and wrote in blood on the wall of a parking garage where police cornered and later killed him, Brown said. The gunman wrote the letters "RB" and other markings, but the meaning was unclear. Investigators are trying to decipher the writing by looking through evidence from Johnson's suburban Dallas home, Brown said. The writing suggested that Johnson was wounded in a shootout with police. An autopsy will confirm exactly how many times he was hit, Jenkins said. Authorities do not "have any independent report from an officer saying, 'I think I hit him,'" Jenkins said. The police chief defended the decision to kill Johnson with a bomb delivered by remote-controlled robot, saying negotiations went nowhere and that officers could not approach him without putting themselves in danger. Baghdad: Pentagon chief Ashton Carter arrived in Baghdad on Monday for talks with Prime Minister Haider al-Abadi on the fight against the Islamic State group and plans to recapture Mosul from the jihadists. Carter's unannounced visit -- his fourth to Iraq since beginning his job in February 2015 -- comes two days after Iraqi forces captured an airbase to the south of Mosul that is seen as an important step toward the eventual battle for the country's second city, which has been under IS control since June 2014. More than two years after IS overran large parts of Iraq as well as territory in neighbouring Syria, Carter wants to highlight successes, even as the jihadists have fought back with devastating attacks in Iraq and abroad. "What I`ll be discussing with Prime Minister Abadi and our commanders there are the next plays in the campaign, which involve the collapse and control over Mosul," Carter told reporters aboard a military plane ahead of his visit. The ultimate goal, he added, was "the recapture of all of Iraqi territory by the Iraqi security forces, but of course Mosul is the biggest part of that." The Qayyarah airbase, which Abadi announced Saturday had been recaptured, is located 60 kilometres (35 miles) south of Mosul and can serve as a launchpad for future operations to recapture the city. US defence officials say the campaign`s first "10 plays" have been successfully completed in the US-led counter-IS campaign in Iraq and Syria. These steps include the recapture of several important cities across the two countries, including Ramadi in Iraq and Al-Shadadi, a town in northeastern Syria previously considered a strategic IS stronghold. Carter and President Barack Obama have been criticised for the pace of the campaign, which began in autumn 2014 and got off to a slow start, particularly in war-torn Syria, where the United States had few assets on the ground to provide targeting information. Manila: The Philippine government's top lawyer called on Monday for police to kill more suspected drug criminals, as he defended President Rodrigo Duterte's brutal war on crime against mounting criticism. Police have confirmed killing more than 110 suspects since Duterte won elections in May promising a law-and-order crackdown that would claim thousands of lives and fill funeral parlours. As the official death toll has mounted, and other bodies not confirmed killed by police have been found with placards declaring them drug traffickers, human rights lawyers and some lawmakers have expressed deep concerns about the war on crime spiralling out of control. In response to the criticism, Solicitor General Jose Calida held a press conference on Monday at national police headquarters to insist on the legality of the police killings and to encourage more deaths of people suspected of being involved in the drug trade. "To me, that is not enough," Calida said of the killings so far. "How many drug addicts or pushers are there in the Philippines? Our villages are almost saturated (with drugs)." Duterte, who took office on June 30, has repeatedly warned that drastic action is needed to stop the Philippines from becoming a narco-state. A lawyer and a former prosecutor, Duterte has urged law enforcers to kill those they believe are involved in the drug trade, as well as other criminals. In one of the deadliest single incidents, police reported killing eight "drug personalities" during a pre-dawn raid on Saturday in a small southern town. As in the other cases, police insisted they were forced to shoot after encountering resistance. One of the nation`s top human rights lawyers, Jose Manuel Diokno, warned last week that Duterte had "spawned a nuclear explosion of violence that is spiralling out of control and creating a nation without judges". Former senator Rene Saguisag, a prominent human rights lawyer during the regime of dictator Ferdinand Marcos, also criticised Duterte`s statements naming and shaming alleged drug lords and police officers ahead of a formal investigation. "Do we still probe and have a trial as part of due process? Useless, it seems to me," Saguisag wrote in an online column last week. Some opposition lawmakers have also called for a congressional investigation into the spate of killings. Calida, a Duterte appointee, said he would protect police from or during congressional probes, while emphasising it was up to critics to prove allegations of abuse rather than base inquiries on speculation. "I am here to encourage the (police) not to be afraid of any congressional or senate investigations. We will defend them. I am the defender of the (police)," he said. Singapore: A rare bank robbery in Singapore has sparked a social media debate on whether the country`s low crime rates made authorities and the population too complacent, questioned bank security and criticised police for not providing details of the incident. A man stole S$30,000 ($22,300) from a Standard Chartered branch last Thursday, having slipped the teller a note saying he was armed, according to a source with knowledge of the matter. The teller pressed a silent alarm button and police arrived within minutes, but it was too late, said the source, who declined to be named as he was not authorised to speak to the media. Standard Chartered said the bank has taken "immediate actions to further enhance" security. It declined to comment on the details of the robbery as investigations were ongoing. "While there was no security guard present, our staff acted in accordance to protocols and in the best interests of our customers and our colleagues," a bank spokeswoman said. The Monetary Authority of Singapore urged banks to "learn from the recent incident and assess whether their security measures need to be enhanced". Local newspaper Straits Times said the last bank robbery in Singapore - by a man with a fruit knife - occurred in 2004. Singapore police often appeal to the public for information, but did not release any CCTV footage of the latest bank robbery and did not answer Reuters requests for details on the robbery. The police "didn`t even have the decency to issue a statement or conduct a briefing about the robbery ... stage fright???", said Facebook user Erik Seeto. Twitter user @Thefinnigans, summed up Thursday`s robbery with a meme depicting a polite dialogue between a smiling teller and an elderly man. After the man says he wants to rob the bank, the teller asks whether he would like a transfer into his account, then they settle for notes. The man says: "I will definitely rob here again." The teller responds: "We welcome you to rob us anytime!" Police have launched a major manhunt for the bank robber. The last high-profile manhunt in Singapore was in 2008, when Mas Selamat Kastari, the suspected leader of a radical Islamist group linked to the 2002 Bali bombings, shot to fame after escaping from the toilet of a detention centre. Damascus: Opposition fighters launched a major assault on government-held districts of Syria's long-divided Aleppo on Monday, after the regime severed their only remaining supply route into the battleground city. The attack on multiple fronts was accompanied by heavy rebel shelling of the western side of the city, killing several civilians and destroying homes. Once Syria's economic powerhouse, Aleppo has been ravaged by the war that began with anti-government protests in March 2011 and has killed more than 280,000 people. The city has been divided between government control in the west and rebel control in the east since mid-2012, with the regime long seeking to sever the key Castello Road supply route into the opposition side. The rebel offensive began at dawn, an AFP correspondent said, reporting heavy clashes between regime and opposition forces along the front line of the northern city. The Britain-based Syrian Observatory for Human Rights also reported the assault. "Opposition factions launched a wide-scale attack on four fronts against the regime inside Aleppo city, including in the Old City," said Observatory chief Rami Abdel Rahman. "There is currently fierce fighting underway, but the opposition has not advanced because of the heavy aerial bombardment the regime is carrying out on the areas where fighting is underway." A barrage of 300 rebel shells had been fired into western Aleppo since dawn, killing nine civilians, said the Observatory. State television said eight civilians had been killed and 80 people wounded in fire by "terrorist groups on residential neighbourhoods in Aleppo city".neighbourhoods in Aleppo city". There was no immediate toll for either side taking part in the clashes. Ahmed, a resident of western Aleppo, said the shelling had completely destroyed his home in the Syriac quarter. "The shells have rained down on the western neighbourhoods since 4:30 am," he told AFP. Residents of the neighbourhood gathered in one of the affected areas on Monday morning, lifting debris and helping locals gather their belongings so they could leave in search of shelter elsewhere. "The sounds of the fighting can still be heard and are very loud, and the shelling on the western neighbourhoods is ongoing," he said.Mahmud Abu Malak, a spokesman for the Nureddin al-Zanki rebel group, described fierce fighting. "All kinds of heavy artillery and machineguns are being used in the assault, which is intended to ease the pressure on the Mallah and Handarat fronts," he said, referring to areas near the rebel supply route into Aleppo. The Castello Road route was effectively severed on Thursday when government forces seized a hilltop within firing range. The advance leaves the opposition-held east of the city cut off, and raises the prospect of total siege. On Sunday, rebels launched an assault to push government forces back from the road and reopen the route, but it failed and ended with at least 29 opposition fighters dead. The ongoing fighting comes despite a nationwide truce declared by the government last Wednesday, to coincide with the Muslim holiday of Eid al-Fitr. The ceasefire has produced little respite in violence, but was extended for another 72 hours on Saturday. The severing of the Castello Road has already created shortages of food and fuel in the east of Aleppo, with local market stalls sparsely stocked. "There are very few vegetables today because the Castello Road is closed," said Abu Mohamed, a vendor in the Bustan al-Qasr neighbourhood. "This eggplant and zucchini is grown here inside Aleppo," he said, gesturing to his meagre stock. "If we hadn`t planted eggplant and zucchini inside the city, we wouldn`t have had any vegetables at all." Residents also described searching in vain for fuel, whether for vehicles or home use. The situation has raised fears of a lengthy siege of the east of the city. The UN says nearly 600,000 Syrians live in besieged areas, most surrounded by government forces, although rebels also use the method. Juba: Renewed fighting erupted in South Sudan`s capital on Sunday and forces loyal to Vice President Riek Machar said his residence was attacked by the president`s troops, raising fears of a slide back into full-blown conflict in the five-year-old nation. There was no immediate response from the government of President Salva Kiir to the statement by Machar`s spokesman. Kiir`s information minister, Michael Makuei, said earlier the situation was under control and urged people to stay at home. The two leaders, who fought each other in a two-year civil war that started in late 2013, had made a joint call for calm after clashes between rival factions broke out late on Thursday. At least 272 people have been killed in the fighting, a Health Ministry source told Reuters early on Sunday. A Chinese U.N. peacekeeper was killed and several Chinese and Rwandan peacekeepers were injured, Japan`s U.N. ambassador Koro Bessho said on Sunday after the U.N. Security Council was briefed on the situation. Japan is council president for July. The U.N. mission said U.N. compounds in Juba had been hit by small arms and heavy weapons fire. "The Security Council expressed their readiness to consider enhancing (the U.N. mission) UNMISS to better ensure that UNMISS and the international community can prevent and respond to violence in South Sudan," Bessho told reporters. He said the 15-member council encouraged countries in the region to prepare to send additional troops in the event the Security Council decides to boost the strength of the nearly 13,500-strong U.N. force. The council also stressed the need for peacekeepers to use all means necessary to protect civilians. The U.S. State Department on Sunday demanded an immediate end to the fighting in South Sudan and ordered the departure of non-emergency personnel from the U.S. Embassy in Juba. "We`re extremely worried about what appears to be the lack of command and control over the troops," U.S. Ambassador to the United Nations Samantha Power said on her way into the Security Council briefing, which the United States requested. A confidential note to the council on Sunday from the U.N. Department of Peacekeeping, seen by Reuters, said: "UNMISS has adopted a proactive posture, conducting patrols within and outside" its compounds and has reinforced the perimeter security to enhance protection for displaced civilians and U.N. staff. The note said the fighting "involved the use of attack helicopters and tanks" and that the U.N. compounds were in the cross-fire. One U.N. Chinese peacekeeper was killed and six were others injured when the armoured vehicle in which they were travelling was shelled. China`s defence ministry issued a statement on Monday condemning the attack, and said it would strengthen safety measures. `DEEPLY FRUSTRATED` U.N. Secretary-General Ban Ki-moon said Kiir and Machar needed to take "decisive action" to regain control of the security situation in Juba and urged them to order their forces to disengage and withdraw to their bases. "I am deeply frustrated that despite commitments by South Sudan`s leaders, fighting has resumed," Ban said in a statement. "This senseless violence is unacceptable and has the potential of reversing the progress made so far in the peace process." Residents of Juba`s Gudele and Jebel districts reported heavy gunfire near the barracks where Machar and his troops have their headquarters. The Health Ministry source said 33 civilians were among those killed in the latest clashes, which have fuelled fears about renewed conflict and raised concerns about the extent the two men can control their troops in the world`s newest nation. "We have called for an arms embargo, we think that this (violence) absolutely underlines the need for that and we are prepared to look at any measures that are necessary in order to stop this violence," Britain`s deputy U.N. ambassador, Peter Wilson, said on his way into the council meeting. Earlier this year, Security Council veto power Russia said it was opposed to an arms embargo because Moscow did not believe it would be helpful to the implementation of a peace deal agreed to by Kiir and Machar last August. When asked on Sunday about the possibility of a arms embargo, Russia`s deputy U.N. ambassador, Vladimir Safronkov, said the council needed to do "something serious about stabilising the political situation." The confidential U.N. peacekeeping note said some 3,000 civilians, including senior opposition officials, had sought shelter at one U.N. site, while 800 other civilians had entered a second U.N. compound. "Dr. Machar`s residence was attacked twice today including using tanks and helicopter gunships. Helicopters from Kiir`s side attacked the residence twice," Machar`s spokesman, James Gatdet Dak, told Reuters by phone from abroad. He added that the situation in Juba had subsequently calmed, echoing comments from residents who said gunfire had eased later on Sunday after several hours of shooting. STAND-OFF The fighting first erupted on Thursday, when troops loyal to Kiir stopped and demanded they be allowed to search vehicles of Machar`s loyalists. That stand-off led to clashes. Gunfire broke out again on Friday between the vice president`s bodyguards and the presidential guard, while the two men were holding talks at the presidential State House to defuse tensions. Both men said at the time they did not know what had prompted the exchange of fire. Kenya`s presidency urged Kiir and Machar to move heavy weaponry and troops out of civilian areas in Juba. It said Kenya was ready to support law enforcement. Kenya Airways has suspended flights to Juba. Machar and Kiir spent months wrangling over details after signing the peace deal last year. Machar finally returned to Juba to resume his former position as vice president in April. Fighting since 2013 has left large areas of the country of 11 million people struggling to find enough food. It has also disrupted oil production, by far the government`s biggest source of revenue. Gauteng: Two South African brothers arrested on terrorism charges were plotting to blow up the US embassy in Pretoria and Jewish institutions, and planned to join the so-called Islamic State, police said Monday. The 23-year-old twins, Brandon-Lee and Tony-Lee Thulsie, appeared briefly in a Johannesburg magistrate court after being arrested at the weekend. "It is alleged that they wanted to bomb the US embassy and Jewish facilities in the country," Hangwani Mulaudzi, spokesman for South Africa`s elite police, the Hawks, told AFP. The United States and Britain warned last month of possible imminent "terrorist" attacks by Islamic extremists in South Africa`s major cities. The US warning said attacks could target sites frequented by US citizens, including high-end shopping malls in the economic hub of Johannesburg and Cape Town, which is popular with tourists. The two men, who are accused of planning to join the Islamic State (IS) group in Syria, were remanded in custody and will return to court on July 19 to allow for further investigation. "They had been on our radar since 2015. They tried to leave the country twice, through OR Tambo airport and through Mozambique (to join IS)," Mulaudzi said. According to court papers, the brothers "conspired to commit the crime of terrorism by planning to cause explosions at a mission of the United States of America and Jewish institutions." The suspects were also accused of inciting others to "aid and abet them", according to the provisional charge sheet. "The incitement was to further the political, religious or ideological motives and objectives of... the Islamic State in Iraq and the Levant (ISIL)," it said. Two other suspects also arrested on Saturday were reported to be linked to the brothers. But the prosecution said they faced unrelated charges and were due to appear in a separate court later Monday. Muslims account for only 1.5 percent of South Africa`s 53 million people and the country has so far escaped the jihadist attacks staged in several countries on the continent. "We have got fairly verifiable evidence of South Africans going to the geographical Islamic State (in Syria)," Nick Piper of Signal Risk, a Cape Town-based security consultancy, told a press briefing recently. "There is radicalisation happening within South Africa. There is a strong possibility that if an incident does happen, it will be a South African. Damascus: Syrian opposition fighters launched a major assault on government-held districts of Aleppo city on Monday after the regime severed their only remaining supply route into the city, an AFP correspondent said. The multi-front assault was also reported by the Syrian Observatory for Human Rights, a Britain-based monitor. The attack was accompanied by a barrage of rocket fire into the regime-held west of the city, which killed at least eight people, said state media. The offensive began at dawn, sparking heavy clashes between regime and rebel forces along the frontline that divides the government-held west and opposition-held east of Aleppo, the AFP correspondent said. "Opposition factions launched a wide-scale attack on four fronts against the regime inside Aleppo city, including in the Old City," said Observatory chief Rami Abdel Rahman. "There is currently fierce fighting underway, but the opposition has not advanced because of the heavy aerial bombardment the regime is carrying out on the areas where fighting is underway," he added. There was no immediate toll for either side in the clashes. The Observatory said rebels had also fired some 300 shells into western Aleppo since dawn, giving a toll of at least five dead in the bombardment. State television said eight civilians had been killed and 80 people wounded in fire by "terrorist groups on residential neighbourhoods in Aleppo city". Ahmed, a resident of western Aleppo, said the shelling had completely destroyed his home in the Syriac quarter. "The shells have rained down on the western neighbourhoods since 4:30 am," he told AFP. Residents of the neigbourhood gathered in one of the affected areas on Monday morning, lifting debris and helping locals gather their belongings so they could leave in search of shelter elsewhere. "The sounds of the fighting can still be heard and are very loud, and the shelling on the western neighbourhoods is ongoing," he said. The assault came a day after a failed rebel bid to push regime forces back from the key Castello Road supply route that leads into opposition-held Aleppo. The route was the only remaining road into the east of the city, and was effectively severed on Thursday when regime troops took a hilltop within firing range. The failed bid to push government forces back from the road killed at least 29 rebel fighters, said the Observatory. Once Syria`s economic powerhouse, Aleppo city has been ravaged by the fighting that began there in mid-2012. The city has been divided between regime and rebel control since then, with the frontline inside Aleppo remaining mostly static. More than 280,000 people have been killed since the Syrian conflict began in March 2011 with anti-government protests that were met with a fierce crackdown. Bangkok: A Thai journalist and four activists were charged Monday under a draconian law banning criticism of the junta`s new constitution which will be voted on in a referendum next month. Taweesak Kerdpoka, a reporter with the news outlet Prachatai, was arrested on Sunday morning alongside four members of the New Democracy Movement, one of the few activist groups that dare to challenge the military since generals seized power two years ago. "Their actions were violating the referendum bill article 61 clause 2," police colonel Amnuay Pongsawat, from Ban Pong district in central Ratchaburi province, told AFP. He did not elaborate on how their actions had broken the law, but the men face up to ten years in prison if convicted. The clause outlaws critical discussion of the junta`s new draft constitution, which if passed will become Thailand`s 20th in less than a century. Prachatai editor Chiranuch Premchaiporn said Taweesak was travelling in the same car as the activists to report on their activities. Police stopped the car and found documents that they deemed were in breach the referendum law. "He is a reporter that covers human and environmental rights," she told AFP. "He was just doing his job." Thais will vote on the the new charter on August 7, the first return to the ballot box since the 2014 coup. The junta says the document is the antidote to Thailand`s caustic political divide. But politicians on both sides of the divide have dismissed it as an attempt to further entrench the military`s hold on power through an appointed senate. Video posted online showed the five shackled men making their way to court on Monday morning as supporters handed them roses. The activists could be heard shouting: "Voting `no` is our right, it is not against the law". A message from Taweesak on his Facebook page read: "Being arrested for referendum campaigning is bad, but what should we call being arrested for reporting on the referendum campaign?"Taweesak on his Facebook page read: "Being arrested for referendum campaigning is bad, but what should we call being arrested for reporting on the referendum campaign?" Prachatai has a history of investigative journalism that frequently riles Bangkok`s ultra-nationalist establishment. Last year its editor Chiranuch lost an appeal against a conviction under the country`s notorious royal defamation law for failing to speedily remove reader comments deemed critical of the monarchy. Since the military`s takeover Thailand has undergone a major rights crackdown, with scores of activists jailed and skyrocketing lese majeste convictions. The kingdom has been handicapped by more than a decade of political deadlock and violence including two military coups. tp-jta/apj/kb Istanbul: Turkey's state-run news agency says police have arrested seven suspects pending trial in connection with the attack at Istanbul's airport that killed 44 people. That brings the total number of suspects to 37. Anadolu Agency, citing judicial sources, said the arrests were made late yesterday. The suspects face charges of "being a member of an armed terrorist group" and "assisting pre-meditated homicide." Their alleged role in the attack wasn't immediately clear. The report didn't mention the names or nationalities of the suspects. Three militants armed with assault rifles and bombs stormed Istanbul's Ataturk Airport on June 28. No one has claimed responsibility for the attack, but Turkish officials say they believe it was the work of the Islamic State group. Melbourne: Australian Prime Minister Malcolm Turnbull is set to form a coalition government after Governor General Peter Cosgrove accepted his advice to return to power for a second time. Turnbull, 61, wrote to Cosgrove saying Opposition leader Bill Shorten had conceded defeat and the coalition had at least 74 seats in addition to the support from two independents. "In these circumstances I advise you that I am in a position to form a ministry that has the confidence of the House of Representatives,"Turnbull wrote. Noting Tunbull's advice, Cosgrove wrote back accepting the advice. "I accept your advice that you are in a position to form a ministry that has the confidence of the House of Representatives," Cosgrove said. "And I await your further advice on proposed changes to the ministry and related administrative arrangements," he said. Cosgrove is currently overseas. The Prime Minister met with secretary of the Department of Prime Minister and Cabinet Martin Parkinson in Canberra. As counting continues, three contests remain close - Hindmarsh, Capricornia and Herbert - but the coalition is confident of having 76 seats in the 150-seat lower house. The Nationals' 22 MPs, led by Deputy Prime Minister Barnaby Joyce, will meet tomorrow to discuss any changes to the agreement, which is essential to forming government. Joyce will then meet with the Prime Minister on Wednesday. There is pressure on the Liberal leader to give the Nationals an extra frontbench role, after the party gained an extra seat in the election, and conservative Liberals are seeking a stronger position in the ministry line-up. Turnbull called an early election partly to establish a new mandate for his government after ousting unpopular Tony Abbott as conservative leader 10 months ago. YEREVAN, JULY 11, ARMENPRESS. The Defense Ministry of Nagorno Karabakh informs 14 incidents of ceasefire violations were recorded in the Nagorno Karabakh-Azerbaijani contact line. The Ministrys announcement reads: Overnight July 10-11 the Azerbaijani side violated the ceasefire regime 14 times by firing more than 100 shots from automatic rifles, heavy machine guns and sniper rifles at the Armenian positions. The Defense Army forces are in full control of the situation in the frontline and continue confidently fulfilling their military tasks. YEREVAN, JULY 11, ARMENPRESS. Nearly 15 communities of Armavir Province were affected by the heavy rain and hailstorm on July 10. Armenian Minister of Territorial Administration and Development Davit Lokyan visited Armavir Province in late of July 10, press service of the Ministry of Territorial Administration and Development informed Armenpress. Davit Lokyan together with Armavir Governor Ashot Ghahramanyan visited Aknalich and Mayisyan communities. Prime Minister Hovik Abrahamyan also visited the region. They reviewed the damages and discussed the organization of taking necessary actions. YEREVAN, JULY 11, ARMENPRESS. Deputy Parliament Speaker Eduard Sharmazanov says the Armenian Presidents speech at the meeting of the Heads of State and Government of the NATO member and non-member states sent a number of clear messages to the international community. In particular, Serzh Sargsyan emphasized that the Armenian sides are exclusively in favor of peace. The Presidents speech clearly emphasized that both the Republic of Armenia, and the Nagorno Karabakh Republic are in support of the lasting peace, we should prepare our society to peace, rather than to war. The Armenian sides are not the threats of war in the region, rather Azerbaijan remains the threat of war, I will add Turkey as well, Armenpress reports, Sharmazanov said to journalists. He said the Armenian President with his speech highlighted that both NATO, and any other international platform are not the place of discussion of Nagorno Karabakh, there is a concrete structure for it. Contrary to Ilham Aliyevs statements and the assessments by the Azerbaijani media, the Armenian President clearly stated that NATO is not the platform for the discussion of the Nagorno Karabakh issue, a concrete structure exists for that. To transform the Nagorno Karabakh settlement issue to other platforms including the PACE, NATO, are unacceptable and contradict to the numerous statements of the Minsk Group Co-Chairing countries, Sharmazanov said. Sharmazanov said the Armenian President highlighted that the agreements reached in the Vienna and St. Petersburg meetings must be implemented which are constantly not being done by Azerbaijan. Azerbaijan must install trust, investigative mechanisms, the rights of the Personal Representative of the OSCE Chairperson-in-Office must be expanded, he said adding that there has been a talk also over the 1994-1995 termless ceasefire agreements. Eduard Sharmazanov also stated that the Armenian President directly said the status quo is not beneficial for us. Serzh Sargsyan clearly emphasized that no one can reject the right to self-determination of the NKR people. The NKR people must shape their own fate. The main obstacle of this is Azerbaijan which rejects the NKR peoples right to self-determination. We think that the status quo should be changed, but for that it is necessary to recognize the NKR peoples right to self-determination. There is a need to eliminate the reasons, not the consequences of this conflict, the Deputy Parliament Speaker said. YEREVAN, JULY 11, ARMENPRESS. The bodies of 37 year old woman and three children, aged 5, 16, 18, have been found dead in the village Vachiani of Akhalkalaki region. According to the information by Rustavi 2, the woman and the three children were in the house, but their father left for Russia as a foreign worker. Armenpress reports, Imedi TV Channel informed that according to the information provided by the family relatives, they were tortured, subjected to violence, however, the valuables were not stolen from the house. The causes of the incident are not known yet. The bodies have been sent to the forensic bureau of Ninotsminda district. According to the preliminary information, the incident took place two days before. The relatives found the bodies on July 10. It is supposed that the mother and the daughters were deadly injured by blunt objects, whereas, 5 year old son was strangled to death. The Interior Ministry of Georgia has not commented on the incident yet. According to some information the familys killed members are Armenians. YEREVAN, JULY 11, ARMENPRESS. President of the National Assembly of Armenia Galust Sahakyan received the Georgian delegation led by Vice-Speaker of the Georgian Parliament Manana Kobakhidze on July 11, press service of the Armenian Parliament informed Armenpress. Galust Sahakyan welcomed Manana Kobakhidzes visit to the Armenian Parliament and expressed hope her visit will contribute to the further deepening and strengthening of the Armenian-Georgian friendly relations. Galust Sahakyan said Armenia attaches importance on deepening and expanding multilateral ties with Georgia. In this context, he also stressed the importance of the inter-parliamentary cooperation in the international platforms. Manana Kobakhidze expressed gratitude for the reception and appreciated the friendly relations of the two states. She said with satisfaction in recent years the Armenian-Georgian parliamentary ties were intensified. Issues related to the development prospects of the economic cooperation between Armenia and Georgia, as well as other issues of mutual interests were discussed at the meeting. YEREVAN, JULY 11, ARMENPRESS. Deputy Speaker of the Armenian Parliament Eduard Sharmazanov finds the resumption of negotiations to be the path towards peace and settlement of the Nagorno Karabakh conflict. Touching upon OSCE Minsk Group American Co-chair James Warlicks announcement who states the results of the meeting of two Presidents must be summed up and the mechanisms of settlement of the Nagorno Karabakh conflict should be noted Sharmazanov said this means negotiations may possibly resume or have a new launch. It is better to negotiate, than to not negotiate, as by negotiating you make a step towards peace. Without negotiations, making announcement on distance leads to war. The worst negotiation is better than the best war, he said. Sharmazanov sees the path of settling any kind of conflicts in negotiations and diplomatic relations. There is diplomacy, for settling any conflict negotiations are needed. But the issue is in Azerbaijan, Azerbaijan must understand that the only option to see its flag waving in Stepanakert is recognizing the Republic of Nagorno Karabakh and opening its embassy there. There is no other option to see the flag of Azerbaijan in Nagorno Karabakh, he stressed. Sharmazanov positively assessed the Putin-Obama phone conversation on Nagorno Karabakh conflict. According to him, the public articulations, which were present in the announcements of both sides, speak to the fact that the sides reject the military solution of the issue and stress the importance of implementing the agreements. That shows that the leaders of the two superpowers dont have contradictions on public level. If in various issues Syrian, Ukrainian, Russian-American relations- they are contradictory, however in the Nagorno Karabakh conflict there are common approaches, which are in line with our perceptions, Sharmazanov said. YEREVAN, JULY 11, ARMENPRESS. A session of Irans Cabinet made decisions on abolition of visa requirements between Armenia and Iran, reports MEHR News Agency. Members of Irans Cabinet gathered together for a session on July 10 chaired by President Rouhani. The meeting made relevant decisions on setting regulations for abolition of visas for holders of diplomatic and ordinary passports as well as holders of travel documents (leaf of travel) between the Islamic Republic of Iran and the Republic of Armenia. Previously on June 16, Iranian Foreign Minister Mohammad Javad Zarif and Armenian Foreign Minister Edward Nalbandian signed an agreement on abolishing the visa between the two states. The agreement, which was approved by the Armenian Government aiming to regulate the two countries citizens mutual visits, was also endorsed by the Iranian Government during the July 10 session. Visa-free travels between Iran and Armenia mainly aim to boost bilateral ties, deepen mutual trade as well as to develop economic relations between the two states. Accordingly, citizens with ordinary or diplomatic passports of Armenia and Iran are allowed to stay maximum of 90 days in the other country without a need to obtain a visa. YEREVAN, JULY 11, ARMENPRESS. The Ministry of Foreign Affairs says the suspect in the murder of the Armenian family in Akhalkalaki has been arrested in the nearby Vachiani village. Regarding the tragic murder in Vachiani village of Akhalkalaki region, Ambassador of Armenia to Georgia Yuri Vardanyan held phone conversations with Samtskhe-Javakheti Governor Akaki Machutadze and Police Chief Teymuraz Kalandadze. As reported by the Georgian side, investigation is underway, the suspect has been arrested. The Armenian Embassy is in constant contact with Georgian law enforcement agencies and is closely following the developments. We express our deepest condolences to the relatives and friends of the murdered ones, the MFAs message reads. Earlier it was reported that the bodies of a 37 year old woman and three children, aged 5, 16, 18, have been found dead in the village Vachiani of Akhalkalaki region. According to the information by Rustavi 2, the woman and the three children were in the house, but their father left for Russia as a foreign worker. Imedi TV Channel informed that according to the information provided by the familys relatives, they were tortured, subjected to violence, however, the valuables were not stolen from the house. The causes of the incident are not known yet. The bodies have been sent to the forensic bureau of Ninotsminda district. According to the preliminary information, the incident took place two days before. The relatives found the bodies on July 10. Allegedly the mother and the daughters were deadly injured by blunt objects, whereas the 5 year old son was strangled. The Interior Ministry of Georgia has not commented on the incident yet. The European Commission said Monday its former head Jose Manuel Barroso will be bound by EU rules of professional secrecy at his controversial new job for US investment bank Goldman Sachs. The bank has hired Barroso, who headed the executive of the 28-nation European Union from 2004 until 2014, as an advisor on the British public's June 23 vote to leave the EU, drawing fire from leftist politicians in Europe. "All former members of the (commission) will remain bound by the obligations of integrity, discretion and professional secrecy" by EU law, Commission spokesman Margaritis Schinas told a press conference in Brussels. He cited EU laws under which former commission members can lose their rights to pensions or benefits if they fail to respect strict conflict-of-interest rules even after leaving their jobs. Barroso did not have to inform his successor Jean-Claude Juncker about the job because he had been through an 18-month "cooling off" period since leaving the EU and it was safe to assume he no longer had access to privileged information or influence, Schinas said. "President Juncker was informed after the decision was announced. There was no formal obligation for notification," Schinas said, adding that it was via phone call from Barroso. "But once the transition is made from the public to the private sector there are very precise articles of the treaty which must be respected," he added. "And we have a duty as a commission to ensure these articles are respected," said Schinas. Burberry appointed Celine chairman Marco Gobbetti as its next chief executive on Monday, replacing Christopher Bailey who will become the British luxury fashion group's new president. Gobbetti, currently the chairman and chief executive of French luxury brand Celine, will join the board in 2017 "as soon as he is contractually able to do so", Burberry said in a statement. Burberry announced a fall in annual net profits in May, triggering a three-year programme of cost savings totalling at least 100 million ($130 million, 117 million euros). "Marco brings incredible experience and skills in luxury and retail with him that will be invaluable to us," said Bailey, who has been chief executive since 2014 and chief creative officer for six years. When Gobbetti comes in, Bailey will take on the new role of president as well as chief creative officer, "overseeing all elements of brand and design" and working with Gobbetti on "company strategy and culture", Burberry said. Burberry chairman John Peace said: "I am delighted that Marco will be joining us to work alongside Christopher in his new role as we embark upon the next chapter in our 160-year-old success story." Burberry shares rose 4.2 percent to 1.211 pence on Monday. Gobbetti's base pay will be 1.1 million per year, with an annual bonus opportunity of up to 200 percent of salary. He may also receive up to 325 percent of salary in shares. The US attorney general quashed staff proposals to prosecute banking giant HSBC over money laundering in 2012 because of worries about the global financial system, a congressional report said Monday. While the US did eventually fine the British bank $1.92 billion for intentionally breaking US sanctions in doing business with Iran, Libya, Sudan, Cuba and Myanmar, it could have prosecuted HSBC criminally for "blatant" violations of US laws, the report said. Entitled "Too Big to Jail", the report from the House of Representatives Financial Services Committee alleges that the London-based banking giant, ranked seventh in the world by Forbes, got off easily from the Department of Justice under then-attorney general Eric Holder. The bank had been accused of allowing notorious drug traffickers and officials and organizations of regimes blacklisted by the US government to launder money through it. Holder overruled an internal Department of Justice recommendation to prosecute the bank and bank officials "because of DOJ leadership's concern that prosecuting the bank would have serious adverse consequences on the financial system," the report said. Instead, the bank negotiated a settlement which included a five-year "deferred prosecution agreement" (DPA) that required it to pay a $1.92 billion fine and undertake organizational reforms that would ensure it does not repeat the offenses. The shift to a deferred prosecution deal, the report said, came after pressure from Britain's finance minister, George Osborne, who warned in a September 2012 letter that prosecuting such a large bank could pose "very serious implications for financial and economic stability, particularly in Europe and Asia." The report, mainly critical of the US justice and treasury departments in the case, also said that HSBC had been able to negotiate the agreement to soften its impact on the bank and bank management. "Significantly for HSBC and any of its executives or employees who may have, as internal Treasury records appear to show, knowingly and wilfully processed transactions during this time period with proliferators (of weapons of mass destruction), DOJ's final DPA appears to shield from prosecution both the bank and the individuals responsible for these transactions," the report said. In reaction, Justice Department spokesman Peter Carr said the department "is committed to aggressively investigating allegations of wrongdoing at financial institutions" including both the companies and individuals. However, he added, "prosecutors take into account what potential substantial collateral consequences might result from a prosecution. This includes whether the prosecution may have substantial adverse consequences for innocent third parties, such as employees, customers, investors, pension holders and the public." Amazon Prime Day primer: what you need to know to about the July 12 shopping event Prime Day: no, its not the annual celebration of prime numbers. Nor is it the anniversary of Optimus Prime and his fellow transformers awakening on Earth in 1984. Its the second-annual online shopping bonanza offered globally by Amazon on July 12. The retail giant is promising subscribers of its Prime membership service 100,000 deals worldwide, across nearly all of its departments and categories, according to Amazon, and new savings opportunities as often as every hour throughout the day. But is it worth it for Canadian consumers to purchase a Prime membership, or sign up for a free 30-day trial, so they cash in on these deals? According to Kyle Prevost, co-founder of the finance blog Young and Thrifty, that depends on several factors, including their individual financial situation and spending habits. In Canada, for an annual fee of $79, Prime members get early access to so-called lightning deals which are short-term promotions that expire after a period of time or when all the available discounts have been claimed unlimited photo storage, free two-day shipping, discounted one-day delivery for as low as $3.99 per item and same-day shipping in major cities such as Toronto and Vancouver for a flat-fee of $6.99 per order. In Prevosts case, he said it was definitely worth it to have a membership when he was a student so he could access the aforementioned discounted shipping rates, but now that hes teaching high school business classes its more break-even. Canadians missing out? However, it is important to note that, as Prevost says, the benefits offered to Amazon Prime members in Canada pale in comparison to those available to our neighbours to the south. In addition to the features that are available to Canadian subscribers, U.S. Amazon Prime members get free same-day delivery on more than a million products, free two-hour shipping and one-hour shipping for $7.99 through Prime Now in more than 25 cities, access to more than 800,000 free eBooks, plus access to an expansive archive of online video and music streaming offerings. Story continues The main thing that Canadians miss out on is the Amazon video and TV library, which is a pretty huge deal, Prevost told Yahoo Finance Canada in an email. Basically, in the U.S. a lot of people just use Amazons streaming service instead of Netflix if they are a Prime member. Also, the American Amazon music-streaming platform offers a ton of value to musicophiles." Amazon Primes video streaming service has gone to bat with major players such as Netflix and YouTube, and has generated the third most traffic out of any comparable service, according to the Global Internet Phenomena Report released last month. It has also produced critically acclaimed content such as Transparent and The Man in the High Castle. For many Canadians, even the basics of a Prime membership aren't accessible, as one- and two-day shipping is not available to many rural areas. Instead, Amazon offers these customers free standard shipping, but often the difference between that and free Super-Saver shipping is only a few days, according to a post on Young and Thrifty. Despite its drawbacks, Prevost says Prime membership in Canada is still a potential boon for people who treasure not having to make in-person purchases and shop frequently, as well as for its free photo storage, which he says is cheaper than its competitor Dropbox. Smart shopping But for Canadians who already have memberships, what does Prime Day, which Amazon considers bigger than Black Friday, really offer? Amazon has promised that it will have three times as many laptop units up for grabs compared to Black Friday and Cyber Monday combined. In an email to Yahoo Finance Canada, Amazon said the following promotions will be available to Prime members in Canada. $70 off the Kindle Voyage, which is currently offered at $299.99 The Kindle Paperwhite will be on sale for $99.99, down from $139.99 Up to 70 per cent off on select headphones from Sennheiser and other brands Up to 55 per cent off select Hasbro toys and games Up to 50 per cent off select Puma clothing, shoes and bags More than 45 per cent off the Seagate Backup Plus 6TB Desktop External Hard Drive 35 per cent off select AmazonBasics products More than 35 per cent off a GoPro HERO Session Camera bundle 25 per cent or more off select VIZIO Smart TVs Earlier this week, the online retailer also offered a sneak peek of a few other Prime Day deals, which included: 60 per cent off Nygard slims leggings iRobot Roomba 880 vacuum cleaning robots for less than $700 15 per cent off CanaKit Raspberry Pi 3 computer starter kit 20 per cent off Maritime Naturals vitamin c serum 20 per cent of the Segway miniPRO Meanwhile, U.S. users will get perks such as members who place their first-ever Prime order will receive $10 off and an additional $10 coupon later in the month, But Prevost recommends that best way for Canadian shoppers to take advantage of Prime Day is to remember that an item is only a deal if you actually want to buy it. Dont get sucked into purchasing something just because it says sale on it, he said. Buying something youll never use at a discounted price still isnt a very good way to spend money. However, he admits it is never too early to start thinking about gift ideas for the next special occasion. Amazons Garage Day Sale? Amazon is also doing its best to assure customers that its second kick at the can will be bigger and better. Last year, the company faced widespread criticism online that its deals fell short of expectations, even though it produced record sales. The two main critiques were that the offers were less-than exciting, with some noting that they ranged from household products to a huge barrel of lube, and that many products sold out in a matter of seconds. However, Amazon is assuring its customer that this year will be different. Building on the success of Prime Day last year, we are increasing the number of deals, and, at the same time, increasing the volume of inventory behind those deals, making this the biggest Amazon event ever, exclusively for Prime members, the company said in an email to Yahoo Finance Canada. The online retailer also says 10 times more sellers and small business will be involved this year compared to last. However, the company cautions the deals will likely still disappear quickly. Even with this massive selection, we know many of the Prime Day offers will sell out, so members should join Prime on or before July 12, Greg Greeley, vice-president of Amazon Prime, said in a press release. Two men were killed by bulls during bullfighting events in Spain on Saturday, according to multiple reports. One was professional bullfighter Victor Barrio, whose tragic death occurred in front of live spectators and television viewers during a competition in Teruel on Saturday afternoon, according to Reuters. The 29-year-old was killed when the bull's horn fatally pierced his chest, the BBC reported. Barrio started as an apprentice bullfighter at Madrid's Las Ventas in 2010, according to the Las Ventas website. His death marks the first Spanish bullfighter to die in a ring since 2000, according to Reuters. The BBC confirmed, saying the last matador to die in a bullfight in Spain was Jose "Yiyo" Cubero in 1985. Another Spanish man was killed during the famous running of the bulls in Pamplona at the age of 28. One bull's horn pierced his lung and heart while he was trying to come to another runner's aid. This man is the second person in two years to die during this specific event. In addition to the Spanish man who died, three Americans and one Canadian man were gored during the bull run, which spans several days. The four men are reportedly expected to survive their injuries. STEM NYU Tandon to Host K-12 Students, Teachers for STEMNow New York University's (NYU) Tandon School of Engineering will host its fourth annual STEMNow program beginning this week in an effort to inspire excitement about science, technology, engineering and math among K-12 students. Launching this Wednesday with a keynote speech from Carmen Farina, chancellor of schools for the New York City Department of Education, STEMNow will bring nearly 1,000 students and their teachers to Tandon's downtown Brooklyn classrooms and labs. "We know that STEM is the foundation of our future, and that's why New York City has invested in high-quality, hands-on STEM education for all students, including the Computer Science for All initiative and expanded STEM Summer in the City," said Farina in a prepared statement. "I'm so pleased to have NYU as a partner in this work to get students passionate about STEM, and on the path to becoming the next generation of scientists and engineers." Highlights of the event will include: Applied Research Innovations in Science and Engineering (ARISE), a free, seven-week program for students in grades 10 and 11from minority or low-income backgrounds who have had limited access to high-quality STEM education. ARISE will feature college-level lab research and coursework in a range of STEM fields and almost one-to-one mentoring by graduate students, post-doctoral fellows and faculty. The Creative Circuit Design Workshop is a one-week program for students in grades 11 and 12 that will explore the basic circuit blocks underlying nearly all of today's interactive devices. Creativity in Engineering, Science and Technology (CrEST) is designed to train students from community renewal schools in various STEM disciplines. Nearly 400 middle school students will attend CrEST workshops, which will feature CrEST lessons covered by teachers in 30 hours. GenCyber: Computer Science for Cybersecurity will aim to introduce high school girls "to role models, computer science, programming, virtuous hacking and digital forensics during intensive and supportive sessions designed to encourage them to pursue educational opportunities in cybersecurity," according to a news release. Science of Smart Cities will introduce middle school students to the engineering, science and technology behind making cities more livable, sustainable and safer. Tech Kids Unlimited, hosted by an organization of the same name, will introduce students aged 7-19 years with special needs to technology tools to help them succeed. The Architecture, Construction and Engineering (ACE) Mentor Program provides mentors for students interested in construction and design careers. This summer the NYU Office of Facilities and Construction Management will offer four paid internships. STEMNow will also offer professional development opportunities for teachers, including: Discovery Research (DR) for Teachers, in which "20 middle school science and math teachers will spend three weeks at NYU Tandon as part of a comprehensive year-round STEM professional development program, funded by a $2.5 million grant from the National Science Foundation DR K-12 program," according to a news release. "NYU will field a team of interdisciplinary experts in robotics, engineering, education, curriculum design, and assessment to make robotics central to and sustainable in the city's science and math classrooms. Math and science teachers return to their schools supported by NYU Tandon graduate students." In Research Experience for Teachers (RET) in Cybersecurity Tandon faculty will teach educators how to engage their students in digital forensics and cybersecurity. Cybersecurity for College Instructors RET will offer a similar program for teachers of college students. STEM Summer in the City 2016 will include training for 15 teachers. "This year's STEMNow will make an exciting mark on the landscape of STEM education in New York City and beyond," said Katepalli R. Sreenivasan, president and dean of engineering at NYU, in a prepared statement. "When a high school student is exposed to high-level research in a university lab or a passionate NYU Tandon student mentor, it opens up previously unimaginable possibilities. When teachers return to their classrooms with innovative ideas for engaging their students in STEM, it has a ripple effect on entire generations of future engineers and scientists. We're pleased to open NYU Tandon's doors so that others can be inspired by our stellar faculty and students, work in our labs and classrooms and immerse themselves in our culture of intellectual curiosity and technology in service to society." More information is available at engineering.nyu.edu/k12stem. By Adam Jourdan SHANGHAI (Reuters) - For student Wei Zexi, the lure of a miracle cure was hard to resist: he was dying from a rare cancer and a well-known Beijing hospital was offering treatment with an 80 percent chance of success and no side effects. It was, the hospital said, the ideal choice. There was a problem: The military-run hospital did not have regulatory approval to offer the immunotherapy course it sold to Wei at a steep fee. The treatment itself - while promising - is widely considered by global cancer specialists to be at the experimental stage. Wei died at the age of 21, and the outcry his case provoked has thrown a spotlight on hundreds of hospitals run by branches of China's armed forces. Reuters interviews with patients, doctors and lawyers show that military-run medical facilities across the country regularly provide and advertise treatments that are not approved by the Chinese Health Ministry. Among a sample of around two dozen of the hundreds of military hospitals around China, Reuters found roughly four-fifths offered some kind of immunotherapy on their websites. Some of them said they had used it to treat thousands of patients. The ready availability of unapproved treatments at major hospitals around China underlines serious regulatory blind spots in a healthcare system treating 1.4 billion people and which is the worlds second largest drugs market behind the United States. Military authorities have acknowledged fault at the Second Hospital of Beijing Armed Police Corps, where Wei was treated. They would not comment on practices at other facilities. The hospital itself did not respond to requests for comment. China's health ministry said that, while immunotherapy had great potential, there were still question marks around safety and effectiveness. It has never been approved for commercial clinical use in China, the ministry said in a statement to Reuters. Immunotherapy is classed as a category three treatment, meaning it is ethically problematic", "high risk" or "still in need of clinical verification". However, China's health ministry has little oversight over military hospitals because its jurisdiction largely concerns the civilian health system. The military facilities come under the control of the armed forces. Lawyers involved in the healthcare sector say the combination of military oversight and the frequent civilian use create gray areas about whether national laws apply and how they should be enforced. The health ministry would not comment on the wider issue of regulation of military hospitals. The defense ministry referred Reuters to a statement made at a regular news briefing in May in which it acknowledged the hospital in the Wei case had acted illegally. It said oversight of such hospitals would be improved, but did not say how. The Reuters review also showed that many of the hospitals surveyed offered patients stem cell therapy, a treatment which is only approved in China for clinical trials. The health ministry said in August last year research into stem cells to treat or prevent diseases was developing fast, but it was concerned some hospitals were violating government regulations to offer such treatments to boost profits. Shanghai-based Yuan Liming, a partner at law firm Jones Day, said there is another problem: military hospitals often allow third-parties to operate clinics within the hospital grounds. The health ministry told Reuters it was illegal for hospitals to sub-contract certain therapies to private clinics and that it would investigate any public hospitals doing so. "It clearly violates Chinese law, but it's common," said Yuan. BEST FACILITIES Some military hospitals are regarded, alongside university hospitals, as among the country's best medical facilities. They are overseen by military bodies such as the People's Armed Police, a paramilitary force that answers to the powerful Central Military Commission headed by President Xi Jinping. "Military hospitals, generally speaking, are not subject to administration and monitoring by the health ministry, but are subject to supervision by the Central Military Commission," said Yuan. There is no indication any of the military hospitals contacted by Reuters had special exemption to offer immunotherapy treatment. The Second Hospital of Beijing Armed Police Corps was not approved. Another hospital, the General Hospital of Shenyang Military, said on its website it treated more than 1,600 people with a number of immunotherapy treatments. No one at the hospital was willing to comment. Others, including the 302 Military Hospital of China in Beijing, the 101st People's Liberation Army in Wuxi, the Nanjing General Hospital and People's Liberation Army No. 202 Hospital said on their websites they had used immunotherapy treatment. Repeated calls to the Nanjing hospital and the No. 202 hospital went unanswered. The 302 Military hospital and the 101st PLA hospital said they had stopped offering the therapy and declined to comment further. Gong Xiaoming, a senior Beijing-based gynecologist and former physician at the prestigious Peking Union Medical College Hospital, said the main problem was the small private clinics used by military hospitals. Without tighter regulation the illegal provision of immunotherapy and other banned treatments would likely continue, he said. Such clinics, though separate businesses, often operate on a hospital's premises and under its license, putting them in another regulatory gray area, say lawyers and doctors. "It's like guerrilla warfare," said Gong. "Every few years they change location or change name and emerge once more." COST COMPLAINTS In Wei's case the hospital had contracted Shanghai-based private immunotherapy technology company Shanghai Claison. Claison was not available for comment and a guard who answered the phone said everyone had "gone on holiday". Other patients complain of being given pricey and unnecessary treatments by military hospitals. A receptionist at a steel trading company, who asked to be identified only by her family name Xu, went to the People's Liberation Army (PLA) 411 Hospital in Shanghai in 2014 seeking treatment for ovarian cysts, a common condition. The doctor suggested infra-red therapy and she underwent three days of treatment at 700 yuan ($105) per session, eventually spending a total of 8,000 yuan. Concerned with the ongoing treatment, she went to another doctor and was told she had only needed a small surgical procedure costing 500 yuan. That treatment was successful, she said. "Everyone trusts doctors," Xu, 25, told Reuters. "With this infrared therapy, they make you do it every day, and every day they charge you hundreds of yuan. It's all about making money. "The PLA 411 Hospital said it was not aware of the case and hadn't heard of any other patient complaints. SERIOUS PROBLEMS Before he died, Wei accused the Second Hospital of Beijing Armed Police Corps, and the search engine Baidu Inc that he had used to find it, of misleading advertising and disseminating false medical information. China's health ministry said an investigation after Wei's death revealed "serious problems" at the hospital. It was found to have been illegally working with a private healthcare partner, unlawfully advertising services and using unauthorized clinical technology, the ministry said. The hospital did not respond to repeated calls seeking comment. China's cyberspace regulator has since imposed limits on healthcare adverts carried by Baidu, which controls 80 percent of the Chinese search market, and the company's CEO has called on employees to put values before profit. Baidu, which has since cut its revenue forecast, has said it accepted the regulator's decision and it would implement the requirements placed on it following the investigation. As with other forms of immunotherapy the treatment given to Wei, known as "DC-CIK", uses the patient's own immune system to fight disease. Archived articles and posts on the official website of the hospital that treated Wei, currently blocked, describe the treatment as well-proven. One, dated Aug. 12, 2013, said the success rate was more than 80 percent. In another article, dated Sept. 26, 2015, it wrote that immunotherapy treatment had saved a late-stage cancer patient who had been given six months to live. Another patient with kidney cancer was completely cured. Doctors questioned by Reuters, however, said the claims made by Wei's hospital overstated the potential effects. "Response rates to DC-CIK which are not approved - and in fact to all current immunotherapy - are modest," said Andrew Furness, an immunotherapy expert at University College London. "Patients coming towards the end of their life or having exhausted all treatment options should not be given false hope," he said. ($1 = 6.6881 Chinese yuan) (Reporting by Adam Jourdan; Additional reporting by SHANGHAI newsroom and BEIJING newsroom; Editing by Alex Richardson and Martin Howell) BOSTON, July 11, 2016 (GLOBE NEWSWIRE) -- Winthrop Realty Trust (Winthrop) (NYSE:FUR), announced that it will release its second quarter 2016 results on Thursday, July 28, 2016 prior to the market open. Management will host a conference call to discuss the Companys results at 12:00 PM Eastern Time. Interested parties may participate in this conference call by accessing the following: Please access the website or call the conference center at least fifteen minutes prior to the start of the call to download and install any necessary computer audio software and/or register for the call. A replay will be available after the call through midnight Eastern Time on August 28, 2016 by accessing: Webcast: http://www.winthropreit.com Telephone: (877) 481-4010; conference ID: 10052 Winthrop, headquartered in Boston, Massachusetts, is a NYSE-listed real estate investment trust (REIT). Winthrops shareholders adopted a plan of liquidation pursuant to which Winthrop is liquidating and winding down and, in connection therewith, is seeking to sell its assets in an orderly fashion to maximize shareholder value. For more information, please visit our website at www.winthropreit.com. MENLO PARK, Calif., July 11, 2016 (GLOBE NEWSWIRE) -- STATEMENT REGARDING THE FILING OF A DRAFT INFORMATION MEMORANDUM RELATING TO THE PUBLIC PURCHASE OFFER (OFFRE PUBLIQUE D'ACHAT) for the shares and the redeemable warrants of Cegid Group filed by Claudius France presented by Natixis Price of the Offer *: 61.00 euros per Cegid Group share (ex-dividend) 44.25 euros per Cegid Group redeemable warrant If, following the Offer, the minority shareholders do not hold more than 5% of the share capital or voting rights of Cegid Group, the Offeror will request the implementation of a squeeze-out in accordance with article 237-14 of the AMF's General Regulation and the price paid shall be increased by 1.25 euros to 62.25 per share or 45.50 per redeemable warrant as described below Duration of the Offer : 30 trading days * taking into account the 1.25 euros per share dividend paid on May 13, 2016 . This statement has been established by Claudius France SAS and released pursuant to the terms of article 231-16 of the AMF General Regulations (l' AMF ). The draft mandatory tender offer, the draft information memorandum and the draft response memorandum are subject to review by the AMF. Copies of the draft information memorandum are available on the websites of the AMF (www.amf-france.org) and the Company ( www.cegid.com ) and may be obtained free of charge upon request to: Natixis 47, quai d'Austerlitz 75013 Paris France I. PRESENTATION OF THE OFFER Pursuant to Title III of Book II and, more specifically, 232-1 et seq. and articles 234-2 of the AMF's General Regulation, Claudius France, a societe par actions simplifiee organized under the laws of France, having its registered office at 33, rue de Naples, 75008, Paris, registered with the Paris Register of Commerce and Companies (RCS Paris) under number 821 096 039 (the " Offeror ") which is indirectly wholly-owned by Claudius Luxco S.a. r.l., a limited liability company organized under the laws of Luxembourg, having its registered office at 61 rue de Rollingergrund, L-2440 Luxembourg, registered with the Luxembourg Register of Commerce and Companies (RCS Luxembourg) (" Luxco "), has irrevocably committed to all the shareholders and holders of redeemable warrants of Cegid Group, a limited liability company (societe anonyme) organized under the laws of France, having its registered office at 52 Quai Paul Sedallian, 69009 Lyon registered with the Lyon Register of Commerce and Companies (RCS Lyon) under number 327 888 111 (the " Company "), whose shares are traded on Euronext Paris (ISIN FR0000124703 - ticker symbol CGD) to acquire, all of their Cegid Group shares at the price of 61.00 euros per share (ex dividend) and all of their redeemable warrants traded on Euronext Paris (under the respective ISIN numbers FR0010928093 and FR0010928119) at a price of 44.25 euros per redeemable warrant, with both shares and redeemable warrants to be paid exclusively in cash, on the conditions described in section 1.1.2 of the draft information memorandum (the " Offer "). The Offeror is irrevocably undertaking to acquire (i) all the shares of the Company that are not already (directly or indirectly) held by the Offeror, alone or in concert, representing, to the knowledge of the Offeror, a maximum of 5,654,839 shares as at July 11, 2016 (excluding treasury shares but including the 29,498 shares underlying the redeemable warrants in the case all redeemable warrant holders decide to convert their redeemable warrants into shares), at a price of 61.00 euros per share (ex-dividend, following payment of 1.25 euros dividend per share on May 13, 2016) and (ii) all the redeemable warrants issued by the Company, representing to the knowledge of the Offeror, a maximum of 29,498 redeemable warrants, at a price of 44.25 euros per redeemable warrant, with both shares and redeemable warrants to be paid exclusively in cash. If, following the Offer (including, as the case may be, the Reopened Offer, as defined in section 2.6 of the draft information memorandum), the minority shareholders do not hold more than 5% of the share capital or voting rights of the Company, the Offeror will request the implementation of a squeeze-out in accordance with article 237-14 of the AMF's General Regulation and the price to be paid by the Offeror for each share and each redeemable warrant tendered into the Offer shall be increased by 1.25 euros, thus resulting in a price per share being equal, in total, to 62.25 euros and a price per redeemable warrant being equal, in total, to 45.50 euros. Such increased price will be paid to all holders of shares and redeemable warrants who have tendered to the Offer their shares and/or redeemable warrants. The Offer shall be open for a period of 30 trading days. The Offer is a mandatory tender offer filed in accordance with article 234-2 of the AMF's General Regulations, that follows the acquisition by Claudius Finance S.a r.l., a private limited liability company (societe a responsabilite limitee) organized under the laws of Luxembourg, having its registered office at 61 rue de Rollingergrund, L-2440 Luxembourg, registered with the Luxembourg Register of Commerce and Companies (RCS Luxembourg) under number B 205038 (" Claudius Finance "), the sole shareholder of the Offeror (in place of which the Offeror has been substituted for the purpose of filing the present Offer), on July 8, 2016, by way of off-market block trades of (i) 766,037 shares held by Groupama, (ii) 1,716,494 shares held by Groupama Gan Vie and (iii) 987,625 shares held by ICMI, i.e. a total of 3,470,156 shares (the " Block Acquisition "), representing in the aggregate approximately 37.6% of the share capital of the Company. The Offer targets all the shares and redeemable warrants issued by the Company not directly or indirectly held by the Offeror or its affiliates as of the date hereof (except treasury shares other than those underlying the redeemable warrants). The Offer will be implemented according to the normal procedure in accordance with the provisions of articles 232-1 et seq. of the AMF's General Regulation. The Offer is subject to an Acceptance Threshold pursuant to article 231-9 I of the AMF's General Regulation (see below). II. RATIONALE OF THE OFFER Background for the transaction On April 18, 2016, Claudius Finance entered into a share purchase agreement (as amended, the " Share Purchase Agreement " or the " SPA ") with Groupama SA, Groupama Gan Vie and ICMI, the holding company of Mr. Jean-Michel Aulas, chairman of the Company's board of directors (the " Sellers "). Pursuant to the SPA in relation to the Block Acquisition, Claudius Finance agreed to acquire from the Sellers 3,470,156 shares of the Company at a price of 62.25 euros per share (dividend attached), which equates to 61.00 euros per share ex-dividend (paid on 13th May 2016)[1]. On April 18, 2016: Eximium undertook towards Claudius Finance to tender all the 465,640 shares of the Company it holds to the Offer; Mr Patrick Bertrand undertook towards Claudius Finance to tender all the 78,263 shares of the Company he holds to the Offer. On April 20, 2016: CMJ Holdings undertook towards Claudius Finance to tender all the 18,000 shares of the Company it holds to the Offer; Borelly Busines Inc undertook towards Claudius Finance to tender all the 78,912 shares of the Company it holds to the Offer. On April 25, 2016, IBIM2 Limited undertook towards Claudius Finance to tender all the 75,304 shares of the Company it holds to the Offer. On May 9, 2016, the shareholders' meeting of the Company approved a dividend of 1.25 euros per share which was paid on May 13, 2016. The Company initiated the employee information and consultation process with the Company works council immediately following the announcement of the signing of the Share Purchase Agreement. On June 10, 2016, the Company works council rendered its opinion of the contemplated Offer, acknowledging inter alia, that the Company's works council intends to continue its trusted relationship and high-level dialogue with the new shareholders. The European Commission and the Federal Antimonopoly Service of the Russian Federation, two competition authorities whose approvals were required in relation to the Block Transaction and the Offer, have granted their clearances respectively on June 30, 2016 and on June 21, 2016. On July 7, 2016, the Board of Directors of the Company, in view of the opinion of the Company's works council, the fairness opinion of the independent expert confirming that the price offered by the Offeror is fair to the shareholders and holders of redeemable warrants, including in the context of a squeeze-out, considered that the Offer is in the best interests of the Company, its shareholders, holders of redeemable warrants and its employees, and recommended shareholders and holders of the redeemable warrants of the Company tender their shares and/or redeemable warrants to the Offer. The Board of Directors decided that the Company will not tender its treasury shares into the Offer, in order to allow the exercise of the outstanding redeemable warrants which will not be tendered into the Offer. On July 8, 2016, Claudius Finance and the Sellers agreed that all conditions pertaining to governmental and antitrust approvals were satisfied and that therefore, all conditions precedent under the Share Purchase Agreement were satisfied. The settlement-delivery of the Block Acquisition governed by the Share Purchase Agreement was completed off-market on July 8, 2016, at a price equal to 61.00 euros per share (ex-dividend). As a result of the Block Acquisition, Claudius Finance became the owner of 3,470,156 shares of the Company, representing c. 37.6% of the share capital of the Company. Rationale for the Block Acquisition and the subsequent Offer The Company is a leading French enterprise management software and cloud services provider, offering a suite of on-premise and Software-as-a-Service ("SaaS") solutions to more than 135,000 customers sites and 430,000 users in France and globally. Having successfully started the transition to providing SaaS, the Company's goal is to accelerate this transition towards SaaS. The Company also has the opportunity to diversify what is today mostly a domestic business by accelerating its international expansion, leveraging in particular its retail vertical and its recently acquired Technomedia talent management offering. Offeror's intentions for the next twelve months Continuity of Company's activities - Industrial organisation In collaboration with Jean-Michel Aulas (Co-Founder and Chairman of the Company's Board of Directors), the Company's management and employees, the Offeror is committed to supporting the Company in its efforts to accelerate strategy implementation over the coming years, including by increasing its investments, in order to strengthen its position over the long term. As the Offeror's strategy relies on the continuation and development of the Company's current activities, the completion of the Offer should not particularly affect the Company's plans regarding the industrial organisation of the Company and its natural evolution. Intentions regarding employment and management The Offeror has had access only to limited information regarding the Company's employees. As a result, the Offeror cannot determine the scope of any employment actions that may be taken after completion of the Offer with any specificity at this stage. Nonetheless, the Offeror believes that a key element of the success of the Company is preserving and developing the talent and intellectual capital of the Company's employees. The Offeror will aim, to the extent possible, to retain key employees in order to pursue the successful strategy of the Company. Specifically, Mr. Patrick Bertrand is due to remain CEO of the Company for a term of up to two years as from April 18, 2016 (which may be renewed, as the case may be, upon mutual agreement). The Offeror does not intend to change the headquarters and decision-making centre of the Company being located in Lyon. Composition of the Board of the Directors of the Company Concomitantly with the completion of the Block Acquisition and in accordance with the Share Purchase Agreement, Mr. Philippe Delerive, Mr. Francis Thomine and Mrs. Marie Lemarie resigned from their duties as Directors of the Company on July 8, 2016. Such resignations occurred after the Board of Directors of the Company recommended the Offer as mentioned above. The Board of Directors, at the meeting held on July 8, 2016, co-opted three new Directors (Christian Lucas, Shahriar Tadjbakhsh and Simon Patterson) and appointed Behdad Alizadeh as observer of the Board of Directors of the Company, all of whom where designated by Claudius Finance in accordance with the SPA. The three Board members designated by Claudius Finance were also appointed as members of the Strategic Committee and Audit Committee. It is intended that Mr. Jean-Michel Aulas will remain member and Chairman of the Board of Directors of the Company for a term of up to two years as from April 18, 2016 (which may be renewed, as the case may be, upon mutual agreement). In the event that the Offer is successful, it is intended that the Board of Directors of the Company be comprised of (i) a majority in number of Directors nominated for appointment by the SL Investors, (ii) at least two directors nominated for appointment by AltaOne SCA, (iii) a number of executive directors deemed appropriate and (iv) a number of independent directors (if any) deemed appropriate, depending on the percentage of ownership held by the Offeror and Claudius Finance together. It is also intended to form a Nomination and Remuneration Committee composed of directors appointed by Claudius Finance that will be responsible for, among other things, recommending appropriate candidates to nominate for appointment to the Company's board of directors and making recommendations on remuneration policy. Synergies and economic gains The Offeror expects the transaction to be a standalone investment and accordingly does not expect to realize any synergies. Mandatory squeeze-out and removal from listing According to articles 237-14 to 237-19 of the AMF's General Regulation, the Offeror will request to the AMF within 3 months following closing of the Offer the implementation of a mandatory squeeze-out process through the transfer of the Company shares and redeemable warrants that it does not own, alone or in concert, and that have not been presented to the Offer (provided that they do not represent more than 5% of the capital or the voting rights of the Company) at the price of 62.25 euros per share (ex-dividend) and 45.50 euros per redeemable warrant. The Offeror also reserves the right, in the event that it (alone or in concert) comes to hold, directly or indirectly, at least 95% of the voting rights of the Company and where a mandatory squeeze-out was not implemented under the conditions referred to above, to file with the AMF a public buy-out offer followed by a mandatory squeeze-out of the shares and redeemable warrants not directly or indirectly held by it (alone or in concert) under the conditions of articles 236-1 et seq. and 237-14 et seq. of the AMF's General Regulation. In the last case, the mandatory squeeze-out shall be subject to the AMF's clearance in light notably of the evaluation report to be provided by the Offeror and of the report of an independent expert to be appointed in accordance with the provisions of article 261-1 II of the AMF's General Regulation. In the event where the Offeror would not be able to implement a squeeze-out following the Offer, the Offeror reserves the right to request the delisting of the Company shares from Euronext Paris in accordance with Euronext market regulations and subject to Euronext's approval. Dividend distribution policy No decision has been made with regards to the future distribution policy of the Company. However, the Offeror reserves the right to modify the Company's distribution policy in the future, and therefore there can be no assurance that any dividends will be paid. Any future distribution policy will be approved by the Board of Directors of the Company and will be implemented in accordance with the applicable law and the Company's articles of association. Interest of the Offer for the Offeror, the Company and their shareholders Silver Lake and AltaOne note that Cegid Group has a long track record as an enterprise software provider, but is in the process of a business transition and is also looking to diversify what is today mostly a domestic business. Consequently, the Company is at a critical juncture, where Silver Lake and AltaOne believe it will need to accelerate investments to maintain and enhance its position. Silver Lake and AltaOne are interested in investing in the foundations of the business for the long term. The Offeror proposes to the shareholders of the Company who tender their shares to the Offer immediate liquidity of all of their shareholding at the same price as that offered to the Sellers in the SPA, i.e. 62.25 euros (dividend attached) which equates to 61.00 euros per share (ex-dividend, following payment of 1.25 euros dividend per share on May 13, 2016). In addition, if following the Offer, the minority shareholders do not hold more than 5% of the share capital or voting rights, the Offeror will request the implementation of a squeeze-out in accordance with article 237-14 of the AMF's General Regulation and the price paid shall be increased by 1.25 euros to 62.25 per share or 45.50 per redeemable warrant. The dividend attached offer price of 62.25 euros announced on April 18, 2016 represents a 40% premium over the volume-weighted average share price during the 12 months ended April 15, 2016 (the last trading day prior to announcement of the Offer) and a 106% premium over the share price as of January 2, 2015. The dividend attached increased offer price of 63.50 euros announced on April 18, 2016, in the event that the Offeror implements a squeeze-out as described in section 1.1.2 of the draft information memorandum, represents a 43% premium over the volume-weighted average share price during the 12 months ended April 15, 2016 (the last trading day prior to announcement of the Offer) and a 110% premium over the share price as of January 2, 2015. The assessment of the price offered to the shareholders of the Company is further described at section 3 of the draft information memorandum. Agreements entered into by the Offeror with certain shareholders of the Company or that may have a significant impact on the Offer Subject to the provisions of the SPA in respect of the Block Acquisition and the various agreements described in section 1.4 of the draft information memorandum, the Offeror is not aware of any other agreement and is not a party to any other agreement in connection with the Offer or that potentially could have a significant impact on the assessment of the Offer or its outcome. III. TERMS AND CONDITIONS FOR THE OFFER Pursuant to article 231-13 of the AMF's General Regulation, a draft information memorandum has been filed with the AMF on July 11, 2016 by Natixis acting on behalf of the Offeror as presenting bank. The AMF will publish a filing notice in relation to the Offer on its website (www.amf-france.org). Pursuant to the provisions of article 231-6 of the AMF's General Regulation, and subject to the terms and conditions of the Offer described in the draft information memorandum, the Offeror, irrevocably undertakes to acquire from the shareholders of the Company, during a 30 trading days period (i) all the shares of the Company that are tendered to the Offer, at the price of 61.00 euros per share (ex-dividend, following payment of 1.25 euros dividend per share on May 13, 2016) and (ii) all the redeemable warrants of the Company that are tendered to the Offer, at a price of 44.25 euros per redeemable warrant. If, immediately following the Offer, and the minority shareholders do not hold more than 5% of the share capital or voting rights, the Offer will request the implementation of a squeeze-out in accordance with article 237-14 of the AMF's General Regulation, and the price to be paid by the Offeror in consideration for the shares tendered to the Offer shall be equal to 62.25 euros per share, ex-dividend (and to 45.50 euros per redeemable warrant). If the Offeror implements a squeeze-out, the extra 1.25 euros per share, which will be due by the Offeror to (i) the shareholders or warrant holders who have tendered their shares or their redeemable warrants to the Offer and to (ii) the shareholders or redeemable warrant holders who have transferred their shares or their redeemable warrants to the Offeror as a result of such squeeze-out, will be paid on the day of implementation of the squeeze-out. The Offeror will inform the shareholders who have tendered their shares or redeemable warrants to the Offer and the shareholders who have transferred their shares or redeemable warrants to the Offeror as a result of the squeeze-out of the payment date of the extra 1.25 euros and, to that effect, will publish a financial notice (the "Financial Notice") within two (2) trading days following the release by the AMF of the notice confirming the implementation of the squeeze-out, in accordance with article 237-14 of the AMF's General Regulation. Euronext will act as centralizing agent for the payment of the extra 1.25 euros in the event the Offeror, immediately following the Offer, implements a squeeze-out in accordance with article 237-14 of the AMF's General Regulation, and will, as the case may be, proceed with the payment of the extra 1.25 euros on behalf of the Offeror as described below. Euronext will grant to the financial intermediaries, on behalf of their clients having tendered their shares to the Offer, simultaneously with the payment for the securities tendered into the Offer, a right to receive the extra 1.25 euros (the "Right to the Additional Price"), it being specified that each share or warrant tendered to the Offer will receive one Right to the Additional Price. These Rights to the Additional Price will be admitted to the operations on Euroclear France and will neither be transferrable nor admitted to trading. In the event that the Offeror implements a squeeze-out in accordance with article 237-14 of the AMF's General Regulation, then Euronext will pay the extra 1.25 euros to the holders of Rights to the Additional Price on the day of implementation of the squeeze-out. If pursuant to the Offer, the conditions for the squeeze-out in accordance with article 237-14 of the AMF's General Regulation are not met, the Right to the Additional Price will lapse automatically. Within the two (2) trading days following the release of the Financial Notice, Euronext will inform financial intermediaries through a circular memorandum of the payment of the extra 1.25 euros as well as of the payment process of this additional price. Natixis, acting as presenting bank, guarantees the content and irrevocable nature of the commitments made by the Offeror in the Offer, in accordance with the provisions of article 231-13 of the AMF's General Regulation. The Offeror reserves the right to acquire shares of the Company on the market during the Offer period (including the Reopened Offer), within the limits of article 231-38 of the AMF's General Regulation. Number of securities that may be contributed to the Offer The Offer covers all of the shares and securities giving access to the share capital and voting rights of the Company, namely : all of the 9,233,057 ordinary shares issued as of the filing of the Offer, in exchange for the consideration of 61.00 euros per share (ex-dividend, following payment of 1.25 euros dividend per share on May 13, 2016), excluding the 3,470,156 shares acquired pursuant to the Block Acquisition and excluding 137,560 treasury shares (that the Board of Directors of the Company has decided not to tender to the Offer). In case some or all redeemable warrants holders decide to convert all their redeemable warrants into shares, the number of shares targeted by the Offer would increase by up to 29,498, and all the 29,498 outstanding redeemable warrants (bons d'acquisitions d'actions remboursables) in exchange for the consideration of 44.25 euros per redeemable warrant. Therefore, the Offer covers a total maximum of 5,654,839 shares of the Company (in case all redeemable warrant holders decide to convert their redeemable warrants into shares) and a maximum of 29,498 outstanding redeemable warrants (in case no redeemable warrants are converted). It is specified that as of December 31, 2015, the total number of performance shares is 86,698 and that those performance shares are subject to a holding period until July 25, 2016. As a consequence, and taking into account the fact that the opening date of the Offer will take place after the end of this holding period, the performance shares may therefore be tendered to the Offer. In addition, the abovementioned prices shall be increased by 1.25 euros per share or per redeemable warrant in case the Offeror implements a squeeze-out following completion of the Offer in accordance with article 237-14 of the AMF's General Regulation to be paid to each shareholder or holder of redeemable warrants having tendered their shares and/or redeemable warrants to the Offer. With the exception of the shares and redeemable warrants referred to above, to the best of the Offeror's knowledge, the Company has not issued any equity security or any other financial instrument providing a right, either immediately or in the future, to the share capital or voting rights of the Company. Condition of the Offer - Acceptance Threshold In accordance with article 231-9 of the AMF's General Regulation, the Offer is subject to the condition that the shares of the Company held by the Offeror, alone or in concert, represent at least 50% of the share capital or voting rights of the Company on the Offer closing date (the "Acceptance Threshold"). Considering the shares already acquired under the Block Acquisition together with the undertakings to tender to the Offer described in Section 1.4.1 of the draft information memorandum, the Offeror would need an additional c. 4.7%/3.2% (excluding/including treasury shares) of the share capital of the Company to be tendered to the Offer to meet the Acceptance Threshold, provided that such undertakings to tender to the Offer do not become void in the event where a competing offer is filed. The Offeror and the shareholders of the Company will not know whether the Acceptance Threshold has been reached until the preliminary results of the Offer are published, which will occur after the initial Offer closes. If the Acceptance Threshold is not achieved, the Offer will not go forward, and the shares and the redeemable warrants tendered for the Offer will be returned to their owners, in principle within two trading days of notice following publication of the failure of the Offer, without any interest or compensation of any kind being due to the shareholders and holders of redeemable warrants. In such case, in accordance with article L. 433-1-2 II of the French Monetary and Financial Code, Claudius Finance would be deprived, at any general meeting of the shareholders until the date Claudius Finance owns the number of shares corresponding to the Acceptance Threshold, from voting shares in excess of 30% of the share capital or the voting rights. Indicative timetable of the Offer July 11, 2016 Filing of the contemplated Offer with the AMF July 11, 2016 Filing by the Company of the draft information memorandum in response July 26, 2016 Clearance decision (Declaration de conformite) August 1, 2016 Opening of the Offer September 9, 2016 Closing of the Offer September 22, 2016 Publication of notice announcing the final results of the initial Offer September 23, 2016 Re-opening of the Offer (in the event the Offer is successful) September 28, 2016 Settlement and delivery of the initial Offer October 6, 2016 Closing of the Reopened Offer October 19, 2016 Publication of notice announcing the final results of the Reopened Offer October 25, 2016 Settlement and delivery of the Reopened Offer From November 2, 2016 Date of implementation of a mandatory squeeze-out (if requirements are met) IV. Offer's costs and financing conditions Expenses incurred by the Offeror in the context the Offer (including fees of external financial, legal and accounting advisers, communication and publication costs as well as costs associated with the Block Acquisition) are estimated at approximately eleven (11) million euros (excluding tax). In the event that all of the shares (including the shares underlying the redeemable warrants of the Company) are tendered to the Offer, the total cash consideration to be paid by the Offeror (excluding fees and related expenses) to the shareholders (including holders of redeemable warrants who are assumed to have converted to shares) that would have tendered their shares and/or redeemable warrants to the Offer would be approximately 352 million euros. The amounts to be paid and related expenses that are expected to be borne by the Offeror in connection with the consummation of the Offer will be financed through equity and debt financing that has been committed. V. Restrictions concerning the Offer abroad Overseas Shareholders The Offer has not been subject to any registration or approval outside of France and no action will be taken to register or approve it abroad. This draft information memorandum and the Offeror's other documents do not constitute an offer to sell or purchase transferable securities or a solicitation of such an offer in any country in which an offer or solicitation is illegal or to any person to whom such an offer or solicitation could not be duly made. Holders of the shares of the Company outside of France may not participate in the Offer unless the law and regulation to which they are subject permits them to do so without any further formality to be undertaken nor disclosure to be made on the part of the Offeror. Participation in the Offer and the distribution of this draft information memorandum may be subject to such restrictions applicable in accordance with laws in effect in relevant jurisdictions outside France. The Offer is not made to persons subject to such restrictions, whether directly or indirectly, and is not subject to acceptance concerning orders from any country in which the Offer is subject to restrictions. Accordingly, the persons in possession of this draft information memorandum are required to obtain information on any applicable local restrictions and to comply therewith. Failure to comply with these restrictions could constitute a violation of applicable securities and/or stock market laws and regulations in one of these countries. The Offeror accepts no liability in case of infringement by any person of the local rules and restrictions that are applicable to it. Notice to U.S. Shareholders The Offer will be made in the U.S. pursuant to Section 14(e) and 14E under the U.S. Securities Exchange Act of 1934 (as amended), as a "Tier-I" tender offer (pursuant to Rule 14d-1(c) under the U.S. Securities Exchange Act of 1934 (as amended)), and otherwise in accordance with the requirements of French law. Accordingly, the Offer will be subject to disclosure and other procedural requirements, including with respect to (i) the offer timetable, (ii) extensions of the Offer period and (iii) timing of payments that are different from those applicable under U.S. tender offer procedures and laws. It may be difficult for U.S. holders of shares to enforce their rights and any claims they may have arising under the U.S. federal securities laws in connection with the Offer, since the Offeror and the Company are located in countries other than the U.S., and some or all of their officers and directors may be residents of countries other than the U.S. U.S. holders of shares in the Company may not be able to sue the Offeror, the Company or their respective officers or directors in a non-U.S. court for violations of U.S. securities laws. Further, it may be difficult to compel the Offeror, the Company or their respective affiliates to subject themselves to the jurisdiction or judgment of a U.S. court. The receipt of cash pursuant to the Offer by a U.S. shareholder or holder of redeemable warrants may be a taxable transaction for U.S. federal income tax purposes and under applicable U.S. state and local, as well as foreign and other, tax laws. The tax consequences of the Offer will depend on the individual situation of each shareholder or holder of redeemable warrants. Each Company shareholder or warrant holder is urged to consult his independent professional adviser immediately regarding the tax consequences of accepting the Offer. Neither the U.S. Securities and Exchange Commission nor any U.S. state securities commission has approved or disapproved the Offer, or passed any comment upon the adequacy or completeness of this draft information memorandum. Any representation to the contrary is a criminal offence in the United States. Nothing in this draft information memorandum shall be deemed an acknowledgement that any filing with the U.S. Securities and Exchange Commission is required or may ever occur in connection with the Offer. The Offeror and its affiliates may purchase or arrange to purchase Company securities otherwise than pursuant to the Offer, such as in open market or privately negotiated purchases. To the extent that information about such purchases is required to be publicly disclosed in France in accordance with applicable regulatory requirements, such information will be available on the website of the AMF ( www.amf-france.org ) and this information will, as applicable, also be publicly disclosed in the United States. VI. ASSESSMENT OF THE PRICE OF THE OFFER Assessment of the price offered for each share The Offer price has been assessed based on a multi-criteria analysis and represents a premium to implied prices by all methodologies, as summarized in the table below: * Different from the figures shown in the report issued by the independent expert given a correction was made to the presenting bank valuation report after the independent expert's report was issued. Assessment of the price offered for each redeemable warrant There are two categories of redeemable warrants ("A" warrants and "B" warrants). Implied price - A and B Warrants Implied premiums - A and B Warrants VII. AVAILABILITY OF THE DOCUMENTS RELATING TO THE OFFER The draft information memorandum drawn up by Claudius France is available to the public free of charge at the office of Natixis located at 47, quai d'Austerlitz, 75013 Paris, as well as on the websites of the AMF (www.amf-france.org) and Cegid Group (www.cegid.com). In accordance with article 231-28 of the AMF's General Regulation, other information concerning the Offeror (notably, legal, accounting and financial information) will be filed with the AMF and made available to the public at the latest by the day before the opening of the public offer to purchase. A press release will be disseminated, at the latest by the day before the opening of the public offer to inform the public of the manner in which these documents will be made available. [1] Pursuant to the Share Purchase Agreement, any dividend paid by the Company to each Seller in respect of the shares for which a record date occurs between April 18, 2016 and the completion of the Block Acquisition shall be deemed to be a reduction in the consideration for the Block Acquisition and such purchase price shall be reduced accordingly. CP Depot d'offre EN http://hugin.info/172238/R/2027603/753703.pdf HUG#2027603 As of August 26th, 2021 Yahoo India will no longer be publishing content. Your Yahoo Account Mail and Search experiences will not be affected in any way and will operate as usual. We thank you for your support and readership. For more information on Yahoo India, please visit the FAQ Gonna be handling some unanswered questions from the last chat. But first, I want to link to two short comedy bits. The first is this Louis C.K. routine, which I hadn't seen before and which is a complete triumph of the art of being funny by telling the literal, unvarnished, deeply self-deprecatory truth. The second takes some explanation. It's a Bill Hicks routine I had never seen. I had HEARD it many times. I had even written about it. But I had never SEEN it until I stumbled on a tribute video by John Cleese. Every time I had heard Hicks do the routine, I noticed something odd: The biggest laughs -- shocked, stunned laughter -- came when he wasn't saying anything. Clearly, he was DOING something. Now with this we can both see what he was doing, and MAN. (It's queued forward to the Christians-crosses bit, which is the one I'm writing about.) Okay, let's go. This article was originally on GET.com at: 7 Places You Can Only Go To With A Private Jet Before you disregard this and feel like it's completely out of your reach, it's not. Did you know that you don't actually have to be a millionaire or own a private jet to fly in one? I just found out that you can actually charter private jets (at a steep price of course). Then again, I might be the only person who was in the dark about this. How special would you feel going to place that can only be accessed by private jets? I know I'd be over the moon. But if talks of private jets and a luxury holiday is out of your league (much like it is out of mine), it's still fun to see where private jets can take you. On the other hand if you've been looking for a place to go to that literally takes luxury to a whole new level, we at GET.com have gathered these 7 places that can only be accessed via private jets. Surprise, surprise, one of them is in Asia. 7 Places You Can Only Go To With A Private Jet 1. Naladhu Island, Maldives Image source: naladhu.anantara.com We've all heard of the Maldives. Home to crystal-clear beaches where you can hand-feed manta rays and jump out of your villa's window straight into the ocean. But imagine all of that, and take it up a notch with bluer and clearer waters, and a sense of exclusivity that almost makes you feel like the island is yours. That is what it will be like when you're at Naladhu Island in the Maldives. 2. Song Saa Island, Cambodia Image source: songsaa.com Located in the Gulf of Thailand, most of this private island still remains undeveloped and untouched by man. Surrounded by white sand and a glistening sea of blue, you'll also get to experience the tropical rainforests and its luscious greens. If you feel up for it, go for a snorkel and check out the reefs that are still intact and unharmed. 3. Grenada Calivigny Island, Grenada Image source: calivigny-island.com Story continues Of course there's a luxurious beach somewhere in the nook of the Caribbean like an undiscovered gem that's just waiting to be discovered. This island, much like the rest of luxury islands, offers some of the finest sands and a view of both the Atlantic and Caribbean oceans. Known as one of the most exclusive vacation destinations in the world, it's no surprise that those with exquisite taste from all over the world will come to visit this private island for a getaway. 4. Buzios, Brazil Image source: buziosonline.com.br Discovered in the 60s and now known as one of Brazil's most upscale seaside resorts, the Mediterranean-influenced infrastructure can be seen clearly with its cobblestone streets. Made up of three settlements on the same peninsula, Buzios promises an experience you'll never forget with its fine restaurants and buzzing nightlife. 5. Andaz Peninsula Papagayo Resort, Costa Rica Image source: papagayo.andaz.hyatt.com Have you ever dreamed of going to Costa Rica? Well, now you know that you can get there via private jet. This resort is pretty much everything that you could ask for in a luxury beach destination. You can try out water activities like snorkeling, stand up paddling and kayaking. Or check out their art spaces and don't worry if you have to bring your kids along with you, there's a kids club and even baby-sitting services available to keep your kid busy. 6. Patagonia, Chile Image source: explora.com Chile is definitely a travel destination that's on my bucket list. Located in the middle of the Torres del Paine National Park, also known as the most southern tip of the world, your daily view from this spot will be breathtaking from every angle. Seeing lakes, mountains and glaciers will be a common sight when you're there. Sounds remote? You'll be surprised at the things you can do there. With over 50 different guided hikes and horseback riding activities, those are just some of the ways you can spend quality time with nature. 7. Four Seasons Resort And Residences Jackson Hole, Wyoming Image source: fourseasons.com Also breaking away from beach paradise islands, this little luxury nature destination is where you want to be if you'd like to visit the famous Yellowstone National Park. Your experience here will consist of helicopter rides and a bird's eye view of the park as well as chill out sessions in the hot springs or the outdoor whirlpools. If you're lucky you might even get to see some of the magnificent wildlife like stags and does in their natural habitat. More Travel Tips So, are you ready to pack up and get on a private jet? Even if you think it's never going to happen, at least you can always come back to this article if by some miracle you have a private jet at your disposal. Don't forget to use your credit card while you're away so you get to save while you spend. Don't believe me? Check out these 4 ways how a credit card helps you save money. If you don't have a credit card yet, here are 4 things you should consider before getting one. Here at GET.com we've sussed out the best credit cards for you whether you're a frequent traveller or a budget traveller. We also picked the 10 best credit cards in Singapore to make it easier for you to choose the most rewarding card for your own situation. What do you think? Share your comments with us below! GET.com Singapore is Singapore's lifestyle and personal finance website. We help you GET more for your money - food, travel, home loans, credit cards, shopping - everything! Like GET.com on Facebook and sign up to get the HOTTEST stories delivered to your inbox! For serious stuff, you can compare home loans, personal loans and credit cards at GET.com. Our free GETdeals App helps you get the best credit card discounts near you for dining, shopping, lifestyle and more. Download it today! Other Articles You May Like From GET.com (Bloomberg) -- Chinese stocks traded in Hong Kong rose the most in seven weeks, joining a global rally as data on U.S. jobs signaled the worlds biggest economy is on a stronger footing. The Hang Seng China Enterprises Index was up 2 percent at the close of trading, after sliding last week. The S&P 500 Index jumped 1.5 percent on Friday after a report showed a 287,000-worker increase in nonfarm payrolls in June, the most in eight months. On Chinas mainland, the Shanghai Composite Index pared gains to close 0.2 percent higher Monday. Chinas factory-gate deflation eased for the sixth straight month in June, adding to evidence that falling prices have turned a corner after more than four years of declines. Stocks received a boost also as the countrys pension funds, which have about 2 trillion yuan ($300 billion) to invest, prepared to start deploying cash in equities in the second half of the year. Market sentiment is improving in Hong Kong and China as investors find U.S. jobs data encouraging and expect further credit easing in China to counter the Brexit impact, said Linus Yip, a Hong Kong-based strategist at First Shanghai Securities Ltd. The Hang Seng China AH Premium index dropped 1.5 percent to the lowest level since early June, as A shares became less expensive relative to H shares. Consumer and energy companies led gains in Hong Kong, with Belle International Holdings Ltd., a retailer of womens shoes, jumping 5.1 percent. China Petroleum & Chemical Corp. climbed 3.2 percent, while China Shenhua Energy Co. rose 2.3 percent. The H share index closed at 8,703, while the Hong Kong benchmark climbed 1.5 percent to 20,880.50. The Shanghai Composite rose as much as 1.2 percent before closing at 2,994.92, just short of the 3,000 level it breached last week for the first time since April. The impact of Britains vote to leave the European Union is already showing on global financial markets and measures are needed to ensure stability in the international economy, Chinese Premier Li Keqiang said at the World Economic Forum in Tianjin on June 27. Story continues (An earlier version of this report corrected the historical reference for the onshore-offshore yuan price spread.) To contact the reporter on this story: Fox Hu in Hong Kong at fhu7@bloomberg.net. To contact the editors responsible for this story: Robin Ganguly at rganguly1@bloomberg.net, Philip Glamann 2016 Bloomberg L.P. A man wanted in Singapore for allegedly stealing more than $22,000 from a bank using nothing but a piece of paper has been arrested in Bangkok, Singaporean police said Monday. The suspect was arrested on Sunday, the police said in a statement, three days after authorities suspect him of robbing a Standard Chartered bank branch in the city-state using only a threatening note. "The Singapore authorities are currently engaging our counterparts in Thailand to see whether the suspect can be released into our custody," the statement said. The suspect strolled into the Singapore bank around lunchtime on July 7, handed the teller a note and walked off with Sg$30,000 ($22,000) in cash. "This is a robbery, I have a weapon, give me money, don't call police," the note said, according to a source close to the investigation. Thai police confirmed the suspect is a Canadian man who arrived in Thailand at 5.08pm on Thursday but stopped short of naming him, reported Singapore daily The Straits Times. He was arrested at noon on Sunday in a Bangkok hostel and is now being held in a detention centre, the newspaper said, quoting the head of Thailand's Interpol unit Major General Apichart Suriboonya. Police had earlier said that no weapon was used. Bank robberies are rare in Singapore, whose tough stance against crime and strict ban on private gun ownership have made it one of Asia's safest cities. Singapore and Thailand do not have an extradition treaty but share close diplomatic ties. The human gut is a complex and amazing system, and the more we learn about it, the more amazed we are. It turns out Virtual Charter Schools K12 and California Virtual Academies Settle with State Attorney General Harris announces $168.5 million settlement; K12 says its only $8.5 million. A for-profit company that operates online charter schools in California has reached a $168.5 million settlement with the states attorney general's office over allegations that it manipulated attendance records, engaged in false advertising and overstated the academic progress of students. Attorney General Kamala Harris announced Friday that Virginia-based K12 Inc. will pay $8.5 million to settle the states claims. The agreement is still subject to court approval. Harris said K12 also agreed to provide $160 million in debt relief to the nonprofit schools it manages which in California are known as the California Virtual Academies (CAVA). As part of the settlement, K12 will also adopt about 60 corrective actions, including undergoing independent reviews of its services for students with disabilities, providing teachers with sufficient information and training to prevent improper claiming of attendance dollars, ensuring the accuracy of all advertisements and changing policies and practices to prevent the kinds of conduct that led to the attorney generals investigation. "All children deserve, and are entitled under the law, to an equal education," Harris said in a prepared statement. "K12 and its schools misled parents and the state of California by claiming taxpayer dollars for questionable student attendance, misstating student success and parent satisfaction and loading nonprofit charities with debt." K12 said in a statement that it admitted no wrongdoing in the settlement and it had already planned to adopt many of the corrective actions required under the agreement. K12 also disputed the attorney generals description of the amount of the settlement, calling it "flat wrong." "Despite our full cooperation throughout the process, the Office of the Attorney General grossly mischaracterized the value of the settlement just as it did with regard to the issues it investigated," K12 CEO Stuart Udell said in a prepared statement. Udell said the debt relief, or credits, should be called "subsidies," not debts, and that the companys agreement to expunge them shouldnt be used by the attorney general to hike the size of the settlement. He also defended the credits, saying they had protected the schools against financial uncertainties, given the states funding environment. An investigation by the East Bay Times and the Bay Area News Group revealed that K12 reaps tens of millions of dollars annually in state funding while graduating fewer than half of its high school students. It also showed that children who spend as little as one minute per school day logged onto K12s software may have been counted as "present" in records used to calculate the amount of funding the schools get from the state. In its investigation, the attorney generals office alleged that K12 and the 14 CAVA schools it operates in California misled parents to induce them to enroll their children in K12-run schools by publishing misleading advertisements about students academic progress, parent satisfaction, class sizes, hidden costs, the quality of the materials provided to students and their graduates eligibility for admission into the University of California and California State University systems. CAVA and K12 are currently undergoing an audit by the state controllers office and the California Department of Education (CDE). The audit will continue despite the settlement with the attorney generals office, said Robert Oakes, assistant director of communications for the CDE. "These are on parallel but separate tracks," Oakes said. "The AGs (office) is doing their own thing. Its not connected. The audit stays underway." Approximately 15,000 students are enrolled in CAVAs virtual charter schools. A recent study found that students in virtual schools that exist solely online are far behind their peers in math and reading. Furthermore, reports indicate that CAVA schools collectively had a graduation rate of 36 percent, compared to the state average of 78 percent. * German wind and solar output down by 6 GW on Tuesday * French nuclear power capacity declines following outages * Forward curve falls alongside fuels PARIS, July 11 (Reuters) - European spot electricity prices for day-ahead delivery rose sharply on Monday, boosted by a fall in power supply from renewable wind and solar sources, while forward prices tracked lower oil and coal. Electricity from wind energy sources in Germany will fall by nearly 5 gigawatts (GW) on Tuesday to 8.3 GW, while solar power supply will slide by 1.2 GW during the same period, according to Thomson Reuters (Dusseldorf: TOC.DU - news) data. Germany will record a net import of 3.8 GW of power during the same period. In France, combined wind and solar power will fall by over 300 megawatts, the data showed. German baseload power for Tuesday delivery rose 10.25 euros or 51.25 percent, to 30.25 euros ($33.42) per megawatt-hour (MWh) compared with the last closing price, while the equivalent French contract gained 7.3 euros or 30.17 percent, to 31.50 euros/MWh. Keeping pressure on supply, French nuclear power availability fell to 68 percent on Monday from 70 percent on Friday following planned, and statutory outages at EDF (Paris: FR0010242511 - news) 's 1,300 MW Paluel 4 and St Alban 2 nuclear reactors respectively. Along the forward power curve, prices fell on Monday, tracking the decline in oil and other fuels. Oil fell over signs that U.S (Other OTC: UBGXF - news) . shale drillers have adapted to lower prices and on renewed indications of economic weakness in Asia where refiners are already trimming crude runs. German baseload power for next year, Cal '17, fell 0.45 euro or 1.66 percent to 26.65 euros a megawatt-hour (MWh). The equivalent French contract was at 33.15 euros/MWh, 0.35 euro or 1.04 percent down. European coal prices for 2017 fell $0.55 or 0.96 percent to $56.75 a tonne. Front-year EU carbon allowances fell 0.04 euro or 0.88 percent to 4.51 euros a tonne. In eastern European power, the Czech year-ahead position settled at 28.09 euros/MWh and was untraded, while the day-ahead position rose 13.42 percent to 36 euros/MWh euros compared with the previous close. ($1 = 0.9024 euros) (Reporting by Bate Felix; Editing by Ruth Pitchford) French Finance Minister Michel Sapin leaves the Elysee Palace following the weekly cabinet meeting in Paris, France September 10, 2014. REUTERS/Philippe Wojazer/File Photo By Michel Rose PARIS (Reuters) - Portugal does not deserve to be penalised by the European Union for missing fiscal targets, having made a huge effort to rein in its public finances, French Finance Minister Michel Sapin said on Monday. The European Commission began formal disciplinary procedures against Portugal and Spain last week over excessive deficits in 2014 and 2015, and EU finance ministers will make a decision based on the executive's recommendation at a meeting on Tuesday. Sapin, who did not spell out his stance on Spain, said the European Commission was entitled to launch the procedure. But "Portugal doesn't deserve to have exaggerated discipline imposed," he told a news conference. "One cannot say Portugal hasn't made all the appropriate efforts." EU budget penalties have never yet been enforced and it is unclear whether they will be imposed this time. France itself has repeatedly benefited from EU leniency over its own breaches of the bloc's public finances rules. Portugal and Spain both ran deficits above the EU limit of 3 percent of gross domestic product in the past two years and failed to narrow the gap quickly enough, the Commission said. "For Spain, the difficulty we have is to know who we're talking to," Sapin said. He declined to comment on the issue of budget sanctions for that country. But Sapin had said at the end of May that euro zone finance ministers were "not inclined" to sanction Spain, and that there was goodwill from France on this point. Spain has been in political deadlock since December, when a national election left no single party able to form a government. Negotiations over a coalition also failed to bear fruit and a second election in late June produced a similarly inconclusive result. The Commission's decision to open a disciplinary procedure has the potential to ignite controversy over the fair application of EU rules, its President Jean-Claude Juncker having said in May that France was granted budget leeway because "it's France", attracting criticism. Spain's Economy Minister Luis de Guindos said on Thursday his country should also escape any sanction, and would work with Brussels on a new deficit-cutting path. (Writing and additional reporting by Ingrid Melander; Editing by Andrew Callus and John Stonestreet) We can help you make sense of the agribusiness industry, extending from chemicals and fertilizers used as inputs into agriculture, to the commodities, food and by-products that are an output to farming, with policy and regulation applied at every step of the value chain. Arbor Investments has paid tribute to its co-founder and former vice chairman Joseph P. Campolo following his death after a battle with brain cancer. YEREVAN, JULY 11, ARMENPRESS. A minor dust-up between Ankara and Berlin continued on July 10 as Turkish officials showed no sign of allowing German political delegations to visit a NATO air base in Incirlik near Adana, Turkey, reports Deutsche Welle. The Turkish government last month banned German lawmakers from visiting the base. It said the country permits only military or technical teams to visit military installations. The issue has not been solved also after the meeting between Angela Merkel and Turkish President Recep Tayyip Erdogan within the framework of the NATO Summit. As a result, the German political figures started to express their concern and complaint over this issue. Spokesman of Foreign Affairs of the SPD Niels Annen urged Merkel to take actions on this issue: Merkel didnt manage to achieve any result at the meeting with Erdogan in Warsaw. She has to make Erdogan promise that the German MPs will be able to visit the air base where there are also German soldiers, he said. CSU Secretary General Andreas Scheuer said the MPs must be able to visit their soldiers every time and everywhere. President Erdogans such stance will make Turkey act in such way that the Germany Army will withdraw from the country, he stated. German Tornado reconnaissance aircraft and 240 German soldiers are stationed in the Incirlik air base to fight against the Islamic State terrorist group. Modified On Jul 11, 2016 12:41 PM By Tushar Changan Automobile, Chinas 4th largest carmaker may be eyeing an entry into the Indian car market. Executives from the brand reportedly visited the states of Andhra Pradesh and Tamil Nadu to explore the possibility of tying up with a local partner to set up a production facility. The move is believed to have been motivated by stagnating sales in the Chinese domestic market and stiff competition from global brands. While Changan isnt exactly a household name in India, the company has been producing and selling passenger vehicles for a few decades, including Chinas first production vehicle, the Changjiang Type 46. The company claims it has 6000 sales and service facilities in more than 60 countries, and if it adds India to that list, Changan will be the first Chinese car manufacturer to invest in India. In China, the company has joint venture partners including Suzuki, PSA Peugeot-Citroen and Ford. Changan produces sedans like the Raeton, Eado (showcased at the 2011 Frankfurt Motor Show), Alsvin V7 and the Benni hatchback. Its model range also includes SUVs like the CX70, CS75 and MPVs like the Eulove and Honor. Additionally, it produces the Eado EV electric sedan, but it remains to be seen which models the brand will launch in India. Chinese and Indian customers do have a lot in common and share their liking for cars that come loaded with features and generous rear seat space. This does give the company a good starting point to cater to Indian buyers, but it will face the problem of brand recognition. Buyers are also likely to have their apprehensions about buying a Chinese car as it wont have the same pull as a Japanese or German moniker. Would you consider buying a Chinese car? Share your thoughts with us in the comments! Modified On Jul 11, 2016 12:09 PM By Raunak JLRs new technical centre will undertake manufacturing, personalisation and commissioning programmes for its Special Vehicle Operations (SVO) division. Jaguar Land Rover (JLR) has recently inaugurated a new technical centre for the brands Special Vehicle Operations (SVO) division. The facility has been constructed with an investment of 20 million (nearly Rs 173 crore) and is situated near Coventry (home of Jaguar). It will be the headquarters of SVO division and will house manufacturing, paint, technical, customer commissioning and presentation zones inside the 20,000 square metre facility. The special division was started a few years ago in June 2014 and the group highlighted that the technical centre represents the divisions growth. Additionally, interested customers in future will be invited to visit the SVO headquarters for bespoke commissioning suit vehicle personalisation. Customers will be presented with an opportunity to create and customise their own Jaguars or Land Rovers. A member of Jaguar or Land Rover design team will assist them with the commissioning process. John Edwards, managing director of Jaguar Land Rover Special Operations, said, The new Special Vehicle Operations Technical Centre is a major step forward in meeting the desires of our most discerning customers. There are more than 200 skilled employees here that are committed to outstanding quality and craftsmanship in everything they do. This new Technical Centre enables us to build on the successful launches of the Range Rover Sport SVR, the Range Rover SVAutobiography and the Jaguar F-TYPE SVR , and provide an excellent foundation for the growth of the SVO business with the introduction of exciting new vehicle personalisation programmes, he further added. Performance assessments at the staff level of a credit union are commonplace. They take place at most organizations at least annually. But what about assessing the performance of the CUs leadership, namely the board of directors? While it may be less common, board assessment is no less important. In the webinar When and How to Assess your Credit Unions Governance, presenter Michael Daigneault, CCD, discussed best practices in board governance. Founder and CEO of Quantum Governance, L3C, and a CUES strategic partner, Daigneault spoke about the importance of assessments and how to perform one. Why Perform an Assessment? The term board assessment is widely used in the credit union industry. And those assessments take a wide range of forms as well: individual skills assessments, peer-to-peer assessments, group assessments that gauge performance of the board as a whole. Brecon-based livestock farmers Richard and Helen Roderick have been named winners of this years Silver Lapwing Award, granted each year by the Farming and Wildlife Advisory Group (FWAG) to recognise commitment to species and habitat conservation. Introducing the winners at a ceremony in West Sussex on Thursday (7 July), judge Martin Hole said that the Rodericks had created a veritable Eden on their Welsh hillside and complimented the couples energy and drive in protecting and enhancing the landscape and its history. Newtown Farm, which overlooks the Usk Valley in the Brecon Beacons National Park, covers about 260ha, with 75 suckler cows, over 1,000 ewes and 260 ewe lambs, plus 20ha of low-input cereals. See also: Farmers spot 130 species on third Big Farmland Bird Count Across the farm, Mr Roderick has restored a patchwork of small fields, by planting new hedgerows protected by double-fencing. He has also fenced off his streams, allowing wildlife to move about the farm freely while improving the ecological health of the river. There is also an iron-age hill fort above the farm where Mr Roderick has cleared bracken and scrub, and runs a small herd of Highland cattle to maintain the cleared ground. History, wildlife, clean water, astonishingly clever sheep production, succession, even a Second World War gun emplacement housing Lesser Horseshoe bats no stone is left unturned, said Mr Hole, introducing the award winner. In particular, he praised the beautifully managed and recreated hedgerows, which enabled the Rodericks to run grassland management plans in harmony with the landscape. Mr Roderick said he was receiving the award on behalf of the many family farms in Wales who farm in a very similar way to us. Runner-up in the competition was Dominic Gardner, who combines sheep and arable production with conservation and habitat creation on 3,000ha of downland in the South Downs National Park. Solitary Man: My Visit to Pelican Bay State Prison Date: Saturday, July 23, 2016 Time: 7:30 PM - 9:30 PM Event Type: Concert/Show Organizer/Author: Donna Wallach Location Details: San Jose Peace & Justice Center, 48 South 7th Street, San Jose, CA 95112 Solitary Man: My Visit to Pelican Bay State Prison Written and performed by Charlie Hinton Music by Fred Johnson Directed by Mark Kenward Saturday, July 23 7:30pm San Jose Peace and Justice Center 48 South 7th Street, San Jose Charlie created Solitary Man based on letters and visits with people in Pelican Bay SHU/solitary confinement. The show is set in November, 2014, a year after the largest prisoner hunger strike in history. After the performance, we will have an update and discuss how and why people on Womens Death Row and in Pelican Bay SHU have been continuously deprived of sleep since June, 2014 and Aug 2, 2015. Suggested Donation $5-10, no one turned away Sponsored by Prisoner Hunger Strike Solidarity Coalition, Justice for Palestinians, South Bay Committee Against Political Repression, the San Jose Peace & Justice Center, and the Leonard Peltier Support Group Silicon Valley Facebook: /solitarymantheplay On Drug User Health and Dignity - Interview with Mike Selick from the Training & Capacity-Building Institute at the Harm Reduction Coalition. On Drug User Health and Dignity - Interview with Mike Selick from the Training & Capacity-Building Institute at the Harm Reduction Coalition.In Louisiana, Jarret McCasland was convicted last fall of second-degree murder in the 2013 heroin overdose death of his 19-year-old girlfriend and sentenced to life in prison without parole. Brandon Eirick, who was initially booked by Baton Rouge police on a second-degree murder count and indicted on manslaughter charges, received a six-year prison term after pleading guilty to negligent homicide and distribution of heroin in the overdose death of Leah Hutchinson, his girlfriend. Such punitive and aggressive policies proves the state of Louisiana would rather see people in overdose situations locked up than getting help. A reality to move toward is one in which people who use drugs can call 911 or see physicians without fear of arrest or without being stigmatized.Harm Reduction Coalition operates national training and capacity building services that promote non-judgmental evidence-based approaches to enhancing individual, organizational and community effectiveness. Their main aims are: Drug User Health, Dignity, and Community Impact.The Training & Capacity-Building Institute is here in New Orleans to provide three workshops and one technical assistance (TA) session over a full-day agenda for regional providers in New Orleans, Louisiana. Training topics include Harm Reduction Approach, Reducing Stigma, and Overdose Prevention & Response. The last hour from 6-7pm will be a facilitated technical assistance session for programs with specific questions on design and development. You can attend, its tomorrow, Tuesday, July 12 from 12:00 -7:00 pm at New Orleans City Hall, Dept of Health, Suite 8E10 (8th floor) 1300 Perdido St, New Orleans, LA 70112.Register for the free trainings here: https://harmreduction.secure.force.com/events/rc_event__campaign_renderform?id=701o0000000UWezAAGtFormPage Thousands of men and women, from a multitude of peoples and communities, are struggling daily to build a different path, just and dignified. Oaxaca 2016: This is not a teachers struggle, it belongs to the people of Mexico By Simon Sedillo and Ninx Salvaje Photos by Radio Jenpoj and Estereo Comunal Yeelatoo SubVersiones July 3, 2016 Translated by Scott Campbell In Oaxaca, 12 people were killed by police between June 19 and 26, 2016, while participating in the current rebellion happening in the state. One of those killed was a teacher, the rest were part of the people. Despite the violent repression, a multitude of blockades remain in place throughout the state, be they temporary or permanent. In addition, thousands of men and women, children, young people, elders and entire communities have rallied in support of the teachers, in repudiation of the repression and against the structural reforms and neoliberal policies that threaten communities. In this context, one thing is clear: the struggle in Oaxaca is not just a teachers struggle but belongs to the peoples who for their part are also fighting for life, territory and autonomy. In Oaxaca, the peoples resistance does not begin nor end with the teachers: it began centuries ago and the road ahead is long. The first reason leading people to express their discontent is the blatant violence used by the government to repress the people. A companera who is a member of the collective Oaxacan Voices Building Autonomy and Freedom (VOCAL) shares her concern with us: Ten years ago was very tough and today the repression is more intense. In 2006, the companeros who died, who were killed by the government, accumulated over time, not all of the sudden. Here we see they came in at close range, to kill, to kill our companeros. It is a heavy lesson, to know that they are killing the right to life, the right to protest, the right to organize, and faced with this, there is no other option but to organize. Given that, the demand of the people is clear: the immediate withdrawal of repressive forces from the state. Along those lines, the community of Guelatao declares in a statement: We demand the withdrawal of the federal police and gendarmerie in order to ensure security and peace for our peoples. In Oaxaca, we dont need them, we reject them. The second reason for discontent is without a doubt the imposition by the federal government of the Educational Reform as well as all the structural reforms intended to privatize not only education but several other sectors, such as agriculture, health care, and energy. The only thing the so-called educational reform is causing is death and a deep contempt for indigenous communities and peoples, for rural and urban communities, as well as for all marginalized social sectors, denounce the communities of the Ayuujk people. It is up to all of us as citizens and people to contribute to this struggle that is not a teachers struggle, but belongs to the people of Mexico.The peoples in Oaxaca continue organizing because they know that this is a project of death and extermination coming from capitalism itself, and that there are 12 different reform packages. The government doesnt care about the people, it only cares about plundering and privatizing everything, the companera from VOCAL tells us. The communities of the Ayuujk people clarify: As indigenous communities we want to live with education, justice, peace, health care, and food being inalienable expressions of our fundamental rights and not as products to be bought and sold. Moreover, in many parts of the Oaxacan territory, resistance is not limited to rejection of these reforms, but as direct organizing against megaprojects trying to be imposed in the area. The companera from VOCAL continues: The struggle of the peoples happens day in and day out against these megaprojects that seek to plunder life. And I believe that they started the repression in the Isthmus because they were testing the waters. They knew that in the Isthmus it was not just about educational reform, it was against a transnational project, the wind farms. If they were able to intimidate the companeros, it would undermine a years-long struggle. On the other hand, when we look at Nochixtlan, it is privatization for mining. What they are licensing away there is the land. And so when people organize, yes, they organized because of the reform, but also because they know that if we dont stand in solidarity with other struggles that are happening in our territory today, then theyll finish us off. The politicians, together with the corporate media and their followers can make their condescending statements, deploring the fact that the people are allowing themselves be manipulated by the teachers who are taking advantage of their ignorance. The people, on the other hand, know quite well the unresolved reasons to join the struggle. The companera from VOCAL shares: The peoples are well aware of what they want, we dont need theories or experts to come and tell us how it should be. [We have to] see that the demands are not just against these reforms that are privatizing things, but that they are also in defense of life and territory, and so that in our territory they leave us alone to be as we are. Maybe we are intransigent fools, but we are still the heart of Mexico. In fact, in their statement, the communities of the Sierra Juarez issued a call to the Oaxaca teachers, encouraging them to assume their responsibilities in the realm of education. In particular, they stressed the need to build educational alternatives that respect and strengthen the identities of the peoples of Oaxaca, instead of imposing content that denies and disregards their ancestral cultures. We also call on the Oaxacan teachers to resist with responsible proposals, to build educational alternatives and resistance from inside the classrooms. Do not forget that in the recent past, teachers were used to manufacture a single national culture that Indians had to integrate into, which after sixty years of this policy has resulted in half the population that considers itself indigenous and that represents 66% of the total population of Oaxaca do not speak their original languages, nor do the textbooks include the knowledge built up by our ancient cultures. We will no longer allow for this supposed modernity to distort us, in this sense, teachers have the great task of rescuing and strengthening our identities. The companera from VOCAL emphasizes: The peoples of Oaxaca have surpassed the organizing done not only by the teachers but also in the city, by the organizations referred to as of the masses, by the political parties that today want to lead this struggle but who from the comfort of their congressional seats voted in favor of educational reform. On Saturday, June 25, municipal and agrarian authorities from multiple communities gathered in their first General Assembly of Authorities of the Peoples of Oaxaca, in order to discuss and agree on next steps to achieve an end to the repression and a solution to the serious conflict occurring in the state of Oaxaca and in the country due to the imposition of the educational reform and the structural reforms affecting education, health care, territory, biodiversity, the right to water, to maintain our internal administrative systems and our culture and identity, life and dignity. On Saturday, July 2, the assembly met again in Asuncion Nochixtlan. In its statement, it declared among many other things: Today we consider it necessary to advance the construction of a common agenda that unifies the peoples with the teachers, not only to be in solidarity with one another but so that the needs and demands of the peoples are included and that it be a struggle of mutual support, as the peoples have given prisoners, survivors of torture, and dead to the teachers and popular struggles; but where we also demand of our companero teachers that they move forward on restructuring their ranks and rebuilding as a movement to strengthen the peoples movement. That gives another connotation to the struggle as it shows us that the people in the communities are organizing, yes, they have the title of municipal authorities, but they have a much louder voice than anyone here in the city that says they represent a mass organization, that says that they represent a small collective, because they have a moral authority that comes not from the ballot box but from the power of a community assembly that says they are to be the moral authority. Of course I believe that not everyones participation is worth the same because they represent peoples, they represent the knowledge and respect from many years of building a different path, - offers the companera from VOCAL. Meanwhile, in a statement, the communities of the Ayuujk people put forward a specific demand that lifts up the historic struggles of many indigenous peoples throughout the country: We demand recognition of the San Andres Larrainzar Accords, signed by the federal government and the EZLN on February 16, 1996, as constitutional law, as it is a concrete example in the exercise of democracy and of respect for the diversity of Mexico, as sustained by the indigenous peoples and communities. The San Andres Larrainzar Accords [1] require not only legal recognition of the countrys indigenous peoples but also a guarantee of the material conditions necessary for their propagation. The tool to ensure such conditions is autonomy, understood as the right to self-government and territory, which includes not just land but culture, traditions, ancestral knowledge, ways of thinking and relating as a community and with nature, among many things. The companera from VOCAL concludes: That is the way, the route is laid out, but I also believe that Oaxaca is very diverse. It has more than 580 municipalities and as such, the autonomies will not be the same. But for me, one thing is clear: the peoples in their communities decide. There remain many decision to be made, such as removing the imposition of political parties, removing the entire structure of electioneering with its representatives, senators, the governor.I believe that this requires a transcendental step but it is not going to happen if we dont organize together. There is much hope and I believe that the only way to stay alive is the building of autonomy for the peoples in Oaxaca. Undoubtedly, the teachers struggle remains an important one in the state of Oaxaca and in the country. However, it is also necessary not to forget that the resistance is not limited to the companerx teachers. That thousands of men and women, from a multitude of peoples and communities, are also struggling daily to build a different path, just and dignified. The government can lie and threaten repression, but to expect to contain this rebellion is another matter. [1] See San Andres y el dialogo pendiente: Especial sobre los Acuerdos de San Andres on Radio Zapatista. All the complete statements can be found here. Yes, a tragedy just occurred in Dallas Texas, but it was not the tragedy claimed by the racist Democrats, Republicans, and corporate media. The real tragedy is the loss Micah Johnsons life. The Chickens Have Come Home to Roost in Dallas TexasBy Steven ArgueThis past week, the U.S. saw major tragedies in the police murders of Philando Castile and Alton Sterling. Other less prominent cases of police murder occurred this week as well. On average, American cops kill three people per day in the United States, but only 3% are ever charged with a crime and almost every cop out of those never do a day in jail. They routinely literally get away with murder. As is often the case, the evidence shows these two Black men were murdered by the cops for no justifiable reason. In Minnesota, Philando Castile was murdered with four police bullet wounds in an extra-judicial execution as he reached for his wallet after being pulled over for a broken tail-light. Even net worth 1.6 billion dollar Minnesota governor Mark Dayton admitted the murder would not have happened if Philando was white. In Baton Rouge, Alton Sterling was repeatedly shot execution style after he was pinned down and immobilized by two Baton Rouge cops.Still, as shocking as it all is, there was nothing unusual about any of this. In many ways, it just another day on the plantation. Black men are routinely murdered by the police in the United States and the cops who carry out these crimes routinely do so with impunityNaturally, protests have erupted. A hilarious distortion made by the corporate media and corporate politicians like Hilary Clinton is the idea that the Dallas police were present at the Black Lives Matter demonstration in Dallas to defend them. Of course this is a lie. Anyone with any experience protesting in the streets knows that the only protesters the police ever defend are Nazis and the KKK. For the rest of us, the police are only present to spy, disrupt, intimidate, arrest and carry out violence against us for carrying out legal constitutionally protected activities. Communities of color, the homeless, poor people, and leftist activists didnt make-up the fact that the police are our enemies, the police themselves constantly make that hard fact abundantly clear.Yes, a tragedy just occurred in Dallas Texas, but it was not the tragedy claimed by the racist Democrats, Republicans, and corporate media. The real tragedy is the loss Micah Johnsons life. I find it very sad that this man with such a conviction for social justice and brilliant military skills expended his life so needlessly. His skills could have been better used in the hard work of building a revolutionary movement. Still, despite the tragedy of Micah Johnsons martyrdom, there is still a certain satisfaction in knowing that we no longer suffer under a one sided brutal war of the police against Black, brown, poor, working class, and homeless people. Instead, the lives of innocent victims of cops who will never get justice through the legal system like Philando Castile, Alton Sterling, Eric Garner, Michael Brown, and Sandra Bland have been avenged in the street.As for the cops killed by Micah Johnson, that was no tragedy. They made their choice by joining the police, a racist military force and occupation army of the Black community. Cops are not human, but instead brutal weapons of the capitalist state that impose a system of inequality, exploitation, racism, imperialism, environmental degradation, and international warfare. They maintain this order in part by imposing murderous terror on communities of color. The only thing surprising about Micah Johnsons retaliation is the fact that it doesnt happen more often. Black people in America, for all they have suffered, have actually shown amazing restraint. Similar repression of the Irish by Britain created decades of all-out civil war in the northern counties. Retaliation was carried out by the IRA, one of the best trained and heroic urban guerrilla armies in modern history.An interesting aftermath of this shooting is that suddenly the corporate media and corporate politicians are giving legitimacy to the Black Lives Matter Movement. As I see it, the U.S. ruling class had two choices in presenting this situation. One would have been to demonize and blame the Black Lives Matter Movement. While that could have played well among white racists, 38 million Black people in America would have seen right through it. That would be a very dangerous direction for the ruling class to turn. Instead, they felt it necessary, for a change, to recognize some of the concerns of the Black community regarding police violence. This doesnt mean they are going to do anything about it, but they have been forced to recognize reality and give respect to the Black Lives Matter movement in order to try to curtail further alienation and radicalization. Malcolm X once had a very useful insight on this type of phenomenon pointing out that in Kenya the more radical Mau Mau had made Joseph Kenyatta respectful. He then went further in calling forward the Mau Mau as an example in saying that our oppressors only know the language of violence and are only capable of understanding that language:In Mississippi we need a Mau Mau. In Alabama we need a Mau Mau. In Georgia we need a Mau Mau. Right here in Harlem and New York City we need a Mau Mau. And I say it with no anger, but I say it with very careful forethought. The language that you and I have been speaking to this man in the past hasnt reached him. And you can never really get your point across to a person until you learn to communicate with him. If he speaks French, you cant speak German. You need to learn what language he speaks and learn to speak to him in that language.Micah Johnson spoke back to the ruling class in the only language they have ever understood. In doing so, he has initiated some actual dialogue. Liberals will never claim Micah Johnson, but the Revolutionary Tendency will hold him high forever as a hero of the multiracial working class. At the same time, we do not advise the kind of action he took, mainly as a measure to avoid oppression and better preserve cadre while pointing out that the multiracial working class, when we do go to war against the bourgeoisie, we should do so in a far more organized and disciplined manner. Yet, to all of the liberal opportunists who want to condemn the actions of Micah Johnson, we must point out that the violence of the state begets violence and the war was not initiated by the people, it was initiated by the brutal and racist capitalist state.This reminds me of the first article I have ever read claiming we now live in a post-racial America. It was by Kotkin and Tseng in the Washington Post June 8, 2003 under the title, For Young America, Old Ethnic Labels No Longer Apply. It contains a bad argument based on a fundamentally false premise. That premise is the idea that because immigrants are becoming assimilated into the mainstream of U.S. culture, ethnic groups will no longer exist in the future. Based on this false premise the authors go on to make a number of unsound arguments about changes to education and business policies.The idea that separate ethnicities will disappear due to the blending of immigrant groups into the mainstream misses two key aspects of American life. One is continued immigration and the other is continued racism. Neither of these fundamental aspects of American society are even remotely considered in the essay.In a world where immigrants continue to flee the economic exploitation and political repression of U.S. imperialist hegemony in most of the third world, and as long as those immigrants continue to be accepted as a cheaper source of labor within the confines of U.S. borders by U.S. capitalists, immigration into the United States will not end. Thus, the authors assertion that the linguistic preferences of second and third generation immigrants for English would seem to challenge the continued viability of programs such as bilingual education is utterly absurd. Bilingual education helps ease immigrant children into a learning process that is made much more difficult by English-only education.Another aspect of American culture not considered in the Washington Post essay is the deep and pernicious racism of this society. People of color are offered fewer opportunities in this society on many levels, including the opportunity to assimilate.Blacks have been here for hundreds of years and they still, by and large, have not assimilated. Culturally there is no obligation to assimilation, despite the racist insistence that things like white grammar are the only way to properly speak the English language. Blacks suffer over double the infant mortality of whites and by every other health and economic indicator are worse-off than whites. For Blacks in the United States between 1995 and 2002, the infant mortality rate was 13.9, more than double the rate of 5.9 for whites in the same time period (Center for Disease Control). Life expectancy of Blacks is 5 years less than whites, with 2005 statistics showing whites living on average to 78.3 years of-age and Blacks only living 73.2 years (CNN.com). Blacks also suffer double the unemployment of whites. Consistent with long-term ratios, 2002 statistics gave a white unemployment rate of 5.2 percent and a Black unemployment rate of 10.7 percent (Robinson).These types of data are reflected in other populations of color in the Unites States as well. For instance, Native Americans have been in the United States from the beginning, yet according to health and employment statistics, they still have not assimilated either. For example, between 1998 and 2000 Native American infants in the United States were 1.7 times more likely to die than white infants in their first year of life (Tomashek et al.).These sad realities, all too often ignored by the mainstream press, fly in the face of the Washington Posts bold declaration of, Welcome to post ethnic America. It has an absurd bellicose ring to it, like George Bush declaring victory in Iraq in 2003.It is from the fewer opportunities that many ethnic groups face that the cultural nationalism of oppressed groups arises. Yet these Washington Post authors, in ignoring these inequalities, along with pretending that new immigrants will not keep coming to the United States, see cultural nationalists as a disease that infests most Chicano studies departments. As opposed to seeing such manifestations as a healthy reaction to the racist, imperialist, and exploitative U.S. society, the authors instead blame the victims of this society that stand up for their culture and their rights. It is from such imaginary premises as the idea of ethnic divisions no longer playing a role in society, that the authors come to racist conclusions against those people of ethnic and racial groups that stand up for social justice for their groups.Unfortunately, the Washington Post is not alone in seeing manifestations of cultural and political nationalism as a disease. It has long been U.S. government policy to treat people of color that stand up to American racism as a cancer.The Lakota, on the Pine Ridge Reservation in South Dakota, who supported the culturally and politically nationalist American Indian Movement, faced brutal counter-insurgency tactics complete with FBI-armed and -trained death squads that murdered 61 political activists on the reservation between 1973 and 1976 (Churchill). As part of that terror war against Americas first nations, American Indian Movement member Leonard Peltier was framed by the FBI and remains in prison to this day.Blacks have faced similar political repression in the United States, also orchestrated by the FBI. In the 1960s and 70s the U.S. government liquidated the Black Panther Party through the murders of 39 members, including the police shooting of Fred Hampton in his sleep, and through political frame-ups such as that of Geronimo (Ji Jagga) Pratt who was finally exonerated (i.e. found innocent) after 30 years in prison. Other framed Black Panthers still sit in prison and Black Panther Assata Shakur lives in exile, granted political asylum by Cuba, but with a one-million-dollar bounty put on her head by the U.S. government. Likewise, former Black Panther Mumia-Abu Jamal wrongly remains in prison for a police and mob hit on an FBI connected Philadelphia cop who was feared to potentially expose local corruption. The mob and local police, after killing Officer Faulkner, thought they could kill two birds with one stone by framing revolutionary journalist Mumia-Abu-Jamal. As the victim, Mumia Abu-Jamal, grew in popularity and the U/S/government realized the repercussions of murdering him would be too high, so they have spared his life while continuing this barbaric imprisonment of an obviously innocent man.Like the Washington Post, the U.S. government sees no need for these ethnic groups to stand up for themselves, and when these groups do the U.S. government sometimes treat such manifestations as pest infestations, and the government sends in their FBI and local law-enforcement exterminators. In the face of these realities, the revolutionary movement cries no tears when the oppressed retaliate. Instead, we unconditionally declare it is a human right to rebel.Inside of racist America, a mass incarceration of the poor, especially the poor of color, has taken place, while at the same time the multi-racial working class and poor are subjected to a lack of healthcare and dramatic drop in our standard of living. The inner cities of America have, by and large, been abandoned by the same capitalists that got rich off of the jobs that they have now exported, just as the victims of hurricane Katrina were left to die by this same racist system.Yet the capitalists continue to profit off of this misery and justify it through racism. The capitalists profit from the mass incarceration of the poor through the prison industrial complex where they get almost free labor and they get additional money from the increasingly privatized prisons. A good percentage of those people being rounded up for these modern day plantations are people of color, while Americas racist police are now modern day slave catchers. Fuck the police.-Steven Argue for the Revolutionary TendencyThe Revolutionary TendencyThis is an article of Liberation News, subscribe free:Here is video of the horrific aftermath that was livestreamed by Philando Castiles girlfriend, Lavish Reynolds, from her mobile phone onto Facebook around 9 PM Wednesday night. Here is that video with police pointing a gun, Philando dying, Lavish Reynolds describing what happened, Lavish being arrested for no reason, and Lavishs cell phone taken from her. She spent many hours in police custody under a false arrest. The fact that her video was immediately uploaded onto Facebook surely played a role in the police not killing her as part of silencing her testimony and destroying her video. Thankfully, it was already too late:Here is video of Baton Rouge Louisiana police murdering Alton Sterling Tuesday night:Here is an in-depth article I wrote that deals with the fight against police murders and police repression in general:Murderous Cops, Liberal Snake Oil, & Revolutionary Solutionsby Steven ArgueJoin this new Facebook group started July 7th:Revolutionary & Labor Action to Jail Killer CopsFor real action to bring an end to the impunity with which cops brutalize, abuse, and kill people. With vestiges of Northern Rock and Bear Stearns, it may be too early to determine whether this development represents a financial canary in the coalmine or an overreaction to a short-term market dysfunction. Problems in the UK have been mirrored by banking issues inside the eurozone where a failure to repair the legacy bad loans following the 2008 crisis has left many European banks in a difficult position when it comes to absorbing further shocks such as Brexit. Italian banks are the current focus of attention with falls of around 40% in the market values of the largest banks since April, a decrease that has been accelerated by the Brexit vote. Ironically the worlds oldest surviving bank, Monte dei Paschi di Siena, is at the eye of the storm with a market capitalisation that has plummeted by over 75% since the start of the year. The announcement last week by Consob, Italys financial regulator, that short selling in shares of Monte dei Paschi di Siena has been banned for three months has a familiar resonance for Irish bank investors. In contrast to the case of Anglo Irish Bank, the ban was not accompanied by an attempt to blame the banks woes on the black arts of the ruthless short sellers. The non-performing loans at the heart of the Italian banking crisis have been well known for a long time with regulators having attempted to contain the issue with what now appear to be inadequate recapitalisations. However, the capacity to resolve the non-performing loans in Italian banks has now become that much more constrained with Italys preferred approach of avoiding bail-ins coming up against the eurozones new rules agreed after the last crisis. The pressure on eurozone leaders to bend the rules will in all likelihood intensify with the prospect of contagion hanging in the air. Authorities have reassured the public that, although it may take sometime, solutions will be reached with Matteo Renzi, the Italian prime minister, stating that the situation is much less serious than the market thinks. The question then arises, if Mr Renzi is correct, how can a relatively small number of non-performing loans, when viewed in the context of such a large economy as Italy, have such a disproportionate impact on markets and cause such financial turmoil? The ripple effects of this market turmoil and focus of attention on the level of non-performing loans in the banking system should not be lost on Ireland. The European Banking Authority rankings placed Ireland at the wrong end of the European league table with around 20% of the Irish bank loan book classified as non-performing. Shares in Irish banks have suffered; Bank of Irelands stock price is down 50% from the start of 2016. The underperformance of Irish bank stocks is in marked contrast to the performance of the Irish economy, which is at the top end of the European growth league. One would expect some positive correlation between bank stocks and national economic performance instead of this abnormal bifurcation. The negative impact of the continuing failure to resolve the non-performing loans in Irish banks has already been evidenced in the housing crisis and the excessive rates charged for variable rate mortgages and small business loans. The re-emergence of unresolved banking problems at this late stage in the cycle is clear evidence that too few steps have been taken to sort the issue within a reasonable timeframe. Mortgage arrears appear to be particularly intractable with only slow progress being made in determining what outcomes are feasible for the 40,000 cases that have been threatened with repossession. The level of arrears capitalisations and loan resolutions falling back into default are not positive indicators. As Lorcan OConnor, director of the Insolvency Service remarked, it is difficult to solve a debt problem with more debt. It is clear that the arrears problem is solvable if there is a political will to take the necessary steps, however uncomfortable they may be in the short run. Resolving arrears cases quickly is the prudent and conservative thing to do as well as being the essential prerequisite of returning to a normal banking market. It was encouraging that the new administrations programme for government set out a range of measures that, on the face of it, appeared to represent a step change in the priority given to solving this problem. As George Osborne is fond of remarking, you have to fix the roof when the sun is shining. Lets hope there is still some sunshine left. The tension within Nigeria following renewed hostilities in the Niger Delta, calls for keen attention. The fresh attacks on oil facilities and some kidnappings within the region has become a grave concern for the federal government. Confusion hits Buharis government over militants attacks Asides the troubles of militancy in the Niger Delta and terrorism still thriving within the Northeastern region of Nigeria, there is some much political chaos and the fight against corruption is threatening to disunite the nation. Below are 15 quotes that tell of the troubles besetting Nigeria. 1. "Whoever deter us from fighting corruption will suffer the consequences." President Muhammadu Buhari has said his administration will go all out on those who try to prevent the on-going fight against corruption. The president made this known when he played host to physically challenged, the Internally Displaced Persons, taxi drivers, commercial motorcycle riders, artisans such as butchers, hairdressers, barbers, tailors, members of the Buhari Support Organisation, Presidents neighbours in Lobito Crescent, and junior workers in the headquarters of the All Progressives Congress (APC), inside the Presidential Villa in Abuja. 2. "Buhari and his daughter will be killed." A leader of the NDA simply named Ogenoghochuku, threatening that the militant group will soon carry out attacks aimed at killing President Muhammadu Buhari and destroying his family. Ogenoghochukwu said the Nigerian government can only avert the attack by releasing the embattled leader of the Indigenous People of Biafra, Nnamdi Kanu. 3. "In his first four years, he betrayed us. We wanted to drop him but he knelt down to beg me." READ ALSO: Tension: Herdsmen strike again, 81 killed Ikimi, a former minister of foreign affairs claims that Oshiomhole betrayed Jonathan. Ikimi made this revelation in Benin at the campaign flag-off of Pastor Osagie Ize-Iyamu, candidate of the Peoples Democratic Party (PDP) in the forthcoming governorship election in the state. He said if not for the agreement, Oshiomhole would not have won the election in 2012, but that after the electoral victory, the governor betrayed Jonathan. Daily Post reports that Ikimi revealed that part of the agreement reached between Jonathan and Oshiomhole was the level-playing field the president approved during that election that got the governor victory. 4. "If they have anything against me, they should keep their gun powder dry." Governor Fayose attacking President Muhammadu Buhari's methods of fighting corruption. The Ekiti state governor said that President Buhari is using an equally corrupt Economic and Financial Crimes Commission (EFCC) to fight corruption in Nigeria. Share on Facebook Share on Twitter He said the president must start the corruption fight from his party and immediate aides since charity begins from home. 5. "The president can tackle the current economic challenges headlong and make life easy for the common man." READ ALSO: Niger Delta elders reveal those who frustrating Buharis dialogue with militants Reverend Father Vincent Idanwojo, the Parish Priest at Our Mercy Queen of Martha Catholic Church, Sabo Lugbe, Abuja sending a powerful message to President Muhammadu Buhari The Man-of-God advised the president to solve the problem of high cost of living in Nigeria. The parish priest told journalists on Sunday, July 3 at Abuja that the present economic crunch in the country has made it important for President Muhammadu Buharis administration to review the monthly salaries/minimum wage of workers. On the other hand, the Reverend Father praised the president for his commitment at waging war against Boko Haram insurgency and corruption. 6. "We have to concentrate on the militants to try to know how many of them in terms of groupings, try to get in touch with their leadership, to try to persuade them to please give Nigeria a chance." President Muhammadu Buhari warning militants that Nigerias unity is not negotiable. He gave the warning on Wednesday, July 6, while receiving a cross section of Nigerians most of whom were Muslims who came to pay him Sallah homage at the presidential villa. 7. "I am not unaware of what Nigerians are going through and I want to use this medium to commend the amazing sacrifices of Nigerians" President Muhammadu Buhari addressed Nigerians in his Eid-el-Fitr message to facilitate with Muslims celebrating the holidays marking the end of Ramadan. The president restated his commitment to fight corruption and to ensure that all appropriate and legal measures are condemned to root out this malaise. Buhari also promised to explore the fastest means to end the economic sabotage in the Niger Delta and amicably resolve the conflicts within the region. 8. "I just pray for them and hope that they will be able to rediscover themselves, to be able to start the process of rebuilding themselves." Chief John Odigie-Oyegun, the national chairman of the All Progressives Congress (APC), saying the ruling party have no hand in the travails of the opposition Peoples Democratic Party (PDP). Speaking in a recent interview with The Punch, Oyegun said so far, the PDP has failed to be a responsible opposition that has ideas to contribute. 9. "I would have been killed without being given time to exercise my right of appeal before a court of competent jurisdiction." Governor Ikpeazu speaking in regards to an appeal filed to counter a high court judgement aimed at unseating him as the governor of Abia state. 10. "It is becoming evident daily that the Buhari administration is using its much-vaunted war against graft as a tool to decimate the camp of those who disagree with him on any issue." Soji Adagunodo, the factional chairman of the Osun state chapter of the Peoples Democratic Party (PDP), has said that the agenda of President Muhammadu Buharis anti-corruption war is to suppress the opposition. According to The Punch, the Osun state PDP chairman said the anti-graft war which had been marred by gross impunity and selectivity is boosting the chances of the All Progressives Congress (APC) ahead of the 2019 general election. 11. "Your Excellency, we are saddened as Nigerians by the recent economic policies being released by the CBN." A Niger Delta militant group, Iduwn Volunteers Force, (IVF) has warned President Buhari that the country could plunge into economic instability if the Central Bank of Nigeria (CBN) is allowed to forcefully wind up some banks in the country. According to Vanguard, the group in statement in Lagos by its commander Johnson Biboye, also asked the president to prevail on the CBN Governor, Godwin Emefiele, demanding that the governor withdraws a letter addressed to 11 banks, compelling them to bring insider related credits before December 31. 12. "I support Buharis intent to fight corruption. But I am against the methodology. I am against the procedures he is adopting." Former minister of national planning, Professor Suleiman Abubakar predicting doom for Nigeria because of the activities of the present administration of President Muhammadu Buhari. Speaking in an interview with The Sun newspaper, Abubakar frowned at the disrespect the Buhari government has for the judicial arm of government. 13. "The PMB/APC regime is succumbing to pressure in the fight for probity." Femi Aborishade accusing President Buhari of failing to fulfill his promise because he is under pressure. Aborishade said no law protects looters from being named The human rights activist said the publication of the names of looters cannot be considered defamatory. 14. Equity and justice demand that Buhari should return what he got from Ekiti state treasury through Fayemi if he is running after those who allegedly got money from the office of the national security adviser. The Peoples Democratic Party (PDP) in Ekiti state revealing how Kayode Fayemi, the former governor of the state and current minister of solid mineral development, donated a whooping N1.5 billion of the state money to fund the election of President Muhammadu Buhari. 15. "Nigeria has regained its rightful place amongst the comity of progressive nations." The All Progressives Congress (APC) national chairman, John Odigie-Oyegun said that Nigeria has regained its rightful place among other nations in the world. Oyegun praised the present administration in their efforts to rejuvinate the countrys economy. He said that the social safety nets contained in the 2016 National budget will help boost the current dwindling economy in the country. Source: Legit.ng The gruesome murder of a female preacher who was hacked to the death in Abuja has generated outrage among some Nigerians. The husband of the deceased, Pastor Olawale Elisha, and their children. The 42 year-old mother of seven, Mrs. Eunice Elisha, was a deaconess at the Divine Touch parish of the Redeemed Christian Church of God, (RCCG) Old NEPA Road, Phase 4, Kubwa. She had gone out to evangelize along Gbazango-West area of Kubwa on Saturday moring, July 9, when she was hacked to death by unknown assailants suspected to be extremists. READ ALSO: Femi Falana under fire over Buratais sack comment Her body was discovered by the residents who alerted the police and evacuated her to the police station where her husband went to identify her. The husband of the deceased, Pastor Olawale Elisha who is still in shock over the murder of his wife told journalists that his wife had gone out to preach in the neighbourhood at about 5 am only for him to hear the report of her murder. However, the killing of Mrs. Eunice Elisha has sparked outrage among the Christian populist who have described the killing of the female preacher as a crime against Christians. READ ALSO: Buratai celebrates Nigerian army day, speaks on Boko Haram While others accused those behind the killing of the preacher of trying to instigate crisis between Christians and Muslims. See reactions below: Meanwhile, the commissioner of police FCT command, Alkali Baba Usman the police has ordered the Deputy Commissioner of Police, Criminal Investigation and Intelligence Department (CIID) to lead an investigation into the murder case. Six (6) suspects arrested in connection with the gruesome murder of Mrs. Elisha are in Police custody and currently undergoing interrogation. He assured that the outcome of the investigation would be made public. Source: Legit.ng Hudsons Bay Company has finalized leases for seven new store locations in the Netherlands, in addition to the four locations previously announced . In aggregate, the lease agreements total approximately 141,800 m (1,526,000 sq. ft.) and include 10 Hudsons Bay and a Saks OFF 5TH store in major markets. [] The truth is being suppressed across the world using a variety of methods, according to a special report in the 250th issue of Index on Censorship magazine. Physical violence is not the only method being used to stop news being published, says editor Rachael Jolley in the Danger in Truth: Truth in Danger report. As well as kidnapping and murders, financial pressure and defamation legislation is being used, the report reveals. "In many countries around the world, journalists have lost their status as observers and now come under direct attack." There's an increasing trend to label journalists as "extremists" or "terrorists" so governments can crackdown on reporting they don't like. According to Index's Mapping Media Freedom project, which tracks attacks on journalists in more than 40 countries, 35 incidents were reported where journalists were being linked to "extremism" to restrict reporting, 11 in Russia and others in Belgium, Hungary, France and Spain. Veteran journalists say certain countries including Syria are becoming almost impossible to cover. And citizen journalists in Syria say they are under enormous pressure to stop reporting but feel a responsibility to carry on despite the risks, particularly since so few international journalists are left in Syria. "All we can do is persevere, coping with the fear and the risks," one told Index. Laura Silvia Battaglia, who trains journalists in Iraq says: "In Iraq providing safety training is not only necessary, it's a duty for international originations who care about journalists and activists in dangerous zones. [...] Local journalism is vital if the Iraqi people are to know what is happening in their country, and to do that journalists need to continue to protect themselves." The special report is part of the 250th issue from global quarterly magazine Index on Censorship which explores the increasing threats journalists are facing globally. More information about 'Danger in Truth: Truth in Danger' can be found here. The magazine also includes an exclusive translation of a play extract by Swedish crime writer Henning Mankell, published for the first time in English. Wearable devices -- Fitbits, Jawbones, Nike+, Apple Watches and the like -- are white-hot. The tech segment is already producing an estimated $14 billion in sales worldwide, and expected to more than double within four years, climbing to north of $30 billion. But a new Stevens Institute of Technology research report reveals those cool wearables just may leak information as you use them. Stevens researchers discovered that the motions of your hands as you use PIN pads, which is continually and automatically recorded by your device, can be hacked in real time and used to guess your PIN with more than 90 percent accuracy within a few attempts. Electrical and computer engineering professor Yingying Chen and three of her graduate students carried out the tests in Stevens labs, assisted by Stevens alumnus Yan Wang Ph.D. '15, now a professor at Binghamton University. "This was surprising, even to those of us already working in this area," says Chen, a multiple-time National Science Foundation (NSF) awardee. "It may be easier than we think for criminals to obtain secret information from our wearables by using the right techniques." The Stevens team outfitted 20 volunteers with an array of fitness wristbands and smart watches, then asked them to make some 5,000 sample PIN entries on keypads or laptop keyboards while "sniffing" the packets of Bluetooth low energy (BLE) data transmitted by sensors in those devices to paired smartphones. "There are two kinds of potential attacks here: sniffing attacks and internal attacks," explains Chen. "An adversary can place a wireless 'sniffer' close to a key-based security system and eavesdrop sensor data from wearable devices. Or, in an internal attack, an adversary accesses sensors in the devices via malware. The malware waits until the victim accesses a key-based security system to collect the sensor data." After capturing accelerometer, gyroscope and magnetometer data from the devices and using it to calculate typical distances between and directions of consecutive key entries, Chen's team developed a backward-inference algorithm to predict four-digit PIN codes. "These predictions were assisted by the standardized layout of most PIN pads and keyboards -- plus the knowledge that nearly all users will hit 'enter' as their final significant hand motion after entering a code," she notes. While some devices proved more secure than others, the algorithm's first guess succeeded an astonishing 80 percent of the time, on average. Within five tries, its accuracy climbed to 99 percent on some devices. "Further research is needed, and we are also working on countermeasures," concludes Chen, adding that wearables are not easily hackable -- but they are hackable. A paper on the new research, Friend or Foe? Your Wearable Devices Reveal Your Personal PIN, received the Best Paper Award at the ACM Conference on Information, Computer and Communications Security (ASIACCS) in Xian, China in May. Existing views on commercial knowledge transfer are based on a misconception. As a result the commercial benefits of research are too small, argue Dr Paul Benneworth from the University of Twente and two of his colleagues in Science and Public Policy journal. They have developed a model that can increase the added value of scientific knowledge for society. The model was tested using a large scientific database in Spain. According to the three researchers, research into commercial knowledge transfer usually focuses on the final step in the scientific chain: the number of patents, spinoffs and licences. As a result, scientists who add value early on in the chain are undervalued. These scientists make a fundamental contribution to commercial knowledge transfer, but do not receive the recognition they deserve. "Scientific transfer is the only benchmark for academic excellence, and not the part a scientist plays in the spread of knowledge in society further down the line," explains senior researcher Paul Benneworth of the Center for Higher Education Policy Studies (CHEPS) at the University of Twente. "The existing valuation system with grants and other monetary awards provides a kind of perverted stimulus, as it only encourages scientists to publish as many articles in as many scientific magazines as possible." Commercial knowledge transfer model Benneworth and fellow researchers Julia Olmos-Penuela from the University of Valencia and Elena Castro-Martinez from knowledge institute INGENIO-UPV in Valencia, looked at the concept of commercial knowledge transfer from a wider angle. They have determined that if a scientist uses available knowledge from society at every stage in their research, this will boost the transfer or knowledge to a social user. The three researchers developed a model that maps to what degree a scientist boosts the commercial knowledge transfer that follows research. The five-step model first helps determine whether the scientist has a new line of research, called reframing, and if the research question is solid. The scientist's work plan and the manner in which he or she conducts research are then reviewed in cooperation with a partner in society. Finally, the spread of knowledge via conferences or HR projects in cooperation with the business community for example, are important assessment criteria for commercial knowledge transfer. 1,500 Spanish scientists The model was tested on the Impacto database, which contains data from 1,500 Spanish scientists. Their answers to a series of questions offer insight into their willingness to cooperate with partners in society. The researchers believe that the model is a useful instrument that can map scientific contribution to the commercial transfer of knowledge, based on personal and professional characteristics. Ivory tower Based on their research, Dr Benneworth, Dr Olmos-Penuela and Dr Castro-Martinez say that commercial knowledge transfer needs to be encouraged in a different way. "Scientists do not receive enough validation for their willingness to embrace social issues," says Benneworth. "The Ministry of Education, Culture and Science should take a closer look at the knowledge that is shared with society, the business community and government organizations. When you are able to encourage willingness at a policy level, scientists will be less inclined to stay in their ivory towers. This greatly increases the commercial benefits of their knowledge and expertise." A huge earthquake may be building beneath Bangladesh, the most densely populated nation on earth. Scientists say they have new evidence of increasing strain there, where two tectonic plates underlie the world's largest river delta. They estimate that at least 140 million people in the region could be affected if the boundary ruptures; the destruction could come not only from the direct results of shaking, but changes in the courses of great rivers, and in the level of land already perilously close to sea level. The newly identified threat is a subduction zone, where one section of earth's crust, or a tectonic plate, is slowly thrusting under another. All of earth's biggest known earthquakes occur along such zones; these include the Indian Ocean quake and tsunami that killed some 230,000 people in 2004, and the 2011 Tohoku quake and tsunami off Japan, which swept away more than 20,000 and caused the Fukushima nuclear disaster. Up to now, all known such zones were only under the ocean; this one appears to be entirely under the land, which greatly multiplies the threat. The findings appear in this week's issue of Nature Geoscience. Subduction-zone quakes generally occur where plates of heavy ocean crust slowly dive offshore beneath the lighter rocks of adjoining continents, or under other parts of the seafloor. Sometimes sections get stuck against each other over years or centuries, and then finally slip, moving the earth. Scientists knew of the plate boundary in and around Bangladesh, but many assumed it to be sliding only horizontally near the surface, where it sometimes causes fairly large, but less damaging earthquakes in areas that are not as densely populated. However, the authors of the new research say movements on the surface over the past decade show that subduction is taking place below, and that part of the plate juncture is locked and loading up with stress. They are not forecasting an imminent great earthquake, but say it is an "underappreciated hazard." "Some of us have long suspected this hazard, but we didn't have the data and a model," said lead author Michael Steckler, a geophysicist at Columbia University's Lamont-Doherty Earth Observatory. "Now we have the data and a model, and we can estimate the size." He said strain between the plates has been building for at least 400 years -- the span of reliable historical records, which lack reports of any mega-quake. When an inevitable release comes, the shaking is likely to be larger than 8.2, and could reach a magnitude of 9, similar to the largest known modern quakes, said Steckler. "We don't know how long it will take to build up steam, because we don't know how long it was since the last one," he said. We can't say it's imminent or another 500 years. But we can definitely see it building." The newly identified zone is an extension of the same tectonic boundary that caused the 2004 Indian Ocean undersea quake, some 1,300 miles south. As the boundary reaches southeast Asia, the complexity of the motions along it multiply, and scientists do not completely understand all of them. But basically, they say, a giant plate comprising India and much of the Indian Ocean has been thrusting northeasterly into Asia for tens of millions of years. This collision has caused the Himalayas to rise to the north, bringing events like the 2015 Nepal quake that killed 8,000 people. Bangladesh, India's neighbor, lies on the far eastern edge of this plate, but pressure from the collision seems to be warping Asia clockwise around the top of Bangladesh, ending up largely in the next country over, Myanmar. This wraparound arrangement has resulted in a crazy quilt of faults and quakes in and around Bangladesh. Among the largest, a 1762 subduction-zone quake near the southern coast killed at least 700 people. This January, a magnitude 6.7 event in adjoining eastern India killed more than 20. There have been dozens of large quakes in between, but the assumption was that no actual subduction was taking place under Bangladesh itself, seeming to insulate the region from a truly gigantic one. The new study undercuts this idea. Starting in 2003, U.S. and Bangladeshi researchers set up about two dozen ground-positioning (GPS) instruments linked to satellites, capable of tracking tiny ground motions. Ten years of data now show that eastern Bangladesh and a bit of eastern India are pushing diagonally into western Myanmar at a rapid clip -- 46 millimeters per year, or about 1.8 inches. Combined with existing GPS data from India and Myanmar, the measurements show that much of the resulting strain has been taken up by several known, slowly moving surface faults in Myanmar and India. But the rest of the movement -- about 17 millimeters, or two-thirds of an inch per year -- is shortening the distance from Myanmar to Bangladesh. This has been going on for a long time, and the results are clearly visible: neatly parallel north-south ranges of mountains draping the landscape, like a carpet being shoved against a wall. The researchers interpret the shortening pattern to mean that subduction is taking place below, and that a huge zone -- about 250 kilometers by 250 kilometers, more than 24,000 square miles -- is locked and building pressure, just a few miles below the surface. The zone includes Bangladesh's densely packed capital of Dhaka, a megalopolis of more than 15 million. advertisement Steckler says that, assuming fairly steady motion over the last 400 years, enough strain has built for the zone to jump horizontally by about 5.5 meters, or 18 feet, if the stress is released all at once. If strain has been building longer, it could be up to 30 meters, or almost 100 feet. The land would also move vertically, to a lesser extent. This is the worst-case scenario; in the best case, only part would slip, and the quake would be smaller and farther from Dhaka, said Steckler. In any case, Bangladesh and eastern India sit atop a landscape vulnerable even to moderate earthquakes: the vast delta of the Ganges and Brahmaputra rivers. This is basically a pile of mud as deep as 12 miles, washed from the Himalayas to the coast, covering the subduction zone. In a quake, this low-lying substrate would magnify the shaking like gelatin, and liquefy in many places, sucking in buildings, roads and people, said study coauthor Syed Humayun Akhter, a geologist at Dhaka University. The great rivers -- 10 miles across in places -- could jump their banks and switch course, drowning everything in the way; there is in fact evidence that such switches have happened in previous centuries. Akhter says that fast-growing, poor Bangladesh is unprepared; no building codes existed before 1993, and even now, shoddy new construction flouts regulations. Past quake damages and deaths are no indicator of what could happen now, he said; population and infrastructure have grown so fast that even fairly moderate events like those of past centuries could be mega-disasters. "Bangladesh is overpopulated everywhere," he said. "All the natural gas fields, heavy industries and electric power plants are located close to potential earthquakes, and they are likely to be destroyed. In Dhaka, the catastrophic picture will be beyond our imagination, and could even lead to abandonment of the city." Roger Bilham, a geophysicist at the University of Colorado who has studied the region but was not involved in the new paper, said its "data are unassailable, the interpretation is sound." Bilham said the research "ties an enormous amount of structural interaction together. We have seen in recent history only modest seismicity responding to those interactions. The Indian subcontinent is effectively being pushed into a tight corner." Susan Hough, a U.S. Geological Survey seismologist who also studies the region and was not involved in the study, said that in recent years, "we've been surprised by big earthquakes that have not been witnessed during historical times, or witnessed so long ago, they were forgotten. Studies like this are critical for identifying those zones." Scientists in Bangladesh and neighboring countries continue to assess the hazards. James Ni, a seismologist at New Mexico State University, said he and colleagues hope to deploy 70 seismometers across Myanmar in 2017, to get a better image of the apparently subducting slab. "We don't have a good idea of its geometry, we don't know how far it goes down," said Ni. He said that if the study authors are right, and the slab is building strain, a quake would probably turn urban areas in eastern India "into ruins," and effects likely would extend into Myanmar and beyond. "We need more data," he said. The other authors of the study are Dhiman Ranjan Mondal of the City University of New York; Leonardo Seeber, Jonathan Gale and Michael Howe of Lamont-Doherty Earth Observatory; and Lujia Feng and Emma Hill of Singapore's Nanyang Technological University. The research was supported by the U.S. National Science Foundation. Growing up with the same last name as one of the worlds most infamous gangsters isnt an easy thing. Just ask Deidre Capone, 76. For most of her life, she was quiet about the fact that her great uncle is Chicago mobster Al (Scarface) Capone. He died on Jan. 30, 1947 Deidres seventh birthday in Florida of complications from syphilis. Deidre says she learned much about the old Capone family business from her grandfather Ralph Sr., who was Als older brother. She considers Ralph Sr. the real brains behind the old Chicago mob called The Outfit. She talks about her family history in the docu-drama The Making of the Mob: Chicago, which premiers Monday July 11 on AMC. Here are five things to know about her Uncle Al and his old family business: 1. Lots of Canadian content Deidre Capone says the Chicago Outfit prided themselves on providing good quality alcohol, which meant tapping into liquor from Sam Bronfman of Canada. There was a very strong connection with Canada, she said. Theres local folklore throughout Canada about Al Capone connections: tunnels under Moose Jaw, Sask., a hotel in Bracebridge, a cabin in Eastern Ontario. Deidre Capone believes theres truth to these stories about his Canadian hangouts. She says he was only joking when he told police: I dont know what street Canada is on. All of those boys said funny things, she said. 2. He did like his cigars Robert De Niro did plenty of cigar chomping when portraying Capone in the 1987 Brian De Palma movie The Untouchables. Deidre isnt so crazy about the movie, calling it a rat-a-tat-tat film; a blood and guts kind of thing. That said, the cigar chomping part is accurate, she says. The first time she recalls meeting him was when her father Ralph Jr. tossed her into his pool in Miami Beach, and she bobbed out of the salt water and into Capones arms. He was looking at me face-to-face, she said. He throws his head back and laughs and laughs. He had a big cigar in his mouth. He always had a cigar. 3. He didnt like his trademark scar Al Capone would be mortified if he noticed a smudge on his shirt when he was out in public, Deidre says. So the major and minor scars on his face and neck that gave him his nickname were a source of continual discomfort, she says. I used to sit on his lap and reach up and feel his scar and ask him if the booboo hurt, she says. He was very sensitive to it. He got the scars while bartending at Coney Island in his late teens, shortly after he praised the rear end of a girl to a nearby man. He didnt realize the man was the girls older brother. Thats when the guy pulled out a knife and lunged at my uncle, Deidre says. 4. Mysterious death It was very difficult growing up, Deidre says. A lot of the other guys in the family changed their name. My father, he was going to bring back respectability to the Capone name. She said her father, Ralph Jr., got his law degree but wasnt admitted to the legal bar because of his last name. He ended up bartending and died in his sleep in November, 1950, shortly after it became known that he planned to write a tell-all book called Sins of the Father. The family believes Ralph Jr. was slipped a poisonous drink, which killed him. His tell-all book was never published. 5. They didnt love Lucy There was a popular American crime drama in the late 1950s and early 1960s based on the old Capone days. It was called The Untouchables, like the De Niro movie. It was produced by Desilu Productions, a business run by comedian Lucille Ball and her husband Desi Arnaz. Arnaz was once friends with Sonny Capone, Als only known child, and sometimes Arnaz would play the bongos and Sonny would sing along with him. The music stopped when Desilu decided to produce the gangster series. Sonny Capone felt that his family helped the Arnaz family leave Cuba and they should have been more grateful, Deidre says. She says Arnaz blamed the mess on his wife, saying, Its Lucy. I cant talk her out of it. Sonny was broken-hearted until the day he died, Deidre says. He never spoke (again) to Desi. SHARE: TEHRAN, IRANA Montreal-based university professor being held in an Iranian jail is now reportedly facing charges, but her relatives say they havent received word about the nature of any accusations. Irans semi-official ISNA and Tasnim news agencies say Homa Hoodfar has been indicted on unknown charges. They quoted Tehrans prosecutor as saying Hoodfar was among three dual nationals and a foreigner who had been charged. The four, who have ties to Canada, Britain and the United States, are all believed to have been detained by hardliners in Irans paramilitary Revolutionary Guard. Amanda Ghahremani, Hoodfars Montreal-based niece, said in an email relatives have only heard the same news reports as everyone else and that they would not comment until further details are available. While the prosecutor makes this announcement through the media, neither Homas lawyer nor her family have been informed of the actual charges against her and so the family would rather wait until we have specific details before making a comment, Ghahremani wrote Monday. The family had said in late June the Iranian probe on Hoodfar centred on dabbling in feminism and security matters. Hoodfar, a 65-year-old retired professor at Montreal's Concordia University, was born in Iran but has been living in Montreal for 30 years. She is an anthropologist who has conducted research on Muslim women in various regions of the world. Her family said she travelled to Iran in February to see family and conduct academic research. Hoodfar was initially arrested in March, shortly before she was to return home, but was released on bail. She was rearrested June 6 and is being held at Tehrans notorious Evin prison. Canadas Global Affairs Department said it remains actively engaged in Hoodfars case, is in contact with her family and is working closely with like-minded allies to help the imprisoned academic. We are aware of media reports pertaining to charges being laid against Dr. Hoodfar, it said in a statement. Consular officials are seeking to confirm these reports. Privacy concerns mean the federal governments role cant be divulged, the statement added. Hoodfars relatives are concerned about her health and safety, while Amnesty International Canada said news of the indictment is cause for concern. I think its very troubling that they are clearly going forward with legal action against Professor Hoodfar, Alex Neve, secretary general of the organization, said from Ottawa. We have made it very clear we consider her to be a prisoner of conscience and that she should be released immediately. Apart from Hoodfar, the three others indicted Monday are: Siamak Namazi, an Iranian-American businessman who has advocated for closer ties between the two countries and whose father is also held in Tehran; Nazanin Zaghari-Ratcliffe, an Iranian-British woman who works for the Thomson Reuters Foundation, the charitable arm of the news agency; and Nizar Zakka, a U.S. permanent resident from Lebanon who has done work for the American government. With files from The Associated Press Read more about: SHARE: For years, having to tick one of two boxes to describe gender identity has left many feeling ticked off. Gender non-conforming, gender fluid or non-binary individuals, who dont identify as male or female, were forced to choose a label they didnt feel comfortable with. Lane Silas Patriquin, a 22-year-old from Toronto who identifies as non-binary, found a workaround: applying whiteout to the gender markers on ID cards. I am very much aware of the fact that the reason why I feel comfortable doing that is because Im in a position of relative privilege, Patriquin said. I have the privilege of being very open about my identity. Im out at school, in my workplace and with my extended family. The omitted sex markers on the IDs havent been an issue so far, except for one time when Patriquin was filing documents for a new passport and forgot to scratch out the whiteout beforehand. The Ontario government recently announced it will be rolling out a third gender option for drivers licences in 2017, one Patriquin is happy to get. The X marker will be available for those who dont identify exclusively as male or female. Last month, the province started issuing health cards that no longer display information about a persons sex. For more than a year, the federal government has been grappling with how to change sex markers to reflect gender diversity more accurately. Many in the LGBTQ community are hoping to see gender and sex designations removed from ID altogether, including transgender activist Nicki Ward. The drivers licence having an option for X is interesting maybe it elevates the level of discussion. But frankly it shouldnt even be on there in the first place, she said. Why does it matter what your gender is? Surely driving is a privilege that should be enjoyed by all Canadians. A spokesperson for the Ontario Ministry of Transportation said the X marker is being offered for drivers licences to comply with rules established by other international jurisdictions and the International Civil Aviation Organization, which recognize the X identifier as unspecified. Unlike with health cards, Ontarians use their drivers licences as identification across North America, making the X marker necessary, the spokesman said. As for passports, seven countries currently allow for a third sex designation Australia, Bangladesh, Germany, India, Nepal, New Zealand and Pakistan and Canada could soon follow in this vein. Transgender activist Christin Milloy said she would like to see the federal government take it one step further. Were hoping that Canada will become the first country in the world to mark all of its passports with X for unspecified, because its an unnecessary piece of information for identification purposes, Milloy said. Her end goal is to see all sex and gender markers removed from pieces of identification and databases. The process would have to start with birth certificates, which are the first pieces of ID people need to change in order to edit other forms such as drivers licences and passports. Changing sex markers on ID requires a doctors note, money to spare and sometimes legal help. Each step raises an extra barrier for LGBTQ people, who are already disproportionately represented among the poor and homeless. Jack Hixson-Vulpe, a specialist in education and training at the 519 Community Centre, said that although the 519 doesnt have a specific program set up to help people change their IDs, it does offer help navigating the system. Changing the sex markers on an ID card can take anywhere from three or four months to a year, Hixson-Vulpe said. Patriquin doesnt think the process should cost any money. The government still charges you to change your gender marker, and I think thats kind of messed up, Patriquin said. If you were assigned a gender at birth that you dont identify with, then thats their mistake. According to the most recent Trans Pulse report from 2015, one in five transgender people in Ontario dont identify as primarily male or female, preferring to identify by another term, such as gender fluid. Is gender a necessary part of ID? Here, members of the LGBTQ community question why wallet cards need sex markers at all. Rejh Lorenzo Cabrera, 25 As an androgynous man, Rejh Lorenzo Cabrera is often questioned about his appearance. Queries about whether hes male or female are irksome and hed like to see a third gender option included on pieces of ID, like the proposed X, or to have the markers removed completely. I think its really sort of revolutionary for an option to just dismiss gender completely, he said. The option of it being removed completely is pertinent to me because Im one of those people who, Im learning now, is considered a third gender. Taylor Heywood, 19 Trying out different labels is helping Taylor Heywood figure out an identity. Heywood currently identifies as an intersex gender fluid non-binary trans person. So having only two options for sex markers on an ID is stifling. Having the marker is really scary. Its hard because it leads to so many circumstances of awkward questions and stares from people, when Im dressed feminine and presenting that way, Heywood says. When I go to bars or go to the bank or to the police its a very clear indication that Im trans and it forces me to out myself. Lane Silas Patriquin, 22 At 18, Lane Silas Patriquin began identifying as non-binary. Patriquin never changed the sex marker on ID, despite having a doctors note allowing it, because neither the male nor female marker felt right. Patriquin is looking forward to obtaining a drivers licence with the X marker in 2017. In the meantime, whiteout continues to conceal the sex markers on Patriquins ID cards. As far as providing identification for people when Im buying alcohol or using it as an ID just in daily life, Ive never had anyone say anything about it. How much does it cost to change your sex on your ID? Changing your name will cost $137 in Ontario. Youll likely need a doctors letter expressing permission to change your gender identity on pieces of identification, which some practitioners may charge for. To change the sex on your birth certificate: $37 SIN card: free Canadian passport: In Canada, $87; in the U.S., $97; abroad, $100. Citizenship card: $75 Permanent resident card: $50 Sources: ServiceOntario, Rainbow Health Ontario With files from the Canadian Press SHARE: There are two certainties in Toronto political debate: the Scarborough subway and taxes. What about death, you say? Ha! No such luck. No one who has closely watched city hall over the past decade believes fighting about these topics will ever die. And so, we reported this week, a proposal for new taxes of some form is coming back to city hall in the fall. And Subway Bowl CXXXVIII will be contested at the city council meeting starting Tuesday. There, once again, councillors will be asked to vote to either move ahead with work on the new, more expensive, less expansive extension of the Bloor-Danforth subway line to Scarborough Town Centre, or to revert to the twice-abandoned former plan for a new seven-stop LRT to the same destination. I wrote recently about what I think is the most reasonable case to be made for the subway plan an argument that depends on a series of other conditions for its logic. And in the end I remain unpersuaded I think, as I have for years, that the LRT plan would probably accomplish most of the city-building and transit network goals as well or better. The case was put well in a recent op-ed by Councillors Paul Ainslie and Josh Matlow. I wont rehash all of those arguments on both sides here if you care at all, its likely you are intimately familiar with them already, and its also likely you have already made your mind up which side youre on. My opinion is that the subway option would be a mistake and an expensive one and that Scarborough commuters and the citys goals would both be better served by the alternative proposal. But my big fear about the debate Tuesday (and beyond) is not that the subway extension is approved and built. It is that nothing else thats been proposed in addition to and alongside it will be built. Right now, the mayor and the citys chief planner have been pitching the subway extension as an inextricable part of a big network plan for Scarborough, which also includes a new 17-stop Eglinton East LRT to U of Ts Scarborough campus, new SmartTrack service in one GO corridor, and a relief subway line to run on Pape and Queen Sts. All that is part of an even broader transit network plan thats emerged more clearly, which includes SmartTrack in the west end, too, including an LRT on Eglinton West to the airport, an LRT line on Finch West, and LRT service across the waterfront. All of this, on paper, to be built and in service in about 15 years. That larger plan, whatever you think of any one part of it, is both remarkable and necessary. And its expensive. Like, $30 billion or more expensive. Theres a line of thinking Ive entertained that says the Scarborough subway extension piece of that plan which over political generations has taken on a sort of mythological symbolic dimension is the expensive-but-necessary political price of support for the whole network. That even if in practice it is not remarkably better than the alternative, or not enough to justify the likely premium in cost, it has psychological value for members of the public and of council that unlocks a willingness to really get down to building all of what we need. Mary Kay gave her good sales representatives pink Cadillacs not because they needed that much car to sell cosmetics, but because the luxury Cadillac brand signified something a level of seriousness, and success, and pride. It said, Were in business. If a one-seat connection to the Bloor subway says the same thing to some key political constituencies, and makes them evangelists and builders for a whole, big city-wide network, then maybe thats a price worth paying. Except that is not, in my observation, how things work in Toronto transit politics. The way things work, or have worked, is this: A giant subway network plan to develop urban centres in Etobicoke, Scarborough, and North York was proposed in 1985 and refined in 1990, which included subway lines along Eglinton West, a Downtown Relief Line, and a Sheppard subway from Yonge to Scarborough Town Centre. After all the fighting and debating and budget cutting, that plan actually produced the short, underused Sheppard subway to Don Mills. An expansive network of LRTs to serve underserved areas of the city was proposed in 2007 that would see new lines all the way across Eglinton, on Finch West, Sheppard East, Eglinton East up into Malvern, Don Mills, Jane, and the western waterfront. What actually got put under construction was a shortened version of the Eglinton Crosstown. The Finch West LRT appears like it may possibly be built, too. Maybe. The history is that a big network is proposed, and one small part often the part considered most politically inescapable by key members of the provincial government gets built. We abandon the whole idea of being in business, but we keep the pink Cadillac. Now. Consider that today, some councillors and the mayor have cited the over my dead body support of a provincial cabinet minister as a major argument in favour of the subway extension. And the only part of the vast proposed network that is considered funded is the subway extension. My big fear is not that we build a one-stop subway extension instead of the LRT line I think would be better. It is that we build a one-stop subway extension instead of a whole network we desperately need. If the extension comes as part of that whole planned network, as its pitched, then the city will still be far better off in the 2030s and beyond, and the what-coulda-been discussion about that six-kilometre stretch will be a historical footnote. But if the network idea is ditched by subway supporters as soon as we get on to the next part of the ever-present conversation about the taxes needed to pay for it, then it really may turn out to be a historic disaster. Correction- July 11, 2016: This article was edited from a previous version that misstated the number of stops on the proposed plan for an LRT to Scarborough Town Centre and the number of stops on the proposed big network plan for a Scarborough Eglinton East LRT to University of Torontos Scarborough campus. Edward Keenan writes on city issues ekeenan@thestar.ca . Follow: @thekeenanwire SHARE: Sometimes, going home can have terrible consequences. Afghan native Obaidullah Siddiqui learned that the hard way when the former refugee was stripped of his right to stay in Canada because he made the mistake of going back three times to his war-torn homeland to visit his aging parents. After living in exile in Pakistan for two decades, Siddiqui and his family were resettled to Canada as permanent residents by a private sponsorship group in January 2011. But as his marriage started to crumble amid the chaos of the resettlement, the 49-year-old Surrey, B.C. man said he took three separate trips back home two accompanied by his children to visit his parents in Herat and to get their help in saving his marriage. In November 2013, immigration officials initiated what is known as a cessation application and later stripped Siddiquis permanent resident status on the grounds he was found to have re-availed himself of Afghanistans protection and his life would no longer be in danger there. As a result, Siddiqui became the first case where a sponsored refugee arriving as a permanent resident was stripped of his status, and faced removal under changes the Tories introduced in 2012 to immigration laws to make losing permanent residence automatic in such circumstances, with no discretion. This has broken me. I am going through extremely hard times mentally, emotionally and psychologically. I am being separated from my three lovely children and I am facing an ambiguous future, said Siddiqui, who fled Afghanistan for Pakistan in 1987 before he came here. Imagine living with no status, no permission to work, not being able to be with your children and having nowhere to go. The number of cessation applications by immigration has skyrocketed from 30 in 2012 to 256 in 2015. Although officials targeted former refugees who were granted asylum in Canada, resettled refugees such as Syrians who recently arrived are not immune. With the new Liberal government in power in Ottawa, the opposition New Democrats have brought forward a private members bill to repeal cessation provisions of the law and suspend these cases until the legislation is passed. No matter that the conditions of the country of origin have changed. No matter that you are going back to see a dying relative for one last time. No matter that the law did not exist at the time of travel. You are at risk of losing your permanent resident status, said NDP MP Jenny Kwan, her partys immigration critic. My bill will eliminate this unfair and unjust law created by the former Conservative government. This is a complete waste of taxpayers money. The government should instead redirect those resources into processing backlogs in family reunification cases. Siddiqui has taken his case all the way to the Federal Court of Appeal, arguing he should be excluded from cessation proceedings because he arrived as a permanent resident under the humanitarian protected person abroad class, instead of going through a refugee determination process pleading for Canadas protection. However, in its recent decision to reject Siddiquis appeal, the court said there was no reason why the principle of re-availment and its associated criteria should vary according to the route by which status as a protected person is originally obtained. In a similar case following the cessation proceeding against Siddiqui, Jose de Jesus Bermudez was resettled to Vancouver in 2006 from Colombia, where he and his family members were the victims of paramilitary violence. In 2014, officials initiated cessation proceedings against him because he declared in his citizenship application that he had visited Colombia twice in 2008 and 2009 to meet and marry his then-fiancee. In Bermudez case, the court also sided with the government and concluded it must respect the policy choices of Parliament and apply the law as it stands, despite humanitarian considerations of the consequences. It is open for Parliament to amend the Immigration and Refugee Protection Act such that permanent residence status not be lost in the event of a favourable cessation application, the court said in a decision in April. Douglas Cannon, Siddiquis lawyer, said Canada cannot just arbitrarily remove a former refugees permanent resident status. You cant just assume once you are a refugee, you will always be in danger, said Cannon. Danger comes and goes. You offer people protection at the point of time they need protection, and you let them move on. What is worse, Cannon said, is his client actually contacted immigration before visiting his parents and was advised he could travel to Afghanistan and return provided he had his permanent resident card with him. Meanwhile, Siddiqui is still fighting to remain in Canada to be with his sons, Obair, 17, and Ozair, 12, and daughter, Abedah, 13. The war and insecurity still exists in Afghanistan and it is not safe to live and work there. No one is safe there, said Siddiqui. I was not a convention refugee but a permanent resident in Canada. I acted as such. I dont know why immigration put me in this situation. SHARE: More than four years after Hanifi Ozdemir arrived seeking asylum in Canada, the Kurdish political activist from Turkey is still waiting for a hearing date. The father of two is among 6,300 so-called legacy claimants languishing in the asylum system for as many as six years as officials direct resources to cases filed after December 2012 when the former Conservative government imposed statutory time limits on the processing of new claims. The wait time for Ozdemir, who is already suffering from post-traumatic stress disorder (PTSD) and the strain of being separated from his family, is expected to grow even longer as Canadian officials are seeing a 22-per-cent spike in new claims, pushing the legacy cases further back in the queue. According to the Immigration and Refugee Board, the number of new asylum claims has skyrocketed from 10,356 in 2013 to 16,521 last year. In the first three months of 2016 alone, the board logged 5,327 claims and the annual number is expected to exceed 20,000. Friends of mine who arrived in Canada and made their refugee claims years after I did have already been determined to be refugees and many have gone on to become permanent residents. The uncertainty that I have faced has been really awful, Ozdemir, 34, said through an interpreter. I was already suffering psychologically at the time I arrived, but during the long years I have waited, my anxiety and depression have become more severe. My wife and kids cannot reunite with me here unless Im found to be a refugee, but my claim seems to be held up indefinitely, the Toronto resident said. His lawyer, Catherine Bruce, director of the Refugee Law Office, has requested numerous times to have her client declared a vulnerable person and have the case prioritized on the grounds of his deteriorating mental health. The refugee boards reply: Unfortunately at this time, due to operational limitations, the board is unable to schedule this case as a priority; there are many, many cases of claimants claiming PTSD. Bruce said the Conservative government promised the legacy cases would not be lost in the new system. This has gone on for some time now and its going to get worse. These people are indeed lost in the system, said Bruce. Many of them fled persecution and are without families. The government reformed the system and the result is they just focus on the new claims. Its so unfair. Raoul Boulakia, president of the Refugee Lawyers Association of Ontario, said the asylum reforms were made to fail by former Conservative Immigration Minister Jason Kenney. How can you have a system where all new claims have to be heard within so many days while we had a backlog and theres no measure to deal with the backlog? asked Boulakia. If you are caught up in the backlog, you must wait eternally. Now, we have a backlog of new claims building and building. Despite the statutory time frames for processing new claims, Boulakia estimated about one-quarter of his newest cases get delayed and dont get rescheduled because no adjudicator is available. This is a huge mess the Conservatives have created, said Boulakia, who will participate in a consultation meeting with Immigration Minister John McCallum later in July about the asylum system operations. Although the refugee board was able to stick to the tight processing deadlines initially, the number of new claims in the inventory has slowly crept up from 15,807 cases to 16,238 last year. The IRBs capacity to resolve legacy Refugee Protection Division (RPD) claims has been impacted by a growing intake of new refugee protection claims, which must be scheduled for a hearing within legislated time limits, said refugee board spokeswoman Anna Pape. RPD legacy claims, which were referred before Dec. 15, 2012, are not subject to legislated time limits and are scheduled as capacity allows. Pape said the refugee protection tribunal was only fully staffed for the first time with all 94 adjudicator positions filled in September. With new funding this spring, the board hopes to increase the number of refugee judges to 113 this fall. However, given the rising intake over the past year and ongoing resource constraints, there is also a pending inventory of new system intake, noted Pape, who declined to speculate on the reasons for the growing number of new claims. Boulakia said the refugee board must reintroduce the triage process to expedite both very strong and weak cases to boost efficiency while offering amnesty to the remaining legacy claims a proposal made by the Canadian Council for Refugees. SHARE: DALLASTony Evans, pastor of a black megachurch in Dallas, often asks his flock to do an act of kindness for someone. On an extraordinary Sunday in the city and in America, he was more specific. He wanted the someone to be a police officer. You see a police officer, you can buy em lunch, get em a doughnut there were laughs even if its an expression of appreciation. Go up to him or her carefully; everybodys nervous right now and: Officer, I just want to express my appreciation for your service. Can I pray for you? He called the officers in the room to the front. More than 2,000 black people rose and clapped and cheered. Two cops wiped away tears. Evans, framed by two video screens and backed by purple concert lighting, paced the stage. I just want to let you know we love you, we care about you, and we will do all the things within our sphere to support and celebrate you. And to honour you, he said. At least, on the 1800 block of Camp Wisdom Road, youve got some friends. Three days after Dallass worst day since the assassination of John F. Kennedy, in the midst of perhaps Americas tensest race-relations moment since the Rodney King riots, a complicated task fell to the citys Christian leaders: attempting to comfort their heartbroken and anxious congregants while also challenging them to step outside their racial comfort zones. The city, once segregated, is still divided into a prosperous and mostly white north side and a lower income and mostly black south side. Blacks and whites still worship separately. But their pastors were united on Sunday in attempting to prod the believers into opening their hearts and minds. Twenty-five minutes to the north of the Oak Cliff Bible Fellowship, across a river that can seem like an ocean, a few hundred white faces looked up at the associate pastor of Wilshire Baptist Church. Standing at a pulpit, in front of a traditional pipe organ, Mark Wingfield began with a confession. We have not been faithful to you and your commands to love our neighbours as ourselves. Weve sought to protect our own privilege rather than extending privilege to others, he said. We have spoken quickly and listened little. We have believed gossip that suits our prejudices and ignored truths we didnt want to hear. We have stood idly by while brothers and sisters have cried in vain for help. We have drawn lines and created choices that do not have to be choices. In your mercy, Lord, hear our prayer. Wingfield offered a prayer for the families of the police officers killed by a racist black gunman on Thursday. And then he prayed for people Evans didnt mention: the loved ones of Alton Sterling and Philando Castile, black men killed by police last week, and of Micah Johnson, the Dallas killer. Grant them grace, he said of the Johnsons, as they struggle with the reality they now face. At Oak Cliff, which counts Dallas Police Chief David Brown as a member, Evans attributed the tumult of the week to what he called the spiritual rot of a country that has ceased to devote itself to the true God. We have designer gods in America. We have people who made their race their god, he said. Their money their god, their politics their god. Sitting in the overwhelmingly black crowd was a group of eight white people from a church across town. Theres a little integration in ours, but not wonderful. We came deliberately because we wanted to stand with our brothers and sisters in Christ and let them know theres no difference between us, said Jan Moreland, 65, a retired high school teacher. We wanted to come to say we love you, were with you, lets move forward. It was the kind of feeling that George Mason, head pastor at Wilshire, was pleading for around the same time up the highway. Dallas, Mason said, has lingering problems of racism and white privilege. There is no other, he said. There is only one another. Lauding Browns efforts to reform the police force and partnerships between black and white churches, Mason said the city has separated itself by acknowledging the problem and working to fix it. Johnsons racial animus, he said, was probably motivated more by national events than Dallas-specific concerns. But he also urged his congregation and, it seemed, political leaders not to be the modern-day version of the men who ignored the desperate traveller at the side of the road before the Good Samaritan stopped to help. Its not about charity that reaches out to the man in the ditch after hes been robbed and maimed, he said. Its about seeing to it that doesnt happen again to him or anyone else. Its about working on the conditions of that road, the policing alongside it, he said, and about ensuring equal opportunity for success all over the city, not just in pockets of it. It wont happen, Mason said, if people refuse to see themselves in their neighbours, as the priest and the Levite dismissed the wounded man. They saw him, but they didnt really see him. They saw someone who should have known better, someone who should have done better, someone who shouldnt be needing their help. You see how we do that all the time? Blaming the victim? Side, he implored, with the one in the ditch. SHARE: LONDONAt first, there was complete silence from officials. As rumours spread on social media, police had nothing to say about allegations of mass sexual assaults and other crimes carried out on New Years Eve in the German city of Cologne. It was only days later that officials reported that hundreds of women were victims of assault in Cologne, Hamburg and other German cities. But numbers that are now emerging are likely to shock a country still coming to terms with what happened in Cologne more than half a year ago. According to a leaked police document, published by Germanys Sueddeutsche Zeitung newspaper and broadcasters NDR and WDR, the previous estimates have to be dramatically revised upward. Authorities now think that on New Years Eve, more than 1,200 women were sexually assaulted in various German cities, including more than 600 in Cologne and about 400 in Hamburg. More than 2,000 men were allegedly involved, and 120 suspects about half of them foreign nationals who had only recently arrived in Germany have been identified. Only four have been convicted, but more trials are underway. On Thursday, a court in Cologne sentenced two men in the New Years Eve assaults. Hussein A., a 21-year-old Iraqi, and Hassan T., a 26-year-old Algerian, were handed suspended one-year sentences. Both arrived in Germany in the past two years, a court spokesman said. He declined to specify whether the two had sought asylum. Officials have linked the sexual assaults to the influx of refugees. There is a connection between the emergence of this phenomenon and the rapid migration in 2015, Holger Munch, president of the German Federal Crime Police Office, told Sueddeutsche Zeitung. Many suspects had originally come to Germany from North African countries rather than Syria, officials said. He also predicted that many of the New Years Eve perpetrators will never be convicted. We have to presume that many of those crimes will never be fully investigated. Germany has relatively low CCTV coverage, which makes it more difficult for investigators to identify suspects. Although it is not expected that significantly more CCTV cameras will be installed in the foreseeable future, other measures have been taken. Germanys Parliament passed a stricter sexual-assault law last week that will make it easier for courts to sentence those who facilitate or are involved in assaults. The new law will be based on the premise that no means no, meaning that sexual assault can be punished as rape if the offender ignores the discernible contrary will of the victim. Lawmakers were facing intense pressure to pass the new legislation, particularly in the wake of the Cologne assaults. Most of the perpetrators in that city stand accused of groping and facilitating sex assaults as part of a group accusations that were difficult to prosecute. The stricter law is also supposed to make it easier for refugees to be deported if they are convicted in sex-assault casesan aspect of the new law that activists and advocates for refugees have harshly criticized. Halina Wawzyniak, a lawmaker from the Left Party, told The Washington Post last week that immigration issues and sexual-assault cases should not be linked, as refugees could end up facing a double punishment by being deported. The debate used to be about no means nonow all that is being talked about in social networks are foreigners again, she said. Read more about: SHARE: SRINAGAR, INDIAIndian authorities struggled to contain street protests Monday by Kashmiris defying patrols and a stringent curfew after at least 25 people died in clashes that followed the killing of a top rebel leader. Paramilitary troops and police in riot gear patrolled villages and towns in the Himalayan region. Most shops were shuttered, businesses were closed, and cellphone and mobile internet services were suspended in parts of the region. But crowds ignored the clampdown and clashed with government troops in parts of the main city of Srinagar and several other places in the region. At least two teenagers injured in the clashes died in a hospital on Monday, said a police officer who spoke on condition of anonymity as he was not authorized to speak to reporters. The protests erupted Saturday, a day after Indian troops killed Burhan Wani, the young leader of Kashmirs largest rebel group, Hizbul Mujahideen, which has been fighting since the 1990s against Indian rule. Wani, in his early 20s, had become the iconic face of Kashmirs militancy, using social media to rally supporters and reach out to other youths like him who had grown up while hundreds of thousands of Indian armed forces have been deployed across the region. Police Inspector-General Syed Javaid Mujtaba Gillani described Wanis killing as the biggest success against militants in recent years. Pakistans foreign secretary expressed its concerns over the killings of Wani and civilian protesters to Indian authorities Monday evening. Foreign Secretary Aizaz Ahmad Chaudhry conveyed to Indian High Commissioner Gautam Bambawale that the use of force against peaceful protesters was a human rights violation and that a fair inquiry should be made into the killings, according to the secretarys statement. Pakistan and India each administer part of Kashmir but claim the region entirely. In the portion controlled by India, opposition to India is strong. Many in the region of 12 million people resent the deployment of hundreds of thousands of Indian troops and openly voice support for the rebels fighting for independence or a merger with neighbouring Pakistan. As news of Wanis death spread among Kashmiris, spontaneous protests grew and crowds of youths threw rocks at Indian police and paramilitary soldiers, shouting Go India, go back! Police said protesters attacked them and burned scores of police and paramilitary posts and some homes of pro-India politicians. At least 24 civilians and one policeman have died from wounds sustained in clashes since Saturday, as law enforcement officers used live ammunition, pellet guns and tear gas to try to break up the protests. Most of those killed were teens and men younger than 26 from southern Kashmir, police said. In addition, more than 150 civilians and 100 government troops have been injured. At least 10 of the injured civilians were in serious condition. In several neighbourhoods in Srinagar, activists painted graffiti on iron shutters of shops and walls, deploring India and eulogizing Wani. Messages that they wrote included Burhan our hero and Burhan still in our hearts. Since the 1990s, more than 68,000 people have been killed in Kashmirs uprising against Indian rule and the subsequent Indian military crackdown. Amid the protests, Indian officials suspended an annual Hindu pilgrimage to a mountain cave that draws about half a million people each year. Authorities also postponed school and college examinations and suspended rail services. Read more about: SHARE: BATON ROUGE, LA.Criticism mounted Monday over how Baton Rouge police dealt with throngs of protesters during the weekend, including nearly 200 demonstrators who were arrested and may yet face criminal charges. The protests have been growing around the country as people express outrage over the recent deaths of two black men at the hands of police in Louisiana and in Minnesota. East Baton Rouge District Attorney Hillar C. Moore III said Monday that his office hasnt made any decisions on charges against the protesters and that it will be done on a case-by-case basis. Were going to do as good job as we can, as quickly as we can, to try to go through the (police) reports as they come in, he said. Moore suggested that first offenders and people who may have just stepped over a line could have their cases resolved more quickly than those for protesters accused of carrying guns or injuring officers. But with tensions rising since last weeks killings of Alton Sterling in Baton Rouge and Philando Castile in Minnesota by white officers, and an attack on police by a black sniper in Dallas that killed five officers, many have questioned whether the police response has been appropriate. Kristy Carter said shes been protesting every night since Sterling was killed. She said that officers outside the police station said they dont have a problem as long as protesters dont cross barricades or stop traffic but that in practice its different. Yesterday evening we were standing here . . . and they just started coming and attacking the crowd for no reason, Carter said of police. They are telling us not to be violent, but they are being violent against us. Jade Flint said police seemed to be getting more agitated as the Saturday evening protests went on. The job is to protect us while we are out here trying to protest for our rights. Not to agitate us and pick and grab people, she said. Also on Monday, the owner of a convenience store where Alton Sterling was shot and killed by police is suing Baton Rouge police, alleging officers illegally detained him for about six hours after he recorded the confrontation on his cellphone. The video shot by Abdullah Muflahi, the owner of Triple S Food Mart, sparked a wave of anger over the arrest and killing of Sterling, a 37-year-old man who Muflahi allowed to sell CDs in front of his store. Muflahi filed suit in state court Monday. In the suit, he alleges police illegally seized his stores surveillance video equipment without a warrant. The suit also alleges that officers illegally seized his cellphone and did not allow him to call his family or lawyer. The suit says he was kept in the back of a police vehicle for four hours and detained at the Louisiana State Police headquarters for two hours while he was questioned. The suit says Muflahi was only a witness and should not have been detained nor had his store equipment seized. Sgt. Don Coppola, a police spokesman, said the department does not comment on pending litigation. Kira Marrero, a 22-year-old resident of New Orleans who graduated last year from Williams College in Massachusetts, was the first protester freed from Baton Rouges jail on Sunday. She accused police of acting in an inflammatory manner and said an officer had pointed a rifle at her and other protesters before her arrest. The American Civil Liberties Union of Louisiana said Monday that Baton Rouge police used violent, militarized tactics on groups of people who have gathered peacefully in protest of Alton Sterlings killing. On Sunday, Amnesty International questioned the high number of arrests during Saturdays protests and whether it was a proportionate response to peaceful protests. Louisiana authorities have said repeatedly that they have no problem with protesters and pointed out the number of rallies that have been co-ordinated with authorities and have gone off without problem. On Sunday some 2,000 people rallied outside the Capitol building, State Police Maj. Doug Cain said, calling that initial protest very organized and peaceful. In the first few days following Sterlings death, police took a more reserved approach to enforcement, keeping a low profile as hundreds of people gathered outside the convenience store where Sterling died. Baton Rouge Police Chief Carl Dabadie Jr. said Friday that his department was striving to avoid a military-style response to the protests. But Friday, tensions ratcheted up. Police have arrested 200 demonstrators over a three-day period and taken to the streets in riot gear, carrying rifles and driving armoured vehicles. Gov. John Bel Edwards said Sunday hes very proud of how Louisianas law enforcement agencies responded to the protests and that he doesnt believe police officers have been overly aggressive. A Baton Rouge police spokesman said Monday that the arrests stemmed largely from people not complying with officers commands. During a confrontation Sunday evening near an interstate ramp, a police officer in an armoured vehicle had warned protesters over a loudspeaker that they would be arrested if they didnt leave the area. Within minutes, officers in riot gear began making dozens of arrests. They had several opportunities to get out of the road, to disperse. They were ignored, said Baton Rouge Police Sgt. Don Coppola. Asked why some officers are armed with high-powered rifles at protests, Coppola said, You dont really know what youre walking into. You want to have every precautionary means that you may need . . . to disperse these crowds. Coppola said the department respects peoples right to protest peacefully, and that people from outside Baton Rouge are largely responsible for confrontations at protests. Police have confiscated three rifles, three shotguns and two pistols during protests, Coppola said earlier in an email. One officer was hit by a projectile and injured in the weekend protests, authorities said. The Justice Department has opened a federal civil rights investigation of Sterlings death. Louisiana Attorney General Jeff Landry said in a statement that he wont have access to the federal investigation on the shooting until its completed and a decision has been made on potential federal charges. Moore, the local district attorney, said the Justice Department would rather not have parallel investigations. Its better that DOJ do their work. Theyre completely independent, from nowhere around here, he said. Moore also said hes recusing himself from any state criminal investigation into the shooting death of Sterling. He cited his professional relationship with the parents of one of the officers involved in the shooting, Blane Salamoni. SHARE: LONDONHungary went further than most of its neighbours last year to keep fleeing foreigners out of the country: It built a more than 100-mile (160-kilometre) long razor-wire border fence and in a strongly-criticized practice, still sends refugees who entered the country illegally to prison. Human rights organizations like Amnesty condemned the country for showing blatant disregard for its human rights obligations. The Hungarian government, however, did not seem to really care. But now, the country has suddenly realized it actually needs more foreigners. Faced with a severe labour shortage, the government is considering plans to invite non-EU guest workers to live in the country. Guest workers are usually allowed to stay and work in a country for a certain number of years but do not hold citizen rights. Economics minister Mihaly Varga has supported demands voiced by the countrys Confederation of Employers and Industrialists to allow hundreds of thousands of migrants from countries outside of the EU into Hungary, according to Austrian newspaper Die Presse. Estimates predict that the nation will need tens of thousands of migrants to make up for its labour shortage and to prevent negative economic repercussions. However, the draft proposal specifies that the country wants skilled, culturally integrable guest workers most likely implying that Muslims are not welcome. Experts who know the country believe that the government is trying to avoid a public backlash over trying to attract foreigners by excluding those it considers not culturally integrable. [T]hey know it will be a hard sell to the Hungarians, given the way the government has staked its legitimacy on being nativist and xenophobic, suggesting that every foreign person who enters the country takes a job away from a native-born Hungarian, said Holly Case, a Brown University professor focusing on eastern Europe, who added that she did not believe the countrys guest worker plans would succeed. Based on whats happened thus far, I think if skilled younger workers have a choice between Hungary and other countries where the xenophobic rhetoric has not been so shrill, they will go elsewhere. Hungarys labour shortage had long been anticipated: Each year, Hungary loses young workers to other EU countries such as Germany or France where wages are much higher. Restaurants and hotels especially have long been struggling to find Hungarians willing to stay. Many young Hungarians simply do not see a future for themselves in Hungary, said Case. The government has not managed to make staying attractive, in spite of all their nativist Hungary for the Hungarians rhetoric. Moreover, birth rates in the country have been low for decades. Consequently, like in much of eastern Europe, the nations population is declining and the share of older and retired people among the total population is increasing. Other European countries, like Germany, face a similar problem which is why German Chancellor Angela Merkel often referred to the possibility that young refugees could ultimately make up for a lack of skilled workers in the country and prevent the collapse of its pension system. Whether or not Germany will become a role model in that regard is still uncertain, though. Since last year, only 30,000 refugees have found jobs out of more than 1 million arrivals. Hungarian Prime Minister Viktor Orban has chosen a different course: He supported programs aimed at attracting non-Muslim skilled workers to the country, while at the same time condemning the influx of refugees and provoking strong rebukes from other EU leaders for comments that some considered xenophobic. We shouldnt forget that the people who are coming here grew up in a different religion and represent a completely different culture. Most are not Christian, but Muslim That is an important question, because Europe and European culture have Christian roots, Orban wrote in an op-ed published last September. Calling Orbans behaviour an example of borderline political communication, Gabor Bernath, a researcher at the Hungarian Academy of Sciences, said that the prime ministers actions were also highly contradictory. Just one year ago, Orban said [in front of] Arab investors: In Hungary, the culture of respect still dominates. The Hungarian governments balancing act will most likely become particularly apparent in the coming months: While the countrys Economics Ministry is preparing to sell the idea of coming to Hungary to potential migrants, Orban still plans to go ahead with a controversial referendum scheduled for October. Voters will be able to decide whether the EU should be allowed to send refugees to their country. Most likely, Hungarians will vote no. SHARE: BAGHDAD The United States will send 560 more troops to Iraq to transform a freshly retaken airbase into a staging hub for the long-awaited battle to recapture Mosul from Daesh militants, Defence Secretary Ash Carter said Monday. The new American forces should arrive in the coming weeks. Most of the engineers, logistics personnel, security and communications forces will concentrate on building up Qayara air base, about 40 kilometres south of Mosul. They will assist Iraqi forces planning to encircle and eventually retake the biggest city anywhere that has fallen under Daesh control. The extremist group, also known as the Islamic State, captured Mosul in the summer of 2014. It has used the city as a main headquarters since. These additional U.S. forces will bring unique capabilities to the campaign and provide critical enabler support to Iraqi forces at a key moment in the fight, Carter said on an unannounced visit to the country. Lt. Gen. Sean MacFarland, the top U.S. commander in the fight against the Daesh group, said they have already received warning orders to deploy and will flow in relatively soon. Carter announced President Barack Obamas decision as he met about 120 troops in a building at Baghdads airport, shielded from scorching desert hovering near 100 degrees Fahrenheit. It is Obamas second U.S. troop increase in Iraq in the last three months, and it brings the total U.S. force authorization there to 4,647. If commanders need more troops, Carter said hell ask the president for them. Carter said he has offered Iraqi leaders more help detecting and countering roadside explosives to enhance security in Baghdad, the scene of several deadly attacks in recent days. He told reporters that U.S. advisers are prepared to accompany Iraqi battalions in operations, as those units begin the Mosul siege. Its not clear when exactly that will happen. U.S. officials said a team of American troops went into Qayara for a quick site assessment Sunday and left. The airfield has two runways, officials said, making it easier to move supplies and personnel. But the facilities are damaged by years of fighting, and may require repairs to be operational. Officials werent authorized to talk about the airfield publicly and demanded anonymity. Iraqi forces retook the Qayara airbase from Daesh on Saturday. Prime Minister Haider al-Abadi hailed the success and said residents should get ready for the liberation. Carter called it a strategic victory. Before arriving in Baghdad, he said the base will be a hub to help Iraqi forces complete the southernmost envelopment of Mosul. He likened Qayara to the eastern city of Makhmour, where U.S. troops set up a fire base for artillery to support advancing Iraqi units. Marine Staff Sgt. Louis F. Cardin was killed there in March in a Daesh rocket attack. MacFarland said the new forces wont go any closer to the fight than troops operating out of Makhmour or Taqaddum, a staging base for the battles in Ramadi and Fallujah. Iraqi forces recently retook both cities. American advisers are working at brigade level with Iraqi special operations forces, but havent accompanied them on operations. Obama in April allowed U.S. troops to assist Iraqi forces at brigade and battalion levels, where they could operate closer to the battle. They would still be behind front lines. They previously were limited to advising at headquarters and division levels, further away. Despite recent battlefield successes, Daesh still controls large parts of Iraq. And it continues to launch deadly attacks, including a massive suicide bombing last week at Baghdads bustling commercial area of Karada, where as many as 186 were killed. Read more about: SHARE: Speed on city roads has long been a public safety issue. In 1897, Toronto considered a bylaw to prohibit cyclists from travelling at an immoderate speed while electric streetcars, which had replaced slower horse-drawn cars, were limited to 8 mph. In the early 1900s, a 10 mph limit, enforced by hefty fines, was imposed on motorists to address dangers from the growing number of automobiles. And this week, with road safety again on the agenda at city hall, the debate will once more be drawn to the question of road speeds. Most people today, as a century ago, dont have to be convinced that a fast-moving vehicle usually poses a greater danger than a slow one. Challenging the road status quo, however, isnt easy given the popular death-is-the-price-of-modern-mobility mentality. Expert agencies, such as Toronto Public Health, B.C.s Provincial Health Office, and the Office of Ontarios Chief Coroner, arent accepting this macabre equation, stressing instead the preventability of road deaths and injuries. They muster solid evidence about the role of speed: A pedestrians chances of surviving a crash at 50 km/h are poor and approach nil with higher speeds. Speeding is a factor in a significant portion of road deaths and serious injuries. A majority of pedestrian (and half of cyclist) deaths in Toronto occur on roads with 60 km/h limits. This evidence allowed city staff who prepared Torontos Road Safety Plan to point council in all the right directions: lower speed limits, stepped up enforcement against speeding, and additional school safety zones, along with various engineering and education measures. Lamentably, the implementation timelines, small budget, and localized targets for action translated into a goal to reduce death and injury by only 20 per cent over the next decade, implicitly accepting 400 deaths and 3,500 serious injuries. Mayor John Tory initially touted the plan until negative public reaction pushed him into an assurance, echoed by public works chair Jaye Robinson, that the safety plan would indeed aim for zero deaths and serious injuries ostensibly conforming with the international Vision Zero model. If Tory and Robinson want to show that their assurance is more than mere spin, their best option is to push enhanced measures to lower speeds. The plan coming before council already includes recommendations to cut speed limits on parts of some Toronto roads, including reductions to 50 and even 40 km/h on particular arterials. Some of these arterials have a strong residential character given the number of older highrise apartments or newer condo buildings. Not only should these proposed speed reductions be implemented in year one (2017) but the plan should go further by eliminating all 60 and 70 km/h limits, except where a convincing case can be made. When the city takes down, at almost no cost, a 60 or 70 km/h road sign, the provincially set default speed of 50 km/h immediately kicks in. To ensure that lower speeds are actually obeyed, enforcement is key. The proposed plan offers only Watch your Speed digital displays to tease motorists into slowing down. The plan proposes this measure even while acknowledging the effectiveness, based on U.S. and Canadian studies, of mobile automated enforcement using photo radar. True, Toronto must first obtain provincial authority to employ speed cameras but a forceful request by Mayor Tory is likely to be granted for fear that the provinces recent disappearing act on road safety will be exposed. The argument that fines for speeding are a tax on driving should be dismissed as quickly as one suggesting that late fees on library books are a tax on reading. A focus on speed limits and enforcement doesnt diminish the importance of engineering and design changes, such as curb adjustments to slow turning motorists, but it does recognize that speed reduction can be achieved very quickly and cost-effectively. And although road safety education is a valuable tool, it isnt a replacement for measures that address the inherent danger of cars and the predictability of human error. Yes, we can continue demanding that road users stop making mistakes which account for 90 per cent of traffic collisions or we can tame the lethal potential of motor vehicles so a small mistake by driver, cyclist or pedestrian doesnt lead to a violent crash. Weve known for a long time that speed matters. Now its time for municipal politicians to address the safety problem on our roads. Speed reductions are the fastest way for our leaders to show they are serious about road safety. Albert Koehl is an environmental lawyer who served on the Ontario Chief Coroners expert panel for pedestrian safety in 2012. Michael Black is a co-founder of Walk Toronto. SHARE: I am proud to live in a country that supports, defends and encourages diversity amongst individuals. I am proud to live in a country where my prime minister, premier and mayor walk in the Pride parade because they choose to participate and support ALL Canadians. I am proud to live in a country where democracy flourishes and the right of free speech exists. I am proud to be a gay black man in Canada. But I am ashamed and disappointed with Pride Toronto and Black Lives Matter. Months ago, the Pride Committee of Toronto decided to give Black Lives Matter (BLM) the status of honorary group. BLM has absolutely nothing to do with the gay, lesbian, transgendered community. They are an organization brought out of community concerns about violence directed toward the black community, notably at the hands of the police. Historically, Pride has distanced itself from political issues not pertaining to members of the LGBT community, notably groups wanting to bring political issues of the Middle East into the parade. Why would Pride then recognize BLM in such a manner? Black people who identify with the LGBT community are already represented, just as are those of other ethnicities, some of whom are much more marginalized than the black community. Having been granted their status what does the BLM group do? They disrupt the Pride parade. And why do they do it? For their own political agenda. Maybe they wanted the police to arrest them for an illegal protest, or for disobeying a lawful order of a peace officer but kudos to the Toronto Police Service for handling the matter professionally. In order to get the Parade back on track, the executive director of Pride agrees to all the demands of BLM, including that police will no longer be welcome in the Pride parade. What could be more political? BLM, an organization who clearly has issues with the police wants the police banned from marching in the parade. BLM responds by saying it wants to be inclusive. What they mean is inclusive for everyone except people they do not like or respect. Fighting discrimination with discrimination seems wrong on many levels. The local police service provide a safe environment every year for Pride (more people attend Pride than any other Toronto festival). It paints its cars in the area in Pride colours, adapt uniforms and have been supporters of the event long before BLM was around. People around the world have long remarked about the police participation in the Pride parade as a statement on our acceptance of LGBT rights and we should not allow BLM to place its own agenda ahead of all the good accomplished thus far. Shame on BLM for disrupting pride for its own agenda. BLM members need to look no further than themselves and realize that their own community has work to do to show everyone how much black lives really do matter. They cannot sit back and say they have done much in the grassroots of their community. My success as a gay black man comes from the attitude of my parents and myself. If anything, my community did little to encourage me to be a success. I am proud of the LGBT community for the way BLM was treated during their protests. They were treated respectfully. What should happen next? Pride should announce that police services can and are encouraged to continue to play an active role as participants in all Pride events. This will naturally infuriate BLM so I encourage them to spend resources and take legal action against Pride. This will show the public what they truly represent and will also serve a harsh lesson to the Pride committee to stay away from making associations with groups that simply want to use the Pride events to further their own agendas. The executive director of Pride should be fired for creating this mess and acting irresponsibly for agreeing to BLMs terms, jeopardizing future Pride events, and allowing BLM to dictate what Pride can and cannot do. Pride is about LGBT rights for everyone it is not a soapbox to promote other political agendas. Pride needs to remember what it is about. You made a deal with the devil this year. Pay the price and learn from your mistakes. Mark Jamieson is a self-employed small businessman in downtown Toronto. SHARE: In February, the federal government reached its goal of resettling 25,000 Syrian refugees in Canada. It was a logistical accomplishment. However, success cannot be measured in plane tickets alone. Many refugees remain deeply traumatized by the savage Syrian war and they all must now adapt to the realities of life in a foreign country with a language and culture that is utterly alien. While Syrian refugees may be physically safe in Canada, it would be deeply regrettable if they became marginalized and disadvantaged in their new home. Without help without hope they will remain strangers in a strange land. That is why our Senate Committee on Human Rights has been studying efforts to aid the integration of newly arrived Syrian refugees. Committee members have heard testimony from government officials, refugee service organizations and refugees themselves in Ottawa, Toronto and Montreal. Other witnesses testified through video conference from Halifax and Calgary. We will table a full report in the fall but given what we have witnessed firsthand the urgent need for services essential to the integration process for refugees we have made some preliminary observations that, in our view, the government ought to consider, especially as more refugees will be arriving. For one, we believe the government should ease financial burdens that threaten to crush refugees from the moment they arrive. It is somewhat cliche to say a refugee arrives in a new country with nothing but the shirt on his back. However, many Syrian refugees are not even that fortunate some are obligated to repay the costs of their flights to Canada and mandatory medical exams. What does it say about a country that extends a hand in friendship and then sends a bill? Further, the government has not been disbursing child tax benefits as quickly as it could, which makes it even more difficult for refugee families to find firm financial footing quickly. Given these observations, we urge the government to accelerate processing times to ease unnecessary economic burdens so refugees do not face financial hardship and needless stress in their first months in Canada. Other difficulties are more difficult to see but no less insidious. For instance, we heard about a young refugee who hid all of his toys under his bed out of fear that soldiers would come and take them away. And another refugee, a mother, forgot that her sponsor had taken her child to a dentist appointment and panicked, believing her child had been kidnapped. The Syrian conflict has claimed minds as well as bodies. Distance alone is not a cure. Thus, our committee believes the federal and provincial governments should strengthen mental health supports for refugees. Without this support, many will struggle to adapt and thrive. Better access to language classes is also critical for successful integration, especially for adults who may not be exposed to English or French to the same degree as their school-age children. As a corollary, access to child care during language classes is essential to ensure parents especially mothers do not get left behind. Refugees must learn our languages if they are to find good jobs and take their proper places as productive members of Canadian society. In our view, federal funding to the provincial and territorial governments for language classes classes that ought to be made available to refugees immediately upon arrival is an essential part of integration. Canadians are justly proud that more than 28,000 Syrian refugees have arrived here to date. The government has celebrated with its #WelcomeRefugees hashtag. While our committee shares in this pride we also note the challenge has barely begun. We heard tremendous gratitude and stories of hope from many of the refugees we met; we also heard they will continue to need our help to integrate. We cannot simply abandon them to fate, or allow their optimism to wither into despair. We have heard about and seen their skills, their experience, their courage and their desire to integrate quickly. We must make it a priority. It will take more than a hashtag and good intentions to make the Syrian refugee program a success. Senators Jim Munson and Salma Ataullahjan are chair and deputy chair of the Senate Committee on Human Rights. SHARE: The damning verdict has been rendered. Last week, after years of snail-paced study, a card-carrying member of the British establishment finally made public an encyclopedia-sized autopsy of how the British political, military, diplomatic and intelligence establishment essentially concocted a case to launch an illegal war in Iraq. As Sir John Chilcot makes plain, central to making that now discredited case, were the largely anonymous inhabitants of the British intelligence community. What Chilcot makes also plain is just how breathtakingly inept that so-called community was in assisting the now equally discredited Prime Minister Tony Blair in fashioning his case for war since we now know, beyond any doubt, that all of it was founded on comical dribs and drabs of worthless intelligence. Of course, there is nothing even remotely funny about the human consequences of a case for war built on sand castlelike intelligence. The scale and nature of the suffering Iraqis have had to endure, and continue to endure, is almost incomprehensible. But the architects of this calamitous war, including British spies, remain rather comfortably around, still immune from any meaningful accountability for their shockingly disastrous performance. Arguably, this is Chilcots most chilling and instructive finding. And it is a lesson that Canadians should heed carefully at a time when this nations vast national security apparatus that was constructed, in large measure, to mirror the British has, since 9/11, been lavished with extraordinary resources, people, money and powers by a succession of Conservative and Liberal governments. In the immediate residue of Chilcots report, we should also pay attention to another important lesson now and in the future. The popular caricature of spies and their work, perhaps most notably embodied in the fantastical worlds of James Bond and Jason Bourne, is a dangerous, albeit entertaining, myth. Most spies are bureaucrats. They work in mammoth, unwieldy bureaucracies. They often sit at a desk doing often mundane chores. The truth is, that like other bureaucrats toiling in bureaucracies resistant to change, they make serious mistakes. In the netherworld of the espionage business, however, the costs of making such errors can be terribly high. But Chilcot goes much further in his scathing indictment of Britains spy chiefs. He paints a deeply unsettling picture of how institutionally rotten, even sinister, British intelligence was in the run up to the war. He reveals, for example, how top spooks placed unquestionable faith in an Iraqi source they insisted enjoyed unprecedented access to a gold mine of Iraqi secrets that would be the key to unlock the blueprints of Saddam Husseins chemical and biological arsenal. The head of MI6, Britains foreign intelligence service, was so convinced of the sources bona fides that he personally assured the government his coveted mole would deliver the goods, neatly downloaded onto a CD. Turns out, another MI6 officer later discovered the prized sources ironclad evidence that Saddam had a thriving weapons of mass destruction (WMD) program, and planned to expand it, bore a striking resemblance to a plot thread in the movie The Rock, featuring former Bond actor Sean Connery. Even after doubts were raised about the sources outlandish claims, the same obdurate spy chiefs clung to him like a lifeboat and eagerly included the made-up crap he was shovelling into a dodgy dossier about Saddams phantom WMD capabilities. Belatedly, the Iraqi source was exposed as a fraud. But, incredibly, senior spies continued to share the lies he peddled with other arms of government. Eventually, the intelligence he provided, Chilcot reports, was quietly removed. But the damage was done. Chilcot concluded the intelligence intended to be used to justify war was presented with a certainty that was not justified. Chilcot provides more examples of other shoddy intelligence produced by politically adept bureaucrats that claim, the shopworn rhetoric goes, to be at the vanguard of protecting a nation. In fact, they were more preoccupied with protecting their careers. As a possible remedy for this debacle, Chilcot has reportedly recommended strengthening the current mechanisms in place to keep watch over the supposed watchers. Recall that Canadas Liberal government recently set up a small parliamentary review body to somehow keep tabs on the security intelligence architecture that spans 20 agencies and departments, employs thousands, and enjoys multibillion dollar budgets. If Chilcot has taught us anything its this: a tiny parliamentary committee modelled after the British committee isnt going to keep Canadas spies in check. What happened in Britain can certainly happen here. We better listen to that warning. Andrew Mitrovica is the author of Covert Entry: Spies, Lies and Crimes Inside Canada's Secret Service. SHARE: Medical experts across Canada and the United States have been sounding loud warnings for the past few years about the explosion of deaths related to overdosing on opioid-related drugs. One U.S. authority compares the epidemic to the rapid spread of AIDS in the late 1980s and early 1990s. Now theres new evidence about the disproportionate impact on one specific group recently released prisoners. And it underlines the need for more robust services to treat them in the crucial first days when they are returning to the community. The evidence comes from a study carried out by researchers at St. Michaels Hospital and the University of Toronto. They found that a tenth of adults in Ontario who died of a drug overdose between 2006 and 2013 had been inmates in a provincial jail within one year of their death. That means recent prisoners were 12 times more likely to die of an overdose than someone in the general provincial population. Its a striking difference, and its similar to the results of similar surveys in Britain, the United States and Australia. Also striking is the fact that many of the deaths came almost immediately after inmates were released. Twenty per cent of deaths occurred within a week after a prisoner was set free, and 9 per cent came in the first two days. Researchers say that suggests there is a critical time period right after release when intervention might make a difference, and cut the rising death toll from drug overdoses. That might include better education on drugs, substituting other drugs for opioids like the painkillers oxycodone and fentanyl, and changing the way doctors prescribe drugs. It also involves readier access to naloxone, an antidote to opioid overdoses. Ontario took an important step toward that in the past week when Health Minister Eric Hoskins directed his ministry to expand the provinces naloxone program to include newly released inmates. Ontario has joined British Columbia, Alberta and the federal government in making naloxone more easily accessible. The provinces are making naloxone kits available in pharmacies without the need for a prescription. And Ottawa has added the antidote to its list of drugs covered by the national pharmaceutical program for aboriginal people, who suffer disproportionately from opioid addiction and overdose deaths. These are all positive steps toward fighting the rise of drug deaths. Focusing on prisoners and those just out of prison could cut the toll even more. SHARE: There may be a case some day for pushing the Yonge subway north to Richmond Hill but not now, and perhaps not even 20 years from now. Given current crowding it would be utterly irresponsible to lengthen the route to introduce another 165,000 daily riders. But that isnt stopping York Region politicians from rashly pressing for a seven-kilometre subway extension. As reported by the Stars Ben Spurr, York has launched a public relations campaign aimed at pressuring Ottawa and Queens Park to fund this ill-advised project. A Yonge Subway Now! website is up and running urging everyone to get on board and back the expansion. It includes a petition, optimistic statistics, and a wall of support section where people are encouraged to post photos of themselves holding signs bearing slogans such as: Full funding NOW. Earlier this year 16 York Region mayors, councillors and senior staff travelled to Ottawa and met with Prime Minister Justin Trudeau on this issue. And last month, responding to Yorks pleas, the provincial government announced it would provide $55 million to fund planning and design work on the proposed route. The new PR campaign is meant to maintain momentum in the wake of that announcement. One might admire the determination of York Region politicians if it wasnt for such an ill-conceived purpose. Toronto Transit Commission officials have quite rightly warned that the Yonge line simply cant absorb a deluge of new riders from York. And it wont be in a position to do so until completion of the long-awaited subway relief line. That will require at least $6.8 billion, which hasnt yet been allocated, and the project might take decades to complete. York officials are counting on finding some breathing space on the Yonge line in the next few years through congestion-easing efforts that are already underway. These include measures to upgrade the subways signal system and automatic controls, allowing trains to run more often. But even with such initiatives, the already-packed Yonge line is projected to reach a crisis point after 2031. If a relief line isnt open by then, thousands of York Region riders will only exacerbate the crunch. And given the lethargic pace of subway construction in Canadas largest city, its not at all certain that relief will arrive in time. Under these circumstances it would be reckless to build a northern extension of the Yonge line. The aggressive sales pitch coming from York Region cant change that reality. Read more about: SHARE: Re: U.K. Iraq war inquiry slams former PM, July 7 U.K. Iraq war inquiry slams former PM, July 7 Ah yes, Tony Blair invokes a classic psychopathic scenario: I did it because I believed it was for the greater public good. And because the likelihood of punishment was very low indeed. The names George W. Bush and Blair will forever be recognized as war criminals. Uber Alles till the end but not soon enough. Richard Kadziewicz, Scarborough The captioned picture says it all: Bush, Blair and their cronies have blood on their hands. As leaders of the unauthorized, illegal wars in Iraq and Libya these two criminals must be made to account for their part in ruining the two countries and having brought anarchy to the region. They must be tried for their crimes at International Criminal Court. Raza Kara, Richmond Hill SHARE: With its title, The Death of Rex Nhongo suggests a nod to classic crime fiction. Yet this is no ordinary mystery where clues are laid, suspects assembled and the identity of a killer is ultimately exposed. Instead, quiet tales of domestic breakdown are strung on a thread of psychological suspense that tightens around the title characters death. Rex Nhongo was the nom de guerre of Solomon Mujuru, a former independence warrior and a key figure in Zimbabwes political landscape. In real life, Mujuru had a turbulent career as a powerful army general, who would later challenge Robert Mugabes influence within ZANU, the Zimbabwe African National Union. Mujurus death remains controversial to this day, with some suggesting that Mugabe ordered a hit on his opponent. Burned beyond recognition, Mujurus body was found in his Alamein farmhouse not far from Harare. [Background: Inside Mugabes Violent Crackdown] So begins C.B. Georges cleverly plotted, suspenseful new novel. But we learn nothing about Rex Nhongo or who his enemies may have been why gunshots were heard at the farmhouse or why Nhongos death was deemed an accident when he died in a fireproof home with multiple means of egress. And there is no overarching explanation of the current state of Zimbabwean politics. Thats just as well, as the novel quickly settles into a deft commentary on the nuances of race and culture in a politically corrupt post-colonial society. A character named Jerry sums up one variant of this post-colonial thought: What was it about the white people in this country, foreign and local alike, that made them profess their love of the place, before complaining about its horrors and then, finally, sanctifying their various roles in its redemption? The push and pull of race relations and of privilege vs. economic deprivation are found in the simpler moments of everyday life: at mixed dinner parties, in the cost of airtime for a mobile phone or of gasoline to run a taxi, and in the paradoxical necessity of lifesaving/life-ending prostitution. As we consider these unvarnished realities, we become aware of a weapon that is quietly present throughout: the gun that may or may not be associated with Rex Nhongos death. This mystery begins when a taxi driver named Patson takes on a fare he hopes will rapidly forget him: Mandiveyi, a dead-eyed intelligence officer, who leaves his gun under the seat of Patsons taxi. From there, the gun will weave its way through the lives of several other couples, who differ wildly from each other in origin, outlook, race and socioeconomic status. Jerry and April are Britons stationed in Zimbabwes capital city of Harare. April holds a steady embassy job that enables her to look down on the locals. Jerry, without a work permit, ends up volunteering as a nurse rather than struggling through the obfuscations of Zimbabwes permit system. It turns out that Patson is also Jerrys occasional, unreliable driver, a man who appears to be living out the dog days of his marriage to Fadzai, a practical woman who runs her own moderately successful kitchen. Fadzais brother, Gilbert, is a charismatic intellectual from the countryside hoping to wheel and deal his way through the big city, placing more stock in philosophy than in the hard-bitten lessons of his countrys history. Gilbert is still in the stages of a blissful affection for his wife, who works with a head-down steadiness as Jerry and Aprils maid, completing a tiny, troubling circle that is soon to spiral out of control. The Death of Rex Nhongo paints a somber picture of the minor degradations and inarticulate sorrows of married life. Everyone in the story attempts to pass on risk to everyone else. The gun left in Patsons taxi is a deadly piece of evidence, implicating and endangering anyone who comes across it. Through the authors careful crafting of plausible scenarios, we understand the gun may fall into anyones possession at any moment through loose talk, as a means of defense, by the deliberate attempt to trace it or simply through the random vagaries of life. As marriages break apart and re-form on the tides of survival in Zimbabwe, we can only speculate with horror as to which of these characters lives will be destroyed by the presence of the gun. Perhaps thats the point. In this painfully resonant story we see the absurd fragility of our own humanity. Ausma Zehanat Khan is the author of the novels The Unquiet Dead and The Language of Secrets. Read more: 37 books weve loved so far in 2016 Pervert Park, a documentary in PBSs POV series, examines the lives of residents at a Florida trailer park that offers housing and counseling to registered sex-offenders, many of whom have nowhere else to live. (Courtesy of Lasse Barkfors) The Bachelorette (ABC at 8 p.m.) After some romantic moments in the Argentinian countryside, JoJo will narrow her suitors down to the four men who will introduce her to their home towns and families. American Ninja Warrior (NBC at 8) The top 30 competitors from the Los Angeles qualifier tackle a daunting obstacle course that includes the invisible ladder. VH1 Hip Hop Honors (VH1 at 9) Rapper Eve hosts this star-studded event honoring hip-hop pioneers Queen Latifah, Missy Elliott, Salt-N-Pepa and Lil Kim. Devious Maids (Lifetime at 9) Evelyn goes on a date, and Carmen pretends to be Danni at an audition, but the real drama revolves around Rosies increasingly dangerous efforts to prove Spences innocence. UnReal (Lifetime at 10) As Rachel deals with the trauma of being attacked by Jeremy, Chet becomes an unlikely source of support. On set, Darius makes a shocking decision. BrainDead (CBS at 10) Laurel tries to persuade her infected friend Abby to undergo an MRI in hopes of identifying the cause of strange behavior on Capitol Hill. PREMIERES Jericho (Acorn TV streaming) A widowed mother of two opens up a lodging house for railway workers in this eight-episode British period drama, set in the 1870s. As intriguing visitors arrive, a crime occurs that threatens her familys chance at a new life. returning Penn and Teller: Fool Us (CW at 8) Alyson Hannigan hosts Season 3 of this show, which finds up-and-coming magicians attempting to trick the comedic duo. The Making of the Mob: Chicago (AMC at 10) This eight-episode docudrama recalls the rise and fall of infamous gangster Al Capone and his successors, known as the Chicago Outfit. documentary Pervert Park (WETA at 11) Part of PBSs POV series, this documentary examines the lives of residents at a Florida trailer park that offers housing and counseling to registered sex offenders, many of whom have nowhere else to live. D.B. Cooper: Case Closed? (History at 9) In Part 2 of this documentary, a former FBI agent and crime journalist reveal new information about the mysterious man who hijacked a plane in 1971 and made off with a $200,000 ransom. Late Night Full Frontal With Samantha Bee (TBS at 10:30) The host delivers her weekly dose of razor-sharp commentary. Conan (TBS at 11) Liev Schreiber, Ron Funches, M. Ward. Daily Show (Comedy Central at 11) Repeat: Laverne Cox. Fallon (NBC at 11:34) Kristen Stewart, Chris Colfer, Shawn Mendes. Colbert (CBS at 11:35) Bryan Cranston, Busy Philipps, the Hollywood Vampires, Young Greatness. Kimmel (ABC at 11:35) Repeat: Zac Efron, Adam Devine, Walton Goggins, Melanie Martinez. Corden (CBS at 12:37) Repeat: Mindy Kaling, Gordon Ramsay, Transviolet. Meyers (NBC at 12:37) Emma Roberts, Scott Speedman, Gnash, Thomas Lang. Kids follow a ball as it makes its way through a complicated Rube Goldberg machine at the 2015 Thingamajig Invention Convention in Upper Marlboro, Maryland. (Albert Nimley/YMCA of Metropolitan Washington) Ever make a thingamajig? How about a whatchamacallit? On July 28, the YMCA of Metropolitan Washington will host its 22nd annual Thingamajig Invention Convention, a free science and engineering festival at the Show Place Arena in Upper Marlboro, Maryland. Kids ages 5 to 14 can program Lego robots, learn from master-makers in workshops led by NASA and the Federal Aviation Administration, and make whatsits out of recycled materials in one of a dozen invention challenges. If you register by July 18 click here to find the registration you can participate in a cooking contest, show off your short film, run a relay race or even walk the runway in a recyclables fashion show. You can even showcase that invention youve been working on in your room for the past few months. More than 3,000 kids are expected at the convention, YMCA marketing director Linda Blake said. There should still be plenty of thingamabobs to go around. After a season of disputes and departures including those of chief executive Jim VandeHei, Politico Playbook columnist Mike Allen and Editor Susan Glasser Politico Editor in Chief John Harris announced Monday that current Managing Editor Carrie Budoff Brown will replace Glasser at the end of this election season. Brown has been with Politico since its start in 2006. Upon hearing of Politico, she contacted the three co-founders Harris, VandeHei and Chairman Robert L. Allbritton and arrived to work a month before its launch. Brown has led the web publications policy news coverage and established the Politico brand overseas in Brussels. She will succeed Glasser once she steps down in November. Im thrilled to be back in Washington, Brown said in a Monday conference call. Browns appointment comes after a series of announcements regarding high-profile departures, revealing tumult and disagreement within the news organization. In January, VandeHei said he would be leaving Politico in November to start an unnamed new media venture, and the company announced that Allen and other senior managers would also be departing. Though reports initially stated that the departure was on amicable terms, VandeHei left in April after reports of bad blood with Allbritton. Allen followed in June, though he stressed that he was leaving on good terms. [Why the marriage at the top of Politico couldnt be saved] Glasser announced in November 2015 that she would be leaving her post as editor of the political news operation, as she would be relocating to Jerusalem with her husband. After the election, she is slated to continue with Politico as chief foreign affairs columnist while also taking on a role in editorial innovation, helping Harris and Albritton to guide the global expansion of Politico. Never mind that the angler weighed only 65 pounds and was 9 years old. She reeled in a nearly 95-pound cobia fish and set a Maryland record for the largest fish of that type caught in the state. Emma Zajdel of Ocean City might also have broken a record for young anglers who catch big fish, according to wildlife experts. The tale of how Emma entered the record books began June 30. She and her fishing buddy, Ashton Clarke, had gone out with her father, Ed, and Ashtons dad, Robert. It was the end of the day, and they were headed back to shore in her fathers boat. They had some bait left, so they decided to try for more bluefin tuna. Emma Zajdel, 9, of Ocean City, Md., caught a record 95-pound cobia fish. She weighs in at 65 pounds. (Courtesy of Maryland Department of Natural Resources) Their boat was approaching Little Gull Shoals, about a mile and a half east of Assateague Island on Marylands Eastern Shore, when the two lines trolling in the water went tight. Clarke took the rod off the rail and passed it to Emma. She placed the rod in her fighting belt, a device used for hauling in large fish. As soon as the rod was in place, the fish took off, and she set the hook. At first, we thought it was a shark, and the line was going out. I could hear the reel and the drag, and I thought I could go over the side, she later told officials with the Maryland Department of Natural Resources. She struggled with the fish for about 20 minutes as her father kept the boat in gear to keep the line tight. They got the fish into the boat, despite it going ballistic when they got it on board, and iced it down in a fish box. The marina was closed when they got to the dock, so they kept the fish in Eds Chevrolet Silverado for the night. Word spread about the catch, and other anglers encouraged them to call state wildlife officials for a certified measurement. This is a big one, said Joe Evans, a spokesman for the Maryland Department of Natural Resources Fisheries Service. They were very experienced and knew what they were doing. Emma, who is the youngest of three girls in her family, has been fishing since she was a toddler. Her first fishing rod had Mickey Mouse on it, her dad recalled. Emmas dad said he tries to raise his kids to be humble, but this fishing trip was different. You dont want to think years down the road, Gosh we should have weighed it, he said. Officials with the states wildlife service weighed it the next day at Sunset Marina in Ocean City, and a state biologist inspected the fish, confirming its size. It measured 66.5 inches and weighed 94.6 pounds. Compare that with Emma, who stands at 52 inches and weighs about 30 pounds less than the fish. Emmas reaction, according to Evans: She was unfazed by the whole thing. Emma broke a record set two years ago when a Potomac, Md., man caught a 79-pound cobia in waters near Ocean City. Maryland wildlife officials said Emma also probably set a new bar for the International Game Fish Associations Small Fry World Record for a fish caught by an angler under the age of 10. The international game fishing group can take up to a year to determine whether a record has been set. Emmas dad said she goes fishing weekly. Even when catching more typical smaller fish, it is the same fun experience, he said. Emmas newfound stardom seemed to sink after state wildlife officials issued a news release Monday announcing her big catch and several media outlets contacted her to seek an interview. What did she think? Its cool, she said Monday evening from aboard the boat with her dad. She said she was a bit nervous, excited and mostly surprised when she hauled in the big fish. Wildlife officials in Maryland said there are no restrictions on catching and keeping cobia in the states waters. Cobia typically are found along the Atlantic coastline in the summer and average about 23 pounds. The world record is 135 pounds, caught in 1985 in Australia. And in Virginia, a record cobia caught in 2006 weighed 109 pounds. Emma kept her fish and ate it. Her dad helped to feed 40 friends and relatives for an annual Fourth of July party. Emma told wildlife experts, It tasted very good. The Districts booming housing market is shrinking the number of apartments and shelter beds available for victims of domestic violence, even as the number of city residents needing such shelter continues to rise, advocates say. The rising cost of housing and a loss of private money means nonprofits can subsidize the rent for fewer than 20 abuse victims a year, down from 45 apartment subsidies two years ago. At the same time, the Districts only short-term crisis shelter for abuse victims and their families has shrunk from 22 apartments to 18, and the shelter must relocate because those units are being put on the market come fall. The scarcity of housing, advocates say, means domestic-violence victims sometimes stay with their abusers rather than impose on friends and family or escape to a homeless shelter or the streets. When we ask survivors why they had to stay, one of the top answers is always lack of access to housing, said Karma Cottman, executive director of the D.C. Coalition Against Domestic Violence. They stay because they cant afford to go anywhere else. [11-year-old buries her mom after hearing the shots that killed her] Domestic-violence-related calls to D.C. police have risen from a little over 31,000 a year in 2011 to 34,966 in 2015, according to the D.C. Coalition Against Domestic Violence. Every year, the number of people visiting the citys domestic-violence intake centers increases by hundreds. The uptick can be explained by the citys growing population and increased awareness about the crime, Cottman said. At the same time, the number of safe beds continues to shrink. Dozens of the 187 shelter rooms and transitional housing apartments dedicated to domestic-violence victims and their children are at risk of disappearing because nonprofits cannot afford to subsidize rising rents or compete with the commercial residential market. The D.C. government has struggled to find money to cover the higher costs and the end of funding from the Freddie Mac Foundation, which used to allocate hundreds of thousands of dollars to housing for abuse victims every year before deciding to cut back. One 29-year-old woman says she spent two years looking for an apartment she could afford away from her boyfriend, who was physically abusive to her. But everything was too expensive, and the waiting lists for housing programs seemed impossibly long. In the end, the woman said, enduring her boyfriends beatings seemed better than moving her three kids into a homeless shelter. I didnt have my own money, said the woman, who asked to remain anonymous out of fear of retribution from her abuser. Everything relied on him. So I thought I had to ride it out. [Supreme Court: Abusers can be barred from owning guns] Some days, she thought he would kill her. Worst of all, she said, her oldest son was starting to understand what was going on. When you see that your kids are afraid of their own dad, you know, she said. They shouldnt have to wipe my tears away. She reapplied for the transitional housing program at My Sisters Place in January and was placed on a waiting list. Since February, she and her children have lived in a cousins two-bedroom apartment with six other people. Im so grateful to my cousin, but its not easy, she said. Its not your home. You know that at any time, their situation could change and we could be out on the street. One of four nonprofits that house domestic-violence victims, My Sisters Place used to subsidize rent for about 25 families a year. But in the past three years, the average monthly subsidy has doubled from $600 to more than $1,200 to keep up with rents in gentrifying neighborhoods. The loss of funding from the Freddie Mac Foundation has compounded the problem. My Sisters Place has accepted only four new families into the subsidy program this year, interim executive director Carol Loftur-Thun said, compared with an average of 25 new families two years ago.The organization cannot fund more subsidies without dipping into the money used to operate its 45-person shelter in which victims generally stay for three months. We had to choose between our shelter and our transitional housing program, Loftur-Thun said. It has broken our hearts to have to make such hard choices. Another nonprofit, the District Alliance for Safe Housing, may end its rental subsidy program altogether, for the same reasons. Previously, that program was able to help 20 families at a time. But the program scaled back to 15 last year, Executive Director Peg Hacskaylo said. Unless the nonprofit can find more money by the fall of 2017, the program will close after the current families leave. [Median rental price for one-bedroom D.C. apartment is $2,000 a month] The changing real estate market has forced the organization D.C. SAFE to look for a new location for its emergency shelter the only short-term crisis shelter for domestic-violence victims in the city and reduce the number of beds available at the existing facility. D.C. SAFE began renting 22 units in a condominium complex in the eastern part of the city in 2011. Last year, the developer told the organization that he wanted to reclaim those units and sell them to private buyers once D.C. SAFEs lease ran out. The organization surrendered four of the units in exchange for being able to stay in the building one more year. Now it is looking to purchase its own property or lease units elsewhere. But officials say they are having a hard time finding something affordable. Its the citys responsibility to make sure we can still provide these services; its not the developers responsibility, said Abraham Ahern, strategic oversight manager at D.C. SAFE. Last year, D.C. SAFE housed 429 families in the condo building and in hotel rooms, where the organization places victims when there is no space in the shelter. Our advocates are coming up with the most creative options they can, Ahern said. But Im not going to lie, sometimes the solution just doesnt exist for them to get into stable housing. Other cities across the United States, such as Los Angeles, Portland, Ore., and New York, have also struggled with rising housing costs and a shrinking number of affordable places, said Monica McLaughlin, deputy director of public policy at the National Network to End Domestic Violence. In one day in 2015, more than 7,000 victims across the country requested housing help but were unable to be served, according to the networks 2015 census. On one night in 2015, 1,304 people in D.C.-area homeless shelters said they were homeless as a result of domestic violence, according to the regional point-in-time census. The D.C. Councils Committee on the Judiciary and the Committee on Health and Human Services noted in their 2017 budget reports that the amount of emergency and transitional housing for abuse victims does not meet the need. Additional funding and resources specifically to assist survivors of domestic violence secure and remain in safe and affordable housing are essential to ensuring their safety, the Judiciary Committeess report reads. In fiscal year 2016, the Districts Office of Victim Services and Justice Grants gave $4.7 million of its $18.8 million in available awards to the four organizations that provide housing services for domestic-violence survivors, Director Michelle Garcia said. That is an increase of $1.9 million since fiscal year 2010, with most of the additional money going to D.C. SAFE. The D.C. Department of Human Services reallocated $719,000 in other funds to the District Alliance for Safe Housing, My Sisters Place and House of Ruth to help make up for the loss of money from the Freddie Mac Foundation, but advocates say it was not enough to close the gap, let alone meet the growing demand. The providers are appropriately advocating on their part, Garcia said. They recognize that demand is greater than the current capacity. Everyone would benefit from more funding. Council member Kenyan R. McDuffie (D-Ward 5), who chairs the Judiciary Committee, said there is not enough money in the city budget to address the needs of domestic-violence survivors. He said the city and nonprofits need to consider applying for more federal money and private grants. Weve been providing as much as we can, but unfortunately, that funding just isnt enough to help every victim, he said. We cant leave any other option on the table. For another domestic-violence survivor, one year in an apartment subsidized by My Sisters Place was enough time for her to get back on her feet after leaving a husband who had abused her for years. Now working three part-time jobs, the 37-year-old woman is financially independent, paying the rent on her apartment and supporting herself and her three kids. I dont know what I wouldve done otherwise, said the woman, who also asked that her name be withheld. It was the only hope I had. This file photo from 2011 shows the annual admissions lottery for the Capital City Public Charter School in Columbia Heights. Many parents come to the drawing despite of the slim chances to win one of the highly desired spots for their children. (Astrid Riecken/For The Washington Post) The annual anxiety-inducing lottery for the Districts public and charter schools can be a headache for parents. But for public policy researchers, its proving to be a data gold mine, providing information on what parents value most in schools and what factors influence their decisions about where to send their children. The collected data can give direction to District education leaders about how to respond to those desires, and the findings could have implications for other school systems, said Steven Glazerman, co-author of How Do DC Parents Rank Schools, and What Does It Mean For Policy? The policy was paper released last month by Mathematica Policy Research. Decisions are currently made without necessarily knowing or having any way to predict what parents want, Glazerman said. Right now, you dont really have a good way of predicting consumer demand for a school. With data like this you can say, okay, lets run this policy through this simulation and see how it would affect enrollment at neighboring schools and what would it be at this new school. [Read the study: How do D.C. parents rank schools?] In the study, funded by the Walton Family Foundation, Glazerman and co-author Dallas Dotter analyzed school-choice preferences submitted in 2014 by more than 22,000 applicants for the Districts 200-plus traditional and charter public schools. The researchers then took the data and ran it through various models to see how different policies might affect school enrollment. On the whole, they found that parents of D.C. Public Schools students want their children to attend high-performing schools that are close to home and are racially diverse. Thats not a huge surprise. But what interested the studys authors was looking at how school preferences varied based on race, income and the grade to which the student was applying, and how those preferences might change if different options were available. One of the attributes examined was academic performance, which is measured in D.C. schools by proficiency rate (the percentage of students scoring above a certain level on the Districts standardized tests) or accountability ratings. The study looked at how far D.C. families would be willing to send their child if choosing between the best- and worst-rated public or charter schools. The typical family, the study found, would send their middle school student seven miles farther to attend a school with the highest possible rating. [From the archives: Wait-list numbers are up for District schools] The study also found that parents prefer schools that have a high percentage of students who are of the same race or ethnicity as their child. But thats only the case if their child would be in the smallest minority group in the school. If a child would not be in the smallest minority group, the issue is less important to parents, according to the researchers. African American students in the Districts elementary schools are typically either a majority or large majority population. Hispanic and white students are typically minority populations in their schools. The study found that parents of white students applying to elementary schools had a strong preference for schools where their child would be in a small majority. This was less the case for parents of Hispanic students and not a factor for parents of African American students. By middle school, parents of whites and African American students both exhibited a strong preference to have their children in a school where their racial group was sizably represented. For middle school students, the study found that the current lottery system leads to less segregation by race than would a neighborhood school system, in which schools would serve only students who live nearby. For Glazerman, the study is a starting point for aiding districts in responding to parents needs and for shaping the direction of education options. We have this process that we are using to assign parents to schools, but its also a process for learning what parents value when they choose a school, he said. To make better decisions about how we allocate resources, we need to be using those data wisely. I see this study as a way to use those data to find out better what parents want, how they trade off attributes of schools and then how that information can be used to predict consequences of different policy choices. THE DISTRICT Man who died in river is identified Authorities identified a man who died Saturday night after a car plunged into the Potomac River, killing two people. At about 10:40 p.m. Saturday, a vehicle traveling north on Ohio Drive near Parkway Drive left the road and plunged into the Potomac, the U.S. Park Police said in a statement Monday. One passenger swam to shore and alerted authorities, and both the driver and another passenger were found dead in the vehicle, the statement said. On Monday, police identified one victim of the crash as Marquis Goodman of Washington. Police did not say whether Goodman was the driver or a passenger. The passenger who survived was taken to a local hospital, but no information about that persons identity or condition was available, police said. The cause of the crash remains under investigation. Justin Wm. Moyer MARYLAND Five people are shot at vigil for dead man Five people were shot and wounded in Baltimore on Monday evening while attending a vigil for a man who had been shot and killed on Sunday, police said. Four women and a man were hit by gunfire about 6:35 p.m. in the 1800 block of West North Avenue, which is near the scene of rioting last year. None of the wounds appeared to be life-threatening, police said. Police said the victims were shot by an unknown gunman who approached a group of about 20 to 30 people holding a vigil for Jermaine Schofield, 24, who was killed at the North Avenue site Sunday morning. Baltimore police said they had not determined motives or suspects in the Monday attack. VIRGINIA Office building is evacuated; no injuries A four-story office building in Falls Church was evacuated Monday morning for about an hour after authorities said a suspicious note was found in the lobby. Officials with the Falls Church police would not give details about the note, citing security concerns. The call came in to police about 10 a.m., and the building in the 900 block of South Washington Street was evacuated as a precaution as explosive-sniffing dogs searched the property. Susan Finarelli, a spokeswoman for the police, said the note was not directed at anyone or anything. She said no one had reported being injured. About 11 a.m., officials said they had gotten the all-clear signal for the building. Dana Hedgpeth THE REGION Red Cross appeals for more blood donations The Red Cross said it is seeing nationwide blood donations drop earlier this summer than in previous seasons and is making a plea for people to donate. Typically, the group said, it sees a decline in the number of donations in the summer months and particularly after the Fourth of July, when many people are on vacation and their routines change. The decline in donations usually occurs in August, but this summer, Red Cross officials said, they started to notice a decline in July. The Red Cross said it has had 39,000 fewer donations than it needs. Usually, the organization said, it has a five-day blood supply on hand but that has declined. Dana Hedgpeth Last November, Aaron B. Collier filled a ballot sheet while voting in Woodbridge. Because of this years heated presidential contest, Prince William County elections officials want voters to know about all the changes in the election process. (Jahi Chikwendiu/The Washington Post) As presumptive presidential nominees Hillary Clinton and Donald Trump continue their runs for office, Prince William Countys Office of Elections and the Electoral Board are waging a campaign of another sort: voter education. County officials are making a special effort to reach out to voters, particularly those who havent cast a ballot since the most recent presidential election, in 2012. (Registrar Michele L. White calls them cicada voters because they come out only every four years.) The idea is to make sure theyre informed about matters, such as how voting has changed over the past four years and ways to limit lines on Election Day (Nov. 8). Anything we can do to get word to the voter and make the voting experience quicker and easier would be a benefit for all voters in Prince William County, Winston Forrest, Prince Williams election communications coordinator, said in an email last week. Some of the outreach is technology-based. For example, the elections office launched a website, pwcvotes.com, designed to make it easier for voters to find the information they most need, Forrest said. Everything related to voting can now be done online, save for actually casting a ballot, said Keith A. Scarborough, secretary of the electoral board. The elections office also is looking at adding a YouTube channel, Forrest said, and it started a text-message service to alert subscribers about upcoming events and voting-related deadlines. Anyone who texts PWCVOTES to 94253 can opt in. Other election-information sharing is being done the old-fashioned way word of mouth. The elections office dispatched staff members to talk to county residents at events including Nokesville Day and last weeks Fourth of July parade in Dale City. They also plan to attend the county fair, which begins Aug. 12, and other gatherings. Were going to as many events as we can find out about, Scarborough said. He said elections staff members also were on hand at a recent naturalization ceremony at the Hylton Performing Arts Center in Manassas. As soon as participants were sworn in as U.S. citizens, they were able to register to vote in Prince William. As of Tuesday, the county had 260,948 registered voters, Forrest said. That figure is expected to grow by as much as 20,000 by the Oct. 17 cutoff. The information the elections office wants to make sure these voters know ranges from basic regulations to specifics about the 2016 presidential contest, expected to pit Clinton (D) against Trump (R). For example, many Prince William residents, such as commuters, may be eligible to vote absentee and not realize it. If they choose that option, lines at the polls could be shorter. People have all these misconceptions about absentee voting, Scarborough said, including inaccurately thinking that absentee votes arent counted unless an election is close. The office also wants to help voters show up at the correct polling places Nov. 8 and understand how voting machines work. Prince William has 14 new voting precincts and a couple of changed voting locations since 2012, Forrest said. The county switched last year to optical scanner voting machines. Voters who are used to the old touch-screen technologies may not understand how they work and need to be educated in their use, Forrest said. (To illustrate Whites point about voters coming to the polls only in presidential years, local turnout in 2012 was 71.1 percent compared with last years 27.9 percent.) And the 2016 ballot will include two proposed amendments to Virginias constitution. If voters can learn about them before they show up at the polls, the wait to vote could be lessened. Most people never see proposed constitutional amendments until they step into the voting booth, Forrest said. This slows down the process and creates longer lines than necessary on Election Day, he said. Whites office also is looking to hire more than 600 new election officers to work the polls this year. About 1,600 election officers are needed in the precincts on Election Day, Forrest said. In general, Scarborough said he is excited about the steps the office is taking this year. There were long lines at some Prince William precincts in 2012, he said, and the county doesnt want to repeat that scenario. We know that its going to be another high-turnout election, Scarborough said. Sunday, July 10 Loss of Spouse bereavement support group For people who have lost their spouse within the past two years. 2-4 p.m., McCoart Administration Building, 1 County Complex Ct., Woodbridge. Free. 703-957-1871. Grief support and expression through crafting A support group for bereaved middle and high school students. 4:30-6 p.m., McCoart Administration Building, 1 County Complex Ct., Woodbridge. Free. 703-957-1871. Al-Anon Family Group 8 p.m., Haymarket Baptist Church, parish hall, 14800 Washington St., Haymarket. 703-969-2726. Monday, July 11 Potomac Mills Walkers Club Registration is 8-9 a.m. weekdays. Walk is 8-10 a.m. Monday-Saturday, Potomac Mills, 2700 Potomac Mills Cir., Woodbridge. Free. 703-496-9301. Al-Anon Family Group Noon and 8:30 p.m. Mondays, 7 p.m. Tuesdays, 8:30 p.m. Wednesdays and Saturdays, 10:30 a.m. Thursdays, noon Fridays, Bethel Lutheran Church, 8712 Plantation Lane, Manassas. Free. 888-425-2666. al-anon.info. Cancer support group For patients, survivors and their families. 6 p.m., Novant Health Prince William Medical Center, Medical Building 1, fourth floor, Conference Room C, 8700 Sudley Rd., Manassas. Free. 571-261-3600. novanthealth.org/cancercalendar. Al-Anon Family Group 7 p.m., St. Paul United Methodist Church, 1400 G St., Woodbridge. 703-534-4357. Overeaters Anonymous/HOW 7 p.m., Bethel Lutheran Church, 8712 Plantation Lane, Manassas. 703-823-6682. oanova.org. Parenting class Systematic Training for Effective Parenting, a six-week class for Spanish-speaking parents. 7-9 p.m. Mondays, through Aug. 22, Dr. A.J. Ferlazzo Building, 15941 Donald Curtis Dr., Woodbridge. $40; registration required. 703-792-6288. Tuesday, July 12 Overeaters Anonymous sunrise meeting 7 a.m., St. Benedict Monastery, 9535 Linton Hall Rd., Bristow. Free. 202-437-5070. oanova.org. Yoga for Cancer The focus is on breathing and body awareness. Bring a mat and water. 6-7:30 p.m., Sentara Northern Virginia Medical Center, 2300 Opitz Blvd., Woodbridge. Free; registration required. 800-736-8272. Alzheimers Association support group Facilitated by a trained group leader. 7 p.m., Woodbridge Senior Center, 13850 Church Hill Dr., Woodbridge. 800-272-3900. alz.org/nca. Parenting class Systematic Training for Effective Parenting, a six week class for Spanish-speaking parents. 7-9 p.m. Tuesdays, through Aug. 23, Sudley North Government Center, 7987 Ashton Ave., Manassas. $40; registration required. 703-792-6288. Wednesday, July 13 Diabetes support group Dannielle VonDerLinden discusses the best way to take care of your feet. 10 a.m., Sentara Northern Virginia Medical Center, 2300 Opitz Blvd., Woodbridge. Free. 703-523-0590. Weight loss support group 11 a.m., Spirit and Life United Methodist Church, 4223 Dale Blvd., Woodbridge. Free. 703-878-7779. Cancer and caregiver support group 7 p.m., Sentara Northern Virginia Medical Center, 2300 Opitz Blvd., Woodbridge. Free. 703-670-1236. Parenting class Systematic Training for Effective Parenting, a six-week class for parents of school-age children. 7-9 p.m. Wednesdays, through Aug. 24, Dr. A.J. Ferlazzo Building, 15941 Donald Curtis Dr., Woodbridge. $40; registration required. 703-792-6288. Thursday, July 14 Overeaters Anonymous Meditation and Writing meeting 7 a.m., St. Benedict Monastery, 9535 Linton Hall Rd., Bristow. Free. 703-361-0106 or 703-754-9237. oanova.org. Celebrate Recovery meeting A 12-step, Christ-centered recovery program for those with hurts, habits and hangups. 6 p.m., Park Valley Church, 4500 Waverly Farm Dr., Haymarket. Free. 571-261-2136. Surviving After Suicide support group A confidential group for family members and friends who have lost a loved one to suicide. Call for location. Second and fourth Thursdays, 7:30 p.m. Free. 703-368-4141. Families Anonymous A 12-step program for adults with family members with substance-abuse problems. 8 p.m., Manassas Presbyterian Church, 8201 Ashton Ave., Manassas. Free. 703-928-9385. familiesanonymousva.com. Friday, July 15 Alzheimers Association support group Facilitated by a trained group leader. 11 a.m., Westminster at Lake Ridge Retirement Community, 12191 Clipper Dr., Lake Ridge. Free; registration required. 800-272-3900. alz.org/nca. Saturday, July 16 Overeaters Anonymous A Literature Study meeting. 9 a.m., Trinity Episcopal Church, 9325 West St., Manassas. Free. 703-794-9774. oanova.org. Anxiety support group Recovery Inc., for people who have anxiety, depression, fear or other emotional problems. 10 a.m.-noon, Cokesbury United Methodist Church, 14806 Blackburn Rd., Woodbridge. 703-441-0840. Al-Anon Family Group 7 p.m., Trinity Episcopal Church, 9325 West St., Manassas.703-969-2726. al-anon.info. Every weekday for a few years, Malik Mosley switched from a full-time worker to a full-time student at 8 a.m., when he finished his overnight shift at an Aberdeen, Md., warehouse and drove to class at Morgan State University in Baltimore. He managed that workload until a family member suffered an illness in 2013. Juggling school and work while helping to care for his family became too much to manage, and he dropped out during his junior year. Today, Mosley has a degree in finance, thanks to a program at Morgan designed to entice students who have dropped out to return and finish their degrees. The program has been embraced by the state and is being replicated at other universities and community colleges across the state. Students who go straight from high school to college and then finish their degrees in four years make up only 44 percent of the students at University System of Maryland colleges, which include 12 of the states public institutions. College students in general increasingly work more hours and are more likely to have families. By intervening with dropouts and at-risk students, college administrators said they hope to boost their graduation numbers and help students achieve their goals. To have someone give you a second chance, it was what I needed, said Mosley, 26, of Parkville. Until recently, when students formally dropped out or simply stopped enrolling in classes, the onus fell on them to re-enroll and finish their degree. But faced with flagging graduation rates, some colleges are turning to creative methods to retain students. Tiffany B. Mfume, director of Morgans Office of Student Success and Retention, had just switched to a new cellphone carrier in 2009 when she got a letter in the mail from her old phone company stating We want you back! with a list of benefits she could get if she returned. That pitch gave her an idea. What if Morgan could use that same strategy to lure back students who had stopped enrolling in classes? Mfume launched Morgans Reclamation Initiative the following year and began calling and emailing students who had stopped attending. The school also mailed them letters modeled on the one she got from the cell phone company, complete with We want you back! and a list of perks they could get if they returned, such as a scholarship of up to $2,500 and one-on-one help with the re-enrollment process. The initiative started as a way to raise Morgans six-year graduation rate, which was 29 percent in 2011. But the university has since expanded the effort to reach those who dropped out many years ago. Since 2010, about half of the 134 students Mfume reached out to have re-enrolled. Morgans six-year graduation rate has risen from 29 percent to 31 percent. The university has a goal to raise the rate to 50 percent by 2025. After hearing about Morgans program, state lawmakers started a similar statewide initiative through the Maryland Higher Education Commission called One Step Away. Under that program, colleges can vie for grants of up to $75,000 to pay for scholarships to lure back students, or to pay for support staff or software to help walk students through the process of re-enrolling. The schools target former students who have less than a year left to complete their degrees and are in good academic standing. Colleges that have won the grants since 2013 include Morgan, many Baltimore-area community colleges, Bowie State University and Notre Dame of Maryland University. The program is aimed at a state goal of 55 percent of adult Marylanders earning a college degree by 2025. As of 2013, the latest year for which data was available, 43.6 percent of Marylanders had at least an associates degree. These students arent out there sitting on the couch waiting to come back, said Becky Verzinski, Bowie States assistant vice president for assessment. Theyre out there working full-time jobs or raising families or serving in the military. When you understand what it is that theyre facing, it makes it all the more worth it to help them return and get that degree. Baltimore Sun Monique Jackson Asante, 38, of Woodbridge was charged Monday with laundering money stolen from the Alexandria Fire Department. (Alexandria Sheriff's Office) A former employee of the Alexandria Fire Department has been charged with helping steal and launder city funds as part of a six-year-long scheme. Monique Jackson Asante, 38, was indicted Monday on a charge of money laundering. A former administrative assistant in the fire department, she is accused of facilitating the submission and payment of fraudulent invoices for training and supplies from January 2010 to January 2016. According to city officials, the fire department learned in late February of this year that Jackson Asante might have mishandled city funds. The Woodbridge resident was terminated the next day. The city is seeking incarceration and full restitution, but spokesman Craig Fifer could not say how much money was involved. The city has taken disciplinary action against several employees for failing to catch the lengthy scheme, according to a statement from Fifer. Alexandria has also tightened oversight and hired a third-party auditing firm to review its internal financial controls. Prosecutors say additional people may be charged as part of the ongoing investigation. Seth Rich came to D.C. to pursue a career in politics and most recently worked at the Democratic National Committee. Rich was shot dead in his Northwest Washington neighborhood on July 10. Here is what's known about the murder. (Claritza Jimenez/The Washington Post) Seth Rich came to D.C. to pursue a career in politics and most recently worked at the Democratic National Committee. Rich was shot dead in his Northwest Washington neighborhood on July 10. Here is what's known about the murder. (Claritza Jimenez/The Washington Post) Seth Rich made such an impression as a teenage intern in Nebraska that a U.S. Senate campaign hired him before he completed high school. A young man who dreamed politics, he headed to Capitol Hill after college. As a staffer at the Democratic National Committee, Rich worked tirelessly on a project to help voters easily find their polling places. But he was lighthearted, too, sometimes pulling on a sweatshirt adorned with a picture of a panda, his favorite animal, just to make co-workers laugh. Early Sunday morning, the 27-year-old was shot to death in a crime that has shaken not only his family, colleagues and friends, but also many in his Bloomingdale neighborhood of Northwest. Police said they have no suspects, witnesses or motive, though they are looking at whether Rich could have been slain in an attempted robbery. Rich was found shot several times, at least once in the back, about 4:20 a.m., after police were alerted by sensors that detect the sound of gunfire. ShotSpotter sensors drew officers to Flagler Place and W Street, a block from a townhouse Rich moved into two years ago with several roommates. Richs father, Joel I. Rich, 68, said he believes that his son was killed after resisting a robbery in which the assailant got nothing the victims watch, wallet and credit cards were not taken. Police are hoping to locate surveillance video and are urging people to come forward with information. The elder Rich said that he didnt know where his son had been before he was shot but that he thinks that he was walking home and talking on the phone with a woman he dated. Rich wasnt sure whether they were talking when the attack occurred. He wanted to make a difference, Joel Rich said of the younger of his two sons. Politics was in his blood. He called his sons killing a waste. In his final posting on Facebook, Seth Rich addressed a nation racked by shootings by and of police: Too much pain to process. We have to be better and defend each other. . . . A life is exponentially valuable. I have family and friends on both sides of the law. Please, stop killing each other. Acting D.C. Police Capt. Anthony Haythe, head of the homicide unit, said detectives are examining several recent robberies in the area to determine whether any can be linked. There were two robberies in the city in the hour preceding the shooting, both more than one mile away. Three people were robbed at gunpoint and another person was carjacked within four days in June on Flagler Place, near where Rich was shot. Police report 20 armed holdups in Bloomingdale so far this year, compared with eight at this time in 2015. Bloomingdale, a rectangular-shaped community split by Rhode Island Avenue, has made a turnaround over the past several years, emerging from a neighborhood hit hard by crime into one attracting new homeowners. Construction work to alleviate persistent flooding has resulted in the shutdown of numerous streets and turned many others into dead-ends, which some residents say has created a poorly lit labyrinth that traps people and benefits robbers. City officials promised to work closer with police to improve lighting and visibility by removing construction tarps that block views of the streets. Teri Janine Quinn, who heads the Bloomingdale Civic Association, called the killing and recent holdups terrifying. She added: No one wants to be able to feel they cant go home at night. Nobody wants to hear that someone was murdered blocks from their home. Rich grew up in Omaha, living with his parents and older brother, and fell in love with politics at an early age. A senior in high school and in need of volunteer credits, a teacher brought him to the reelection campaign for former U.S. senator Ben Nelson (D-Neb). Marc Shultz, then one of Nelsons campaign officials, quickly hired the young political protege. He was a great kid, but he also was the real deal, Shultz said. Rich enrolled at his hometown Creighton University, studying political science and public policy, and while a sophomore, worked on the U.S. Senate campaign for Nebraska businessman Scott Kleeb (D), who lost. Kleeb said Rich was his field organizer, which he described as the most unglorious part of campaigning. Its the person who has to organize the people who sit behind the table to take your email so we can contact them afterward. Kleeb said Rich made volunteers feel valued. After graduating in 2011, Rich was quickly hired by a national polling company and moved to the District. Two years later, he went to the Democratic National Committee, where he worked on the development of a computer program that allows people to enter their names and have maps drawn to their polling places. It required data culled from every voter precinct in the country. The DNCs chairwoman, Rep. Debbie Wasserman Schultz (Fla.), said in a statement that Rich worked to protect the most sacred right we share as Americans the right to vote. Rich moved to First Street two years ago. He didnt have a car, and he walked or bicycled to work, with only ice stopping him. He wore a panda suit whenever he could his mother said he simply found them cute and adorable and for July 4 he dressed from shoe to shirt in the garments of the Stars and Stripes. In one photo, hes holding a beer wrapped in a American-flag cooler. His father said Rich volunteered at the Humane Society and was first to help colleagues who were going on vacation and needed a dog sitter. He recently ran into Shultz, who hired him on the Nelson campaign, while heading to lunch on Capitol Hill. The two reminisced about Nebraska politics. They also sometimes found each other at Lounge 201, a bar on Capitol Hill, to take in University of Nebraska football games. James Green, the field director on Kleebs Senate campaign and now an accounts executive, also became friends with Rich, who he described as a proud, Nebraska Democrat. Lover of Husker football and Creighton Bluejays basketball. In this business, people cycle in and out, but not him, said Green, who had planned to see Rich and other friends soon over drinks. He was going to be a rising star. Its just tragic that his life was cut so short. Unfortunately I couldnt say goodbye to my friend. Jennifer Jenkins, Abby Phillip and Perry Stein contributed to this report. Lt. Brian Rice, one of the six members of the Baltimore Police Department charged in connection to the death of Freddie Gray, arrives at a courthouse July 7. (Patrick Semansky/AP) A judge threw out an assault charge Monday against the highest-ranking officer charged in the arrest and death of Freddie Gray, dealing a blow to prosecutors, who are halfway through their fourth trial and have yet to secure a conviction. Shortly after the state rested its case Monday afternoon, Judge Barry G. Williams ruled that prosecutors didnt present enough evidence to show that Lt. Brian Rice committed assault when he loaded Gray into the back of a police transport van without seat-belting him. I simply am not satisfied even at this stage, Williams said, that the charge of assault has been met. The ruling came in the third day of trial against Rice, who still faces counts of manslaughter, reckless endangerment and misconduct in office. He has opted for a bench trial before Williams rather than have his case heard by a jury. Before his trial began, prosecutors agreed to drop one of two misconduct charges against the lieutenant. Rice is the fourth of six officers charged in Grays arrest and death to stand trial. Two other officers were acquitted after bench trials before Williams, and a third is scheduled to be retried in the fall after a jury in his case deadlocked in December. Rice and other officers arrested Gray the morning of April 12, 2015, after the 25-year-old fled from them. After a brief chase, they shackled Grays wrists and legs and loaded him into a police van without seat-belting him. At some point during the ride to jail, Gray suffered a severe neck injury. His death in the hospital a week later sparked protests and later riots in the city. Prosecutors allege that Rice bore the ultimate responsibility for Grays safety because he was the senior officer on the scene during Grays arrest. Rice also was present when the van stopped a few blocks away and Gray was placed in leg shackles and loaded back in. In court Monday, defense attorney Michael Belsky urged the judge to dismiss all the charges against Rice. He argued that prosecutors, in three days of witness testimony, failed to show that Rice had a duty to protect Gray after the van left the scene. He said both the assault and reckless endangerment charges fell short because Rice wasnt the van driver. The state has asked this court to jump from Point A to Point Z, Belsky said. Prosecutor Michael Schatzow contended that Rice set the stage for Grays injury by not seat-belting him. The charges, the prosecutor said, didnt require the lieutenant to commit the actual harm. Its the difference between pulling the trigger when youre playing Russian roulette and handing someone the loaded gun, Schatzow said. Had he been restrained, the assault would not have taken place. The judge left the other charges intact but suggested he had been on the verge of dropping the reckless endangerment charge against Rice, calling it an extremely close call. The days proceedings began with prosecutors calling two of the other officers charged. Both have filed defamation lawsuits against the state, claiming prosecutors made false statements about them. Officer Edward Nero, who was acquitted of assault and other charges in May, underscored a key point the defense raised in its opening statements: that the scene of Grays arrest quickly became hostile and dangerous for officers, with people circling the van and yelling. Nero said he, Rice and other officers rushed to get Gray into the van and leave. We didnt know what could happen at that point, Nero said. All I knew was we had to get out of there. Nero was argumentative under questions from Schatzow, who balked at the officer's claims that Gray, with his hands cuffed behind his back, was flailing during his arrest. Schatzow pressed Nero on the decision not to get Gray seat-belted, saying the officers had multiple opportunities. Lt. Rice had no conversation with you about whether to seat-belt Mr. Gray, did he? Schatzow asked. No, Nero said. Officer William Porter, who is awaiting retrial after earlier proceedings ended in a hung jury, also briefly took the stand. He acknowledged that he met at least twice with Rices legal team to discuss his testimony. Porter said when he encountered Gray near the end of the vans trip through West Baltimore, Gray was calm and docile, with no signs of injury. Defense attorneys began their case by calling Donta Allen, the sole prisoner to ride in the van with Gray during the last leg of the trip. Allen repeated testimony he has given during previous trials, saying he heard Gray moving on the other side of the vans metal partition but couldnt see him. Rices trial is set to continue Tuesday. Protesters block Chain Bridge Road near the Fairfax County Courthouse Monday as part of Showing Up for Racial Justice, a series of demonstrations by white activists across the country to draw attention to police shootings of African Americans. Four people were arrested for blocking morning rush-hour traffic. (Tom Jackman/TWP) Four people were arrested Monday for blocking morning rush-hour traffic in Fairfax City, Va., as part of a series of protests in six cities against police violence. The arrested protesters were in a group of about 20 demonstrators from Showing Up for Racial Justice, a national network of organizations that on Monday staged rallies by white activists calling for racial justice. The group had scheduled events in six cities, including Philadelphia and Portland, Ore. The effort follows the fatal police shootings last week of two black men, Philando Castile in a suburb of Minneapolis and Alton Sterling in Baton Rouge, and the deadly ambush of five police officers in Dallas by a black man who said he was angry about police violence. [How Philando Castiles killing change the way blacks talk about traffic stops] The demonstrators in Northern Virginia started their protest shortly before 9 a.m. at the Fairfax County jail. A protester is lead away after blocking Chain Bridge Road. (Tom Jackman/TWP) There, they delivered a letter addressed to county Sheriff Stacey Kincaid demanding that disciplinary action be taken in the 2015 stun gun incident that killed Natasha McKenna, a mentally ill woman who died after a struggle with sheriffs deputies inside the jail. Were asking that Sheriff Stacey Kincaid fire the six officers who tortured Natasha McKenna, said Cat Clark, who helped organize the demonstration. [$15.3 million lawsuit filed in death of inmate at Fairfax County Jail] Kincaid and the deputies involved in the incident are defendants in a wrongful death lawsuit filed last month by McKennas family. Her spokeswoman declined to comment on the demonstration. Sgt. Natalie Hinesley, a Fairfax City police spokeswoman, said the group marched from the jail to nearby Chain Bridge Road and blocked traffic for about 30 minutes. After they were asked to disperse several times, four of the activists were taken into custody and now face misdemeanor charges for obstructing traffic, Hinesley said. Two men fled a house in Anne Arundel County on Saturday night after being shot in a confrontation with a third person, authorities said. One of the wounded men was later found dead in a pickup truck. The other forced his way into a house in an apparent effort to get help for his wounds. But he also died, county police said. It was that mans attempt to get aid that brought the matter to police attention, police said. They said it touched off a call about a possible burglary. Officers who responded found the man at the house in the Severn area, where he had broken in through a window. He was taken to a hospital, where he died, police said. Meanwhile, police began searching the surroundings of the house where the man was found in the 7600 block of Sandy Farm Road. Police said they found a pickup truck a short distance away. Inside the truck was a dead man, they said. It appeared that he, too, had been shot. An investigation into both deaths showed that both men had been shot at a nearby house, police said. The address police gave was in the same block of Sandy Farm Road, where the possible burglary had been reported. Both men left that house after being wounded. Police said that the man in the pickup truck had apparently tried to drive away when he died. Police said they thought that what had happened was an isolated incident that posed no threat to public safety. The names of those who died were withheld until relatives could be notified. An autopsy is to be conducted. The deaths were the seventh and eighth homicides this year in Anne Arundel County, police said. The incident occurred within a mile of the southwestern corner of Baltimore-Washington International Marshall airport. The Red Cross said it is seeing its nationwide blood donations drop earlier this summer than in previous seasons and is making a plea for people to donate. Typically, the group said it sees a decline in the number of donations in the summer months and particularly after the Fourth of July, when many people are on vacation and their normal routines change. The decline in donations is usually found in August, but this summer, Red Cross officials said, they started to notice a decline in mid-July. We typically see a drop in the summer months as many people are vacationing, said Beth Toll, a spokeswoman for the Red Cross. Typically we wouldnt see this until August, but this year were seeing it earlier, for whatever reason. The Red Cross said it has had 39,000 fewer donations than it needs. Usually, the Red Cross said it has a five-day blood supply on hand, but it has dropped. On average, the Red Cross said it collects about 14,000 donations a day that help supply more than 2,600 blood transfusion centers and hospitals across the country. During the week of the Fourth of July, many places dont do blood drives, Red Cross officials said. Roughly 650 fewer blood drives are held that week than during a typical, non-holiday week, officials said. Summer is one of our most challenging seasons to collect enough blood, but patients need blood no matter what time of year it is, said Chris Hrouda, executive vice president of the Red Cross Biomedical Services. Those interested in donating should go to redcrossblood.org to find a center or call 800-733-2767. A runner passed through the quiet National Law Enforcement Officers Memorial in Washington on Sunday afternoon and paused over a small pile of flower bouquets and handwritten condolences. Her shoulders began to shake. She brushed tears from her cheeks with the back of her hand. She is a police officer, though she declined to give her name. She said she didnt expect to be so moved. But standing there alone at the makeshift memorial for the Dallas victims was a gut punch a reminder of a friend who had been killed in the line of duty. Its sad, she said, choking back tears. I dont know how to express it. For law enforcement here and across the country, the killing of five law enforcement officers patrolling a peaceful protest in Dallas on Thursday has caused many to relive the heartbreak of friends lost and to feel more anxious about an already perilous job. [Dallas police chief: Shooter seemed delusional, scrawled cryptic messages in blood] After the police shootings of two African American men in Louisiana and Minnesota, anger at law enforcement is inflamed. Officers in other states have been targets of attacks. Departments have received death threats. David Thomas, a retired police officer from Gainesville, Fla., who now runs a private therapy practice specifically for officers, said police have always had to worry about their safety, but that the concern now is ten times what it normally would be. In the past few days, he said he has spoken to police chiefs in Florida who told him theyve received threats in which callers claim to know where officers go for coffee and say they intend to target them there. [He didnt die for no reason: Philando Castiles sister recalls conversation before death] The job itself is a tough job, Thomas said. But you rarely have police become the prey, so to speak, and thats what you see now. Its very disconcerting to have to worry about that [in addition to] your normal vigilance. Sgt. Matthew Mahl, head of the union that represent officers of D.C.s Metropolitan Police Department, said while hes unaware of any direct threats here, he knows officers are generally feeling more anxious about their safety. Police officers sign up to do a job and know the inherent risks, but the climate the nation has been under, police officers are more wary of what theyre doing and watching their back two or three times, he said. Mahl said there has been outreach to officers all weekend, reminding them of counseling programs available for them to talk to someone. But Roderick Torrence, a 26-year-veteran of the D.C. police department, said he isnt more fearful patrolling the streets of Washington. Here, where protests and large gatherings are almost daily occurrences, Torrence said, people frequently thank him for his service. But he did acknowledge that those gestures are even more appreciated after Dallas. At this time, its good to hear that, he said. [Murder, plain and simple: Grief in Baton Rouge days after Alton Sterling shooting] At a midday prayer service in Dallas on Friday, Police Chief David Brown said law enforcement is not a field where officers often hear the words thank you. When the event was over, citizens lined up to hug the officers in attendance. That touching moment of solidarity was so significant for officers emotional well-being after Dallas, said Ron Clark, a retired police sergeant in Connecticut, who runs BadgeofLife, a police suicide-prevention program. All they want is a little recognition; not one bad apple to sully the whole profession, he said. There are stupid cops out there who make mistakes, Clark said, but no one sits at a station house, loads their weapon and says, Im going to kill someone tonight. Police officers knew going into law enforcement that their work wouldnt always make them popular, but the negative attention in recent years has started to wear on morale, he said. The shooting in Dallas has exacerbated that. The officers Ive talked to, they are all on edge, he said. Its almost like they are under siege. [Dallas pastor T.D. Jakes says fatal police shootings have left nation frustrated, fatigued] Sitting on a concrete bench Sunday under the shade of trees, Joe Cavanagh, 65, stared at the names of fallen police officers etched along the perimeter of the National Law Enforcement Officers Memorial in Washington. He retired from the Cleveland police department in January after 25 years. He felt compelled to visit the memorial with his wife and daughter in part because of what happened in Dallas. But when he found the names of two friends who died in the line of duty, he started to cry. All the policemen I know sure, there are some people who shouldnt be policemen, theres no doubt about that but all in all, the majority of policemen come from good families, good backgrounds. Theyre just really good, well-rounded people, he said. Its just a tragedy. His wife, Jan, said she always knew when he left the house for a shift there was a chance he wouldnt come back. But she pushed it to the back of her mind and reminded herself that he was doing work he loved. Cavanagh said police work is a rewarding job, but there wasnt a day that ended when he didnt pause to appreciate that he was still alive. You always have that thought, he said. You say to your partner or whoever youre with, Were alive today. THE DISTRICT Car plunges into the Potomac; 2 found dead Two people were found dead inside a car that plunged into the Potomac River late Saturday, authorities said. The car was found in the water near the Roosevelt Bridge early Sunday. U.S. Park Police said that a vehicle going north on Ohio Drive near Parkway Drive left the road at about 10:40 p.m. Saturday and crashed into the Potomac. One passenger swam to shore and alerted authorities, Park Police said.The vehicle was found about 1 a.m. The driver and another passenger were found dead inside. The surviving passenger was taken to a hospital. Police did not release the identities of the deceased. According to preliminary reports, the vehicle apparently went over or through a railing before going into the water. Just downstream from the bridge, however, a section of river bank has no fence or railing. The cause of the crash and the causes of the deaths are being investigated. Michael Smith and Martin Weil Man is shot to death in Bloomingdale A man was shot to death early Sunday in Northwest Washingtons Bloomingdale neighborhood, D.C. police said. Around 4:50 a.m., police responded to reports of gunfire in the 2100 block of Flagler Place NW, just a few blocks from Howard University. Police found a man suffering from multiple gunshot wounds. The man died at a hospital. His name was not released. Michael Smith MARYLAND Husband charged in estranged wifes death A woman was found fatally shot in Oxon Hill on Saturday night, and her estranged husband was arrested, Prince Georges County police said. They said Jamila Odom, 41, of Beechwood Court in Waldorf, was found in a car about 10:10 p.m. Saturday in the 7400 block of Oxon Hill Road, where a shooting had been reported. She had been shot at least twice and was dead when found, police said. They said Daron Lincoln Odom, 41, who lives in the 7400 block of Oxon Hill Road, drove to a county police station after the shooting and surrendered to police. Police said he was charged with first- and second-degree murder. The husband and wife were estranged, the police said. Martin Weil Man is held in killing of neighbor, 62 A neighbor has been arrested in connection with the killing of a woman found dead in her Landover Hills home Friday, Prince Georges County police said. They gave the motive as robbery. Police said Robert James Crosby, 61, was charged in the death of Lenise Fredericks, 62. Both lived in the 4100 block of 71st Avenue, police said. They said they were called to the street about 6 p.m. Friday to check on Frederickss welfare. They found her dead with trauma to her upper body, police said. The nature of the wound or wounds was not specified. Police said Sunday that a preliminary investigation reveals the motive is robbery. Further details were not available immediately. It was not clear whether any weapon was involved. Police said Crosby was charged with murder and robbery. Martin Weil THE REGION String of 90-degree days comes to an end It was hardly necessary to have a thermometer, or a weatherman, for that matter, to know that Sunday was different. The temperature, for the first time in the past six days, did not reach 90 degrees. As of 5 p.m., Sundays high at Reagan National Airport was 86 degrees. That was 5 degrees cooler than any day since Tuesday. Martin Weil A federal judge on Monday blocked Virginia from enforcing a law that would have required all of the states GOP delegates to vote for Donald Trump, the winner of its presidential primary, at the national nominating convention next week. The victory gives a token boost to Free the Delegates, a national organization seeking to allow delegates to vote their conscience, even if that means bucking the results of caucuses and primaries. However, the ruling may not have a practical impact because the nomination process is governed by rules that will be adopted by the Republican National Committee at the convention in Cleveland. The decision came on the same day that Republican activists began a week of meetings to set the rules they party will use to officially nominate Trump. The lawsuit was filed by Carroll Beau Correll Jr., a lawyer from Northern Virginia and a member of Free the Delegates who backed Sen. Ted Cruz of Texas in the Virginia presidential primary. Among other things, Correll argued that the state law that required him to vote for Trump would have violated his First Amendment right to free speech. One of Corrells lawyers, David B. Rivkin Jr., called the ruling symbolic and very important. It is an excellent opinion that makes perfectly clear that states like Virginia cannot constitutionally regulate the way in which political parties arrange their affairs, including how delegates to the national convention vote, said Rivkin, a partner at Baker Hostetler. But Lindsay Walters, a spokeswoman for the RNC, also claimed victory. Todays decision in Correll upholds the right of political parties to set their own rules for national convention delegate selection and allocation, she wrote in an email. It affirms our First Amendment right to require that delegates be bound to primary results, and it makes clear that delegates are bound under national party rules. Lawyers in the office of Virginia Attorney General Mark R. Herring (D) defended state law, joined by eight Trump supporters and delegates from Virginia who petitioned to be part of the case. The group includes John Fredericks, a conservative radio show host from Chesapeake, Va., and Eugene A. Delgaudio, a former Loudoun County supervisor and conservative firebrand who survived a 2014 recall effort. Michael Kelly, a spokesman for Herring, said RNC rules will still dictate the delegate allocation. Its still up to the Republican Party to figure out how to resolve this internal dispute with dissatisfied delegates, Kelly said, and the commonwealth will not be able to pursue criminal charges against delegates no matter how they vote, which it had no intention of doing anyway. The state has not yet decided if it will appeal, he said. Judge Robert E. Payne of the U.S. District Court for the Eastern District of Virginia issued the ruling after a hearing July 7, during which experts discussed Republican National Committee rules. Although the rules could change, Virginia allocates delegates proportionally based on the primary results. That means Trump, who won 35 percent of the primary vote, would receive 17 of the states 49 delegates. Sen. Marco Rubio (Fla.) would receive 16 delegates, followed by Cruz with eight, Ohio Gov. John Kasich with five and retired neurosurgeon Ben Carson with three. And Mr. Correll has no problem with that, Rivkin said. [The ruling] takes state compulsion off the table. With the convention starting next week, there is no time for similar lawsuits in other states, Rivkin said. But Correll has said that he thinks a ruling in his favor would affect similar laws in at least 20 other states. Free the Delegates wants to wipe out the ballots of millions of caucus and primary voters and leave the nomination up to thousands of delegates to the convention. The proposal would need to win the votes of at least half of the 112-member convention rules committee, but just 28 votes to be introduced to the full convention as a minority report that would open up the issue to all 4,272 convention delegates. Organizers say they think they have at least 28 votes. OKeefe reported from Cleveland. A wall of police officers faced off against protesters along Baton Rouge's East Boulevard on July 10. "She has a poster, you have a shotgun," one protester yelled as police rushed onto yards and sidewalks to arrest the demonstrators. (TWP) A wall of police officers faced off against protesters along Baton Rouge's East Boulevard on July 10. "She has a poster, you have a shotgun," one protester yelled as police rushed onto yards and sidewalks to arrest the demonstrators. (TWP) On the first two nights after Alton Sterling was pinned to the ground and fatally shot by police, people gathered peacefully and purposefully around the Triple S convenience store, where Sterling had been selling CDs when a call came in to 911 that a man in a red shirt had threatened someone with a gun. Young people sat on cars with music streaming out open windows, waved some placards and generally commiserated, while sipping beer. The police were nowhere in sight. They seemed to be maintaining a respectful distance. Then came the idea to take the protest to police headquarters Friday evening, where temporary steel barricades had been erected to wall off the building, even before a Dallas gunman struck down 12 police officers, killing five, during the end of a peaceful march through that city. Riot police appeared, their faces covered with plastic masks. Protesters couldnt understand a word of what they were supposed to do, or where police wanted them to go, they said in interviews. Soon, more than 30 had been arrested, and by Saturday night, they arrested some 102 more, most for the charge of obstructing public property. Black Lives Matter activist DeRay Mckesson made sure his arrest was streamed live on Periscope, and as that virally spread, the behavior of this capital citys police officers became the latest flash point in the angry and polarized debate over policing and race. 1 of 41 Full Screen Autoplay Close Skip Ad The scene in Baton Rouge after a police officer fatally shoots a black man View Photos A video captured the shooting of Alton Sterling, 37. Outrage spread across the city. Caption A video captured the shooting of Alton Sterling, 37. Outrage spread across the city. July 11, 2016 Dakeria Anderson, 9, protests with the help of her sisters D'liyah, 6, and D'anyriah, 8, across the street from the Triple S market. The girls father, Dewayne Anderson, said that he had been protesting since the beginning and the girls wanted to know what it was all about. Bonnie Jo Mount/The Washington Post Wait 1 second to continue. Early Sunday evening, even after the Louisiana governor and city police chief gave stern warnings about violence and defended police tactics, the protests and arrests began again. A group of about 200 marched toward an interstate, shouting No justice, no peace! as officers in helmets and tactical gear watched. At least 12 more were arrested, officials said. We dont question peoples right to peacefully assemble or protest, and were going to protect that right, said East Baton Rouge Sheriff Sid J. Gautreaux III. But in the same token, we made it clear that we arent going to tolerate any lawlessness. Were not going to tolerate any violence or destruction. Officials said two officers were injured Saturday during the protests; one had several teeth knocked out by projectiles. Of the two cities where black men were killed by police last week, this city has been the one that caused concern, both within the Justice Department and among the activists of a movement to change how police treat African Americans. The Justice Department moved with urgency to take over the investigation into the two police officers involved in Sterlings death, with Louisiana Gov. John Bel Edwards (D) announcing that decision the very day the news of the slaying first spread. Minnesota Gov. Mark Dayton (D) also asked for federal intervention after the death Wednesday of Philando Castile outside St. Paul, but officials said they will not do so right now. Black Lives Matter activists worried that this swampy state capital, with its history of slavery and civil rights struggle, could be the next Ferguson, Mo. another medium-size U.S. city with a predominantly black population and a predominantly white police force ill-equipped or unwilling to respond to the grievances of black Americans, or deal with protests for better rights. Reports from Friday and Saturday from reporters on the scene indicated as much. When protesters moved their demonstration to the street outside of police headquarters on Friday night, police met them in riot gear, pounding on shields with their batons to make a deafening and repetitive thud. Police had no megaphone or bullhorn as they ordered protesters to stay on the grass and out of the street. Police arrested 31 people that night, nearly all of them after they stepped into the roadway and were rushed by police and dragged away to police wagons. On Saturday night, the Peoples New Black Panther Party, a radical black nationalist group, arrived, and police formed a human chain, pushing the crowd back but with little instruction. An officer standing in the turret of an armored vehicle clutched a rifle. Dozens more were arrested, bringing the total to 102. Kira Marrero, 22, who had driven to Baton Rouge from New Orleans and was one of the first demonstrators arrested Saturday night, said a police officer pointed an assault rifle at her shortly before her arrest. I was scared for my life, she said. The officer didnt say anything to me. Police also appeared overwhelmed as they ran out of blankets and handcuffs for all the prisoners at the jail, she said. Seven weapons were confiscated in protests, Gautreaux said, adding, We didnt have any aggression with weapons towards the officers at all. Human rights group Amnesty International sent an open letter to the Baton Rouge police department Sunday, urging it to use more restraint and respect the rule of law in dealing with protesters. We would remind you that police authorities are required to act in accordance with international human rights standards and the U.S. Constitution, the letter read. Specifically, law enforcement must ensure that any decision to disperse an assembly is taken only as a last resort and carefully in line with the principles of necessity and proportionality. Officials offered a different narrative. Gov. Edwards, who comes from a family of sheriffs, said he was very proud of how the states agencies had responded and thought their response was moderate. For the locals, this mass showing was a long time coming. Activists said the discrimination and police abuse have been so entrenched here for so long that it was time to stand up and say something. The bottom line is Baton Rouge is bad, said Judy Whitney Davis, 51, who describes herself as the third generation of proud civil rights activists. Her parents were the children of sharecroppers who went to college and marched in the civil rights movement. Her grandfather set a building on fire as the Ku Klux Klan was meeting there. Her kids grew up on stories of struggle and perseverance. And in 2016, I thought itd be over by now, she said. Instead, she finds herself advising them to protest and how not to die. The South has been involved in racial injustice for generations, said Joe Connelly, the pastor at Wesley United Methodist Church, which served as the starting point for Sunday afternoons protest march. They said the South would rise again, and they didnt know it would come like this. On Sunday, Edwards affirmed a right to peaceable protest and pledged to reform policing practices. In our state and throughout the country, peaceful protests and demonstrations have been in the past and are presently and certainly in the future can be a force for good, Edwards said. And I can assure everyone that we are hearing the protesters. Were going to have to reevaluate where we are, in the way that we recruit and train officers, in the way we retrain them, he said, to make sure we can de-escalate situations and that the use of deadly force is not employed as often going forward. I think there are ways to do that; to pretend that there is not would do a disservice to everyone. A few hours later, protesters had blocked the streets once more, and police were again confronting how to balance the right of free assembly with the right of public access. Wesley Lowery in Washington contributed to this report. The pews and pulpits of Dallas were full of people seeking hope Sunday after the slaying of five police officers. Of people exorcising their anger over the latest police shootings of young black men in Minnesota and Louisiana. Of people looking for some measure of kindness and unity in a country that suddenly seems in short supply of both. Mostly, people filled the churches of this rattled city looking for something that even the pastors preaching to them struggled to offer: answers. Even men of God could not explain the violence that has gripped this city and the nation over the past week. Instead, they tried to help a battered community find its bearings after an angry, delusional Army veteran named Micah Xavier Johnson gunned down five officers and wounded seven others Thursday night. Pastors mourned the dead, prayed for the living and insisted that Americans must find a way to love their neighbors as themselves. The question isnt, Who is our neighbor? The question is, Who isnt? the Rev. Joseph J. Clifford told his predominantly white congregation, which included Dallas Mayor Mike Rawlings (D), at the First Presbyterian Church of Dallas. There is so much that keeps us from loving one another race, class, ethnicity, nationality, even religion. Clifford implored his audience to resist the impulse to give in to fear and to refuse to hunker down and withdraw from anyone different or unfamiliar. It is hard to love one another when we do not know each other. When we rarely see each other. When our lives are so separated and segregated, he said. If our lives are lived only with people who are like us people who look like us, people who act like us . . . then how can we love those who are not like us? Some of the same tensions that have played out across the nation were on display inside the citys churches. At Friendship-West Baptist Church in South Dallas, the Rev. Frederick Haynes III called not just for prayer but for action and change. Im tired of having a service the week after a tragedy. We have done this too much, he said to rising applause from the overwhelmingly black congregation. He denounced calls for unity that do not also demand an end to structural injustice. Even the news that President Obama would be in Dallas on Tuesday to speak at an interfaith memorial service left him frustrated. Mr. President, I love you, I support you, Ive defended you. But I need you to go to Minnesota, Haynes said. Maybe if the same energy and love we bring when blue lives die, maybe if we bring that same attention, affection and love when black folk get killed in the hands of cops, maybe well save a generation. When the worshipers streamed out into the midday heat, some were in tears. Vena Webb, 47, said the service had brought much-needed solace to an anguished community. They knew today that we needed to breathe, to process and to move on so we can act without all these emotions, she said. Influential Dallas pastor T.D. Jakes talks about the pain of the black community in the wake of fatal police shootings. (Ashleigh Joplin/The Washington Post) Standing alongside her daughter Venetia, the mother of two started to cry as she spoke of deepening racial divisions in the local community. But I refuse to let the actions of other people break us, Webb said. Change has to start here, now, and with all of us, refusing to give in to his hatred. Another parishioner, Karecia Nathaniel, said the past week has made her worry about the America her children must confront. Im having to tell my young children how to behave, how to watch their moves. Were here to provide a better life for them, but it feels like its not enough, Nathaniel, a teacher, said. Im a mother, and its ground-shaking to see the road this country is heading down. The only thing I can do is take a step back and ask what my role in all this is. We have to stand together. Thats all we can do now. At the Potters House megachurch southwest of downtown, Bishop T.D. Jakes turned his regular Sunday service into a town hall meeting. He invited Dallas Police Chief David Brown, Rawlings and Saundra Sterling, the aunt who raised Alton Sterling, the 37-year-old black man who was gunned down by police last week in Baton Rouge. All three attended. Sterlings death was captured on video, as was the aftermath of the fatal police shooting of 32-year-old Philando Castile the next day in a suburb of St. Paul, Minn. Castiles girlfriend, Diamond Reynolds, who live-streamed the video on Facebook, called in to the Sunday morning service in Dallas. This shouldnt have happened, she told the congregation. The police are supposed to protect us. Jakes, in a black suit and gold tie, prayed for the families of Reynolds and Castile. He also asked for blessings on the Dallas police force. Pastor Robert Jeffress, of First Baptist Church in Dallas, had a less conciliatory message for some of his peers. During an appearance Sunday on Fox & Friends, he said ministers needed to instill in their congregations more respect for police. Frankly, Im getting very sick and tired of so-called ministers who do nothing but sow seeds of distrust and disrespect for the police, Jeffress said. Those kind of bogus ministers need to be exposed and need to be called out for what theyre doing. Even as tens of thousands of people gathered in sanctuaries across Dallas, the nation outside kept churning. Tense protests continued in Minnesota and Louisiana. Officials released more information about Johnson, including that he had taunted police during Thursdays standoff and wrote cryptic messages on a wall with his own blood. During a news conference Sunday at Baylor University Medical Center, a woman injured in Thursdays shooting, Shetamia Taylor, recounted how a Dallas police officer had helped her as she shielded her sons from gunfire. She was hit by a bullet in the leg. Taylor said she had seen an officer fall to the ground after being shot in the melee. I dont think he made it, she said. Near a mall in Dallas, tensions flared Sunday afternoon as a Black Lives Matter protest was met by a gaggle of men who said they had come out to support the police. That episode ended peacefully, but there was talk of another protest later in the evening. Inside the Joy Tabernacle A.M.E. Church in South Dallas, the Rev. Michael Waters spoke about the chaos and division unfolding outside the doors of the small sanctuary and then offered his congregation a challenge. True peace is something you might have to fight for, Waters said. Are you a peacemaker? For if peace wont make itself, are you committed to the hard work of finding answers to difficult questions? Are you willing to struggle alongside someone else, who may see the world differently than you do? Keith L. Alexander and Bonnie Jo Mount contributed to this report. Have you ever had black specks floating around in your vision? Patrick Muffler noticed some one day. I saw funny V-shaped floaters in my eye, he says. When he woke up the next day, a Friday, they were still there. I started getting more black dots, maybe 40 of them. Over the weekend, Muffler, a retired geologist in Palo Alto, Calif., developed a cloudy area in his visual field. He went to his ophthalmologist Monday morning and learned that his retina was torn and detached. If I hadnt gone to the doctor, I would have gone blind in that eye, he says. Anyone can get floaters or sometimes light flashes in their eyes. Floaters can take different shapes, including spots, threads and clumps. Sometimes the cause is benign bits of cell debris drifting about in the fluid that fills your eyes and sometimes its an urgent and serious condition. If you experience a sudden increase in floaters and/or flashes, you should seek medical care. The eye is filled with a gellike substance called the vitreous humor. As we get older, condensations can form in the gel, like strands in jello, says Sonia Mehta, an ophthalmologist at Wills Eye Hospital in Philadelphia. These condensations appear as floaters. Young people can experience floaters, but its more common in your 50s and 60s. As people age, the structure of vitreous humor changes, from gel to liquid. As the gel shrinks, it can pull on the retina the light-receiving membrane at the back of the eye that transmits images to the brain and cause light flashes, says Rahul Khurana, a retina specialist in the San Francisco Bay area and a clinical spokesman for the American Academy of Ophthalmology. Its a bit like looking at the headlights of a Mack truck not something youd miss. Migraines can also cause light flashes: Some people experience migraines visual symptoms, which look like zigzag patterns at the edges of ones field of vision, without headache. Because flashes can arise from different conditions, its wise to get the symptoms checked out right away. And yet many people put off seeing a specialist. Thats a mistake, Mehta says. Early intervention often just with a simple procedure done in a doctors office is important: An increase in floaters or flashes indicates that something is happening in the eye, and only an eye exam can distinguish between a something thats no big deal and a something that could lead to blindness. Heres what can happen to cause floaters and flashes. You might just have benign floaters caused by cellular debris or condensation in the gel. Sometimes when the gel turns more liquid, the debris moves around more and you notice the change. Or your vitreous gel may be changing structure and tugging on the retina. This also is generally harmless. The change from gel to a more liquid form happens to everyone, Mehta says. Its very rare before age 40, but 60 to 80 percent of people will have gone through the transition by age 65. About 15 percent of people will have symptoms floaters and flashes during this time, she says. A change in the eyes vitreous structure can tear the retina, an injury that requires urgent treatment. If not addressed, that tear can advance to a detachment, in which the retina comes away from its base. A tear can be easily fixed in an office visit, Khurana says. While the eye is dilated, the doctor uses a laser to seal the tear, in as little as 10 minutes. Left untreated, the retina can detach from the back of the eye. In that case, you might see something like a curtain or shade being pulled over the eye, Mehta says. The retina loses its blood flow and nutrient source, and it will start to die. Without medical attention involving more-invasive surgery and a weeks-long recovery period permanent vision loss will result. In Mufflers case, his regular ophthalmologist referred him to Khurana, who extracted the vitreous humor and placed a gas bubble at the back of Mufflers eye. The pressure from the bubble held the retina in place while the surgical wound healed. The gas bubble stays in for two weeks, Muffler says. I canceled a trip to Europe. During recovery from this surgery, vision may be abnormal. As the body absorbs the gas and replenishes the vitreous humor, eyedrops and sometimes an eye patch are needed. Patients are advised to avoid things that cause eye strain, and in some cases, they need to keep their head face down or turned to one side for several days to ensure that the gas bubble stays in place. Clear vision may return slowly, which means no driving during the recovery weeks. The American Academy of Ophthalmology recommends that people older than 40 get an exam for a baseline measure of eye health even if their vision seems to be fine. Our eyes go through changes with age, the same as the rest of our bodies, Khurana says. Other common age-related eye conditions include presbyopia, which reading glasses can correct; cataracts, in which the lens turns yellow and opaque, making vision less crisp, particularly at night; and age-related macular degeneration, in which abnormal blood vessel growth occurs in the retina, potentially causing blurred or distorted vision. A bonus benefit of a baseline eye exam is that youll have an eye doctor to call should you ever experience new flashes or floaters. The message from Mehta: If you experience new floaters or flashes, get your eyes looked at immediately the same day. We have patients all the time who waited weeks or months before coming in. But if we can treat the problem early, theyre more likely to have good visual outcomes. We can prevent permanent vision loss. HEALTH Obama sizes up health law in article President Obama is laying out a blueprint for addressing unsolved problems with his signature health law, including a renewed call for a public option to let Americans buy insurance from the government. Obamas assessment of the Affordable Care Act comes in an eight-page article in the Journal of the American Medical Association, a peer-reviewed publication. The article debuted Monday on the journals website, and Obama plans to echo the themes in public events and speeches in the coming weeks. Replete with academic-style citations, the article is largely a self-congratulatory look at what Obama sees as the accomplishments of his law: millions of Americans who have gained coverage, slower growth in overall health costs and better coordination of care to improve quality. Despite progress under his administration, too many Americans still strain to pay for their physician visits and prescriptions, cover their deductibles or pay their monthly insurance bills, Obama wrote. Others struggle to navigate the bewildering health system. Too many still lack insurance coverage, he added. Obama urged lawmakers to revisit the public plan, especially in areas of the country where there is little or no competition among private insurers participating in HealthCare.gov and state-run marketplaces created by the law. Associated Press GEORGIA Judge: MLKs Bible goes to his estate The judge in a dispute over the Rev. Martin Luther King Jr.s Nobel Peace Prize and traveling Bible has ruled that the Bible belongs to the civil rights icons estate, which is controlled by two sons who had proposed selling it. But the question of ownership of the peace prize medal and its accompanying certificate will proceed to trial next month unless the two sides in the dispute can reach an agreement, Fulton County Superior Court Judge Robert McBurney said in an order July 1. The Estate of Martin Luther King Jr. Inc., controlled by his sons, filed a lawsuit in January 2014 asking a judge to order Kings daughter to surrender the items. Kings three surviving children are the sole shareholders and directors of the estate. In a board of directors meeting that month, Martin Luther King III and Dexter Scott King voted 2 to 1 against Bernice King to sell the artifacts to an unnamed private buyer. Bernice King has said that the idea of selling two of their fathers most cherished items is unthinkable. The Bible and peace prize medal were in Bernices possession, but they have been in a safe deposit box with the keys held by the court since March 2014. About a year after the lawsuit was filed, the judge halted all action in the case to give the two sides a chance to talk and see if they could resolve their differences outside of court. Lawyers for the two sides told McBurney in May 2015 that they were close to an agreement. McBurney ordered them to use a mediator to resolve the dispute . In October, former president Jimmy Carter confirmed that he was working as a mediator in the case. Associated Press NEW YORK Unknown black gravesites found Specialists using ground-penetrating radar have detected hundreds of undiscovered gravesites in an African American burial ground that dates to the 1830s. The New York Landmarks Conservancy announced the discovery Monday at Staten Islands Rossville A.M.E. Zion Church Cemetery, part of the historic Sandy Ground community that was among the nations first free-black settlements. Before the radar survey, there were 97 burial sites, many marked by headstones, on the 1.6-acre burial ground. Conservancy President Peg Breen said another 576 were discovered by radar at an average depth of about 10 feet, bringing the total number of gravesites to 673. Associated Press Dartmouth professor sentenced on child porn charge: A Dartmouth College professor was sentenced Monday to 5 years in prison after being caught with an extensive collection of child pornography some of which he shared on the social media site Tumblr. J. Martin Favor, on paid leave since his September arrest, pleaded guilty in March and resigned earlier this month. Associated Press California Fire north of L.A. no longer threat to homes A brush fire that burned to the edges of homes in the foothills of the Santa Susana Mountains north of Los Angeles was 20 percent contained Sunday and was no longer threatening residences. Crews were taking advantage of calmer winds and building a perimeter around the smoldering blaze that consumed about 1.7 square miles of thick chaparral in a rugged canyon. About 2,000 people sent fleeing from about 750 homes in the Stevenson Ranch area of the Santa Clarita Valley on Saturday were allowed to return after nightfall. Aerial photos showed that the flames came to the property line of a ridgetop home that was covered in fire retardant. Crews contended with winds gusting up to 25 mph Saturday as the blaze burned close to Interstate 5, the main artery connecting Southern California and the San Joaquin Valley. Fire officials said the cause of the fire has not been determined. Associated Press South Carolina Tense rally returns Rebel flag to Capitol The Confederate battle flag flew again outside the South Carolina Statehouse on Sunday temporarily during a rally that drew both supporters in Civil War garb and bullhorn-toting protesters. Groups for and against the flag were kept separate by metal barriers on the front lawn, and police officers supported by helicopters circling overhead kept the peace as the flag flew again for several hours. But the scene was tense at times after police killings of black men in Louisiana and Minnesota and the killings of officers by a black sniper in Dallas. A year ago Sunday, after a white man killed nine black people inside a Charleston church, the Confederate flag that had flown for years beside the Confederate Soldiers Monument was furled and sent to a museum. The monument remains, but the 30-foot pole the flag flew on was dismantled. So on Sunday, re-enactors hoisted a battle flag on a portable pole in the same spot as about 200 people watched. The rally was organized by the South Carolina Secessionist Party. Associated Press Suspect faces 2nd murder trial : A man awaiting trial for murder in the burning death of a woman in Mississippi now faces another trial, in the stabbing death of a woman in Louisiana. KNOE-TV reported that Quinton Tellis was charged with the murder of Meing-Chen Hsiao, a graduate student at the University of Louisiana at Monroe who was stabbed more than 30 times. Police said evidence indicates Tellis withdrew almost $2,000 from her bank account after she was tortured to death. Tellis already faces the death penalty if convicted of killing Jessica Chambers, 19, who was discovered on a Mississippi roadside with burns all over her body. His first court appearance in that case is set for July 15. Associated Press Rare owl killed in New Mexico: More officers have been put on patrol in the Caja del Rio area near Santa Fe after the reported killing of a burrowing owl, a federal land management official said. Such a killing is rare, and the incident is being taken seriously, Bureau of Land Management spokeswoman Donna Hummel said. The species is among those protected under the Migratory Bird Treaty Act, the Santa Fe New Mexican reported. Killing the protected owl could mean as much as six months in prison and a $500 fine, according to the U.S. Fish and Wildlife Service. The owls death appears to have been caused by a gunshot, New Mexico Wildlife Center spokeswoman Dawn Wright said. Associated Press A demonstrator raises his hands in front of police in riot gear during protests in Baton Rouge on July 10. (Shannon Stapleton/Reuters) At the Triple S Food Mart where her nephew Alton Sterling was slain, Veda Washington vowed to keep vigil until justice was done. And sometimes it seemed the whole world wanted to join her. A community activist from Jacksonville, Fla., named Diallo-Sekou followed her around as strangers and friends alike came and asked to take her picture. Sekou handed Washington a composition notebook with four pages filled with the names of people who said they wanted to help Sterlings family. If their names are not on this list, they are not with me, he said. Be careful. Make sure people arent trying to get donations for themselves off his name. In the continued cycle of tragedy and national outrage after the shooting of a black man by police, a specific sequence of events usually follows. As a town mourns in shock, practiced demonstrators descend upon it and take a lead role in protests against police. Thats what has happened here in this humid, swampy town, where a network of activists, well-wishers and agitators quickly arrived. It is a process that no one fully wants to happen in their city but one everyone fully expects. [Graceful in the lions den: Louisiana arrest photo becomes powerful symbol] Sekou helped organize a perimeter and gave demonstrators instructions about what to do during standoffs with police. Given the cacophonous nature of the past week, there were a tragic number of places he could have been: Baton Rouge. St. Paul, Minn. Dallas. He even could have remained in Jacksonville, where protesters continued to question the shooting in May of a 22-year-old black man named Vernell Bing Jr. But it was the video of police tackling to the ground and then shooting Sterling that captivated him. How could you look at that video and not want to go out and support? he said. . . . This one was unusual because it was so sensational. We saw them kill him for no reason. The Triple S was crowded with well-wishers who created a mountain of teddy bears and spray-painted Sterlings face on the stores yellow facade. Residents were crowding around the store at night, cruising by with passengers hanging out of their cars, barbecuing and selling T-shirts. Finally, Washington complained to the crowd: This is not a block party. We are here to mourn my nephew. Sekou told her that people grieve in their own way and that no one was trying to be disrespectful. He pointed to the exterior of the strip mall next door, which was covered in RIP tags and scribblings. That wall was just white before he got killed, Sekou said. Youd think it was [just] graffiti, but it shows that this man was beloved. We had to support him. [As arrests mount in Baton Rouge, protesters question police tactics] The issue of outsiders coming into town, though, caused some tension. On Sunday, the local police department issued a statement called A Tale of Two Protests noting that no one was arrested during a march organized by two community leaders that afternoon. But a separate protest at the police headquarters which included members of the New Black Panther Party and national Black Lives Matter activist DeRay Mckesson led to many arrests. Some of the demonstrators jumped onto the sidewalk and lobbed bottles at police. Some of those arrested were carrying weapons. Mckesson was handcuffed and detained. It appears the protests at Baton Rouge Police Headquarters have become more violent as out of town protesters are arriving, the release said. Over the weekend, police had arrested or detained close to 200. [Black Lives Matter leader Mckesson released after being held in Baton Rouge] Bishop Raymond Johnson, who prayed with Sterlings family at the Living Faith Christian Center last week, accused outside leaders of coming to Baton Rouge to use our tragedy to get their own point across at his Sunday service. At that, the audience exploded in applause. They ended the service swaying and singing: Better! It will get better! About an hour later, cars filled the parking lot at the East Baton Rouge Parish Prison. As dark clouds hovered overhead, Dianna Causey and her family waited with others for their loved ones to be released. She saw little wrong with outside activists coming to get their point across, as the preacher had preached. Everyone, she said, had the same point. We all know that the police are shooting too many black men, she said. She and her son Charles, a 19-year-old student at Southern University, took to the streets Saturday afternoon to protest Sterlings death. Before they left, Causey said, she and her son sat down with his godfather, a police officer, to give him the talk. He was basically saying that even if youre wrong, its better not to be arrested and its better not to die, added Lataoya Jett, 35, Charless older sister. Just comply. Causey, who works in customer service, and her son chanted No justice, no peace, for hours, until she decided she should head back home, leaving her son at the demonstration. She was driving down the highway when she heard that police were arresting protesters and that some were armed. Come home, Causey texted Charles. He never texted back. Later that night, she recalled, he called to tell her that he had been arrested while trying to cross the street to get to his car. He asked one officer if it was okay, and he said yes. When he crossed the street, Charles told her, a different officer declared that he was obstructing the highway. The family has lived in Baton Rouge since Hurricane Katrina left them homeless in New Orleans. They have taken to life in Baton Rouge, they say, and Charless arrest did little to taint their feelings about the city. The news of Sterlings death, though, quickly motivated them. Its shocking, Causey said. We just went out to be supportive. When I first heard about the shooting I said, Oh, here we go again. But this time it was close to home, so we had to step up. Added Jett, I knew people were going to be outraged because of the footage of the shooting. And the mother crying, Causey said. We had to do something. . . . This can happen anywhere its just that this time, it happened to us. But Charles is a good kid. He studies criminal law, so this is a lesson. She waited hours in the rain for Charles to come out of prison. Later on Sunday, police would bring out an armored vehicle, blare ear-splitting sirens and carry guns to approach hundreds of protesters who were walking toward the highway. The vast majority seemed to be from out of town because few remained after the police told them to disperse, said Ramon Mejia, 33, a community organizer who visited from Biloxi, Miss. It created this worry for me, he said. People went away, and the people in a community thats being oppressed, they still were left being marginalized. And yet, Mejia said, they helped to expose how aggressive Baton Rouge police can be, and he hoped that might raise the issue of police brutality. By Sunday night, the protests were mostly a local crowd of hundreds chanting No justice, no peace! No racist police! outside the police headquarters until a line of officers in riot gear pulled back. Those out there welcomed anyone who could help to amplify their message. They had learned, after Baltimore and Cleveland and Ferguson, that the federal government getting involved might not be a salve. The only thing they wanted, they said, were arrests of officers. The federal investigation will take too long and it might lead to no arrest and the officers get to get a paid leave through all of it, Ann Cunningham said. Cunningham, 56, has lived in Baton Rouge all her life and owned a CD mix of Al Greens greatest hits that Sterling made for her. This is the first time something like this has happened, and so its new to all of us. Were here to protest peacefully, she said. We dont want to get in trouble. We dont want to burn down our community its beautiful. We dont want us to be Ferguson or Baltimore. We are Baton Rouge. She paused to catch her breath. But we want justice, Cunningham said. The world is watching us, and I just hope the system will do us right. I would use any tool necessary to save our officers lives, said Dallas Police Chief David Brown. (Stewart F. House/Getty Images) It is called the Remotec Andros Mark V-A1, and the Dallas Police Department had the $151,000 device for eight years but had never used it before. Then, in the early hours of Friday morning during a police standoff here, two police officers were confirmed dead and the gunman shouted at police that he planned to shoot other officers, as many as he could. So Police Chief David Brown did not think twice about sending in the robot to kill him. I knew that two of our officers had been killed, and these two were killed by this particular suspect. I did what I had to do to save our officers lives. I would use any tool necessary to save our officers lives, Brown said during a news conference Monday at police headquarters. The robot, nicknamed the Mark V, is manufactured by Northrop Grumman, based in Falls Church, Va. The device was specially configured for the department. However, police officials as well as a Northrop spokesman declined to comment on the robots specific configuration and use last week. According to Northrops website, the device is driven by a human via remote control, weighs 790 pounds and has a top speed of 3.5 mph. It carries a camera with a 26x optical zoom and 12x digital zoom. When its arm is fully extended, it can lift a 60-pound weight. The hand at the end of the arm can apply a grip of about 50 pounds of force. In this case, the devices arm extension was carrying an approximately one-pound brick of the plastic C4 explosive, plus a detonating cord in a tactic that is used by the military in combat situations. The shooter, Micah Johnson, a 25-year-old Army reservist, was hiding on the second floor inside a building of El Centro College, a community college downtown. By the time Johnsons two-hour shooting rampage was over, five police officers were dead and nine were wounded, making it the deadliest attack on U.S. officers since the Sept. 11, 2001, terrorist attacks, according to the National Law Enforcement Officers Memorial Fund. Of the wounded officers, four were city police officers, three were officers with the Dallas Area Rapid Transit bus and rail system, and two were officers employed by the college. Johnson, who was African American and had links to black nationalism, used an assault-style rifle to target white officers. Policing experts are calling Browns decision the first time any police department in the country has ever used a robot in a lethal-force operation. Brown chose to attach an explosive device to the robots manipulator arm and then detonate it once the robot made it to where Johnson was hiding. N.R. Jenzen-Jones, the director of a weapons research group called Armament Research Services, said robots have been used to examine explosive devices and manipulate small obstacles and have been used frequently to deliver different types of explosives to help breach doors or clear obstacles. Jenzen-Jones said, however, that he had never heard of a robot delivering a payload that was meant to kill a subject. On Monday, Brown said that after discussions with negotiators, and after 15 to 20 minutes of mulling it over, he decided to send in the robot. His biggest concern was blowing up the school where Johnson was hiding. He warned the robots handlers of one thing: Just dont bring the building down, Brown said. But that was the extent of my guidance. Johnson was killed. And the robot, Brown said, suffered partial damage to the extension arm. But its still functional, Brown said. College officials had not been allowed to assess the damage done to the building because it was under police control, Ann Hatch, a spokeswoman for the Dallas County Community College, which runs the building, told The Washington Post on Saturday. The campus remains closed. The police officers had assembled to oversee a peaceful demonstration by activists who were marching against police brutality Thursday evening when the shooting began. By the time police held a 12:30 a.m. news conference Friday, four officers were dead and police had been negotiating with Johnson for about 45 minutes. In that news conference, Brown said that Johnson was not cooperating with the negotiators and that he had told the officers that the end was coming and that he had plans to kill other officers. This person had delusions and was committed to killing officers, Brown said Monday. Brown also said Johnson told police that bombs were placed around the city, but at Mondays news conference, Brown said there was no evidence of bombs. Brown said that he asked his negotiators for their plans to end the talks, and that just after 1 a.m., the robot was sent into the building. Brian Fung, Alice Crites and Thomas Gibbons-Neff in Washington contributed to this report. AUSTRALIA Prime minister claims conservative victory Eight days after Australias general election ended in uncertainty, the prime minister claimed victory Sunday for his conservative coalition, bringing an end to the countrys political paralysis at least for now. Although the question of who won the July 2 election was answered, the question of exactly how the conservatives will rule the fractured Parliament was not. With official results still days or even weeks away, it was unclear whether Prime Minister Malcolm Turnbulls center-right Liberal Party had won enough votes to govern in its own right or would need the support of independent and minor-party lawmakers to form a minority government. Millions of votes still need to be counted, but there was no way for the opposition center-left Labor Party to win a majority of seats in the House of Representatives, where parties form governments. That prompted Labor leader Bill Shorten to formally concede the race Sunday, leading Turnbull to announce that the coalition had won a second three-year term. Yet the election was not entirely resolved. Parties must hold at least 76 seats in the 150-seat House to form a majority government, and the coalition has not reached that number. With a quarter of the votes yet to be counted, the election commission said the coalition was leading in 74 seats, the Labor Party in 71 seats and minor parties and independents in five. Associated Press KASHMIR 18 dead in protests over death of rebel leader The death toll in Kashmir rose to 18 on Sunday as clashes between Indian troops and protesters continued despite a curfew imposed in the disputed Himalayan region to suppress anti-India anger after the killing of a popular rebel commander. Anti-India protests have been reported from many places across Kashmir since Burhan Wani, chief of operations of Hizb ul-Mujahideen, Kashmirs largest rebel group, was killed Friday in fighting with Indian troops. The dead included 17 civilians killed in two days of clashes between protesters who defied the curfew and troops, a police official said. The other fatality was a police officer who was killed Sunday during clashes in the Anantnag area, the official said. After the protests erupted, troops used live ammunition, pellet guns and tear gas to try to control the crowds, police said. More than 150 civilians have been injured. Police intelligence chief Shiv M. Sahai said protesters attacked police and paramilitary posts in the region. About 90 troops were injured, he said. Kashmir is divided between India and Pakistan but claimed in its entirety by both. Most people in Kashmir have long resented Indias presence and support rebel demands for an independent Kashmir or a merger with Pakistan. Associated Press EGYPT Official visits Israel, urges 2-state solution Egypts foreign minister paid a rare visit to Israel on Sunday and said his country remains a steadfast and unwavering supporter of a peace agreement between Israel and the Palestinians, although he warned that conditions for achieving that are deteriorating. Sameh Shoukrys trip was the first official Egyptian visit to Israel since 2007. Peace efforts have made no headway since Israeli Prime Minister Benjamin Netanyahu took office in 2009. The last round of U.S.-led talks broke down two years ago. The Palestinians seek the establishment of an independent state in the West Bank, East Jerusalem and the Gaza Strip lands captured by Israel in 1967. Netanyahu has endorsed the idea of an independent Palestinian state but opposes a return to Israels pre-1967 borders. Speaking at a news conference with Netanyahu, Shoukry said, The vision of the two-state solution is not far-fetched. But he warned that worsening conditions for Palestinians threatened to undermine prospects for peace. After decades of intermittent wars followed by a cold peace, Israel has emerged as a discreet ally to Egyptian President Abdel Fatah al-Sissi. In particular, the two sides share intelligence in a common battle against Islamist militants operating in Egypts Sinai desert and the Gaza Strip. Associated Press U.N. airlifts food to families in northeast Syria: The United Nations began airlifting humanitarian aid to families cut off from supplies in northeastern Syria, bringing 40 tons of food on a flight that landed in Qamishli, an area in Hasakah province controlled by the government, the World Food Program said. The agency estimates that 275,000 people living in many areas of the province have been cut off from food and other supplies for more than six months. 120 officers injured in Berlin rioting: Police said more than 120 officers were injured and 86 demonstrators were detained during leftist riots in the German capital. Berlin police said protesters threw bottles, cobblestones and fireworks, destroyed cars and attacked officers. Activists had called for the demonstration to protest police operations at buildings taken over by squatters. Russia orders U.S. pastor supportive of gay rights to leave: An American pastor who supports LGBT rights has been detained in Russia and ordered to leave the country. Citing his attorney, the Tass state news agency reported that Jim Mulcahy of the Metropolitan Community Church has been fined the equivalent of $30 and given five days to leave. Russia has faced Western criticism for laws that have been used to restrict the rights of religious minorities and gays. From news services Correction: An earlier version of this column incorrectly referred to then-Sen. Joseph I. Lieberman as representing Vermont. Lieberman represented Connecticut. This version has been corrected. Well, it looks as though there might be a rebellion at the Republican national convention after all. No, delegates are not going to rise up and deny the GOP nomination to Donald Trump in favor of some last-minute white-knight candidate. But if Trump decides to nominate a Democrat as his vice presidential nominee, convention delegates could rebel and reject Trumps choice. The candidate in question is retired lieutenant general Michael T. Flynn, former head of the Defense Intelligence Agency. A person familiar with Trumps vetting process told The Post: Trump-Flynn, Ive heard him say that, kind of test the sound of it. Lets be clear: Flynn was a terrific lieutenant general. Under his leadership, the Defense Intelligence Agency predicted that the chaos in Syria was creating conditions that could allow the Islamic State to make a comeback in Iraq. He has been critical of his President Obamas failing strategy in the Middle East and his disastrous nuclear deal with Iran. He might be a great choice for defense secretary or secretary of state. But vice president? Next in line for the presidency? Retired Lt. Gen. Mike Flynn is on Republican presidential candidate Donald Trump's short list of potential vice presidential candidates. Here's what you need to know about him. (Sarah Parnass,Danielle Kunitz,Osman Malik/The Washington Post) Flynn is a lifelong Democrat. In 2015, he told Foreign Policy magazine that Im not a politician, but if someone were to look it up right now, Im a registered Democrat, and Im okay with that. But are Republican delegates okay with that? Flynn is not only a Democrat but also a pro-abortion Democrat. Women have to be able to choose, Flynn said Sunday. They are the ones that have to make the decision because they are the ones that are going to decide to bring up that child or not. That used to be Trumps position as well. But during the course of this campaign, Trump has courted Christian leaders and evangelical voters by promising to stand with them on the right to life and to nominate conservative justices to the Supreme Court who will do the same. Im one of you just remember that, he told evangelical voters last year. Flynn is not one of them. And while many conservatives rightly doubt that, deep down, Trump is truly one of them either, they cut a deal with him their support in exchange for his promise to govern as a pro-life conservative. If he picks a pro-abortion running mate, Trump would violate his end of that deal before he has even been nominated. Social issues are only the start of Flynns problems. While we know his position on fighting the Islamic State, his positions on other issues that the conservative grass-roots care about are a mystery. Does he support tax increases to pay for more government spending? Where does he stand on Obamacare? How about climate change, cap and trade, and clean energy subsidies? Does he support school choice and conservative education reforms, or does he stand with teachers unions like most traditional Democrats? And who did he support for president in past elections? Did he back Al Gore, John Kerry and Barack Obama, and what does that say about his political priorities? Trump has adopted a number of traditional Democratic positions on domestic policy from the minimum wage to entitlements, taxes and trade so he may not care if Flynn is a traditional Democrat on these matters. But GOP delegates might. This is not the first time a Republican nominee has flirted with the idea of choosing a Democratic running mate. In 2008, Sen. John McCain (R-Ariz.) seriously considered Sen. Joseph I. Lieberman of Connecticut, who was a Democrat for most of his life before becoming an independent. Like Flynn, Lieberman took a tough stand on Islamic radicalism. But he still held traditional Democratic positions on domestic and social policy. Conservatives rose up in opposition. They were right to do so. The vice presidents primary job is to step into the Oval Office if something happens to the current occupant. That means if Republican delegates nominate a Democratic vice president, they could very well be nominating a Democratic president. Is that what GOP delegates in Cleveland want? It is good that Flynn is advising Trump. He needs experienced military leaders who understand the enemy we face. But if Trump tries to put a Democrat a heartbeat away from the presidency, that will cause an irreparable break with the base and leave Trump hobbling out of Cleveland with his party more deeply divided. Read more from Marc Thiessens archive, follow him on Twitter or subscribe to his updates on Facebook. The writer is a professor at and past president of Harvard University. He was treasury secretary from 1999 to 2001 and an economic adviser to President Obama from 2009 through 2010. It is clear after the Brexit vote and Donald Trumps victory in the Republican presidential primaries that electorates are revolting against the relatively open economic policies that have been the norm in the United States and Britain since World War II. If further evidence is needed, one need only look to the inability of Congress to pass legislation on immigration reform and the observation that the last four candidates left standing in the U.S. presidential contest all oppose the Trans-Pacific Partnership. Populist opposition to international integration is also on the rise in much of continental Europe and has always been the norm in much of Latin America. The question now is: What should be the guiding principles of international economic policy? How should the case be made by those of us who believe that the vastly better performance of the global system after World War II than between World War I and World War II was largely due to more enlightened economic policies? The mainstream approach to these questions generally starts with some combination of rational argument and inflated rhetoric about the economic consequences of international integration. Studies are produced about the jobs created by trade agreements, the benefits of immigration and the costs of restrictions on trade. In most cases, certainly including the cases for TPP and against Brexit, the overall economic merits are clear. But in this advocacy there is a kind of Greshams Law (the economic principle that bad money drives out good) whereby bolder claims drive out more prudent ones, causing estimates to often be exaggerated and delivered with far more confidence than is warranted. Over time, this has caught up with the advocates of integration. While there is a strong case that the United States is better off than it would have been if the North American Free Trade Agreement had been rejected, the most extravagant predicted benefits have not materialized. And it is also fair to say that claims that Chinas accession into the World Trade Organization would propel political liberalization have not been borne out. In any event, the willingness of publics to be intimidated by experts into supporting cosmopolitan outcomes appears, for the moment, to have been exhausted. The second plank of the mainstream approach is to push for stronger policies to resist inequality, cushion economic disruptions and support the poor and middle class, then argue that if domestic policies are right, the pressure to resist globalization will be attenuated. The logic is right, and certainly measures such as the GI bill, the governments assurance of available mortgages and the interstate highway system were part of the political package that permitted the United States to underwrite an open international system through the 1960s. But the past eight years have seen the United States at last make significant progress toward universal health insurance, expand a variety of support programs for the poor and bring unemployment below 5 percent. Even still, trade has become ever less popular. It is not that strong domestic policies are unnecessary to undergird global integration; it is that they are insufficient. A new approach has to begin from the idea that the basic responsibility of government is to maximize the welfare of citizens, not to pursue some abstract concept of the global good. Closely related to this is the idea that people want to feel that they are shaping the societies in which they live. It may be inevitable that impersonal forces of technology and changing global economic circumstances have profound effects. But it adds insult to injury when governments reach agreements that further cede control to international tribunals of one sort or another. This is especially the case when, for legal reasons or reasons of practicality, corporations have disproportionate influence in shaping global agreements. If the Italian banking system is badly undercapitalized and the democratically elected government of Italy wants to use taxpayer money to recapitalize it, why should some international agreement prevent it from doing so? Why shouldnt countries that think, likely wrongly, that genetically modified crops are dangerous get to shield their customers from such crops? Why should the international community seek to prevent countries that wish to limit capital inflows from doing so? The issue in all these cases is not the merits. It is the principle that intrusions into sovereignty exact a high cost. What is needed is a responsible nationalism an approach where it is understood that countries are expected to pursue their citizens economic welfare as a primary objective but where their ability to damage the interests of citizens of other countries is circumscribed. With such an approach, the content of international agreements would be judged not by how much is harmonized or by how many barriers to global commerce are torn down but by whether people as workers, consumers and voters are empowered. This does not mean less scope for international cooperation. It may mean more. For example, tax burdens on workers around the world are as much as a trillion dollars greater than they would be if we had a proper system of international coordination that identified capital income and prevented a race to the bottom in its taxation. And taxes are only the most obvious area in which races to the bottom interfere with the achievement of national objectives. Others include labor and financial regulation, along with environmental standards. Reflexive internationalism needs to give way to responsible nationalism or else we will only see more distressing referendums and populist demagogues contending for high office. President Obama descends from Air Force One as he arrives at the Naval Station Rota in Spain on July 10. (Jorge Guerrero/Agence France-Presse via Getty Images) The Obama administration is determined to use its final six months in office to take a series of executive actions to advance the nuclear agenda the president has advocated since his college days. Its part of Obamas late push to polish a foreign policy legacy that is plagued by challenges on several other fronts. President Obama announced his drive to reduce the role of nuclear weapons and eventually rid the world of them in his first major foreign policy speech, in Prague in 2009. In his first years, he achieved some successes, such as the New START treaty with Russia, the Nuclear Security Summits and the controversial Iran deal. But progress waned in the past year as more pressing crises commanded the White Houses attention. Now, the president is considering using the freedom afforded a departing administration to cross off several remaining items on his nuclear wish list. In recent weeks, the national security Cabinet members known as the Principals Committee held two meetings to review options for executive actions on nuclear policy. Many of the options on the table are controversial, but by design none of them require formal congressional approval. No final decisions have been made, but Obama is expected to weigh in personally soon. As we enter the homestretch of the Obama presidency, its worth remembering that he came into office with a personal commitment to pursuing diplomacy and arms control, deputy national security adviser Ben Rhodes told the Arms Control Association on June 6. I can promise you today that President Obama is continuing to review a number of ways he can advance the Prague agenda over the course of the next seven months. Put simply, our work is not finished on these issues. President Obama called for an end to nuclear weapons in a solemn visit to Hiroshima to offer respects to the victims of the worlds first deployed atomic bomb. (Reuters) Several U.S. officials briefed on the options told me they include declaring a no first use policy for the United States nuclear arsenal, which would be a landmark change in the countrys nuclear posture. Another option under consideration is seeking a U.N. Security Council resolution affirming a ban on the testing of nuclear weapons. This would be a way to enshrine the United States pledge not to test without having to seek unlikely Senate ratification of the Comprehensive Test Ban Treaty. The administration is also considering offering Russia a five-year extension of the New START treatys limits on deployed nuclear weapons, even though those limits dont expire until 2021. This way, Obama could ensure that the next administration doesnt let the treaty lapse. Some administration officials want to cancel or delay development of a new nuclear cruise missile, called the Long-Range Stand-Off weapon, because it is designed for a limited nuclear strike, a capability Obama doesnt believe the United States needs. Some officials want to take most deployed nukes off of hair trigger alert. The administration also wants to cut back long-term plans for modernizing the nations nuclear arsenal, which the Congressional Budget Office reports will cost about $350 billion over the next decade. Obama may establish a blue-ribbon panel of experts to examine the long-term budget for these efforts and find ways to scale it back. Republican congressional leaders are already warning the administration not to use its final months to take actions they say would betray promises to Congress and weaken the United States nuclear deterrent. On June 17, Senate Foreign Relations Committee Chairman Bob Corker (R-Tenn.) and Senate Armed Services Committee Chairman John McCain (R-Ariz.) wrote to Obama to warn him not to unravel their deal on nuclear modernization, which they said persuaded Congress to ratify New START. They acknowledged that the current plan may be fiscally unsustainable but pledged to work with the administration to address the shortfalls. Opponents in Congress also believe the administration is not taking into consideration how big changes in U.S. nuclear policy would affect allies that live under the U.S. nuclear umbrella, especially in Europe and Northeast Asia. But arms control advocates, Democratic lawmakers and former officials are pressing the administration to announce as many new policies as possible. For them, Obama has one last chance to make good on his nuclear promises. Its pretty clear the Prague agenda has stalled, said Joe Cirincione, president of the Ploughshares Fund, which supports groups advocating for nuclear nonproliferation. There isnt anything that the president does that isnt criticized by his opponents, so he might as well do what he wants. Hes relishing his last days in office. By focusing on nuclear weapons, Obama sees an opportunity to cement a foreign policy legacy despite setbacks and incomplete efforts in several other areas. But by doing it unilaterally, without congressional buy-in, and in a hurried way, he risks launching policies that might not last much longer than his presidency. While pocketing $1.3 billion in annual U.S. military aid, the Egyptian government of Abdel Fatah al-Sissi is waging war against what it describes as an American-inspired plot to divide and destroy the country. The Obama administrations response to this vicious campaign, which has included prosecuting U.S.-backed nongovernmental organization in Cairo and seeding the state media with poisonous anti-American propaganda, is to pretend that it isnt happening. Case in point: the American citizen Aya Hijazi of Alexandria, Va. Hijazi (sometimes spelled Hegazi), 29, has been imprisoned in Cairo without trial since May 2, 2014 801 days as of Monday. She was arrested with her husband and four other people for operating a nonprofit NGO, the Belady Foundation, which was dedicated to rescuing abandoned and homeless kids. Hijazi and her husband have been charged with sexually abusing the children and paying them to participate in anti-government demonstrations allegations that Egyptian and international human rights groups have described as preposterous. The real offense of this George Mason University graduate is to be an American who founded an Egyptian NGO something that, in the eyes of the Egyptian security services, must make her part of the nefarious anti-Egyptian plot that Sissi and the regimes ideologues imagine. She is, in essence, a prisoner in what Sissi has called the fourth generation war against what he thinks is U.S.-backed subversion. And the Obama administrations response to this gross persecution of an American? Absolute silence. When Hijazi was smeared as a sex criminal and a U.S. secret agent on Egyptian state television; when her pretrial detention passed Egypts legal limit of two years; when her case was postponed seven times on ridiculous pretexts the State Department did not offer a single word of defense or public protest. A U.S. consular official appeared at Hijazis last court hearing, on May 21. According to the family, the official was denied entrance to the courtroom and the proceeding resulted in another long postponement, until Nov. 19. State had nothing to say about that, either. Egyptian and international human rights groups have not been so tongue-tied. In May, a statement by 17 Egyptian human rights groups pointed out that the allegations of sexual abuse had been disproved by the governments own forensic examinations which probably explains why the trial has been repeatedly postponed. Instead, the statement said, Hijazis prolonged pretrial detention serves as a form of punishment in and of itself, a means to retaliate against activists unrelated to any legal grounds for detention. On May 19, the Robert F. Kennedy Center for Justice and Human Rights filed a petition on Hijazis case to the U.N. Working Group on Arbitrary Detention. It said Hijazi had been subjected to coercive interrogation techniques. One security officer hit her neck, bringing her to her knees, called her names, threatened to urinate into her vagina, and said that she should be sentenced to death or at minimum, life imprisonment. The Obama administration remained silent. Hijazis case resembles that of Jason Rezaian, the Post reporter who was arrested by Iranian authorities in the summer of 2014 and held until early this year. Rezaian, too, was subjected to a prolonged pretrial detention in violation of Irans laws, smeared as a U.S. spy in local media and abused by interrogators who attempted in vain to concoct a case against him. Yet President Obama himself publicly spoke up for Rezaian, calling his imprisonment unjust and demanding his release. So what explains the failure to defend this equally innocent, if less prominent, U.S. citizen? The obvious answer is that the Obama administration is loath to acknowledge that the U.S. relationship with longtime ally Egypt has turned toxic that Sissi treats Americans in Egypt as enemies even while pocketing bounteous U.S. aid. When I asked about the case last week, a State Department official who declined to be identified offered a technical excuse: State had not received written permission from Hijazi to speak out. That is disputed by Hijazis family, which says she signed a waiver; Rezaian also told me he never provided such written consent. The State Department official provided me with this unattributable statement: We are aware that Ms. Hijazi has been detained in Egypt for more than two years and is currently on trial. We have made clear to the Egyptian authorities our interest in a speedy conclusion to her case. Two years is too long to wait for justice. Hijazi is only one of thousands of peaceful activists who have been jailed by the Sissi regime. She is, however, an American, a citizen of a country that is heavily funding the very military establishment that is persecuting her. That the Obama administration is prepared to tolerate her unjust imprisonment so meekly is not only shameful; its an invitation to more attacks on innocent Americans. Read more from Jackson Diehls archive, follow him on Twitter or subscribe to his updates on Facebook. Regarding the July 8 news article Trump adviser attacks U.S. policy in Russia talk: The Obama administrations democracy promotion and sanctions policies have devastated U.S.-Russian relations, while pushing Russia into an ever deeper economic and strategic partnership with the Peoples Republic of China. The new Moscow-Beijing axis threatens to tip the Asian power balance in Beijings favor and already is raising concerns among U.S. allies about the durability of the United States security commitments in the Asia-Pacific. The next administration, whether headed by Republican Donald Trump or Democrat Hillary Clinton, should prioritize normalizing relations with Moscow and reintroduce the principle of balance-of-power politics in dealing with major foreign policy challenges. Rensselaer Lee, Washington The writer is senior fellow at the Foreign Policy Research Institute. A rare pause in political volleying prompted by a week of shocking killings gave way to familiar finger-pointing Sunday over who is to blame for the state of aggravated tensions between African Americans and law enforcement in the United States. As Dallas reeled from the racially motivated targeting of police officers and protesters continued to march against police brutality in cities across the country, President Obama sought to allay fears that the country was falling into a state of discord and chaos and urged both sides to engage in respectful discourse. I would just say to everybody whos concerned about the issue of police shootings or racial bias in the criminal justice system that maintaining a truthful and serious and respectful tone is going to help mobilize American society to bring about real change, Obama said in Spain on Sunday during a European trip that has been overshadowed by a series of by crises on the home front. It is in the interest of police officers that their communities trust them and that the kind of rancor and suspicion that exists right now is alleviated. So Id like all sides to listen to each other, he added. The president plans to return home early, flying directly to a memorial service for the fallen officers in Dallas on Tuesday. [Sharp emotions, further protests deepen nations divide over race and policing ] Donald Trump, the presumptive Republican nominee, directed blame at Obama and the presumptive Democratic nominee, Hillary Clinton. Citing the presidents claim on Saturday that the country is not as divided as some suggest, Trump countered that Obama is living in a world of the make believe. Look what is happening to our country under the WEAK leadership of Obama and people like Crooked Hillary Clinton, Trump tweeted Sunday morning. We are a divided nation! Five police officers were killed and seven were wounded Thursday night as they patrolled the streets during peaceful protests in Dallas by a young black man and an Army veteran who told police that he was angry about a spate of recent killings of black people by police and wanted to kill officers, especially if they were white. As 25-year-old Micah Xavier Johnson targeted officers with a semi-automatic rifle, downtown Dallas transformed into a near war zone as police fell in the streets and dodged gunfire, and protestors who were caught in the crossfire bolted for safety. [ Dallas police chief: Shooter seemed delusional, scrawled cryptic messages in blood ] The gunfire cut short the marching of hundreds of protesters who had taken to the streets that night in Dallas and elsewhere after two black men Alton Sterling and Philando Castile were killed during encounters with police in Louisiana and Minnesota, respectively. It seemed, at first, that in a campaign cycle characterized by heated rhetoric and political tension, the tragic cascade of violent events compelled a rare pause in the rivalry between Trump and Clinton. Both Clinton and Trump cancelled most of their campaign activities on Friday and called for reflection and reconciliation. But by Sunday, as the post-tragedy political thaw began in earnest, there was growing anxiety that the divisions that had led to days of protests and Thursday nights brutal, retaliatory killings were an urgent problem. Philadelphias former police commissioner, Charles Ramsey, warned on NBCs Meet the Press that with the Democratic and Republican party conventions on the horizon, the tensions between police and the citizenry have created a dangerous situation. We are sitting on a powder keg, Ramsey said. Obviously, when you just look at what is going on, were in a very, very critical point in the history of this country. And I think you got two conventions coming up that are going to be very, very challenging to handle. And I dont think theyre going to go without some incident taking place, he added. [Dallas pastor T.D. Jakes says fatal police shootings have left nation frustrated, fatigued] Former New York mayor Rudy Giuliani, a Republican, blamed Black Lives Matter protesters for the killing of police in Dallas, claiming that they sing rap songs that celebrate violence against police. When you say black lives matter, thats inherently racist, Giuliani said on CBSs Face the Nation. The police understand it and it puts a target on their back. Every cop in America will tell you that if you ask him. Asked whether Clinton and Trump would be capable and willing to stem the divides in a country seemingly riven by racial and political tensions, Sen. Cory Booker (D-N.J.) argued that Trumps rhetoric had disqualified him for the task. We need people that bind our wounds and build bridges across our chasms, Booker said on Meet the Press. To see someone so callously stoking hate and fear, and inflaming divide, this is not person to be president of the United States I believe ever but definitely not at a time we need a healer, a reconciler and somebody to remind us that as a nation our differences matter, but our country matters more. Presented with the same question, Sen. Bob Corker (R-Tenn.) argued that the rhetoric in politics contributed to the violence the country witnessed last week. But he added that he sees an effort within the Trump campaign to work to bring people together. I think there is going to be a sincere effort within the Trump campaign to do so, Corker added. Congress this week is expected to send President Obama legislation to combat heroin and painkiller abuse, despite lingering disputes over whether there is enough money to support new treatment and prevention programs. Senate Democrats have pushed for additional funding, arguing that without it the bill will not be able to deliver on its promise to help thwart the opioid epidemic. But they do not plan to block the agreement that House and Senate negotiators finalized last week, according to a spokesman for Minority Leader Harry M. Reid (D-Nev.). It is unclear how many Democrats will support the bill, but the legislation is expected to easily be approved in time for the height of the election season. The House passed the legislation Friday on a 407-to-5 vote. All House Democrats voted for the bill but decried its lack of funding. The bill would create or modify existing education, prevention and treatment programs and put more lifesaving anti-overdose drugs in the hands of first responders. Republicans and Democrats will continue wrangling over whether there should be additional funding later this year when final spending bills are debated. We cant do it on the cheap, Reid said last week. And thats what theyre trying to do. [To battle opioid addiction, the federal health department opens medication treatment to more patients] Democrats are also expected to make what they see as a lack of funding for the opioid crisis as well as the Zika virus and the water emergency in Flint, Mich., an issue on the campaign trail when lawmakers depart Washington at the end of the week for an extended recess. For many Republicans in tough reelection contests, Congress cannot pass the opioid bill soon enough. Sens. Rob Portman (R-Ohio) and Kelly Ayotte (R-N.H.) have placed particular emphasis on the legislation in their bids to retain their seats. They have highlighted their work on the issue Portman was a co-author of the bill and Ayotte a chief co-sponsor and their willingness to vote with Democrats for extra funding to show their commitment to fighting an epidemic that has hit their states particularly hard. I understand there is an election year and that some people may want to score a few political points, Portman said in a floor speech Thursday. This needs to come above politics. We need to get this done and we need to get it done now. By supporting the bill, Democrats will effectively be handing a campaign point to some of the very lawmakers, such as Portman, they hope to defeat in November. But there is consensus around the Capitol that the bill is a first step that lawmakers have to take while they can. Its not perfect and does not nearly do enough from a funding perspective, but it makes some important steps that would allow us to begin to address the opioid addiction crisis that is impacting our nation, Rep. Frank Pallone Jr. (D-N.J.), a lead negotiator on the compromise, said on the House floor Friday. Republican leaders have pushed back against Democrats calls for more funding, noting that money authorized to fight opioid abuse is at record highs. Nobody can come to this floor and credibly claim the House is not putting its money where its mouth is, said House Judiciary Committee Chairman Rep. Bob Goodlatte (R-Va.), who was a lead negotiator on the bill. The Notorious RGB isnt backing down from her negative comments about Republican presidential candidate Donald Trump, and now hes calling for her resignation from the Supreme Court. Heres a quick rundown of what the two have said. (Jenny Starrs/The Washington Post) The Notorious RGB isnt backing down from her negative comments about Republican presidential candidate Donald Trump, and now hes calling for her resignation from the Supreme Court. Heres a quick rundown of what the two have said. (Jenny Starrs/The Washington Post) Unlike many of her Supreme Court colleagues, Justice Ruth Bader Ginsburg has never been shy about granting news interviews and speaking her mind when she does so. Its made her the fierceNotorious RBG to her young, feminist fans and a scourge to conservatives who say her off-the-bench musings are inappropriate and could be disqualifying in future cases. But she went even further than usual last week in her comments to the Associated Press and The New York Times about presumptive Republican presidential nominee Donald Trump. I cant imagine what this place would be I cant imagine what the country would be with Donald Trump as our president, Ginsburg told the Times. For the country, it could be four years. For the court, it could be I dont even want to contemplate that. She recalled a joke her late husband Marty used to make about unfortunate political outcomes: Now its time for us to move to New Zealand. Similarly, she told the AP that she assumed Democrat Hillary Clinton the 83-year-old Ginsburg was nominated to the court in 1993 by President Bill Clinton would win the November election. 1 of 14 Full Screen Autoplay Close Skip Ad These Republicans refuse to vote for Donald Trump View Photos And theyll tell you why. Caption And theyll tell you why. Former Secretary of State Colin Powell General Powell said at a meeting of the Long Island Association that he would be voting for Hillary Clinton, a spokeswoman confirmed Oct. 25. Powell added in an interview that he picked Clinton because I think shes qualified, and the other gentleman is not qualified. Nikki Kahn/The Washington Post Wait 1 second to continue. Asked what would happen if Trump won instead, she said: I dont want to think about that possibility, but if it should be, then everything is up for grabs. The Supreme Court has emerged as an important issue in the election the current ideological balance of four liberals and four conservatives will be broken by the next president. The court would have a liberal majority for the first time in decades if Judge Merrick Garland, President Obamas nominee to replace the late Justice Antonin Scalia, is confirmed, and the next president is likely to be able to influence the courts future as well. [Meet Merrick Garland, President Obamas nominee for the Supreme Court] Besides Ginsburg, two other justices will be 78 or older on Inauguration Day 2017. Its likely that the next president, whoever she will be, will have a few appointments to make, Ginsburg told the AP, with a smile. Ginsburg is widely considered the next justice to go, which makes her comments about who might name her replacement even more surprising to many. I find it baffling actually that she says these things, said Arthur Hellman, a law professor at the University of Pittsburgh who studies the judiciary. She must know that she shouldnt be. However tempted she might be, she shouldnt be doing it. Supreme Court Justice Ruth Bader Ginsburg takes part in a conference in Saratoga Springs, N.Y., in May. (Mike Groll/Associated Press) Edward Whelan III, president of the Ethics and Public Policy Center and a former Scalia clerk, has criticized Ginsburg before for her public comments. But he said this one is indefensible. I think this exceeds the others in terms of her indiscretions, Whelan said. I am not aware of any justice ever expressing views on the merits or demerits of a presidential candidate in the midst of the campaign. I am not a fan of Donald Trumps at all. But the soundness or unsoundness of her concerns about Donald Trump has no bearing on whether it was proper for her to say what she said. The most immediate consequence of Ginsburgs comments would be if a case involving the election a 2016 version of Bush v. Gore came before the court. But there could also be concerns should Trump be elected. Louis J. Virelli III is a Stetson University law professor who just wrote a book on Supreme Court recusals, titled Disqualifying the High Court. He said that public comments like the ones that Justice Ginsburg made could be seen as grounds for her to recuse herself from cases involving a future Trump administration. I dont necessarily think she would be required to do that, and I certainly dont believe that she would in every instance, but it could invite challenges to her impartiality based on her public comments, Virelli said. [What made the friendship between Scalia and Ginsburg work] Hellman said Ginsburgs comments could muddy the waters when it comes to decisions not just involving Trump but also his policies something that could come up regularly should he win the presidency. It would cast doubt on her impartiality in those decisions, Hellman said. If she has expressed herself as opposing the election of Donald Trump, her vote to strike down a Trump policy would be under a cloud. There is little precedent for Ginsburgs frank comments. Then-Justice Sandra Day OConnor was criticized by some in 2000 after Newsweek reported her saying, This is terrible, at an election-night watch party after Florida was prematurely called for Al Gore. Some argued that she should have recused herself from Bush v. Gore. It is hardly shocking that the justices would have political favorites. Chief Justice John G. Roberts Jr. and Justice Samuel A. Alito Jr. worked for Republican administrations in their previous lives. Justice Elena Kagan worked in the Clinton White House, and she was Obamas solicitor general before he nominated her to the court. Justice Clarence Thomass conservatism is not in doubt, and his wife Virginia endorsed Sen. Ted Cruz in the Republican presidential primary. Scalia and Ginsburg, though, have always been more outspoken than the others, and a post-term interview with Ginsburg is a go-to for Supreme Court reporters. Sometimes even before the term is ended. In a 2009 interview with USA Today before the case was decided, Ginsburg criticized her male colleagues for failing to appreciate the damage that could be done to a teenage girl during a strip search. They have never been a 13-year-old girl, she said. Its a very sensitive age for a girl. I didnt think that my colleagues, some of them, quite understood. And in an interview with The Washington Post in 2013, Ginsburg spoke admiringly of Obama, but said she felt no need to step down in time for him to name her successor. I think its going to be another Democratic president after Obama, Ginsburg said at the time. The Democrats do fine in presidential elections; their problem is they cant get out the vote in the midterm elections. Democratic presidential candidates Hillary Clinton and Bernie Sanders appear on stage just before a CNN-sponsored debate in Brooklyn in April. (Melina Mara/The Washington Post) When Bernie Sanders finally offers his endorsement of Hillary Clinton here on Tuesday, it will be the culmination of a month of aggressive courting by her, including a high-profile meeting in Washington and a dinner between their campaign managers in Vermont. But much remains unknown about how and whether the political marriage being unveiled Tuesday will actually work. While they have a common enemy in Republican Donald Trump, Clinton and Sanders dont have much of a personal or professional relationship. And many of their supporters remain deeply suspicious of the other candidate. Sanders diehards remain skeptical that Clinton, the favorite of the Democratic establishment, will embrace the agenda of a candidate who promised a political revolution. And Clinton boosters are wary of a longtime independent who questioned Clintons judgment and was slow to accept defeat. Im not convinced hes going to spend most of his time campaigning for Hillary Clinton and articulating her views, said Jim Manley, a longtime Democratic operative and Clinton backer. Im concerned hell continue talking about his views. . . . Hope springs eternal, but Im not exactly comforted by the actions Ive seen over the last few weeks and months. Hes been playing Jedi mind games. For every nice word he says here, he takes a couple other back there. Put me down as skeptical. It also remains unclear how often and under what circumstances Clinton plans to deploy Sanders as a surrogate between now and November. As of Monday, no other appearances on her behalf had been nailed down, aides said. Still, Tuesdays appearance will be a politically happy one for both Clinton and Sanders. Sanders supporters say the past month has been about ensuring that he can make a credible case that his revolution will continue, though perhaps not at the same pace if he were the nominee. He can now promise, they say, that Clinton will carry the torch on key issues he championed during his surprisingly strong bid, including making college tuition free for many families and moving the country closer to universal health care. [Democrats shift to the left in this past weekends platform fight] He kind of earned the right to take his time, said Rep. Raul M. Grijalva (D-Ariz.), one of Sanderss earliest congressional endorsers who is now backing Clinton. Its good for Bernie that there was a time when people could celebrate what occurred. Clinton, meanwhile, can head into the Democratic convention in Philadelphia able to project an image of party unity, as she stands arm in arm with her sometimes pesky rival in the primaries. Jeff Weaver, Sanderss campaign manager, credited the Clinton campaign for its willingness to incorporate many of Sanderss priorities into both her agenda and the Democratic platform that will be adopted at the convention later this month. What has been sent is a clear message that the voices of the 13 million-plus people who supported Senator Sanders are being heard, Weaver said, adding that Sanders got way over 90 percent of what we wanted in the platform process, including a commitment to pursue a federal minimum of wage of $15 an hour and bold actions on climate change. We have to keep moving the ball forward, and the ball will continue to move forward, Weaver said. Electing Donald Trump would set that back tremendously. Aides to both campaigns say a pivotal moment leading to Tuesdays announcement came nearly a month ago, when Clinton and Sanders agreed to meet behind closed doors at the Capitol Hilton in Washington on the night of the final Democratic primary, in the District. It remains their lone one-on-one meeting. What soon became clear is that Sanders was focused on winning concessions on policy and that Clinton was willing to accommodate him, at least to a point. As soon as the principals departed that night, Weaver and Clinton campaign manager Robby Mook remained for two hours to continue discussing areas where the campaigns could work together. In the weeks since, Mook and Weaver remained in near-daily contact by phone and text messages. Policy staff from both campaigns as well as Sanderss wife, Jane worked to craft proposals to advance Sanderss agenda but remain consistent with Clintons principles. Sanders, for example, had championed making college tuition free for everyone who attended public universities and colleges. Clinton often derided his proposal, saying taxpayers shouldnt foot the bill to send Donald Trumps kids to college. The compromise eventually crafted calls for free tuition for families making up to $125,000 a year. [The time the Clinton campaign released a Sanders attack ad in July] Underscoring the progress that was being made, Mook traveled to Vermont late last month for dinner with Weaver at the Farmhouse Tap & Grill in Burlington, the city where Sanders was once mayor and maintained his presidential campaign headquarters. Weaver had a pork burger, while Mook had a salad. They talked until nearly 11 p.m., though they were interrupted a couple of times as locals approached the table to request selfies with Weaver, who had become a minor celebrity in his own right due to frequent television appearances. Last week, Clinton rolled out revamped proposals on college tuition and health care, promising to push for a public option that would allow people to buy into government insurance as part of the Affordable Care Act. That was a far cry from Sanderss proposal for a single-payer system but seemed a step in that direction. Next, during platform hearings in Orlando over the weekend, Clinton policy adviser Maya Harris and Sanders policy adviser Warren Gunnels sat side by side at the same table to hammer out compromise language on key positions. Jesse Jackson, who similarly withheld an endorsement of the Democratic nominee when he ran for president in 1988, said he saw parallels with Sanders this year. What I chose to do was expand the Democratic Party, even though it did so kicking and screaming, Jackson said. The process in 2016 has been markedly different from 2008, when a vanquished Clinton was much quicker to declare her public allegiance to then-Sen. Barack Obama. Obama and Clinton met alone in 2008 days after the final primary in the living room of Sen. Diane Feinstein (D-Calif.). Clinton endorsed Obama shortly thereafter. Is it ever going to be as warm and fuzzy as it was eight years ago? I dont know, said Mo Elleithee, who was an aide to Clinton in 2008. Bernie doesnt always exude warm and fuzzy. Hes not Mr. Congeniality. Thats not his appeal. There have been discussions between the two camps about deploying Sanders to states where he performed well in the primaries, such as Wisconsin and Michigan. New Hampshire also fits that definition: He beat Clinton there by 22 percentage points. Another possibility is to send Sanders to college campuses to rally the youth vote, which sided with him by large margins over Clinton. There is a potential optics challenge, however, if thousands of Sanders fans, wearing Bernie T-shirts, come out because of their devotion to him and not the partys expected nominee. For some in Clintons camp, Sanderss endorsement has been viewed as more of a utilitarian exercise. His endorsement signals to progressive backers including most his largest union endorsers and the Congressional Progressive Caucus PAC that the time for unity has arrived. [Clinton picks up progressive endorsements ahead of joint appearance with Sanders in New Hampshire] But as Sanders waited, the value of his endorsement also waned, as a parade of other Democratic all-stars, including President Obama, Vice President Biden and Sen. Elizabeth Warren (D-Mass.) hit the campaign trail for Clinton. Sanders also faces the reality that some of his supporters will be deeply disappointed that hes endorsing Clinton. I think he shouldnt do it, said Leigha LaFleur, 41, a Sanders delegate from Oregon. Say its the bottom of the ninth and one team is down by 10 points. Is one team going to say, Okay, well go home, game over? Thats not how it works. Id love for us to go to the convention and have the true outcome of the delegate vote. David Weigel and Vanessa Williams contributed to this report. Ohio Gov. John Kasich arrives for a town hall meeting at the Hopkinton Town Hall in New Hampshire in November, campaigning for the Republican presidential nomination. (Charles Ommanney/The Washington Post) Gov. John Kasich finds himself in a peculiar situation these days. Hes responsible for helping to safeguard a Republican convention he will not speak at, a nominee he will not support and an arena he probably will not step foot in. It is odd, isnt it? Kasich says. Its going to be strange. Even stranger: When Kasich arrives Sunday in Cleveland for the Republican National Convention, he will be the one leading Hillary Clinton in a half-dozen battleground states not presumptive nominee Donald Trump according to a recent Ballotpedia poll. I told somebody I shouldve quit earlier I might have won! he says, laughing, during a relaxed interview at the governors mansion in Columbus. Things arent going so bad for a guy who dropped out of the presidential race in May, having won just one state his own and who some Republicans see as a bit too self-righteous and self- focused. Kasich left the race with a high favorable rating among voters and has come to symbolize the anti-Trump faction of the party. With the convention approaching, the media is clamoring at his door, and his team can see the 2020 presidential election clearly on the horizon. [Kasich: My Republican Party doesnt like ideas] John Kasich was never going to be president. The Fix's Chris Cillizza explains why. (Peter Stevenson/The Washington Post) Led by political consultant John Weaver, Kasichs advisers are very aware that he is having a moment in the spotlight, and they are not wasting a minute. Soon, hell head to New York to pitch to publishers a book proposal about the 2016 campaign and his message of Two Paths, contrasting Trumps doomsday talk with his positive approach. The plan is to roll out the book in town halls across the country. His political 501(c)(4) is also being retooled to allow him to campaign for Republicans other than Trump and to promote the issues and values that are important to him. Im not shutting my political operation down, the governor and former congressman says. Im not closing any doors. But my focus right now is going to be on the House, the Senate, and the down-ticket here in my state. As for next week in Cleveland, he will be quite conspicuous zipping to events and doing ample media in his parallel political universe. As the states chief executive, he will receive high-level security briefings at the command center twice daily. On Tuesday, Kasich will throw his own high-profile party at the Rock and Roll Hall of Fame for his supporters. But what youll never see is Kasich in the same picture frame with Donald Trump. In addition to publicly refusing to support Trump, Weaver says that Kasich rebuffed multiple overtures in May from Team Trump to join the ticket. He was not interested, says Weaver. A senior official with the Trump campaign on Sunday denied that any overtures were made to Kasich about being Trumps running mate. We made overtures to bring him on board and get him to keep his pledge to support the nominee, said the official. Still, Kasich has figured out how to remain relevant, visible and loyal to his party, while separating himself from a man he believes is dangerous for America. He feels no obligation to help Trump win Ohio, a crucial battleground state. Its not on me, says the governor, who enjoys a 58 percent job approval rating. If he was to lose Ohio and lose the election and people would blame me, thats just life. Nonetheless, Kasich is concerned that Trump will take down the Ohio Republican Party with him, so he plans to campaign aggressively for others, including Sen. Rob Portman, who is in a tough race with Democrat Ted Strickland, a former governor. He will also campaign for Sen. John McCain (R-Ariz.) and Sen. Kelly Ayotte (R-N.H.), he says. Kasich, 64, says repeatedly during an hour-long interview that he is happy, at peace, and a better man for having gone through the grueling presidential campaign. The Republican National Convention is approaching fast but these big-name Republicans won't be attending. (Peter Stevenson/The Washington Post) [Kasich leaves the presidential race] He tries not to overtly criticize Trump, but its hard for him to hide his disapproval. He will acknowledge that Trump tapped into voter anger but he accuses the brash real estate mogul of exploiting it, rather than resolving it. What he tapped into is: The reason you dont have a job is because some Mexican took it, and the reason you dont have a job is because of the Chinese. And, by the way, Americas getting screwed. We never win anything. Thats no message, Kasich says. Thats why I havent endorsed him. He feeds into peoples anger. . . . He doesnt provide a way forward. . . . Its just trust me. I dont trust any politician to tell you the truth. Next to Trump, Kasich saves his harshest words for Republican National Committee chairman Reince Priebus, whom he assails for crowning Trump the presumptive nominee in a tweet after the businessman won the Indiana primary on May 3 and Sen. Ted Cruz (R-Tex.) quit the race. What Priebus did was dead wrong, after Indiana, declaring Trump the nominee, Kasich says, barely hiding his disgust. I was still in it and I think he dissed me, and I think its inappropriate. I havent spoken to him. I dont think theres any point to it. I dont even understand what he was doing. It was amateur hour for him. Kasich left the race the next day. A spokesman for Priebus said Sunday that the party chairman was only stating the obvious. Its simple math, said the spokesman. No Republican has ever become president without winning Ohio, and Kasich and other state officials dont think Trump is well-positioned to win here with his current message. In addition, Trump has been slow to build a ground operation. Although Ohio registered 1 million new Republican voters before the primary, exit polls showed many of those registered in order to vote for Kasich not Trump. Weve made no secret that the message has to be one of unifying and not dividing people, says Matt Borges, chairman of the Ohio Republican Party, who talks to Trump. And without that, Kasich does not see a path to a Trump victory in the general election. Well, if you have trouble with Hispanics, if you have trouble with African Americans, if you have trouble with women, he says. I think they have these challenges, and I think they know it and they have to deal with it. So why didnt the Ohio governor take off during the primaries, given his standing today? Political operatives maintain he entered the race too late, with low name recognition nationally and couldnt raise the resources to get his message out. Kasich also faults the media which he says created Trump and never gave him the time of day. We never got the kind of attention that traditionally candidates have gotten when they did well, Kasich laments, citing his second-place finish in the New Hampshire primary. It was pretty remarkable, Kasich says. But what happened when that was over? Nothing. . . . After New Hampshire, we should have had enormous attention. The media just basically discounted me. Kasich has said that he might consider supporting Trump if his divisiveness and name-calling came to an end. But he says Trumps rhetoric seems to have gotten worse. I was talking to a guy yesterday. Hes like, Well, you need to be for Trump. What did they think my message was? Did they think it was just politics? This is stuff I feel really strongly about. My family feels stronger than I do about it. Citing Trumps attacks on women, Kasich says his wife, Karen, and twin daughters are anti-Trump. My girls are 16, so they cant vote, but believe me, theres no way he would get their vote. Asked how he would go about rebuilding his fractured party should Trump lose the election, he says, Im more worried about my country than Im worried about my party right now. Is all the immigration right? Of course it isnt. But are we anti-immigrant? Of course not. Are we a party that says based on a religious test that somehow youre not welcome? Thats not my party. . . . So the party has lost its way. He also faults Trump for his strident anti-trade position. We need to be a party that favors trade. Are all the trade deals good? Obviously not. Ive never been the greatest free-trade person, but I do realize that if you and I get into a trading situation, personally we become closer. We sit down and we talk. It creates a relationship. If all of a sudden we shut that off, it isnt good. Kasich was one of the first of many party leaders to take a pass on attending the convention. The list gets longer every day. I cannot speak as to why anybody else is doing what theyre doing, he says. Im just doing what I think is the right thing to do, based on my campaign, what I feel about the country, reflecting the views of my family. Ill navigate through it. In the one and only telephone conversation he had with Trump after he left the race, Kasich said, he told the businessman that they were too different politically and personally for Kasich to support him. He asked Trump to read his Two Paths speech and let him know what he thought. He hasnt heard back. Says Kasich with a shrug, He wasnt going to read my speech. Gift Article Share It was almost a generation ago when Rodney King, the black victim of a vicious beating by white Los Angeles police officers, issued his plaintive call: Can we all get along? Rick Zamarripa echoed that 24 years later after his son Patrick was one of five Dallas police officers killed by a black man. I wish everybody could just get along, the father told CBS News. Thursdays assault by Micah Johnson on Dallas police President Obama called the shootings a vicious, calculated and despicable attack on law enforcement was said to be a retaliatory strike that quickly followed back-to-back point-blank police killings of black men, Alton Sterling in Baton Rouge and Philando Castile in Falcon Heights, Minn. Obama also called Johnson demented. Yet, the gunmans actions also reflect the explosive, deep-seated anger felt by black Americans who would never take their outrage that far. Advertisement Last weeks killings filled the nation with horror and anxiety and left other countries confused and fearful about our violence. The Bahamas, which is 90 percent black, warned its young men traveling to the United States to exercise extreme caution in affected cities in their interactions with the police. Black lives matter is a fact and an aspiration. Given history and a broad range of conditions in this country, black lives are cheap seems more accurate. Blue lives also were cheap to Johnson. Relations between police and black America have always been weak at the seams. Now, the killings in Texas, Louisiana and Minnesota have exposed the big hole in the countrys multicultural quilt. Theres not a black America and white America and Latino America and Asian America theres the United States of America, Obama, then a Senate candidate from Illinois, said at the Democratic convention in 2004. Advertisement On Saturday, his tone reflected what is, instead of what should be. So there is sorrow, there is anger, there is confusion about next steps, he said from the NATO Summit in Warsaw. But theres unity in recognizing that this is not how we want our communities to operate. This is not who we want to be as Americans. Yet this is who we are. This is how our communities operate. Not in totality, but enough to make us shudder at how violent America is. Like the biddings of King and Zamarripa, Obamas 2004 remarks were more hopeful than real. Its going to take more than Kumbaya talk to heal relations between the police and the African American community. Particularly now. For Rep. Eddie Bernice Johnson (D-Tex.), who lives just blocks from the scene of the Dallas shootings, the American mosaic has become more ragged since Obama was elected president in 2008. Advertisement Race relations have deteriorated greatly in the last five to eight years, Johnson said in an interview. Its the reality. Its no point in denying it. Weve got to do better. The hostility we feel even in the body we serve in is indicative of negative race relations. We cant ignore it and we cant deny it and think its going to go away. The reason for this trend? I can tell you what the average black American thinks, she said. They think that because our president is African American that the white racism has been rebirthed. Racism isnt always overt, as it was in Charleston, S.C., last year when Dylan Roof, who had previously posed with a Confederate battle flag, allegedly opened fire and killed nine black worshippers at Emanuel African Methodist Episcopal Church. Implicit bias isnt as deadly, but it can be more insidious. Advertisement Attorney General Loretta E. Lynch announced last month that all Justice Department law enforcement officers and prosecutors will take training in implicit bias. The department defined implicit bias as the unconscious or subtle associations that individuals make between groups of people and stereotypes about those groups. In a memo to staffers, Deputy Attorney General Sally Yates said implicit bias presents unique challenges to effective law enforcement, because it can alter where investigators and prosecutors look for evidence and how they analyze it these trainings reaffirm our commitment to a criminal justice system that is fair, impartial, and procedurally just. Thats a start. So was the report by the Presidents Task Force on 21st Century Policing, published last year. The first of its six recommendations is building trust on both sides of the police/citizen divide. Toward that end, Obama said he is calling law enforcement officials, community activists and civil rights leaders to the White House this week, when he also plans to visit Dallas, to start moving on constructive actions that are actually going to make a difference. Another aspirational statement. At the moment, its hard to be optimistic. GiftOutline Gift Article At a moment of national suffering, politics stands largely mute. A presidential campaign that has convulsed the country for more than a year now suddenly seems small in the face of the shocks from Louisiana, Minnesota and Texas and the racial divisions they exposed again. Through a weekend of grieving and prayers, demonstrations and arrests, politics was rightly on hold. Not in the literal sense, of course. Donald Trump and Hillary Clinton, out of sight, continued to weigh vice presidential choices and prepare for their respective party conventions. The Democrats debated their platform in Orlando. Republicans arrived in this city to start drafting their platform and rules ahead of their gathering, which will begin in a week. But in other ways, politics has taken a back seat to fast-moving events that overwhelm the capacity of political leaders to act or do little more than offer familiar words. In that way, there was a sense of disconnect between the issues that again confront the entire country the rights of all people to be treated equally and the risks every first responder is exposed to in unexpected settings and the politicians who will be called on to try to do something about them. [Divisions over race and policing deepen after Dallas, Louisiana, Minnesota] President Obama was repeatedly visible, even though he was thousands of miles away in Europe. He sought to calm things down, offer perspective and ask for patience. In every forum, from the evening he landed in Poland to his departure from Spain en route back home Sunday, the president offered a message carefully balanced to apportion sympathy for all, criticism where warranted and encouragement where needed, which seemed to be everywhere. Obama tried to tell the people of a divided nation that they are not as divided as the naysayers claim, that some of the tensions on display this past week are long-standing problems between the races and therefore are not given to easy solutions. Progress has been made but much remains to be done, he said, as he has at other moments of tension that have repeatedly punctuated his presidency. Police officers deserve the respect and support of all Americans, he said, even if uneven justice is applied to minority communities. The question that immediately arises is: What effect will the events of the past week have on the campaign? There is no clear answer. Will a frightened country look for a strong leader to help restore law and order, as Trump said on the morning after the carnage in Dallas? Will a nation yearning to understand the moment look for someone to help lead a frank conversation about injustice and the relationship between law enforcement and the African American community, as Clinton suggested she could do? Just as appropriate a question is: What kind of effect will the campaign have on the problem highlighted by Baton Rouge, Falcon Heights and Dallas? Trump has barely talked about these issues during the campaign. He has spoken about the plight of the inner cities and said he would attract more African American votes than a typical Republican nominee, but he generally has taken the side of law enforcement when there is conflict between law enforcement officers and minority communities. I am the law-and-order candidate, Trump said Monday during a speech on veterans issues in Virginia Beach, Va. Hillary Clinton, on the other hand, is weak, ineffective, pandering. Clinton has brought attention to the mothers who have lost children to shootings, including some by police officers. She pledges compassion as well as justice. She has solid support in the African American community, and her messaging reflects that. [Amid calls for unity, partisan finger pointing] The rhetoric of politics in these days seems inadequate to a moment better made for clergy members or local leaders without obvious political standing, voices such as those of Dallas Police Chief David Brown or the Rev. T.D. Jakes. At these moments, the rhetoric of politics can sound stale and packaged, tested through polling and focus groups, designed to soften rough edges without necessarily grappling directly with the intractability of many problems. Police arrest protesters in Baton Rouge on Saturday, July 9. (Mark Wallheiser/Getty Images) Still, presidents have met rhetorical challenges when called upon. Ronald Reagan had that gift. So did Bill Clinton. George W. Bush, on the Friday after terrorists destroyed the twin towers, found it in a formal speech at the Washington National Cathedral and later with a bullhorn atop the rubble at Ground Zero. Obama has repeatedly delivered moving and emotional speeches in times of grief and sorrow, so many by now that fresh words are fleeting. He will speak again Tuesday at an interfaith service in Dallas. Bush also will speak at the service. Its what comes afterward that highlights the limits of words alone. Nineteen years ago, Bill Clinton, whose bonds were deep with the black community, sought to generate a national conversation about race as perhaps only a Southern president could. His goals were to educate the country and to promote a healthy dialogue on race, while offering a presidential vision of reconciliation. The effort delivered only in small ways, as events of last week and many other weeks have reminded everyone. Obama, the first African American president, generally spoke about race only when forced to do so as a candidate in 2008 and then gingerly during the early stages of his presidency. Lately he has been far more outspoken, offering the perspective of someone who has lived the experience of being black in the United States. The fruits of this also have been limited, although he has been clear-eyed about the limits of what any president can do in four or eight years. Obama was asked Saturday in Poland how he hoped his legacy on issues of race would be remembered. If my voice has been true and positive, he said, then my hope would be that it may not fix everything right away, but it surfaces problems, it frames them; it allows us to wrestle with these issues and try to come up with practical solutions; and that that perspective may lead to continued improvement. He concluded by saying: Thats not going to happen right away, and thats okay. We plant seeds, and somebody else maybe sits under the shade of the tree that we planted. And Id like to think that, as best as I could, I have been true in speaking about these issues. In the best of those moments, presidents speak for everyone. In this campaign, no one seems able to do that. Neither of the two major candidates has found, if there is a way to find it, a message that bridges the two worlds that remain apart. They have been content to appeal to their respective bases, focused first and foremost on trying to turn out enough support to win in November. At that point, one of them will be the president-elect and the problem will be his or hers. Will the campaign have prepared the winner and the country for that challenge? (Rachel Orr/The Washington Post; iStock) Wen Tao has been saying what he thinks on Chinas booming social-media outlets for the best part of a decade. His forthright views have won him tens of thousands of followers, but his criticism of the authorities has also come at a cost: He says his social-media accounts have been closed down about 20 times, and he has been bombarded with curses, personal insults and death threats from other social-media users. Chinas Communist Party and its military say they are waging an ideological war against hostile Western ideas on the Internet, and people like Wen are in the firing line. Through censorship, intimidation and repression, and with the help of an army of patriotic netizens, the party appears to be winning. It is part of Chinas larger effort to tame the Internet and to disprove the notion that the flow of ideas across the World Wide Web would be an unstoppable force toward democracy. News and information that might threaten the Communist Party are kept out of the country under a system of censorship known as the Great Firewall, while foreign social-media networks such as Facebook and Twitter that allow private citizens to share ideas and join forces are also banned. Behind the wall, Chinas own social-media networks are closely policed to ensure public opinion does not coalesce into a threat to one-party rule. In February, the government finally banned Wen for good, among a group of Internet users who had supposedly abused their influence, spread rumors and disrupted social order. Now, he is about ready to give up. I am tired, he said in an interview at a Beijing coffee shop. The most important thing now is I should lead a happy life with my wife. I want to sleep well. Guobin Yang, an associate professor at the University of Pennsylvania and author of many books on Chinas Internet, says the online environment has really changed in recent years. Critical voices are still there, but it is less likely they will coalesce into a broader form of online protest, he said. Commuters ride the subway in Beijing. Mobile-device usage and e-commerce are in wide use in the Chinese capital despite serious restrictions on Internet access. (Michael Robinson Chavez/The Washington Post) Indeed, social media is increasingly being harnessed by autocratic regimes to bolster their rule, says University of Toronto political scientist Seva Gunitsky. It helps dictatorships gauge public opinion and discover otherwise hidden grievances, while also allowing them to disseminate propaganda and shape the contours of public debate. China has been at the forefront of this, and they are quickly getting very sophisticated about it, he said. Social media can allow autocrats to become stronger, more informed and more adaptable. As with radio and television before it, social media is not just a way to spread information but a potential tool of subtle control and manipulation one that often works more effectively than brute-force suppression. In a refinement of traditional Communist Party propaganda, the core of the attempt to tame social media since 2008 has been to channel public opinion into narratives that suit the party and divert attention away from controversy, says David Bandurski at the University of Hong Kongs China Media Project. Censors work selectively, especially targeting posts that threaten to spur some form of collective action. Pro-government voices generally do not engage critics in discussion or argument that would draw too much attention to controversial subjects but do often subject them to personal attack. The war was effectively declared in earnest in July 2011, after a high-speed train crash in Wenzhou in eastern China, when news and outrage spread over Chinese social media and the party felt it had lost control of the narrative, experts say. In October of that year, the partys top leadership vowed to seize the commanding heights of the Internet and has steadily rolled out a series of measures to do just that a campaign that has only intensified since Xi Jinping became president in 2013. Early targets: the influential commentators, known as Big Vs (V stands for verified account), who enjoyed millions of fans and huge influence. In 2013, Charles Xue, an American venture capitalist of Chinese origin and a leading liberal blogger, was arrested for having sex with a prostitute and forced to make a humiliating televised confession for being puffed up with vanity and behaving like he was emperor of the Internet. State news agency Xinhua said a warning bell had been sounded to all Big Vs. Ordinary citizens, meanwhile, were warned off with a threat of up to three years in jail for spreading rumors if their posts were viewed more than 5,000 times or reposted 500 times. Real-name verification was introduced for social-media accounts, while the government warned Internet giant Sina last year to intensify its own censorship of online comments on its popular Weibo microblogging site or have it closed down. Broadening the campaign, Chinas Internet regulator told news websites on June 21 to crack down on online comment sections, cleaning up comments that violated what are described as nine donts and seven bottom lines, including endangering state security, challenging socialism and inciting ethnic hatred. Ren Xianliang, deputy director of the Cyberspace Administration of China, addressed editors in a national videoconference, urging them to make it easier for people to supervise one another by reporting harmful comments and asking websites to promote positive comments to foster a healthy and well-intentioned online culture. The Communist Partys own Internet army is at the forefront of these efforts. Some posters are popularly believed to be paid the wumao (the 50-cent Party) who are supposedly given half a renminbi ($0.08) for every post praising the government or denigrating its critics. But a much larger number may just be employees of the state, doing part-time work outside their main jobs to support the partys agenda. Various arms of the Chinese government, together with individual state employees, by their own admission operate more than 150,000 official Weibo accounts, but the real number of accounts run by state employees could be far higher. A study released in May by Harvard Universitys Gary King, Stanford Universitys Jennifer Pan and the University of California at San Diegos Margaret Roberts suggests that government-directed accounts generate nearly 450 million posts a year, with intense bursts of cheerleading or distraction around specific events or at sensitive times. Others are volunteers, reportedly recruited by the Communist Youth League in the millions to spread positive energy and civilize the Internet. They are nicknamed the Bring-your-own-grainers because they supposedly work for free. Some might just be ordinary Chinese netizens who say they are tired of people putting their country down. Public intellectuals are too eager to spread anything negative regardless of its factual accuracy, one pro-party microblogger said in an online interview. They oppose the government and the system regardless. They are not objective or fair, thats why people dislike them. True believers could come from a new breed of young people, brought up after the 1989 Tiananmen Square protests, who are proud of Chinas rising global power and suspicious of Western criticism as an attempt to block its rise. They cast themselves as patriots, tapping into the partys nationalist narrative. The rise of China gave them a lot of confidence, the microblogger explained, saying it was not convenient to give his real name because he did not want trouble. Experts say the participation of these various pro-party groups has transformed Chinas social-media environment. On the other side, prominent critics of the government might be blocked, insulted or accused by their fellow netizens of spreading rumors a charge that now has legal bite. Yang, the University of Pennsylvania professor, calls it a form of psychological war but also a harking back to the early days of Communist China, when the masses were mobilized to support major new government policy directives. Its a Maoist-era strategy revived in new technological conditions, he said. In the heady days of Twitter revolutions, it was supposed to be impossible to put the genie of public opinion back in the bottle, once the Internet and social media had let it out. But Chinas Communist Party has made a determined effort to do just that. In its annual Public Opinion Report for 2015, the Peoples Daily Online reported a major increase in consensus online in support of the party, with millions of young cyber civilization volunteers emerging as the most prominent force. Due to the strengthened management of online platforms, netizens showed an increased sense of self-discipline, it said. The heat of public opinion continues to drop. The Big Vs, it reported, no longer have so many followers, and their opinions are less widely reposted. Whereas the Wenzhou train crash attracted 500 million Weibo posts in 2011, the 2014 crash of Malaysia Airlines Flight 370 attracted just 25 million. Last years sinking of a cruise ship on the Yangtze River also generated a relatively muted reaction online. President Xi says he wants an Internet that is clear and bright but in April told leaders of the countrys top Internet companies, as well as officials and academics, that he did not want to shut down criticism entirely. Indeed, he called for more tolerance and patience toward netizens and said he welcomed online criticism whether mild or fierce, as long as it arises from goodwill, the Peoples Daily reported. Authorities then apparently censored negative reactions to his speech on social media. Blogger Wen, a 43-year-old former reporter, says he has found official tolerance in extremely short supply. In 2011, shortly after making a documentary on artist and dissident Ai Weiwei, he says he was taken away by police and held in a hotel room for 83 days, one hand constantly chained to a chair. He says he was never charged with any crime nor given any written record of his detention. In similar fashion, he says he has now been banned from the Internet without any formal notification of what he had done wrong. I am not a fighter; I am not an activist. I am not commenting on behalf of any organization. All my words are my own, he said. I just want freedom of speech without fear. Xu Yangjingjing contributed to this report. Read more Wanted: Ten million Chinese students to civilize the Internet China broadcasts confession of Chinese American blogger Chinas scary lesson to the world: Censoring the Internet works Todays coverage from Post correspondents around the world Hillary Clinton is seen aboard the campaign bus in Cleveland on the third day of a bus tour through Pennsylvania and Ohio. July 31, 2016 Hillary Clinton is seen aboard the campaign bus in Cleveland on the third day of a bus tour through Pennsylvania and Ohio. Melina Mara/The Washington Post The former secretary of state, senator and first lady is the Democratic nominee for president. The former secretary of state visits key states in her quest to become the Democratic nominee for president. The former secretary of state visits key states in her quest to become the Democratic nominee for president. Two Republican congressmen on Monday formally requested that the U.S. Attorney for the District investigate whether Hillary Clinton committed perjury when she testified before a congressional committee about her use of a private email server while she was secretary of state. The letter from U.S. Reps. Jason Chaffetz (R-Utah) and Bob Goodlatte (R-Va.) asserts that evidence collected by the FBI during its investigation involving Clintons email practices appears to directly contradict several aspects of her sworn testimony and asks federal authorities to investigate and determine whether to prosecute Secretary Clinton for violating statutes that prohibit perjury and false statements to Congress, or any other relevant statutes. It is addressed to U.S. Attorney Channing D. Phillips and copied to FBI Director James B. Comey and U.S. Attorney General Loretta Lynch. A Justice Department spokeswoman and a spokesman for the U.S. Attorneys Office in the District declined to comment. U.S. Attorney General Loretta Lynch is scheduled to appear Tuesday before the House Judiciary Committee, of which Goodlatte is the chairman. [Letter from congressmen requesting Hillary Clinton be investigated for perjury] Last week, the Justice Department formally closed its probe into whether classified information was mishandled because of Clintons use of a private email server while she was secretary of state without any criminal charges. Comey said that he believed Clinton was extremely careless but that investigators did not find evidence she intended to do wrong with her email setup. He said investigators also concluded that prosecuting Clinton under a law that allowed a criminal case to be built on gross negligence would have been virtually unprecedented. Comeys extensive public explanations of the FBIs investigation, though, called into question Clintons previous public explanations about her email setup, and congressional Republicans pressed the FBI director to say whether his investigators had looked at possible perjury charges stemming from her testimony before a congressional committee. At a hearing last week, Chaffetz asked whether the FBI had specifically investigated Clintons previous statements, which he considered to be false. Comey said to open a criminal investigation, he would need a referral from Congress. [How the FBI director systematically dismantled Hillary Clintons email defense] Youll have one. Youll have one in the next few hours, said Chaffetz, the chairman of the House Committee on Oversight and Government Reform. Of particular interest might be a statement Clinton made to the House Select Committee on Benghazi in October 2015 that there was nothing marked classified on my emails, either sent or received. Comey has said that investigators found three such emails with the notation (C) meaning confidential contained within the text. But the FBI director has also said it was possible Clinton didnt understand what a C meant when she saw it in the body of an email like that. And a State Department spokesman has said two documents might have been incorrectly marked as classified though it is not clear whether he and the FBI are referring to the same materials. Brian Fallon, a spokesman for the Clinton campaign, wrote on Twitter: This is another futile, partisan attempt to keep this issue alive now that the Justice Dept has declared it resolved. 1 of 9 Full Screen Autoplay Close Skip Ad Takeaways from Hillary Clintons e-mails View Photos Clinton has come under fire for using a private e-mail address during her time as secretary of state. The emails are being screened and released in batches. Here are some things weve learned from them. Caption Clinton has come under fire for using a private email address during her time as secretary of state. The emails are being screened and released in batches. Here are some things weve learned from them. Top-secret information in e-mails Democratic presidential candidate Hillary Clinton has previously stated that classified information never traveled across her private server. However, the State Department has acknowledged that "top secret" information was in seven email chains sent or received by her. Richard Drew/AP Wait 1 second to continue. Regardless of whether the U.S. Attorney opens an investigation, Republicans are unlikely to let the conversation about Clintons email use go away. The State Department has reopened its internal review into any mishandling of classified information in emails between Clinton and her aides, and that could lead to professional consequences, ranging from a note in her file to some kind of action that might jeopardize her security clearance. Comey has said that while he did not believe Clinton should face criminal charges, an FBI employee would face consequences for this, including possible termination. Separately on Monday, U.S. Sen. Ron Johnson (R-Wisc.), who chairs the Committee on Homeland Security and Governmental Affairs, sent letters to the Justice Department, State Department, Office of the Director of National Intelligence, and the Intelligence Community and State Department Inspector Generals requesting more information on the Clinton email investigation, especially related to its financial costs. A new Washington Post-ABC News poll found that a majority of Americans 56 percent disapproved of the FBI directors recommendation not to charge Clinton, and 57 percent said the issue made them at least somewhat worried about how she might handle her responsibilities as president. Read more: Rifai Ahmed Taha, wearing a white prayer cap in this photo believed to have been taken in Turkey in 2015, lived under Turkish protection before being killed in a U.S. drone strike in April. Also in the photo, second from the right, is Mohammad Shawqi al-Islambouli, whom U.S. officials believe has ties to al-Qaeda-allied groups in Syria. (Long War Journal/Foundation for Defense of Democracies) To his Turkish hosts, Rifai Ahmed Taha was a tiny, elf-like man with an oversize beard and colorful past. To U.S. officials, he was a dangerous terrorist who would be tracked and targeted if ever he left his Turkish sanctuary. The opportunity came in early April, when Taha ventured across the border into Syria for a meeting with Islamist militants. Just five days later, a U.S. drone fired a missile at the Egyptians car as it stopped for gas near the Syrian city of Idlib, killing him and four other suspected jihadists. The strike ended the career of a man who had been an ally of Osama bin Laden and, more recently, an adviser to Syrian rebels linked to al-Qaeda. It also highlighted what U.S. terrorism experts view as Turkish schizophrenia when it comes to battling violent jihadists: Even as Turkey ramps up its campaign against the Islamic State, it continues to tolerate and even protect other Islamists designated by Western governments as terrorists. Turkey has defended its policy of giving refuge to exiled supporters of Egypts Muslim Brotherhood government, which was overthrown in a coup in 2013. But among those offered shelter in Turkey are leaders of the Egyptian group Gamaa Islamiya, , whose members carried out murderous attacks against tourists in Egypt in the 1990s and were later tied to multiple plots to kill Americans. Like Taha, some of the exiles continued to support pro-al- Qaeda groups in Syria , U.S. officials say. Taha was trying to mediate a dispute between Jabhat al-Nusra al-Qaedas Syrian affiliate and another Islamist faction when he was killed. These people were part of [al-Qaeda leader Ayman] al-Zawahiris core cadre, said Jonathan Schanzer, a former Treasury Department counterterrorism official and now vice president for research at the Foundation for Defense of Democracies, a Washington think tank. Its all the more troubling because Turkey is a NATO member that is supposed to be allied with the West in fighting a common enemy. [After attacks, ISIS and Turkey drift toward outright war] The new concerns about Turkeys protection of violent jihadists follow years of complaints about Ankaras support for other Islamist groups, such as Hamas. While the Palestinian groups military wing is officially listed by the United States as a terrorist organization, Turkey has repeatedly granted asylum to Hamas operatives, and it allowed the group to open an international headquarters in Istanbul two years ago. Since 2013, Turkey has served as a refuge and organizing base for exiled opponents of Egyptian President Abdel Fatah al-Sissis government. Istanbul is home to thousands of Muslim Brotherhood activists the vast majority of them nonviolent as well as at least two Web TV channels that specialize in anti-Sissi programming, including explicit calls for the overthrow of Egypts secular government. Such permissive policies stand in contrast to Turkish President Recep Tayyip Erdogans newly assertive stance against the Islamic State, the group suspected in last months Istanbul airport attack that killed 45 people. Erdogan has moved to tighten lax border controls that allowed terrorist recruits and contraband to flow from Turkey into Iraq and Syria, and last week he vowed a further crackdown against a group that he called not Islamic. Taking one persons life means going straight to hell, he said in remarks after the airport attack. Yet Erdogan has taken a softer line toward Jabhat al-Nusra, one of several Islamist groups that Turkish officials supported during the early years of the Syrian civil war before formally breaking with it under Western pressure in 2014. In a speech last month, Erdogan repeated his suggestion that the terrorist label was inappropriate for Jabhat al-Nusras Islamist rebels, who, after all, also are at war with the Islamic State. Turkish officials reject criticism of the countrys policies as hypocritical. Western countries, including the United States, are providing weapons and money to Kurdish groups that Turkey regards as terrorist, noted a senior Turkish diplomat, who spoke on the condition of anonymity to discuss a policy dispute with a NATO ally. The difference is, Turkey doesnt give arms or training to any of these groups, the official said. Were concerned over U.S. engagement with [Kurdish militia group] YPG. The United States justifies its support for them because theyre fighting ISIS. But to us, this support is very destructive to the stability of the region. A jihadist elder statesman The Egyptian exile killed in the April 5 drone strike was undeniably deserving of the terrorist label, according to U.S. counterterrorism officials familiar with his history. Taha was 61 and wizened, with a broad, snow-white beard, when he crossed into Syria for a meeting with Islamist rebel groups. Yet U.S. officials contend that even at that age, he was an active and respected figure within al-Qaedas network in the Middle East and beyond. He had been wanted by Washington since the late 1990s, when U.S. prosecutors named him as a co-conspirator in al-Qaeda plots to strike U.S. targets around the world. Taha had been a senior leader in Egypts Gamaa Islamiya in 1997 when its members killed 58 foreign tourists and four Egyptian guides in Luxor, Egypt. In later years, by his own admission, he would participate in multiple plots to assassinate Egyptian leaders, and he would publicly praise al-Qaedas attack on the USS Cole in Yemen in 2000. While Gamaa Islamiya would ultimately renounce terrorism, Taha was part of a small faction that continued to sanction its use, a State Department report concluded in 2001. The report described Tahas faction as committed to attacks against U.S. and Israeli interests. [Istanbul attacks are the latest blow to Turkeys sagging tourism industry] Taha, also known as Rifai Taha Musa, was arrested in Syria in late 2001 and deported to Egypt, where he spent the next decade in prison. But he regained his freedom, along with hundreds of other jailed Islamists, after the 2011 election that brought Muslim Brotherhood leader Mohamed Morsi to power. Morsis overthrow and arrest two years later sent hundreds of supporters fleeing to Turkey, to be welcomed by an Erdogan government that publicly condemned the coup and denounced Egypts new president as a despot. But among the exiles were a number of veteran jihadists well-known to Western counterterrorism officials, including Taha and Mohammad Shawqi al-Islambouli, also a former top leader of Gamaa Islamiya, which is also known as the Islamic Group. Islambouli, who announced his official status as a protected political refugee on his Facebook account in June 2015, was a close bin Laden ally in the 1980s and 1990s. His alleged continued association with jihadist groups prompted U.S. Treasury officials in 2005 to label him a specially designated global terrorist. Last year, a special review of Islamboulis record by U.N. officials resulted in the removal of his name from the world bodys terrorist list. The United States kept him on its list. Efforts to reach Islambouli for comment were unsuccessful. Since at least 2014, U.S. officials have linked Taha and Islambouli to the Khorasan Group, an al-Qaeda offshoot in Syria said to specialize in planning attacks against the West. Yet during this time, the two lived openly in Istanbul, appearing at conferences and media events. Taha was an occasional guest on pro-Morsi Web TV channels, where he would sometimes encourage fellow Egyptians to take up arms against their countrys government. What are we waiting for? he asked during a November 2014 interview recorded in Istanbul. We will not confront this regime with bare chests. If they take up arms, then we will take up arms. [Why airport attacks are nearly impossible to defend against] Associates of Taha confirmed that he was killed while trying to settle a dispute between Jabhat al-Nusra and other Islamist factions in Syria. His death was mourned at a public service in Istanbul, attended by friends and former comrades, including Islambouli, according to photos and videos taken at the event. What he wished for It was a fitting end for a career jihadist who had always talked of becoming a martyr, according to Hani al-Sibai, director of the Maqrizi Center for Historical Studies in London. Taha had no sooner crossed into Syria than he got what he wished for, Sibai said. He met his Lord in an American drone strike, Sibai said. Turkeys embrace of exiles such as Taha and Islambouli has drawn condemnations from Egypts government, which has blasted Erdogan as a terrorist supporter who is contributing to instability in the Middle East. Erdogan, for his part, insists that he rejects terrorism and seeks only to protect Muslims right to peaceful self-determination. If we defend democracy, then lets respect the ballot box, Erdogan said in a 2014 U.N. speech, in a thinly veiled critique of Egypts Sissi. Yet the violent histories of some of Turkeys guests undercut such claims, highlighting the perils of a policy that seeks to protect and even encourage some extremists while Turkey wages war against others, according to U.S. officials and analysts. [ISIS shows it can still inspire violence abroad despite setbacks at home] Erdogans governing Justice and Development Party, or AK Party, party aligns itself with the international Muslim Brotherhood movement, and Erdogan personally has sought to project himself as a defender of oppressed Islamists, from Cairo to the Palestinian territories. But in reality, the lines between ardent nationalism and violent extremism are never neatly drawn, said Soner Cagaptay, director of the Turkish Research Program at the Washington Institute for Near East Policy, a think tank. The AKP is Muslim Brotherhood-lite, Cagaptay said. But even as a lite version, it is internationally networked and sympathetic to the heavier version. And that includes Hamas and the Egyptian Muslim Brotherhood. Turkish officials have maintained that they can control such groups by allowing them to operate in the open. But Ankara once made similar claims about radical Syrian factions that it allowed to work in relative freedom along the border, noted Schanzer, the former Treasury official. The Turks turned a blind eye, and now theyre paying the price, Schanzer said. The idea was that they could distinguish between these groups between a guy from the Egyptian Islamic Group or al-Nusra Front and another from the Islamic State. But they dont really have the ability to distinguish, and now theyve lost their way. Read more: How Obama went from reluctant warrior to drone champion Inside the Caliphate: Gruesome scenes from an ISIS prison in Fallujah In tiny Albania, worries about the emergence of ISIS The Iranian judiciary has indicted three dual-national prisoners, including an American businessman, and a Lebanese citizen who is working on contract for the U.S. State Department, Iranian news agencies reported Monday. The indictments were handed down sometime in the past two weeks, but the exact nature of the charges was not immediately disclosed. Earlier this year, a spokesman for the judiciary said most of the dual nationals detained while visiting the country for personal or professional reasons were suspected of some form of espionage. Also charged was a British charity worker and a Canadian academic. All four were arrested by the Iranian Revolutionary Guard Corps, a hard-line group that has consistently opposed the opening to the West epitomized by the nuclear deal reached a year ago. The case of Siamak Namazi has drawn the most attention in the United States. An Iranian American businessmen who spoke out often over the years against sanctions on Iran, he was arrested in October on a trip to promote business between the two countries. His father, Baquer Namazi, was arrested in February when he came to Iran to try to get his son released. He has not been indicted. Siamak Namazis attorney told the Iranian news agency Tasnim that his client has been charged with working with a hostile government the United States. Namazis fate is often cited by Iranian Americans who say they are afraid to return to the country where they were born. Iran does not recognize dual nationality, so dual nationals are treated as Iranian citizens and are not entitled to consular visits, such as from the Swiss Embassy, which represents U.S. interests in Iran. The continued detention of Baquer and Siamak Namazi, as well as other dual nationals, is unjust and very poisonous for Irans relations with the Iranian diaspora and with Western countries, Bijan Khajehpour, a former business partner of Siamak Namazi, said Monday. [Siamak Namazi arrested in Tehran] Nazanin Zaghari-Ratcliffe, a program coordinator with the charitable Thomson Reuters Foundation, is the dual-national Iranian British citizen being held. Nazanin is being held because she has a British passport her work for British charities and links to the outside [are] being used as a bogeyman in Iranian domestic politics, and her passport makes her a bargaining chip for international negotiations, said Richard Ratcliffe, her husband. It is precisely because she is British dual national that she has been taken as collateral by Iran. The detained Canadian is Homa Hoodfar, a dual-national professor at Concordia University in Montreal who was arrested in March as she was preparing to return to Canada. She is an anthropologist who specializes in gender and sexuality in Islam. [Iran arrests Canadian professor] Iran also indicted Nizar Zakka, a Lebanese citizen who is a U.S. resident living in the Washington area. He is an information technology specialist who was in Tehran attending a conference at the invitation of an Iranian vice president when he was arrested in September. Im very disappointed to hear the news about an indictment, said David Ramadan, a former Virginia state legislator who is a friend. Nizar is not involved in any anti-Iranian activities, and therefore these accusations are null. Ramadan called on Secretary of State John F. Kerry to get involved in negotiating Zakkas release. Haleh Esfandiari, an Iranian scholar at the Washington-based Wilson Center who was once imprisoned in Iran, said the Revolutionary Guard has been under considerable pressure to release the dual nationals, and the indictments could be their response. Alternatively, Iran may want a prisoner swap, she said, like the one in January that led to the release of Washington Post reporter Jason Rezaian and four other U.S. citizens. Read more: Banks and businesses shun Iran, in part because they hold dual nationals Iran cracks down on journalists and poets Three Americans released from Evin prison after personal trips to Iran This photo, taken in March 2010 and reviewed by U.S. military officials, shows U.S. military guards moving a detainee at the detention center at Guantanamo Bay, Cuba. (Paul J. Richards/AFP/Getty Images) The United States has transferred to Serbia two detainees from the prison at Guantanamo Bay, Cuba, the Pentagon said Monday, as the Obama administration seeks to move prisoners out of the facility as quickly as possible. Muhammadi Davlatov, a native of what is now Tajikistan, and Mansur Ahmad Saad al-Dayfi, of Yemen, were transferred to the government of Serbia, the Pentagon said in a statement. It is the first time Serbia has accepted prisoners from the military facility at Guantanamo Bay. The announcement follows the transfer of another Yemeni man, Fayiz Ahmad Yahia Suleiman, to Italy over the weekend. According to 2008 military documents made public by WikiLeaks, the 37-year-old Davlatov has been in U.S. custody since January 2002. Over the years, lawyers representing him filed two habeas corpus petitions on behalf of the prisoner, who had fought to ensure that he would not be returned to Tajikistan, where he feared he would be abused. Matthew OHara, a Chicago lawyer who has represented Davlatov, said his client became stateless after Tajikistan revoked his citizenship. Davlatov is expected to apply for asylum in Serbia, OHara said. Dayfi, who was born in 1979, is believed to have been part of a group of fighters who surrendered in northern Afghanistan in late 2001 and who were at the site of a deadly prison uprising in the city of Mazar-e Sharif, according to the same leaked documents. Dayfi has been in U.S. custody since December 2001. Like most of the inmates at Guantanamo Bay, neither man has been charged with a crime. The latest transfers are likely to intensify congressional concerns about the Obama administrations plans to resettle scores of detainees before years end. Officials hope that releasing detainees deemed to pose no major security risk will put the administration closer to President Obamas goal of shutting the prison before he steps down in early 2017. But given consistent opposition in Congress, it appears unlikely that Obama will be able to make good on his promise to close Guantanamo Bay. Last week, lawmakers aired their concerns about transfers, which they say endanger U.S. security, in a hearing that included discussion of a former inmate whom authorities are searching for in South America. Legislation being considered by Congress would make it harder for the Obama administration to continue moving prisoners overseas. There are 76 detainees remaining at Guantanamo Bay. Read more: President Obamas most senior advisers convened last month to consider changes to the way the United States provides security aid to foreign nations, as a long-running struggle for control between the State and Defense departments intensifies. At the heart of the controversy is whether the State Department will retain its historic jurisdiction over security aid, or whether the Pentagon, which Congress has bestowed with increasing autonomy and resources over the past decade, will eclipse Foggy Bottom in taking greater responsibility for engagement with allied nations overseas. The June 30 meeting of Cabinet officials centered on execution of Obamas 2013 directive on security assistance, which sought to ensure that the billions of aid dollars the United States provides to allied nations each year are used more effectively. Adding to concerns at the State Department is a series of proposals in this years defense authorization bill, which would give the Pentagon permanent control over certain aid programs and greater flexibility in supporting counterterrorism activities overseas. [U.S. will seek billions more to support Afghan military efforts] The discussions are part of an effort to reform the United States unwieldy system for providing assistance to foreign security forces, which includes more than 100 different legislative authorities and accounted for at least $20 billion in U.S. spending in 2015. State Department officials fear that an expansion in Pentagon control over security assistance would impair diplomatic efforts and move the United States further from the Obama administrations goal of getting the military out of foreign aid. Diplomats also say that military-led programs, without adequate input from the State Department, can overlook key human rights or governance concerns and heighten tensions with nations such as China and Russia, because foreign governments see assistance delivered by the U.S. military, rather than civilian agencies, as a potential threat. Weve got to balance the various components of our foreign policy, said a senior State Department official who, like others, spoke on the condition of anonymity to discuss internal deliberations. The more money and more authority you move out of traditional accounts we have used for decades to work with our partners, the more you lose the ability to balance. [Outside the wire: How U.S. Special Operations troops secretly help foreign forces target terrorists] After World War II, the United States provided substantial aid to countries such as Egypt, Israel and Jordan, to help those nations build up their own militaries powers and, equally, to secure U.S. influence in support of key U.S. goals. That picture became more complicated after the Sept. 11, 2001, attacks, as Congress authorized new programs to help the U.S. military train and equip Iraqi and Afghan forces and assist allies in combating terrorism. The bulk of new programs were given to the Pentagon to lead, although the State Department retains a veto right over many of them. Pentagon officials, meanwhile, warn of State Department micromanagement of programs they see not as aid but activities central to U.S. military objectives. They consider certain joint training exercises, for instance, primarily as a vehicle for ensuring the readiness of U.S. troops, rather than a means to build up foreign militaries. Defense officials say the State Department has used the 2013 order, called a Presidential Policy Directive, to advance an incredibly broad definition of what security assistance is, a move that if supported by the White House could increase State Department say over certain programs. A lot of what is going on here right now is this disconnect where the State Department has viewed our programs and our authorities and our resources as being in direct competition with theirs, whereas we view them very differently, one senior defense official said. [After more than $1.6 billion in U.S. aid, Iraqs army still struggles] The Pentagon has also complained for years that the State Department, which lacks a vast staff to oversee aid programs, is not as fast or nimble as it might be in processing aid proposals. Robust and flexible funding for such programs, defense officials say, will not only help them combat global terrorism threats but will compensate for a shrinking U.S. force. Gordon Adams, a former White House budget official who is a fellow at the Stimson Center, said the seemingly arcane bureaucratic competition had wide-reaching effects. Who owns the ball matters here because it colors the way the U.S. engages overseas, he said. If American engagement wears a uniform . . . thats one form of interaction. If it involves the ambassador and the [U.S. Agency for International Development] and people doing governance work, its a different set of missions and theres a hugely different perception. In practice, the Defense Department often executes even programs that are primarily State Department authorities. The Obama administration has threatened to veto both the Senate and House versions of the defense bill over multiple concerns, including what the White House said were excessive changes to security assistance programs. Officials said the recent meeting did not produce an immediate resolution to the issue of what programs will be subject to new assistance guidelines. No matter the final outcome, Michael McNerney, a former Pentagon official who is a scholar at the Rand Corp., said the trend of increasing Pentagon activity with foreign militaries was unlikely to be reversed. The Obama administration has prioritized efforts to build the skills of partner nations so they, often with U.S. support, can fight militant groups overseas, in part to avoid having to deploy American forces. Many of the initiatives that support that goal are overseen by the Pentagon. The toothpaste cant go back in the tube, McNerney said. Its not realistic to make things the way they were before 9/11. Read more: Despite billions in U.S. funding, Afghan forces have a problem with boots U.S. widens war in Afghanistan, authorizes new action against Taliban Pentagon unveils budget priority: Countering Russia and China The president of South Sudan and his rival called Monday for a cease-fire in a conflict that has seen fierce clashes between their forces spread from the capital to a southeastern town. President Salva Kiir declared a halt in fighting that began Thursday night and has raised fears of a return to civil war. On Saturday, the troubled nation marked the fifth anniversary of its independence from Sudan. Hours after the declaration by Kiir, gunfire could still be heard, although it was unclear whether it was fighting or troops shooting in celebration. Former rebel leader Riek Machar also called for a cease-fire. Machar, who is the countrys first vice president under a fragile peace deal, made the call in an interview with South Sudan-based Eye Radio. Kiirs announcement came after his forces overran an opposition base in Juba, the capital, and killed 35 of Machars bodyguards, according to opposition officials. The government forces also attacked a U.N. peacekeeping base and camp for civilians who fled the violence. U.N. Secretary General Ban Ki-moon urged the Security Council to impose an immediate arms embargo on South Sudan. Speaking to reporters Monday, Ban also called for additional sanctions against South Sudanese leaders who have blocked the peace deal and the fortifying of the U.N. peacekeeping force there. The renewed fighting is outrageous, Ban said. It is yet another grievous setback. It deepens the countrys suffering. It makes a mockery of commitments to peace. Massive explosions were heard in Jubas Tomping neighborhood, which houses a U.N. compound where at least 3,000 civilians have sought shelter. The district also has several embassies and the airport. The United States said it would evacuate all nonessential staff from the country. The Canadian Embassy has closed, according to a message sent to its citizens. India is planning to evacuate its citizens, according to a tweet by its external affairs minister. Clashes also broke out in the town of Torit in the southeast. There also have been sporadic hostilities in Wau in the west. South Sudans civil war broke out in December 2013 after fighting between the Dinka and Nuer ethnic groups spread across the country. The civil war killed tens of thousands of people and displaced more than 2 million. The war exposed South Sudans other ethnic divisions: Kiirs supporters are largely Dinka, while Machars followers are mostly Nuer. Kiir and Machar signed a peace accord last year and formed an uneasy transitional coalition government. But fighting continued despite the agreement, and the current clashes in Juba threaten to plunge the parts of South Sudan that had been relatively stable back into violence. Theresa May arrives for a news conference in Birmingham on Monday. She is set to become Britains second female prime minister. (Jason Alden/Bloomberg News) As the race to become Britains next prime minister narrowed, a veteran member of the Conservative Partys old boys club characterized contender Theresa May as a bloody difficult woman. Kenneth Clarke didnt know that his comments, made before a television interview, were being recorded, but he didnt back away from them once they were made public. Neither did May. Ken Clarke says I am a bloody difficult woman. The next man to find that out will be Jean-Claude Juncker, the next prime minister of Britain told her colleagues last week, referring to the president of the European Commission, with whom May will negotiate Britains exit from the European Union. The Daily Telegraph, the newspaper favored by Tory supporters, agreed that a bloody difficult woman is just what Britain needs right now. We have had one running the country before; we need another now, it wrote in an editorial, referring to the original Iron Lady, Margaret Thatcher. For only the second time in its history, Britain will have a female prime minister, now that May will succeed David Cameron, who said he will step down Wednesday in the wake of the United Kingdoms vote last month in favor of a Brexit. Ironically, it was the suggestion that May was not enough of a woman that led to her victory. Andrea Leadsom, Mays rival for the leadership post, suffered a self-inflicted wound after suggesting that she would make a better prime minister because she had children and, therefore, a bigger stake in the countrys future, whereas May did not. May has previously said that she and her husband were not able to have children, something she regretted. Leadsom, under heavy criticism for the remark, withdrew from the race Monday, paving the way for May to be named leader of the Conservative Party and prime minister of Britain. [May poised to become British prime minister after lone rival quits race] May, 59, prides herself on just getting on with the job. My whole philosophy is about doing, not talking, she told the Telegraph in an interview published Friday, saying she had always championed women in politics. We just get stuck in. Politics isnt a game. The decisions we make affect peoples lives, and that is something we must all keep to the forefront of our minds. She did not campaign in favor of Britain leaving the E.U. analysts note that she was clever in hedging her bets, perhaps with an eye on making a play for the leadership position either way but she will now get on with the task of implementing the Brexit. The British people have spoken, and there will be a different future for the U.K., different but a brighter, more optimistic future, she said. We may have to go through some difficult times to get there, but get there we will. Just a month ago, few could have imagined this outcome. May was far down the succession list in the Tory party, behind Boris Johnson, a loquacious former mayor of London and one of the strongest proponents of a Brexit, and George Osborne, the finance minister and a Brexit opponent. May, who has been home secretary for six years and has earned a reputation for being tough on immigration and other controversial domestic issues such as policing, was third or fourth on the list. But with Johnson and rival Michael Gove flaming out and stalwarts in the remain camp, such as Osborne, declining to run, May suddenly emerged as the favorite. Because she is the sole remaining candidate, she will become the leader without having been elected by the party or the public. [Britain will have first female prime minister since Margaret Thatcher] May has ruled out calling an early election, meaning she could hold the post until 2020. Theresa Mary May was born in 1956 in Sussex, the daughter of an Anglican clergyman. After graduating from the University of Oxford, she worked at the central bank and then as a financial consultant until she was elected to Parliament in 1997. She has been married for 36 years to a banker she met at a Conservative Party dance. A serious and pragmatic politician, May has often been called boring or dull and is sometimes compared to Germanys Angela Merkel. But she is well known for being passionate about one thing: quirky shoes. The leopard-print pumps she wore to address the Conservative Party conference in 2002 after becoming the first female chairman of the party caused almost as many ripples as her words. She told the stunned conference that some people call the Tories the nasty party partly because it excluded women and minorities. Now May will preside over the party during a period of great turmoil. [Brexit: The revenge of a nation] Tim Bale, an author of books on the Conservative Party, described May as dead center of the party but added, I think she might come into her own and to some extent surprise us when she becomes prime minister. Iain Dale, an influential conservative blogger, said she will be very different from Cameron. She is conservative rather than a Conservative, by which I mean she is wary of dramatic change rather than holding socially conservative views, Dale wrote. . . . She will test a case to destruction before embarking on radical change. After three weeks of upheaval, that could be just what Britain needs, said Tony Travers, a professor of government at the London School of Economics and Political Science. Shes been in Parliament for almost 20 years, and shes held a number of senior jobs. Given the extraordinary degree of political turmoil facing Britain right now, she offers stability and a reliable pair of hands, Travers said, betting that many in the opposition Labour Party would privately agree. Still, May has made clear that she will press ahead with Britains exit from the E.U., a process that will involve radical change, however it is carried out. Karla Adam contributed to this report. Read more: Once British politicians stop double-crossing each other, a tougher foe looms The mess in British politics, and how to govern in a time of no confidence Meet Michael Gove, who turned British politics into a House of Cards episode Todays coverage from Post correspondents around the world On Saturday, the second and final day of the NATO summit in Warsaw, NATO officials and heads of state approved a major military escalation in Eastern Europe and continuing deployments to Afghanistan. These initiatives, together with expanded NATO military cooperation with former Soviet republics, including Georgia and Ukraine, are all aimed at encircling and preparing for war against Russia. The summit came in the aftermath of the June 23 British vote to exit the European Union and the eruption of sharp conflicts within the EU over financial and military policy, particularly over the war drive led by Washington and the Eastern European states against Russia. NATO Secretary-General Jens Stoltenberg hailed the agreement to send a large force of NATO troops to Poland and the Baltic Republics as historic. His remarks were echoed by US and European officials, but strongly condemned by top Russian officials. Speaking in Warsaw on the NATO plans, US President Barack Obama declared that the United States will be the lead nation here in Poland, deploying a battalion of American soldiers. He continued: The United Kingdom will take the lead in Estonia, Germany in Lithuania, and Canada in Latvia. This will mean some 4,000 additional NATO troops, on a rotational basis, in this region. Moreover, the additional US Armored Brigade will rotate through Europe, including an additional 4,000 US troops. Meanwhile, to the south, we agreed on new deterrence measures in Romania and Bulgaria. US Deputy Assistant Secretary of Defense for Russian Affairs Mike Carpenter summed up the tenor of NATO relations with Russia by saying that the US militarys European Command had to dedicate significant resources in order to become a war-fighting headquarters. Obama also announced a major escalation of NATO operations in Central Asia and the Middle East. He reported pledges of $900 million and the deployment of 12,000 more troops by a 39-nation coalition to continue NATO operations in Afghanistan, as well as stepped-up air reconnaissance operations over Iraq and Syria. Obama also joined UK Prime Minister David Cameron, German Chancellor Angela Merkel, French President Francois Hollande and Italian Prime Minister Matteo Renzi in a meeting with Ukrainian President Petro Poroshenko. The Ukrainian leader, who heads the far-right nationalist regime that emerged from the NATO-backed putsch in Kiev in February 2014, received promises of further military aid conditioned on his imposition of more of the free-market economic reforms that have already devastated the countrys economy. Leading Russian officials condemned the NATO summit. Even former Soviet premier Mikhail Gorbachev, whose policies set the stage for the dissolution of the USSR and the restoration of capitalism, and who played the key role in facilitating NATOs rampage across the Middle East and Europe, felt obliged to criticize the summit. NATO leaders only talk about defense, but actually they are preparing for offensive operations, he said, adding, All of the rhetoric in Warsaw simply clamors for all but declaring war on Russia. Russian government spokesmen said it was absurd to speak of a threat from Russia to NATO, and parliamentarian Konstantin Kosachyov likened NATOs deployment plans to building a dam in the desert. The inescapable conclusion of Obamas presentation is that NATO policy is to lock the populations of North America and Europe into perpetual wars of occupation. This policy, which is stoking up strategic tensions and ethnic conflicts across Eurasia, threatens to erupt into all-out war with Russia, a nuclear-armed power. Obama spent much of his press conference answering questions about the escalating political crisis in the United States over deadly police violence and the mass shooting of policemen by a gunman in Dallas. Nonetheless, he took one question from New York Times journalist Mark Landler on the implications of the war policies being prepared by the NATO planning staffs. Landler noted that if you complete your presidency, as you will, with troops in Afghanistan, Syria and Iraq, you will be the only two-term president in American history to have served with the country at war should the American people simply resign themselves to living in a state of perpetual war? Obamas response amounted to an acknowledgement that the American people, and the populations of all the NATO countries, would indeed have to get used to perpetual war. Taking the example of the Afghan war, he concluded that it would be impossible to ever sign a peace treaty in the Middle East that would put an end to war in the way the Allied powers concluded the war with Imperial Japan at the end of World War II. Instead, NATO would have to partner with, that is, militarily occupy, Middle Eastern countries indefinitely. Obama said, We have an option of going in, taking out Al Qaeda, pulling out, potentially then seeing a country crumble under the strains of continued terrorist activity or insurgency, and then going back in. Or we can try to maintain a limited partnership that allows them to continue to build their capacity over time, and selectively take our own actions against those organizations that we know are trying to attack us or our allies. Because theyre non-state actors, its very hard for us ever to get the satisfaction of [US General Douglas] MacArthur and the [Japanese] Emperor meeting and a war officially being over. The picture that emerges from the NATO summit is of a terminal and extremely dangerous crisis of US and European imperialism. The inescapable conclusion of Obamas remarks is that the foreign policy pursued by the United States and its NATO allies over an extended historic period has been a bloody failure. In the quarter-century since the dissolution of the USSR, Iraq, a Soviet ally, has been the target of NATO military action, as has the former Soviet ally Afghanistan, the Serbian-led remnant of the Yugoslav state, and now Syria, both of which were also Soviet allies. The balance sheet of these wars is disastrous. Having spent trillions of dollars, lost tens of thousands of soldiers, and caused the deaths of millions of people, the NATO powers see no other option than to continue wars that have accomplished nothing and are hated by masses of working people in Europe and North America. One major purpose of the war strategy, as laid out by its supporters, is to suppress the sharpening divisions among the imperialist powers themselves. Some hope it will limit the political fallout from the Brexit vote, including growing calls for a foreign and military policy led by Germany, with the assistance of France, Italy and other Western European powers, that is more aggressive and more independent from Washington and its British ally. Judy Dempsey, a senior associate at the Carnegie Europe think tank, wrote that the pact could provide a boost for the [pro-US] Atlanticist wing in the EU and make it more difficult for Russia to divide Europe and to weaken the transatlantic relationship. NATO leaders at the summit snubbed EU foreign policy chief Federica Mogherini, whose staff had worked with Berlin to prepare a report calling for an independent EU foreign policy. They refused to let her join in signing documents on closer NATO-EU collaboration. Nonetheless, top European officials who see the US-led war drive against Russia as cutting across their own imperialist interests continued to stress their differences with Washington. French President Francois Hollande declared, NATO has no role at all to be saying what Europes relations with Russia should be. For France, Russia is not an adversary, not a threat. Credit: Thomas Slack "You might want to be careful with that DVD--because technically those images are considered porn," Terry, my melanographer, joked as she handed me the disc containing my full-body Molesafe scan. I snickered uneasily. Since I'd just spent the past 30 minutes contorting my naked body into a string of awkward poses ("Now turn to the wall and salute!") while she snapped pictures of every single one of my moles, breaking the Internet with my middle-aged nudie pics was not my most pressing concern. "Are you sure none of them looked suspicious?" I asked. Terry smiled indulgently. "With 40 moles, you're a walk in the park. Some people come in and they've literally got hundreds." Assurances aside, it didn't take long for Terry--or to be more specific, the Molesafe questionnaire she administered--to determine that I was "high risk" for melanoma. Blue eyes? Check. Fair skin? Check. Several blistering sunburns both as a child and as a teenager? I'm afraid so. And let's not forget the "dark ages," those four years in high school when my friends and I would slather on baby oil and lie out for hours after school (if I recall correctly, one member of our squad used a reflector made of tinfoil to turbocharge her UV damage). We didn't avoid the hours when the most dangerous rays peaked, between 10 a.m. and 2 p.m.--we stalked them as if they were a Kylie Jenner lip kit. At the time, it never occurred to me (or any of my friends) that there was anything reckless about our behavior. Back in the '80s, when someone said, "Nice tan!" you didn't shudder with embarrassment and swear you wore SPF 30. You basked in the compliment. Conversely, in the dead of winter, when my skin reverted to its natural coloring--a shade that can best be described as boiled gefilte fish--I felt like I looked sick (and the universe seemed to agree). Google "80s tanning ads" and you'll find image after image of mostly blond women sporting "savage tans." Politically incorrect? Absolutely. Hazardous to a pasty white girl's self-esteem? Well, not if you could get your hands on some Tropical Blend dark tanning oil. Or so suggested the prevailing teenage logic. For someone like me who has spent the better part of 20 years writing about beauty and skin care--and who has also seen her fair share of sun spots and saggy skin--it's hard not to cringe at the irony of the taglines. "Beautiful Tan Today, Young Looking Skin Tomorrow," promised one ad. Needless to say, we now know unequivocally that the opposite is true: Ninety percent of skin aging is actually caused by the sun. Story continues RELATED: Want To Really Erase Sun Damage? These Are Your Five Fixes. "Have you ever used a tanning bed?" Terry asked. "Uh-huh." "Has anyone in your family ever been diagnosed with melanoma? "Yes," I said. "My grandfather. He died of it." I was 6 years old when my grandfather was diagnosed. Like me, he had blue eyes and fair skin. As an orthopedic surgeon at Montreal's Royal Victoria Hospital, he spent most of his days inside. However, for one full month every winter, he vacationed in Jamaica. "He never wore sunscreen," said my mom. "And he spent all his time in the water." Indeed, the one picture I have left of my grandfather was taken on the patio at the Round Hill resort. He is wearing a white tuxedo, his skin the color of a well-oiled chestnut. His first melanoma developed years later, after he had retired to Palm Beach. It started on his right cheek, where he had a congenital mole--a nevus similar in size and appearance to one he had surgically removed from my mom's cheek because he "didn't like the look of it." Medical records from the time (1978) describe my grandfather's lesion as Grade 4. His doctor recommended excising a huge chunk of his cheek. He opted not to do it, allowing only the mole and a narrow margin around it to be removed. The melanoma soon spread to his lymph nodes, his ear, his back, and finally his brain. By the time he died, seven years after his initial diagnosis, he had undergone half a dozen operations. Needless to say, my grim family history eventually tempered my enthusiasm for the sun. I stopped sunbathing in college and have been something of an SPF vigilante ever since. But when I recently came across a Mayo Clinic study showing that melanoma rates were skyrocketing among both young and middle-aged women in the U.S. (a spike researchers speculate may be related to tanning-bed use), it got me thinking. Was I being careful enough? Sure, I wore sunscreen every day, but when was the last time I got a full-body skin check? I made a decision: Though I couldn't undo the damage done by my teenage baking habits, I could keep a close eye on it--a task medical science has made much easier in recent years. And so it was that I found myself standing in front of a wall, wearing nothing but my birthday suit. I received my report from Dr. David Polsky, director of the Pigmented Lesion Service at NYU Langone Medical Center, seven days later. "Number of lesions requiring action: 0." Phew! I briefly considered canceling my follow-up appointment with my dermatologist for a skin check. After all, I wondered, what was there to look at? But the literature from Molesafe (call 877-665-3723 for info) was clear: This technology--though helpful in flagging dangerous moles and establishing a baseline so you can compare future images--is not intended to replace a consultation with a dermatologist. Feeling like a sun-safety overachiever, I headed out the next morning to see Macrene Alexiades-Armenakas, a well-known skin-cancer specialist, in N.Y.C. "The field of melanoma detection is evolving rapidly," she said as we sat in her office, discussing MelaFind, the FDA-approved computer imaging system she employs in her practice (find participating dermatologists at melafind.com). "The machine uses wavelengths to take a 3-D image of a mole and compares it with thousands of melanomas," she noted. It then creates a numerical value showing how disorganized the mole is. Anything with "low disorganization" has little risk of malignancy; high disorganization (a mole with a score of 2 percent or more) has an elevated one. "Now, let's do your exam!" As she explained the ABCDEs of melanoma (A for asymmetry, B for irregular border, C for mottled color, D for diameter bigger than a pencil tip, and E for evolving), Dr. Alexiades-Armenakas combed over every inch of my body, the obvious places (stomach, back, legs, arms, chest) and the not so obvious (between my toes, along the soles of my feet, under my nails, all through my scalp). The whole time she was reciting the ABCs, my mind was processing what she said through the prism of my scariest mole. "The mole on the back of my arm is not bigger than a pencil tip," I said triumphantly. "It's small. But it has asymmetry, an irregular border, and three colors. So even though it's less than 6 millimeters, we're going to want to check it, given your family history. What concerns me is that one of the colors inside it is red." She looked at me with satisfaction. As a prospective melanoma patient, I was doing great. "I'm almost sure it isn't melanoma," she said, seeing the naked fear on my face. "But this is a great opportunity to image it and see how the device works. It's a lot of fun!" Somehow, this wasn't feeling fun anymore to me, but I went along, cortisol levels whirling like a broken fan. RELATED: The Zen Commandments: Your Field Guide to Living in the Now "See, it's bouncing the wavelengths! Now they're going into the computer ..." A dark splotch flashed onto the screen. It looked like a black starfish sketched by a 2-year-old. "Good!" Dr. Alexiades-Armenakas said. "It's only about 1 millimeter deep." "High disorganization," I read. "2.1," she said. "So we should remove this," I said. "Yes. We want the disorganization to be low, 0 or less. From 0 to 9, it's marching on its way. It's 20 percent there." The doctor did a deep punch biopsy to remove my mole and a 1-millimeter margin around it, explaining that you never want to do a shave biopsy on a suspected melanoma because you risk slicing into the tumor. "Staging is based on depth. So if you cut a mole in half, you destroy that possibility." A week later Dr. Alexiades-Armenakas called. "Good news," she said. "Your mole is benign. What you have is a compound melanocytic nevus, congenital type." In other words, a benign mole present since birth--exactly the type that turned malignant and killed my grandfather. As I thanked her and hung up the phone, I wondered what would have happened to my mole if I hadn't gotten a skin check. It was on the back of my arm, a place I could see only with a hand mirror. Without the scan, would I have known it was there? And if Dr. Alexiades-Armenakas hadn't cut a chunk the size of a gumdrop out of my arm, how long might it have taken that disorganized clump of cells to march the last 80 percent of its journey toward melanoma? Luckily, I'll never know. And now if you'll excuse me, there's a Tory Burch rash guard in my shopping cart that needs my attention. Amy Synnott is InStyle's executive editor. Follow her on Instagram and Twitter. Forget basking in the sun -- some high schoolers are spending time this summer preparing for the Advanced Placement and International Baccalaureate classes they'll take this fall. Parents, however, may be less ready to dive in. Their role in helping their teen get ready for these college-level courses might not be as obvious. Karyn Dickerson, AP and IB coordinator at Grimsley High School in Greensboro, North Carolina, says parents should be a support system for their child, but shouldn't do the work for them. "It's kind of that concept of preparing your students for the road, but not preparing the road for your students," she says. While high schoolers should bear the brunt of AP and IB summer prep, parents could consider doing some of the following expert-recommended suggestions to help. 1. Ensure students have what they need to complete summer AP, IB assignments: Sometimes students have summer work for these courses, says Dickerson, who has taught AP and IB English courses. Parents should be aware of these assignments and make sure students complete them. Teachers may assign work over the summer to get a head start -- there's a lot to cover in these courses in one year -- and to see where students are academically when the course starts. [Get answers for parents about college-level classes in high school.] While parents shouldn't micromanage their student's work on these assignments, they can offer support and make sure that students have access to the resources they need to complete them, whether that's by going to the library or purchasing books for students to annotate so they can read them more closely, says Dickerson. 2. Expose students to content they'll see in AP or IB classes: Students will feel more comfortable and confident going into these courses if they have an idea of what to expect, says Cynthia Ballheim, AP and IB coordinator at Upper Arlington High School in Columbus, Ohio. Story continues Parents can help students get familiar with AP and IB course and exam content by getting a prep book for their student's courses, she says. There are also many resources available online. And while familiarity with the topic is helpful, students don't have to study religiously over the summer, says Ballheim, who has taught AP and IB math courses. Families could also complete summer activities that allow students to experience some of what they will learn about, says Dickerson. For example, students taking an AP history class could go to a relevant museum, she says. "I think it helps students picture and visualize what they've learned, prior to coming into the class, and they can make those connections later on." 3. Help students develop critical thinking skills: Parents could help students work on critical thinking skills around the dinner table by facilitating conversations about current events and asking their children questions, says Dickerson. "'What do you think about this?' 'How could we address these problems?' That's really where the type of critical thinking that we want to see in classes start -- in those conversations that kids have at home," she says. Hopefully, once students begin their AP and IB classes those conversations will become even richer at home, but it's a great way to start, she says. [Learn how educators guide high schoolers to AP, IB success in summer programs.] Dickerson has one other tip for families. "Enjoy the summer too," she says. "So often we want our students to get a head start, but it's important to have some downtime, too. And that's important for students, and that family time is critical as well." Have something of interest to share? Send your news to us at highschoolnotes@usnews.com. Alexandra Pannoni is an education Web producer at U.S. News. You can follow her on Twitter or email her at apannoni@usnews.com. Eyeliner as black lipstick Im always finding multi-purpose ways to use products, so before Maybelline launched a black lipstick, I used to use black eyeliner as a black lipstick for dark and dramatic beauty looks, says Lee. Perfect if you want the occasional vampy lip without splurging on a new lipstick. Youve probably seen models file out onto the runway during Fashion Week, immaculately styled with even eyeliner wings and not a hair out of place. What you dont see, though, is the frenzy of hair and make up that takes place back stage to make it happen. With multiple fashion weeks under her belt and clientele that includes the likes of Gigi Hadid, Eva Longoria and Anna Paquin, makeup artist Grace Lee has definitely picked up a trick or two to get people camera-ready in double time. As the Official Makeup Artist for Maybelline New York in Canada, we asked her to share some of her top backstage beauty secrets. Click through the above gallery to find out more and let us know what you think by tweeting to us @YahooStyleCA. All photos via Instagram/graceleebeauty Want to visit your favorite car museum but dont want to put on any pants? Dont worry, Google Maps has got you covered. Because now you can take virtual tours of some of the worlds most amazing car museums from the comfort of your own home. Ferrari, Lamborghini, even the Corvette museum, all at your fingertips. Check em out here. Toyota If you love 2000GTs and old Celicas, this is pretty much just three floors of automotive nirvana. Honda If youre looking for something a little more race-oriented, head over to Hondas racing museum filled with F1 cars, GT cars, and a whole room full of motorcycles. Skoda Leave Japan and head west, that way you can check out the wonderful collection at the Skoda museum. Lamborghini You dont have to fly all the way to Italy to check out some of the worlds most amazing Italian exotics. Google Maps gives you the full Lamborghini tour on your laptop. Mazda As much as wed love to see Mazdas Hiroshima museum in person, this will have to do for now. Ferrari It might not be the full tour, but you can check out some of Ferraris most iconic F1 cars throughout history. Corvette When its not making the news for giant sinkholes, the Corvette museum is an American enthusiasts dream. Pagani Though the company may not have a long and storied history (yet), Paganis bedroom-sized museum is filled with enough eye candy to fuel your supercar desires McLaren Our last stop of the tour takes us to Wokingspecifically the McLaren Technology Centre. From F1 race cars to the F1 road car, theres plenty of racing history to soak in. Whether you like the look or not, this ordinance seems a little trivial. (Photo: Getty Images) A town in South Carolina is taking it upon itself to create a dress code for public spaces. Last Tuesday, the Timmonsville Town Council approved an ordinance that punishes people who choose to wear sagging pants. The ordinance No. 543 passed with a vote five to one and prohibits anyone engaging in public nudity, displaying pornographic material in public, or wearing trousers or shorts that expose undergarments, the Washington Times reports. Thats right, sagging pants are now illegal in the town of Timmonsville, S.C. While first offenders will receive only a verbal warning, if the law is repeatedly violated, offenders could face up to a $600 fine. Were trying to build up our town. And we can have the business, but if the people are not looking somewhat decent, then we have the business, but because of the people, theyll be scared to get out of their cars, said Councilman Walter Washington after he proposed the ordinance last month. While these measures to restrict saggy pants might seem a bit extreme, Timmonsvile is surprisingly not the only town to do so. Louisianas Terrebonne Parish banned saggy pants back in 2013, charging first-time offenders $50, second-time offenders $100, and $100 plus 16 hours of community service for a third offense. North Lawndale in Chicago and Newton, New Jersey, have long been considering the ban as well. While some might see this as a measure directed at African-Americans, the Huffington Post reports that the Louisiana Terrebone Parish local NAACP chapter wholeheartedly agreed with the saggy pants ban. Jerome Boykin, the groups president at the time, explained, There is nothing positive about people wearing saggy pants. This is not a black issue; this is not a white issue this is a people issue. Whether you agree with the ban or not, it does seem like a breach of peoples freedom to wear what they wish. While people are always encouraged to dress appropriately for things like work, family events, or church, it seems rather trivial to attempt to regulate what people wear during their own personal free time. Follow us on Instagram, Facebook, and Pinterest for nonstop inspiration delivered fresh to your feed, every day. (Adds comment on property sales) LONDON, July 11 (Reuters) - Aberdeen Asset Management said on Monday it is to keep the suspension on its 3.2 billion pound ($4.12 billion) UK property fund in place for two more days, as funds attempt to control withdrawals after Britain voted to leave the European Union. More than 18 billion pounds in property funds aimed at retail investors was frozen last week following a tide of redemption requests after the Brexit vote last month. Aberdeen last week cut the value of the fund by 17 percent and imposed a temporary suspension. While Aberdeen is in a position to lift the suspension now "we believe it is appropriate to allow a further two days for remaining investors to be contacted," so they are all treated fairly, Chief Executive Martin Gilbert said in a statement. The fund, which is invested in UK commercial real estate, is now looking to sell some of its assets to meet redemption demands. "A limited number of properties are being marketed and we will seek the highest prices achievable for our investors as is our normal practice," said Gerry Ferguson, Aberdeen's head of UK property pooled funds. An Aberdeen spokesman declined to comment on a report by Bloomberg that it had appointed a broker for the sale of an office in Hammersmith, west London, for around 105 million pounds. ($1 = 0.7722 pounds) (Reporting by Andrew MacAskill and Carolyn Cohn; Editing by Rachel Armstrong) Johannesburg (AFP) - Two South African brothers arrested on terrorism charges were plotting to blow up the US embassy in Pretoria and Jewish institutions, and planned to join the so-called Islamic State, police said Monday. The 23-year-old twins, Brandon-Lee and Tony-Lee Thulsie, appeared briefly in a Johannesburg magistrate court after being arrested at the weekend. "It is alleged that they wanted to bomb the US embassy and Jewish facilities in the country," Hangwani Mulaudzi, spokesman for South Africa's elite police, the Hawks, told AFP. The United States and Britain warned last month of possible imminent "terrorist" attacks by Islamic extremists in South Africa's major cities. The two men, who are accused of planning to join the Islamic State (IS) group in Syria, will remain in custody and return to court on July 19 to allow for further investigation. "They had been on our radar since 2015. They tried to leave the country twice, through OR Tambo airport and through Mozambique (to join IS)," Mulaudzi said. According to court papers, the brothers "conspired to commit the crime of terrorism by planning to cause explosions at a mission of the United States of America and Jewish institutions." The suspects were also accused of inciting other people to "aid and abet them" in the attack, according to the provisional charge sheet. "The incitement was to further the political, religious or ideological motives and objectives of... the Islamic State in Iraq and the Levant (ISIL)," it said. By Tim Hepher FARNBOROUGH, England (Reuters) - Airbus is set to announce a key order for 8-10 of its biggest twin-engined model, the A350-1000, from Virgin Atlantic after beating Boeing to a scarce order for big jets as economic and political uncertainties overshadow the Farnborough Airshow. The order, worth some $3 billion at list prices, could perk up Monday's opening of an event clouded by geopolitical uncertainty, including Britain's decision to leave the European Union and concerns over the state of the global economy. British Prime Minister David Cameron will attend the opening day, where he is expected to finalize multi-billion dollar deals with Boeing to buy nine P-8A Poseidon maritime patrol planes and to upgrade 50 Apache helicopters. Industry sources said Airbus's A350-1000 deal with Virgin Atlantic provided a shot in the arm to a 366-seat model promising greater efficiency but whose sales have slowed as Boeing develops a larger version of its 777, prompting a game of leapfrog between the only two makers of long-haul jets. Airbus declined to comment and Virgin Atlantic was not available to comment. Airbus and Boeing have enjoyed years of strong demand, helped by rising airline travel particularly in Asia and the Middle East and new, more fuel-efficient planes. But with the industry's order backlog standing at a record 13,500 planes at the end of 2015, or 9.6 years of production at current rates, analysts are worried that economic risks - from slowing growth in China to Britain's move to leave the EU - could see orders dry up and some even canceled. Larger, more expensive twin-aisle planes are likely to be most at risk. Indeed, industry sources said Virgin Atlantic, which has long deferred an order for six of Airbus's A380 superjumbos, looked set to finally cancel it. The head of Boeing Commercial Airplanes, Ray Conner, said the company was facing slower demand for wide-body jets and would be vigilant about matching supply to demand, though it was too early to say whether it would again cut output of its 777. SINGLE-AISLE COMPETITION Separately, industry sources said privately held German airline Germania was close to placing an order with Airbus for around 25 A320-family jets, worth over $2.5 billion. That deal for Airbus's top-selling single-aisle plane, if confirmed, would also be a disappointment to Boeing, which is trying to wrestle back such customers after losing market share. Germania operates both Airbus and Boeing jets but has said it aims to harmonize its fleet by switching to all-Airbus aircraft when it takes older Boeing 737-700s out of service. Germania officials could not immediately be reached for comment. An Airbus spokesman said: "We do not comment on confidential talks which may or may not be happening with our customers." Among other potential deals at Farnborough, Boeing is expected to firm up at least part of a deal for up to 20 747-8 freighters from Russia's Volga Dnepr, boosting its declining jumbo jet program, and could announce a new Asian customer for its high-density 737 MAX 200 jet aimed at low-cost carriers. The U.S. company announced a preliminary deal with Volga Dnepr last year. Also this week, it will effectively relaunch the smallest version of its 737 MAX series by adding more seats to make it more economical for airlines and reverse weak sales. It is also looking at adding a new and larger version of the 737 MAX family and has not ruled out both doing this and developing a larger new "middle-market" region-hopping jet. At least one of those moves partially includes a response to the success of the 185-seat A321neo, illustrated by what sources describe as plans for Norwegian Air to upgrade 30 of the 100 150-seat A320neos it has ordered to the larger jet. The company, which last month delivered the first of 72 A320neo planes ordered by India's GoAir, is in talks with the same airline for a follow-on order containing a similar number of jets, industry sources said, confirming a Bloomberg report. AirAsia, which is also in fresh talks to buy more jets, is itself bullish on India after regulatory changes. (Editing by Mark Potter and Sandra Maler) By Andrea Shalal FARNBOROUGH, England, July 11 (Reuters) - The U.S. Defense Department on Monday extended by two years a project that has cut the cost of Lockheed Martin Corp's F-35 fighter plane by more than $1 million per jet, and kicked off a similar project to cut operating and maintenance costs. The Pentagon's F-35 program office is working closely with industry to drive down the cost of the jets - now running just over $100 million per jet - to around $85 million by 2019. The F-35 program is the Pentagon's costliest arms program. The U.S. military plans to spend $379 billion to buy a total of 2,457 F-35 warplanes over the next decades. Under the Blueprint for Affordability cost-cutting program first announced two years ago, Lockheed and its key suppliers, Northrop Grumman Corp and Britain's BAE Systems Plc were due to invest $170 million in new technologies, materials and processes to lower production costs. They are due to recoup their initial outlays from the government once the accrued savings are verified. Specific programs included boosting efficiency through improved tooling, automating production by introducing robotics, and even modifying assembly instructions. The project funded 193 separate initiatives, of which 75 were still in process. The investments are expected to save $1.15 million per aircraft in the ninth production lot, and $1.7 million in the 10th. Over the life of the program, the changes will save around $4 billion, according to the F-35 program. Over the next two years, the companies have agreed to invest $24 million left over from the original program, and up to $170 million more on continued work to lower the cost of the jets, the program office said. In addition, the three companies agreed to invest up to $250 million in projects aimed at cutting the cost of operating and maintaining the jets, with a goal of saving $1 billion over a five-year period, the F-35 program office said. Pentagon acquisition chief Frank Kendall said the extra investment by industry would help the military bridge to an F-35 block purchase planned in coming years for international customers that could cut the cost of building the jets by over $2 billion. Story continues Kendall told reporters that the department was "basically ready to move forward" on the block buy, initially with a group of other countries, and then later with the U.S. military. "We'd like to see that proceed as soon as possible," he said. "We think we're basically ready to move forward on that." Kendall said the separate program to cut operating costs would help the Pentagon achieve its goal of lowering the overall lifecycle cost of the program - now estimated to be over $1 trillion through 2070 - by 30 percent. Bennett Croswell, who heads the military engines business of Pratt & Whitney, a unit of United Technologies which makes the F135 engine that powers the F-35, said his company would also set new cost-cutting targets after completing the engine's development programme by the end of this month. He said the new program would involve Britain's Rolls-Royce Holdings Plc, which makes the lift fan for the F-35B model, which can land vertically like a helicopter. (Reporting by Andrea Shalal; Editing by Sandra Maler and Mark Potter) Alexa Chung has been designing for some time, but the star is now branching out on her own with the launch of her debut fashion label. The British style icon will act as the Creative Director for Alexachung, set to debut in May 2017, reports The Business of Fashion (BoF). The contemporary ready-to-wear line will go on sale initially at department stores including Selfridges, Galeries Lafayette and Matchesfashion.com, as well as via its own online retail site. "I just wanted the freedom to sort of make my own world, without someone else's brand's brief to stick to," Chung told BoF. She also explained the timing for the collection, saying: "I feel as though I'm kind of prepared to do it now. If it had been any time sooner than this, I either wouldn't be responsible enough or have been able to take on this kind of feat." The multitalented Chung, a fashion model, muse, designer and journalist, is in a strong position to launch her brand, having previously collaborated on capsule collections for Nails Inc. and AG Jeans. One of her biggest design projects, "Archive by Alexa" for Marks & Spencer, a 31-piece womenswear collection that revived archive pieces from the British retail chain's history, was unveiled in April this year. The Vogue contributor's modeling collaborations with high-end brands such as Longchamp and Tommy Hilfiger are sure to stand her in good stead when it comes to creating her debut line. Chung will lead a team of six people at the label, and will focus launch efforts on London, New York, Paris and Hong Kong. The Islamic State has marked the end of the Islamic holy month of Ramadan with a global wave of terror. Its attacks in Istanbul; Baghdad; Dhaka, Bangladesh; and at multiple sites across Saudi Arabia have claimed hundreds of lives. These attacks follow a landmark mass-casualty shooting in Orlando, Florida, by a gunman who answered the Islamic States call to attack the United States directly. U.S. special envoy Brett McGurk has called the Islamic States recent attacks a sign of weakness, spurred by its mounting losses in Iraq and Syria. ISIL and its leaders have retreated to the shadows, he testified recently, using another acronym for the jihadist group. In fact, the opposite is true. The Islamic States attacks prove that, despite its recent losses, it remains strong and capable of executing its global strategy to undermine modern states, expand as a caliphate, and spark an apocalyptic war with the West. The United States will fail to defeat the Islamic State and protect the homeland if it does not reframe its strategy to contend with the Islamic State globally, rather than focusing on tactical successes in Iraq and Syria. The Islamic States strategy is both local and global. The group pursues interlocking campaigns across multiple geographic areas: Its local strategy in Iraq and Syria is to remain in control of terrain as a caliphate, while its regional strategy is to expand that caliphate across the Middle East by incorporating more fighting groups, which will allow it to further destabilize states and gain control of more terrain. Its global strategy, meanwhile, is to set the conditions for an apocalyptic war with the West, first and foremost by polarizing societies to be for or against Islam. This final objective seems too big to be true. Policymakers tend to discount the Islamic States grandiose public messaging about its global aspirations as overblown and comfort themselves with estimates of its military losses. But in fact, the Islamic State is operating from a position of strength: It is not only poised to remain in Iraq and Syria, but to prosper worldwide in the near-term. Given this reality, it is important not to discount the threat of the Islamic States global strategy out of hand. Story continues Its true, the Islamic State has lost ground in Iraq and Syria over the last year. These battles are critical prerequisites to defeating the group. But McGurk and other officials tend to draw false conclusions on the basis of tactical wins when they should be focusing on the next challenge. For instance, it would be wrong to assume that the Islamic State has lost its military capability because it has not yet retaken any of the cities it has lost. In most cases, the Islamic State chose to withdraw rather than fight to the death a sign of control, military calculation, and intent to preserve force for future operations. Similarly, President Barack Obamas administration tends to misconstrue the Islamic States spectacular attacks as a sign of weakness and proof that the group is reverting to less impressive means. Actually, the explosive attacks the Islamic State launched over Ramadan are consistent with its attacks during this holiday in previous years. They have only increased in size and lethality. The Islamic State has always been a hybrid force, and mass casualty attacks have always been a hallmark of its annual Ramadan campaigns. It adapted by incorporating conventional maneuver warfare into its playbook in 2014, seizing large swaths of terrain in Iraq and Syria following the fall of Mosul. The Islamic States conventional maneuver capability still exists if it can execute tactical withdrawals, and it is still using that capability in the active defense of Manbij, Syria. The argument that the Islamic State is about to lose because it cannot contest frontal attacks by the U.S.-led coalition provides a false sense of security. The way in which the Islamic State is engaging on the battlefield in Iraq and Syria is logical, predictable, and oriented to set conditions for a new phase of growth after the departure of the United States from the region. The group is already destabilizing the weak states in the neighborhood: Its mass-casualty attack in Baghdad shows that it can achieve political reverberations through isolated attacks that are carefully planned. Policymakers are also failing to recognize that the Islamic States regional and global operations support independent objectives beyond the defense of its core territory in Iraq and Syria. Its recent wave of attacks in Bangladesh, Saudi Arabia, Turkey, Jordan, Egypt, and Lebanon were not reactions to its territorial losses, nor even a recent expansion. It has been building capacity in these countries, and these attacks were part of a pre-existing strategy to expand its caliphate to include the entire Muslim world. If it can weaken these states, or even get them to focus on their domestic security at the expanse of the wider fight against the Islamic State, then the coalitions threatening its core terrain would fizzle. The Islamic State is trying to break modern states in a way that will cascade. And some of these states, especially Jordan, are under duress. Jordan and Lebanon remain vulnerable to state collapse under the combined weight of terrorist attacks, refugee flows, and political deadlock. The Islamic State attacked both countries across their borders with Syria over Ramadan. This is not the time to be overconfident. The United States should be working to constrain the Islamic States regional expansion, not trivializing local Salafi-Jihadi groups as dissociated from U.S. national security. McGurk described some of the Islamic States global affiliates as pre-existing terrorist organization[s] [that] chose to wave the black flag of ISIL, suggesting that these groups are not critical components of the anti-Islamic State fight. In fact, these groups are how the Islamic State gain a global reach. Contrary to the Obama administrations narrative of a weakened Islamic State, the group appears to be unequivocally winning its fight against U.S. strategy in the region. Its activities worldwide, especially its attacks in the West, are not attempts to divert attention from its regional campaign. They are both part of a strategy to set conditions for a global war between Muslims and non-Muslims. It could very well succeed, because the Islamic State is not the only actor driving society toward greater polarization. The Islamic State knows that successful attacks in the West will cause societies to turn on immigrant populations, particularly in the context of Europes migrant crisis. Given the rising tide of nativist and anti-immigrant parties erupting throughout the West, the barriers to this polarization may be dwindling. Military coalitions like NATO may even fracture. The United States has the most powerful military in the world, but the world order is shifting before our eyes, and it favors the Islamic State and other threats that can better leverage uncertainty and disorder. This is the head space in which the Islamic State is evaluating its strategy. When policymakers focus on individual battles in Iraq and Syria, they miss the grand scope of the Islamic States vision. And even though recent gains against the Islamic State have been real, they will be ephemeral if policymakers do not have a coherent idea of what to do next. No one seems to want to talk about rebuilding Iraq and Syria, but something of that nature will be required. Otherwise these states will be undone once again within a few short years. The Islamic State could go into hiding, reconstitute, and mount a resurgence fueled by new lessons learned. Alternatively, al Qaeda could take advantage of the temporary vacuum to dominate the Salafi-Jihadi landscape in Iraq and Syria, which has grown more fertile as Sunni Arab populations in those countries have become more alienated from their governments. If the United States wants to reverse course, it needs to change its anti-Islamic State strategy to make it truly global. It needs to acknowledge the foundational threat posed by local Salafi-Jihadi groups in other parts of the world that align with the Islamic State and allow it to operate around the world. And it needs to develop a parallel and concurrent strategy for al Qaeda to block the group from gaining greater traction and usurping the tactical gains won against the Islamic State. But at the most basic level, the United States needs to adapt in order to be as agile as the Islamic State. If it does not, it risks discovering a few years down the line that it did many things right and still ended up a day late and a dollar short in a world that has turned upside down. Photo credit: AUDE GUERRUCCI-Pool/Getty Images Many Americans will go through life on World Population Day 2016 and not once talk about the worlds populationwhich, is over 7.3 billion, at least according to the U.S. Census. But, at the end of the 1960s and throughout the 1970s, Americans couldnt seem to stop talking about the worlds population. Specifically, they were talking about zero population growth (ZPG). Thats the idea that theres a number of children that the average parent should have in order to keep the population size from increasing; according to the grassroots organization Population Connection, that number is 2.1 children per woman in societies with access to modern health care. And, though concern over the number of people the Earth can support wasnt new, the ZPG moment captured a particularly American wave of worry. Population Connectionwhich was itself formerly known as Zero Population Growthwas co-founded by Stanford entomologist Paul Ehrlich, author of the 1968 book The Population Bomb. Hoping to replicate the success of Rachel Carlsons 1962 book Silent Spring in catalyzing the environmental movement, he argued that it was time for a population-control movement. Without it, he believed, the world would face shortages of food, water and more. His call for a cure for the cancer that is population growth generated some 2 million book sales and numerous appearances on The Tonight Show. And, in terms of getting attention, it worked: in 1969, Richard Nixon delivered whats been called the first significant presidential address to Congress on population growth, which he called one of the most serious challenges to human destiny in the last third of this century. That speech led to the creation of the governments Commission on Population Growth and the American Future, to determine an ideal level of population growth thats good for the United States and how that rate could be achieved, as its 1971 interim report explained. Story continues Get your history fix in one place: sign up for the weekly TIME History newsletter Ehrlich found a receptive audience on college campuses, spurring a population boom of sorts, as LIFE magazine joked in 1970. There were more than 100 ZPG chapters nationwide at universities like Yale, while hunger strikes and fiery debates about vasectomies ensued at campuses like Northwestern and Rutgers. As the article explained: Because of Americas lofty standard of living, 210 million of us globally gobble up more resources than 2.5 billion living in less developed countries. The 1970 census is expected to show only a 1% rate of increase, the lowest since the Depression, but even at this rate the U.S. would double its present population in 70 years. Most of the young campaigners pledge themselves to restrict the size of the families they soon will have to the ZPG limit of two. They vigorously support such ancillary activities as abortion reform, legalization of birth control, and changes in welfare regulations and tax exemptions for children. Other developments in that era had already started to make possible what Ehrlich preached, including the FDAs approval of the birth control pill in 1960 and the 1965 U.S. Supreme Court case Griswold v. Connecticut, which ruled that state laws cant prevent marriage couples from using contraception. In addition, as more American women entered the workforce, the cultural tides that had once encouraged large families began to shift. Thus, TIME concluded in 1972, it was unclear how much credit ZPG could take for declining family sizes. In any case, the U.S. fertility rate has gotten even lower since then. Americans stopped at two children back in the 70s, agrees the groups current president, John Seager, and have shown no sign of altering that behavior. And yet, many assess The Population Bombs legacy in the most obvious terms: the world hasnt ended yet. The book didnt anticipate the green revolution or the widespread use of contraception, argues Leora Lawton, Executive Director of the Berkeley Population Center, in an email. The possible human trauma is not going to be what Ehrlich said, really he was sensationalist in his way. In recent years, whenever Ehrlich has been asked what his book may have missed, he says he was just trying to raise awareness about environmental issues and that hes glad the book brought human numbers into the debate on the human future. Yet aspects of the text certainly resonate today, even if Ehrlich didnt get the timing rightwhich means theres plenty to keep in mind on World Population Day. The population pressures are already leading to political conflict, Lawton notes. Food security, while it may largely be a problem of distribution, is still a problem. Income inequality isnt going to help matters. The growth of population in India and sub-saharan Africa, coupled with climate change, could be that catastrophe hed predicted. LUANDA (Reuters) - Angola has halved its 2016 economic growth forecast and slashed government spending as lower oil prices hammer state revenues in Africa's largest crude exporter, the finance ministry said on Monday. Sub-Saharan Africa's third-largest economy will grow 1.3 percent this year, compared with a previous forecast of 3.3 percent, the finance ministry said in a statement. Government spending will be cut to $24 billion from $30 billion projected in the original 2016 budget as revenues were also slashed to $18 billion from $24.4 billion. The statement, a rare disclosure by one of Africa's most secretive states, said Luanda had borrowed $11.46 billion between November 2015 and June 2016, including $5 billion from the China Development Bank and $2 billion from other state-backed Chinese lenders. Total government debt stood at $47.9 billion, including $25.5 billion in external loans, it added, although this figure does not include debt held by state-owned companies such as domestic oil firm Sonangol. Cuts to public services have already had a major impact on the former Portuguese colony, with piles of uncollected rubbish lying rotting in the streets of the capital, in the shadow of half-finished concrete office blocks and shopping complexes. Health experts say the spending reductions are partly to blame for a yellow fever outbreak that started in one of Luanda's vast slums in December and which has spread throughout the country and as far afield as China. The finance ministry confirmed it had ended emergency financing talks with the International Monetary Fund (IMF) because it had achieved "great fiscal equilibrium". However, it said it was still committed to a structural overhaul of an economy that remains perilously reliant on oil. The finance ministry has cut its budgetary oil price assumption to $41 a barrel, from $45 previously. Crude oil output remains steady at 1.77 million barrels per day, it said. (Writing by Joe Brock; Editing by Ed Cropley) Having trouble connecting with your boss? A new study from the University of Alabama, Culverhouse College of Commerce, suggests your parents may be partly to blame. The research, which was based off of existing evidence that parenting styles affect the way children approach relationships, analyzed how attachment styles influence the way employees interact with their supervisors. The original research by John Bowlby, an early psychoanalyst, focused on how parents dealt with crying babiesdid the parents let the child cry or comfort the infant? According to the theory of attachment, when babies are distressed, children learn if they cant rely on their parents for help. Those babies eventually stop making overt signals for help. Eventually, that distrust transfers to people outside the family, too, and the children tend to become anxious or avoidant. But, the theory goes, when parents rush to comfort their children, the infant learns that higher levels of distress will earn them immediate attention. These babies see their parents as reliable sources of support, and that trust transfers to outsiders, as well. Anxiously attached people genuinely want to be loved, but they are nervous that the important people in their lives wont return their affection, researcher Dr. Peter Harms said in a statement. So, they overreact anytime they think their relationships are threatened. They use guilt and extreme emotional displays so that others will stay near and reassure them. They get really upset and cant turn it off. On the other hand, avoidant people feel, I dont want to love you, and you dont need to love me. So just leave me alone. You wont find these people weeping over broken relationships. The goal of this particular study was to see if the theory held true for workplace relationships between a boss and an employee, too. Your boss is sort of like your parent, Harms said. Theyre the ones who can take care of you, theyre supposed to train you and support you. This is especially true for individuals new to the workforce. Researchers found that a bosss management style mattered less to secure and avoidant individuals. Secure individuals have a long history of caring relationships, so they have other people who they can fall back on," Harms said. And avoidant individuals just simply dont care. Anxious employees, on the other hand, thrived when paired with supportive bosses, but struggled with distant or unsupportive leaders. They felt threatened, Harms said. Their deep-seated anxieties leak out, and it starts to preoccupy them in an unhealthy way. What to do if you have an anxious employee? Harms suggested offering support and attention early on until that trust is built up. This article originally appeared on RealSimple.com. http://www.realsimple.com/work-life/life-strategies/job-career/work-style-parents Last fall, Katie Burris was watching an old episode of Grey's Anatomy when she had a life-changing idea. "It was something I didn't really know you could do, but then someone just randomly on that Grey's episode decided to donate a kidney," Burris, 27, told the Arizona Republic. "Then it hit me: If she can donate, could I donate?" Burris began to research the requirements and risks of donating a kidney to a total stranger. "It was kind of risk versus benefits, and with my family there is no history of diabetes or hypertension and any kind of kidney disease," she said. "I just didn't see a reason to not donate." What began as an impulse led to months of tests, evaluations and meetings with doctors. Still, the nurse from Scottsdale, Arizona's determination to complete the selfless act never wavered, and on March 22, she underwent the transplant surgery. "The only reason I did this was so that someone else could use my kidney, so that was really all I wanted," she said. As it turns out, the person her donation reached, Jim Ensslin, had struggled with a rare kidney disease for nearly 20 years before he qualified for a spot on the kidney transplant list. While most Arizonians have to wait anywhere from three to five years on the transplant list, according to St. Joseph's Hospital and Medical Center in Phoenix, Ensslin waited just a few months before receiving Burris's kidney. Need a little inspiration? Click here to subscribe to the Daily Smile Newsletter for uplifting, feel-good stories that brighten up your inbox. "I was really lucky that this happened so soon so that I didn't have to go into dialysis," Ensslin said. "I felt fatigued and run-down and tired, and it was hard for me to do a lot of things." After the donation, Ensslin said he realized just how badly he had felt for years. "I feel much better now, like I'm starting all over again, almost." Soon after their surgeries, Ensslin and Burris met for the first time. "It was surreal. It was really validating, and it was nice to see him doing well and how grateful he was. It was awesome," Burris said. "I would donate if I could again." The New York Times HOUSTON Tony Earls hung his head before a row of television cameras, staring down, his life upended. Days before, Earls had pulled out his handgun and opened fire, hoping to strike a man who had just robbed him and his wife at an ATM in Houston. Instead, he struck Arlene Alvarez, a 9-year-old girl seated in a passing pickup, killing her. Is Mr. Earls licensed to carry? a reporter asked during the February news conference, in which his lawyer spoke for him. Sign up for The Morning newsletter LONDON, July 11 (Reuters) - The British government believes the legal process required to trigger a divorce from the European Union, known as Article 50, does not legally require parliamentary approval, a government minister said on Monday. More than 1,000 prominent British lawyers have written to Prime Minister David Cameron to say that lawmakers in parliament should decide whether Britain leaves the European Union because the June 23 referendum was not binding. Cabinet Office minister John Penrose told a parliamentary debate that government lawyers did not agree. "Apart from observing that there are court cases that are already planned or under way on this issue, so the judges may reach a different view, I would simply remark that government lawyers believe it is a royal prerogative issue," he said. "But I hope that everyone else here will also agree democratic principles should outrank legal formalities. The prime minister has already said that parliament will have a role and it's clearly right that a decision as momentous as this one must be fully debated and discussed." (Reporting by William James, writing by Kate Holton; editing by Elizabeth Piper) An attorney for Jeronimo Yanez, the police officer who shot and killed Philando Castile during a traffic stop in suburban Minnesota last week in which the video of the aftermath went viral, says his client pulled the 32-year-old Castile over because he looked like the suspect of a recent armed robbery. All he had to have was reasonable suspicion to pull him over, attorney Thomas Kelly told the Minneapolis Star Tribune on Sunday. Over the weekend, local NBC affiliate KARE 11 published an audio recording of what may have been the police scanner conversation that preceded the traffic stop resulting in Castiles death. In the clip, which was provided to KARE 11 by a viewer, an officer can be heard saying, Im going to stop a car Im going to check IDs. I have reason to pull it over. The officer then says that the two occupants just look like people that were involved in a robbery, adding that the driver looks more like one of our suspects, just cause of the wide-set nose. KARE 11 noted that St. Anthony police officials did not respond to attempts to confirm the recordings authenticity. But, the local news outlet reported that it was able to match the license plate number provided in the scanner clip with the plate on Castiles car, and that the location given by the officer in the recording is in line with where the traffic stop took place. According to the Star Tribune, one day before Yanez pulled over Castile, the Minnesota Bureau of Criminal Apprehension had called on the public to help identify two men suspected in the July 2 robbery of a convenience store in the small city of Lauderdale, which the St. Anthony Police Department also patrols. Slideshow: Police fatally shoot Philando Castile in Falcon Heights, Minn. >>> Like Castile, both of the robbery suspects were described as African American men with dreadlocks. But while Kelly argued that Castiles perceived similarity to one of the suspects gave Yanez probable cause to pull him over, he insisted that the fatal shooting which, along with the police-involved death of another African American man in Baton Rouge days earlier, has prompted days of nationwide protests had nothing to do with race. Story continues Rather, Kelly said, it had to do with the fact that Castile was armed. Deadly force would not have been used if not for the presence of a gun, he said. It has since been confirmed that Castile was licensed to carry a gun in the state of Minnesota. In a graphic video of the shootings aftermath, which Castiles girlfriend, Diamond Reynolds, streamed from the passenger seat via Facebook live, Reynolds said that Castile tried to tell Yanez and his partner, officer Joseph Kauser, that he had a permit to carry before the officer shot him. Kelly declined to confirm this in his interview with the Star Tribune. The Star Tribune was unable to confirm whether Castile was, in fact, considered a suspect in the July 2 robbery. But even if he was, attorney Albert Goins, who worked with Castiles family in the aftermath of the shooting, argued that Yanezs actions wouldve violated protocol. A felony stop does not usually involve officers walking up to your car and asking you to produce your drivers license, the attorney said. A felony stop involves bringing the suspect out at gunpoint while officers are in a position of cover and having them lie on the ground until they can identify who that individual is. So, Goins said, either [Castile] was a robbery suspect and [Yanez] didnt follow the procedures for a felony stop, or [Castile] was not a robbery suspect and [Yanez] shot a man because he stood at his window getting his information. Both Yanez and Kauser are currently on paid administrative leave. Related slideshows: Slideshow: Alton Sterling killed by police in Baton Rouge, La. >>> Slideshow: Black Lives Matter protests in Baton Rouge >>> Slideshow: Protests over shootings block roads in U.S. cities >>> Slideshow: Photos: The shootings in Dallas >>> Slideshow: Newspapers react to Dallas attack against police officers >>> Slideshow: Dallas pays tribute to fallen officers >>> SHAN STATE, BURMA Its not easy to govern a country emerging from a half-century of military rule, particularly with one hand tied behind your back. After sweeping Burmas historic elections in 2015, Aung San Suu Kyis party, the National League for Democracy (NLD), now faces daunting challenges. It needs to repeal or reform problematic laws, restructure military-dominated bureaucracies, and deal with violent strains of xenophobia and anti-Muslim hatred. The civilian government must gain control of the defense, border, and interior ministries all of which are constitutionally reserved for the countrys notoriously abusive military. The plight of 1.2 million Rohingya Muslims, who have long been targets of state-sponsored persecution, deprived of access to basic services, and the right to full citizenship, also requires urgent attention. Seasoned humanitarian workers have described conditions in camps where more than 120,000 Rohingya have been isolated since the 2012 campaign of ethnic cleansing as among the worst they have ever seen. Perhaps most challenging, however, is the work of uniting a country that has been wracked by civil war since its independence in 1948. Beyond Rangoon and the capital city of Naypyidaw, longstanding armed conflicts persist between the government and various ethnic armed groups. Some of those conflicts are now re-igniting, with devastating consequences for the affected populations. During a recent trip to northern Shan State, I saw the impact of this renewed fighting first-hand. At the Aung Mingalar Bo Taw Monastery, community leaders and displaced residents told me that a recent military attack had forced 280 of them to flee their village. Soldiers burned their homes and shot at some of them as they ran away. Nang Pwot, 35, said her husband had been detained simply because of a scar on his wrist, leading the military to believe he was a Shan fighter. Efforts to find him have failed despite a local Shan politicians attempts to negotiate with the military. Weve been suffering for 60 years, one community leader told me. Were afraid to go home because of the [military.] At a temporary camp in the remote hills of Kutkai township, recent arrivals also told me they ran from their village after the military fired heavy artillery at fighters thought to be hiding among the local population. Although two months had passed since the initial clashes, community leaders were still visibly shaken as they recounted how they hid in the forest for a week and then walked a full day before finally stopping. Now theyre living in makeshift homes. Because the Burmese army maintains a presence in their village and may have mined the surrounding fields and farms, they wont even think about going home. It gets worse. A number of displaced people told us that the army is using helicopter gunships against fighters deployed near villages a recipe for disaster. A video from earlier this year shows soldiers torturing villagers in western Shan State by beating them as they lay on the ground with their hands tied. The fighting in Shan State and other ethnic states shows no signs of tapering off even as Aung San Suu Kyi and the NLD puts together a highly anticipated peace conference. The 21st Century Panglong Conference, which should occur by the the end of August, references the historic Panglong conference of 1947, which was convened by Aung San Suu Kyis father and helped lay the groundwork for post-independence Burma. Suu Kyi recently met with members of the armed ethnic groups that signed onto last years Nationwide Ceasefire Agreement to discuss plans for the conference, but the details remain opaque. Notably, representatives from the groups currently fighting the military were absent from this recent meeting, potentially presaging a less than comprehensive plan for peace. For many observers, measuring the success of Burmas democratic transition is a matter of gauging the political dynamics in Naypyidaw. But the ultimate success of Burmas transition will depend on whether its new quasi-civilian government can rein in the military and address the terrible legacies of the countrys multiple, decades-long armed conflicts. The process will take time. In the near term, Aung San Suu Kyi should ensure that humanitarian aid groups can travel freely to the affected areas, in particular in Kachin and northern Shan State. By impeding the delivery of aid, the Burmese government risks violating international humanitarian law and undermining the support of the ethnic communities that helped bring it to power. The NLD needs to make clear to the military that such measures are not only unacceptable but also unhelpful as the government continues to seek support from international relief groups. The NLD should also make sure that all affected ethnic minority groups are invited to participate in the upcoming conference, not just the ones that signed last years ceasefire agreement. By doing this, it will demonstrate a commitment to ending decades of abuse while also making a clear break with the pernicious divide and rule tactics employed by the former military regime. Efforts to define the upcoming peace conference are still underway, so the question remains: How best can these seemingly permanent conflicts and the attendant human rights abuses be resolved? The most important steps are to ensure that the process is inclusive, reaches local communities, and tackles longstanding grievances. This means not only dealing with ongoing fighting and systemic rights violations, but underlying issues as well, including land rights, ownership of Burmas abundant natural resources, and security for the ethnic communities something they have never really had. The United States and other donors can play a vital role by helping ensure the NLD, the military, and the ethnic groups take full advantage of this opportunity and engage in good faith discussions. They need to push for the full participation of civil society, especially of women and the many ethnic groups that have been so central to the countrys peace efforts and political life. And they need to make sure all armed ethnic groups are engaged to the fullest not just some of them. The future of Burma is about more than building a civilian-controlled government. It is also about ensuring protection, fairness, and justice for all of Burmas people, including its ethnic minorities. In the photo, a soldier from a resistance group allied with the Kachin Independence Army looks out from an outpost in northern Kachin state in September 2012. Photo credit: SOE THAN WIN/AFP/Getty Images By Harry Pearl SYDNEY (Reuters) - Australia declared on Monday the AIDS epidemic is no longer a public health issue there, a month after the United Nations adopted an ambitious target to eliminate the threat globally by 2030. The government-backed Australian Federation of AIDS Organisations (AFAO) and top scientists said the number of people being diagnosed with AIDS in Australia was now so small it was no longer reported. AIDS cases in Australia peaked in 1994, at 953 cases, according to the Kirby Institute for infection and immunity in society. Since then, following the introduction of antiretroviral treatment, that prevent AIDS developing in people who are infected with the HIV virus, and awareness campaigns, AIDS diagnoses have declined sharply. "Australia is incredibly fortunate to be in the position and its because of farsighted government policy, said Darryl O'Donnell, chief executive AFAO. We had community organizations of gay men, sex workers and drug users doing outreach campaigns that were extraordinarily effective, O'Donnell said. A spokeswoman for the Federal Department of Health said while it was tremendous that AIDS was "not the automatic death sentence that it once was", approximately 1,100 cases of HIV are detected each year. "We must not let down our guard." Worldwide there are 36.7 million people living with HIV, according to the World Health Organization, with 180,000 people dying from AIDS-related illness in the Asia-Pacific region last year. The United Nations agreed a new declaration on ending the AIDS epidemic at a meeting in New York last month. The UNAIDS Fast-Track approach to ending the AIDS epidemic has a set of time-bound targets, including reducing the number of people newly infected with HIV from 2.1 million in 2015 to fewer than 500,000 in 2020, reducing the number of people dying from AIDS-related illnesses from 1.1 million in 2015 to fewer than 500,000 in 2020 and eliminating HIV-related discrimination. Andrew Grulich, head of the HIV Epidemiology and Prevention Program at the Kirby Institute, said other countries could learn from Australia. "The thing that has characterized Australia is a partnership between all sectors involved," he said. "Community, research and the government - and having bipartisan political support. (Reporting by Harry Pearl; Editing by Robert Birsel) An Australian man who filmed himself biting the head off a live rat and posting the video on Facebook was banned on Monday from owning pets for three years and ordered to do community service. Matthew Maloney, known as "Mad Matt", was charged following a raid by RSPCA investigators after the bizarre stunt in January, which attracted hundreds of thousands of online views. The 25-year-old admitted one count of animal cruelty in Brisbane Magistrates Court and was ordered to complete 100 hours of community service, while being slapped with the pet ban. Magistrate Suzette Coates described him as a "narcissist" and he expressed remorse, although he told reporters outside court that his actions "weren't that bad", according to the Australian Broadcasting Corporation. The video shows Maloney storming into a room, biting off the rat's head and washing it down with three shots of vodka. He then gets punched in the face and has a chair broken over his back before saying "beat that". The clip was reportedly an attempt to create a disturbing new social-media challenge. He was unrepentant at the time after a string of comments branding him disgusting. "All your comments are cracking me up and not one person out there will be able to say anything that will make me feel bad or make me regret what i did," he wrote on Facebook. "Its mother nature and mans gotta eat!" Presumptive Democratic presidential nominee Hillary Clinton struggled over the weekend to put behind her the stain of FBI Director James B. Comeys finding that she had been extremely careless but not criminally culpable in her handling of highly classified material during her four years as secretary of state. I am certainly relieved and glad that the investigation has concluded, Clinton told CNN on Sunday, regarding her practice of using a private server to handle all of her official email correspondence, which exposed hundreds of classified documents to potential hacking and violated State Department and federal protocol. But I also know how important it is to make sure everybody understands that I would certainly not do that again. Related: Clinton Dodges Indictment, but Not FBI Directors Scorn Yet the FBI findings of rampant mishandling of sensitive emails stunned Republicans and Democrats alike and further undermined public confidence in her honesty and integrity. A new Washington Post/ABC News poll released on Monday found that a solid majority of Americans 56 percent disapprove of Comeys recommendation to the Justice Department last week against charging Clinton with a federal crime. Only 35 percent of those interviewed said they approved of Comeys recommendation. Moreover, 57 percent of Americans said the revelations make them worry about how Clinton might handle her responsibilities if she is elected president. That represents a huge setback for a presidential candidate who has touted her government experience and steady hand in shaping foreign policy and who has repeatedly attacked billionaire Donald Trump, the presumptive GOP presidential nominee, for lacking the temperament and knowledge to be the next commander in chief. Some 57 percent of registered voters interviewed July 6 through 7 indicated they were very worried or somewhat worried about how Clinton might handle her responsibilities if she is elected president in November. Fourteen percent said they dont think the FBI findings and her abilities as president are connected, and the rest had no opinion, according to the poll. Story continues Related: Americans Cant Stop Watching This Car Wreck of an Election Precisely how the controversy over Clintons mishandling of her emails will impact the campaign going forward is essentially guesswork. Clinton has campaigned for the nomination for the past year with the FBI investigation and Republican-inspired congressional investigations hanging over her, and still holds a modest five-point lead over Trump in the national polls. Not surprisingly, many voters view the controversy through a partisan prism, with nine in 10 Republicans polled saying that they disagree with Comeys recommendation while only a third of Democrats indicating they disagreed with the recommendation. More significantly, six in 10 independents indicated that they thought the FBI should seek criminal charges against the former secretary of state and first lady. Clinton must woo a significant portion of independent voters in key battle ground states in order to defeat Trump. And more than 40 percent of liberals and 36 percent of non-white Americans said the controversy raises their concerns about how Clinton might handle her duties as president. Throughout the Democratic primary campaign, Sen. Bernie Sanders of Vermont questioned Clintons judgment as both a senator and secretary of state on key foreign policy and trade issues. Sanders is expected to formally endorse Clinton for president on Tuesday, during a joint campaign appearance in New Hampshire. His support may be critically important to Clinton in trying to assuage renewed concerns among liberals about her credibility and judgement. Related: Trump and Clinton Headed for Obamacare Showdown This will be especially true as Republicans try to keep the issue front and center with campaign ads highlighting Comeys criticism of Clintons mishandling of government email and House Republicans efforts to get the FBI to investigate whether Clinton lied about her emails to a special House committee that investigated the 2012 terrorist attack on a U.S. diplomatic outpost in Benghazi, Libya. Perhaps the only piece of good news for Clinton in the new survey is that registered voters critical of Comeys decision not to seek criminal charges are divided on whether the outcome of the investigation makes them less likely to vote for Clinton in November. Some 47 percent said it will make no difference to them on how they will vote, while 45 percent said they are now less likely to support her. Jim Manley, a Democratic strategist and former Senate leadership spokesman, said on Monday that voters have been wrestling with Clintons email problems for months and pretty much made up their minds whether to support her before Comeys July 5 announcement. Related: Voters Are Having Trouble Getting to Yes with Clinton and Trump As far as Im concerned, the cake is baked here, Manley said in an interview. Most folks made up their minds a long time ago whether they thought there was something suspicious here or not. It will continue to be an issue on the campaign trail, but I dont see it moving very many, if any, votes. Republicans are busy crafting the 30-second attack ads, but I dont think its going to move the dial, he added. Most people made up their minds about Hillary Clinton a long time ago. Top Reads from The Fiscal Times: LONDON (Reuters) - BAE Systems (BAES.L) and Rolls Royce (RR.L) will navigate their way through the uncertainty created by Britain's vote to leave the European Union, the country's two biggest aerospace firms said on Monday. Britain hosts the biennial Farnborough Airshow this week which draws BAE and Rolls clients from around the world, relationships which the two firms said they expect to maintain despite the country's decision to leave the world's largest trading bloc. "We don't trade with the EU as a defence entity, it's with individual nations and I do not believe the UK government is going to take its foot off the pedal in terms of defence and security," BAE's Ian King told BBC radio. "I think those relationships will endure and it will endure because of the quality of the armed forces that we have and the quality of technology and capability that we have in that industry." On Monday, Rolls-Royce said it would take 100 percent control of Spain-based aircraft engine and components firm Industria de Turbo Propulsores (ITP) by buying a 53.1 percent stake owned by SENER Grupo de Ingenieria for 720 million euros ($795 million). Rolls-Royce boss Warren East said the maker of engines for aircraft and ships would also look to its global connections as it seeks to adapt to its new environment. "We just have to get on with it," East told the BBC. "If you look at our future business over the next 10 years or so then probably three quarters of that is coming from outside of the EU and we have to take that global view." East said the main questions raised by the outcome of Britain's June 23 EU referendum included whether Rolls-Royce staff could continue to move around Europe with ease and what changes the company would face from new trade laws. "We need to work within those limits and find creative ways of getting around whatever problems come our way," he said. (Reporting by Kate Holton; editing by Jason Neely) Brussels (AFP) - The European Commission said Monday its former head Jose Manuel Barroso will be bound by EU rules of professional secrecy at his controversial new job for US investment bank Goldman Sachs. The bank has hired Barroso, who headed the executive of the 28-nation European Union from 2004 until 2014, as an advisor on the British public's June 23 vote to leave the EU, drawing fire from leftist politicians in Europe. "All former members of the (commission) will remain bound by the obligations of integrity, discretion and professional secrecy" by EU law, Commission spokesman Margaritis Schinas told a press conference in Brussels. He cited EU laws under which former commission members can lose their rights to pensions or benefits if they fail to respect strict conflict-of-interest rules even after leaving their jobs. Barroso did not have to inform his successor Jean-Claude Juncker about the job because he had been through an 18-month "cooling off" period since leaving the EU and it was safe to assume he no longer had access to privileged information or influence, Schinas said. "President Juncker was informed after the decision was announced. There was no formal obligation for notification," Schinas said, adding that it was via phone call from Barroso. "But once the transition is made from the public to the private sector there are very precise articles of the treaty which must be respected," he added. "And we have a duty as a commission to ensure these articles are respected," said Schinas. To the courthouse, Robin! The Batcycle featured in the 1960s TV series Batman has sparked a lawsuit over merchandising money. The complaint was filed in federal court in California on Friday by David Kaufman, whos described as a close friend of Richard Korkes, who constructed and created the logo for the motorcycle. Also Read: 'Batman v Superman': Full Count of How Many People Ben Affleck's Batman Killed (Video) Kaufman claims that Korkes, who died earlier this month, was entitled to a share of the profits from merchandising relating to the Batcycle. Kaufman says that Korkes contacted him in July 2012, saying that he had seen a Batcycle toy in a local store, and asked Kaufman to look into it. Kaufman also claims that Korkes assigned him the proceeds from the contract shortly thereafter. According to the complaint, Warner Bros. named as a defendant in the suit, along with Twentieth Century Fox Television launched a line of toys inspired by the 60s show in March 2013, with licensees including Mattel, New Era and others. The lawsuit further states that Warner Bros. and Mattel renewed their agreement in January 2014. Kaufmans suit says that, when attorneys for he and Korkes reached out to Fox and Warner Bros., Fox told them that they did not have the agreement that Korkes allegedly entered into. Also Read: Bye-Bye, Batman? LA City Council Votes to Regulate Hollywood Street Performers Warner Bros. had no comment on the lawsuit for TheWrap, which is awaiting response from Twentieth Century Fox Television. Alleging breach of contract, unjust enrichment and other counts, the suit is seeking unspecified damages. Pamela Chelin contributed to this report. Related stories from TheWrap: 'Batman v Superman': Full Count of How Many People Ben Affleck's Batman Killed (Video) 'Batman v Superman,' and a Brief History of the Extended Cut (Photos) Every Batman Movie Ranked, Worst to Best (Photos) A look at some key aspects of the deals signed by the heads of both countries. By India Today Web Desk: As a part of his four-nation tour in Africa, Prime Minister Narendra Modi has signed five new agreements in the third phase of his four-nation tour. The deal was confirmed in the presence of Prime Minister Narendra Modi and Tanzanian President John Pombe Joseph Magufuli at the Dar-es-Salaam. The Indian Prime Minister and the Tanzanian President discussed a wide range of issues which also included agreements on trade between the two countries. They will be looking forward to strengthen ties with each other. Here are the key aspects of the deals signed by the heads of both countries: Memorandum of Understanding for a new Vocational Training Centre at Zanzibar MoU on Cooperation in the field of water resource management and development Line of Credit (LoC) of 92 million US dollars to solve the current water crisis in Zanzibar MoU to waiver Visa requirement for the people with Diplomatic/Official passports i.e. citizens with a Diplomatic or Official passport won't require a Visa to visit either of the countries Agreement on the collaboration of National Small Industries Corporation of India (NSIC) and Small Industries Development Organisation Tanzania (SIDO), as a part of a new Joint Action Plan (JAP) The country heads decided to cooperate with each other in the food security and natural gas sector Work on overall defence and security partnership, with special focus on the maritime domain To tackle two of the greatest global threats, namely: terrorism and climate change, in a coordinated fashion. advertisement Interested in General Knowledge and Current Affairs? Click here to stay informed and know what is happening around the world with our G.K. and Current Affairs section. To get more updates on Current Affairs, send in your query by mail toeducation.intoday@gmail.com --- ENDS --- The Guggenheim CurrencyShares British (NYSE: FXB) is down 10.7 percent over the past month meaning FXB, which tracks the pound's move against the U.S. dollar, is in a correction. The iShares MSCI United Kingdom ETF (iShares Trust (NYSE: EWU)) is off 7.7 percent over the same period, which means anticipation of Brexit and the subsequent outcome have been a drag on U.K. equities and the sterling. Looking at this performances, it is easy for investors to get nervous and think that avoiding U.K. stocks and the likes of EWU is the proper course of action. Actually, the opposite could prove to be true. A Different Perspective Astute investors realize at least two things. First, many of the FTSE 100's biggest names, plenty of which are also found among EWU's 113 holdings, generate half or more of their revenue outside of the U.K. That says the weaker pound is good for those firms. Second, EWU is up 7 percent since its June 27 bottom. Related Link: Post-Brexit, Save Over 0 Daily On A Visit To London Although the precipitous drop in the pound sounds bad, it might actually help the British economy over the long term by acting as a shock absorber to help the economy rebound. Weve already started to see this effect in the FTSE 100, the index with the 100 largest companies listed on the London Stock Exchange, which has rebounded strongly since the news, said BlackRock in a recent note. Holdings A quick look at EWU's top 10 holdings, a group that combines for over 40 percent of the ETF's weight, underscores the ETF's leverage to a weaker pound. That group includes pharmaceuticals giants GlaxoSmithKline plc (ADR) (NYSE: GSK) and AstraZeneca plc (ADR)(NYSE: AZN) and oil behemoths Royal Dutch Shell plc (ADR) (NYSE: RDS-A) and BP plc (ADR) (NYSE: BP). Those are just four examples, but each of those companies depend on ex-U.K. markets for the bulk of their revenue. How Minimal Is $5+ Million? Still, investors appear pensive, as EWU has lost $5.86 million since the Brexit outcome was absorbed by global financial markets. Then again, less than $6 million on the back of an event like Brexit for a $1.94 billion ETF like EWU is minimal damage. Story continues As the pound weakens, British products look cheaper to consumers buying items using other currencies. U.K. firms are already dominant in a number of global industries like machinery, pharmaceuticals and precious stones, so firms in the rest of the world in these sectors will have to cut prices or work harder to acquire business, since British prices will become more affordable for international consumers, according to BlackRock. Did you like this article? Could it have been improved? Please email feedback@benzinga.com to let us know! See more from Benzinga 2016 Benzinga.com. Benzinga does not provide investment advice. All rights reserved. NEWS BRIEF It was a long time coming. Bernie Sanders will join Hillary Clinton on the campaign trail on Tuesday. The former Democratic rivals will make a joint appearance at a New Hampshire rally to discuss their commitment to building an America that is stronger together and an economy that works for everyone, not just those at the top, according to the Clinton campaign. Sanders has held out his endorsement of Clinton for weeks, pursuing instead his intent to move the Democratic party platform to the left. As of late, Clinton has taken steps toward Sanderss plan on issues like college education and health care. Last week, Clinton announced that families with annual incomes under $125,000 would not have to pay college tuition to in-state public colleges and universities. Sanders had pushed for free college education for all students. And over the weekend, Clinton said she supports a public-option insurance plan in her health-care plan, soliciting praise from Sanders whose campaign reportedly negotiated with Clinton. I congratulate Secretary Clinton for this extremely important initiative, Sanders said in a statement. It will improve health care and cut health care costs. It is a significant step forward as we advance toward the goal of health care for all Americans. Recommended: A Single Photo From Baton Rouge That You Will Never Forget Clinton has sought to coalesce Sanders supporters ahead of the Democratic National Convention this monthand it appears that some are inching closer to backing her. According an NBC News / Wall Street Journal poll last month, 45 percent of Sanders supporters have a positive view of Clinton, an uptick from 38 percent in May. A contributing factor may be progressive Senator Elizabeth Warrens embrace of Clinton in early June. On Tuesday, Clinton will likely see another boost by Sanders himself, who is expected to endorse her. The location of the rally is also significantSanders enjoys substantial popularity in New Hampshire, where he defeated Clinton, and polls suggest the state will be tightly contested in November. Story continues Read more from The Atlantic: This article was originally published on The Atlantic. Sen. Bernie Sanders of Vermont will join Hillary Clinton at a campaign rally in New Hampshire on Tuesday, where he is expected to endorse his former rival. Sanders is joining Clinton at Portsmouth High School to discuss their commitment to building an America that is stronger together, according to a Clinton campaign release, but the self-proclaimed Democratic socialist is expected to formally endorse Clinton at the event. Sanders beat Clinton during a primary contest in the Granite State by 22 percentage points. Though Sanders said repeatedly that he would vote for Clinton in the general election after her edge on him grew so significant that she was deemed the presumptive Democratic presidential nominee, he has not yet endorsed. His goal was to keep the pressure on Clinton and the Democratic party to adopt more of his policy proposals. After Clinton announced last week that she would be pushing a tuition-free college plan, a pillar of the Sanders platform, an endorsement seemed more likely. Over the weekend, Sanders also met with Democratic Party leaders to push his platform agenda at a meeting. After that meeting, he told reporters his campaign and the Clinton campaign were getting closer and closer, the New York Times reports. Everybody loves to invest in a business that reports profits on a regular basis. And to gauge the extent of profit there is no better metric than the net profit margin. Net Profit Margin = Net profit /Sales * 100. Net profit margin reflects a companys ability to convert revenue into profit after deducting all operating and non-operating expenses as well as income tax payable. Hence, a healthy net profit margin shows how successfully management has controlled costs. We note that stringent cost control as well as a lean cost structure provides a cushion to the companys profitability during periods of downturn. Moreover, a higher net profit margin as compared to peers lends a competitive advantage. Net Profit Margin: A Preferred Metric for all Net profit margin helps investors to understand a companys business model in terms of pricing policy, cost structure and manufacturing efficiency. Hence, a healthy net profit margin is preferred by all kinds of investors. However, net profit margin has a number of flaws that limit its scope as an effective analytical tool. Its calculation widely varies from industry to industry. Difference in accounting treatment of various items especially non-cash expenses like depreciation and stock-based compensation makes it a difficult metric for the purpose of comparison. Further, for companies preferring to grow with debt, instead of equity funding, higher interest expense usually drags down the net profit. In such cases, it is not an effective tool to analyze the companys performance. The Winning Strategy Healthy net profit margin and solid EPS growth are two of the most sought after ingredients in a business model. Apart from these two metrics, we have added a few other criteria to ensure maximum possible return from this strategy. Screening Parameters Net Margin 12 months Most Recent (%) greater than equal to 0: High net profit margin indicates solid profitability. Percentage Change in EPS F(0)/(F-1) greater than equal to 0: It indicates earnings growth. Average Broker Rating (1-5) equal to 1: A rating of #1 indicates brokers extreme bullishness on the growth prospects of the stock. Zacks Rank equal to 1: Only Strong Buy stocks are allowed. In good markets or bad, stocks with a Zacks Rank of #1 (Strong Buy) continue to outperform. VGM Score of A or B: Our research shows that stocks with a VGM Score of 'A' or 'B' when combined with a Zacks Rank #1 or 2 (Buy) offer the best upside potential. Here are five of the nine stocks that qualified the screen: Brazil-based Braskem SA BAK produces and sells thermoplastic resins. Together with its subsidiaries, the company is the largest petrochemical operation in Latin America. The stock has a VGM score of A. Moreover, the Zacks Consensus Estimate for 2016 increased by a penny to $2.32 per share over the last 60 days. Gibraltar Industries Inc. ROCK manufactures and distributes products to the industrial and buildings market. The stock has a VGM score of A. Meanwhile, the Zacks Consensus Estimate for 2016 increased by a penny to $1.38 per share over the last 60 days. Toronto-based Just Energy Group Inc. JE is engaged in the sale of natural gas and/or electricity to residential and commercial customers under long-term fixed-price and price-protected contracts. The stock has a VGM score of A. Further, the Zacks Consensus Estimate for 2016 increased by a nickel to 31 cents per share over the last 60 days. Hanwha Q CELLS Co. Ltd. HQCL is a photovoltaic manufacturer for solar cells and modules. The stock has a VGM score of B. Further, the Zacks Consensus Estimate for 2016 surged by 34 cents (25.4%) to $1.68 over the last 60 days. Unique Fabricating Inc. UFAB is a supplier of components in the automotive and industrial appliance market. The stock has a VGM score of B. Moreover, the Zacks Consensus Estimate for 2016 increased by 3 cents (3.5%) to 88 cents over the last 60 days. Get the rest of the stocks on the list and start putting this and other ideas to the test. It can all be done with the Research Wizard stock picking and back testing software. The Research Wizard is a great place to begin. It's easy to use. Everything is in plain language. And it's very intuitive. Start your Research Wizard trial today. And the next time you read an economic report, open up the Research Wizard, plug your finds in, and see what gems come out. Story continues Click here to sign up for a free trial to the Research Wizard today. Disclosure: Officers, directors and/or employees of Zacks Investment Research may own or have sold short securities and/or hold long and/or short positions in options that are mentioned in this material. An affiliated investment advisory firm may own or have sold short securities and/or hold long and/or short positions in options that are mentioned in this material. Disclosure: Performance information for Zacks' portfolios and strategies are available at:https://www.zacks.com/performance. Zacks Restaurant Recommendations: Inaddition to dining at these special places, you can feast on their stock shares. A Zacks Special Report spotlights 5 recent IPOs to watch plus 2 stocks that offer immediate promise in a booming sector. Download it free Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report BRASKEM SA (BAK): Free Stock Analysis Report GIBRALTAR INDUS (ROCK): Free Stock Analysis Report JUST ENERGY GRP (JE): Free Stock Analysis Report HANWHA Q CELLS (HQCL): Free Stock Analysis Report UNIQUE FABRICTG (UFAB): Free Stock Analysis Report To read this article on Zacks.com click here. Zacks Investment Research By Tim McLaughlin, Ross Kerber and Michael Flaherty BOSTON/NEW YORK, July 11 (Reuters) - Tech billionaire Elon Musk's acknowledgement that, over the years, he had "bandied about" with some of his biggest shareholders the idea of combining Tesla Motors Inc and SolarCity Corp is rare public recognition of the access and insights large investors get. "Has this idea been bandied about with our larger shareholders, institutional shareholders? Yes, there have been discussions and I think some of them see it as a natural thing to do," Musk said during a June 22 conference call after announcing the $2.8 billion deal to the market. He was responding to a JPMorgan analyst's question on whether Musk had discussed the acquisition with any investors and how they felt about it. Musk did not name the investors or give more details on the conversations. In 2000, the U.S. Securities and Exchange Commission (SEC) formally banned company officials from selectively disclosing nonpublic, material information - such as details about profit forecasts, new products or deals - to investors. Talking about general strategies and ideas with investors does not violate the rules, though. Moreover, a company "is not prohibited from disclosing a non-material piece of information to an analyst, even if, unbeknownst to the issuer, that piece helps the analyst complete a "mosaic" of information that, taken together, is material," according to an SEC discussion of the rules. Big investors, through their private meetings with company bosses, get insights that can give them an advantage over smaller shareholders. That can put big fund managers in a better position to make - or save - money depending on what they glean from the meetings, and companies still would not violate the letter of the SEC rules, some securities lawyers say. News of the Tesla deal sent SolarCity's shares soaring 25 percent in after-hours trading on June 21, and lopped more than $2.8 billion in market value off Tesla the next day. Tesla shares have since more than recovered those losses. Story continues About two months before the announcement, Fidelity Investments portfolio manager Gavin Baker wrote in his first-quarter commentary to investors, "We foresee fruitful synergies between say, Tesla and SolarCity - or any company that can benefit from superior battery technology." Reuters was unable to determine if Baker was one of the Tesla investors with whom Musk had "bandied about" a possible tie-up with SolarCity. Baker runs the $12 billion Fidelity OTC Portfolio, which is the second-largest mutual fund investor in Tesla and the largest mutual fund investor in SolarCity. In May, Baker boosted his portfolio's stake in SolarCity by 20 percent, even though the stock was his biggest loser in the first quarter. He also increased the portfolio's stake in Tesla by 10 percent. A spokesman for Fidelity and Baker declined to comment on whether Musk discussed the tie up with Baker in advance. A Tesla spokeswoman declined to comment on Musk's discussions with shareholders, including whether they included Baker. But she said the company complied with the SEC's Regulation Fair Disclosure (RegFD) rule when it disclosed the SolarCity deal on June 21. The SEC declined to comment on whether Musk's comments crossed the lines drawn by RegFD. BODY LANGUAGE As a weapon against insider trading, RegFD seeks to level the playing field for all investors. In practice, there is a divide between big and small shareholders when it comes to time with CEOs, investors say. "Large investors get access to companies that wouldn't give me the time of day," said Adam Strauss, portfolio manager of the $188 million Appleseed Fund. "I do believe there's an informational advantage to that." Portfolio managers at large mutual funds and hedge funds do not necessarily need material information from a company to gain an edge. Theoretical discussions about strategy, including potential mergers and divestitures, can offer insight on how executives are evaluating different options, according to investors and academics. It can even come down to body language, some say. "If I see you (a company executive) tense up with a question or glance at your chief financial officer, I'm picking that up," said Adam Grossman, an analyst at Boston-based Middleton & Co, which has $650 million in assets under management. "It's not a violation (of RegFD) -- but it's an advantage." Fund managers who attended private meetings with senior management were more likely to buy or sell a company's stock compared with fund managers who were not at the meetings, according to a study by David Solomon, a finance professor at University of Southern California's Marshall School of Business, and Eugene Soltes from the Harvard Business School. The study was based on more than 900 meetings that one unnamed mid-cap company held with investors over a six-year period. The researchers concluded that private meetings helped some investors make more informed trading decisions, most likely because they were better able to process what they were told and not necessarily because they were given material information. KOSHER OR NOT Ross Gerber, president of a Santa Monica, California wealth management firm Gerber Kawasaki Inc, which owns about 25,000 Tesla shares, is irked by what he sees as preferential treatment for bigger shareholders. He said there is only one number that comes to mind for how many times CEOs like Musk should meet with select investors: "None is OK." Gerber said he has never had a private audience with Musk. Ian Roffman, a former SEC lawyer who now works for Nutter McClennen & Fish LLP in Boston, however, said dialogue between management and shareholders is generally a good thing. "It's just hard to know whether the conversations here were kosher or not without knowing more about the content," he said, referring to Musk's comments on SolarCity. RegFD can be difficult to enforce because the meetings typically happen behind closed doors. Since the rule was introduced in 2000, the SEC has only taken about 15 actions against companies for selectively disclosing nonpublic, material information. The agency suffered a major setback in 2005 when it lost a RegFD case against software company Siebel Systems Inc, centering on private talks between the company's chief financial officer with large institutional investors. A federal judge dismissed the case and scolded the SEC for nitpicking the difference between what the company said publicly and privately. He added that such an approach would force executives "to become linguistic experts, or otherwise live in fear of violating Regulation FD." After the loss, the SEC did not bring a RegFD enforcement action for more than four years. Some executives say they have become well-trained over the years in the art of keeping mum. Former Medtronic Plc Chief Executive Bill George, who currently is on Goldman Sachs's board of directors, said he had about a dozen private meetings each year with the medical device maker's largest shareholders, often meeting directly with portfolio managers in their offices. Once a conversation strayed into what George considered material information, his guard went up. "I would say something formal, like, we don't discuss our acquisition plans," he said. (Editing by Carmel Crimmins and Edward Tobin) By Tim McLaughlin, Ross Kerber and Michael Flaherty BOSTON/NEW YORK (Reuters) - Tech billionaire Elon Musk's acknowledgement that, over the years, he had "bandied about" with some of his biggest shareholders the idea of combining Tesla Motors Inc (TSLA.O) and SolarCity Corp (SCTY.O) is rare public recognition of the access and insights large investors get. "Has this idea been bandied about with our larger shareholders, institutional shareholders? Yes, there have been discussions and I think some of them see it as a natural thing to do," Musk said during a June 22 conference call after announcing the $2.8 billion deal to the market. He was responding to a JPMorgan analyst's question on whether Musk had discussed the acquisition with any investors and how they felt about it. Musk did not name the investors or give more details on the conversations. In 2000, the U.S. Securities and Exchange Commission (SEC) formally banned company officials from selectively disclosing nonpublic, material information - such as details about profit forecasts, new products or deals to investors. Talking about general strategies and ideas with investors does not violate the rules, though. Moreover, a company "is not prohibited from disclosing a non-material piece of information to an analyst, even if, unbeknownst to the issuer, that piece helps the analyst complete a "mosaic" of information that, taken together, is material," according to an SEC discussion of the rules. Big investors, through their private meetings with company bosses, get insights that can give them an advantage over smaller shareholders. That can put big fund managers in a better position to make - or save money depending on what they glean from the meetings, and companies still would not violate the letter of the SEC rules, some securities lawyers say. News of the Tesla deal sent SolarCity's shares soaring 25 percent in after-hours trading on June 21, and lopped more than $2.8 billion in market value off Tesla the next day. Tesla shares have since more than recovered those losses. Story continues About two months before the announcement, Fidelity Investments portfolio manager Gavin Baker wrote in his first-quarter commentary to investors, "We foresee fruitful synergies between say, Tesla and SolarCity or any company that can benefit from superior battery technology." Reuters was unable to determine if Baker was one of the Tesla investors with whom Musk had "bandied about" a possible tie-up with SolarCity. Baker runs the $12 billion Fidelity OTC Portfolio (FOCPX.O), which is the second-largest mutual fund investor in Tesla and the largest mutual fund investor in SolarCity. In May, Baker boosted his portfolio's stake in SolarCity by 20 percent, even though the stock was his biggest loser in the first quarter. He also increased the portfolio's stake in Tesla by 10 percent. A spokesman for Fidelity and Baker declined to comment on whether Musk discussed the tie up with Baker in advance. A Tesla spokeswoman declined to comment on Musk's discussions with shareholders, including whether they included Baker. But she said the company complied with the SEC's Regulation Fair Disclosure (RegFD) rule when it disclosed the SolarCity deal on June 21. The SEC declined to comment on whether Musk's comments crossed the lines drawn by RegFD. BODY LANGUAGE As a weapon against insider trading, RegFD seeks to level the playing field for all investors. In practice, there is a divide between big and small shareholders when it comes to time with CEOs, investors say. "Large investors get access to companies that wouldn't give me the time of day," said Adam Strauss, portfolio manager of the $188 million Appleseed Fund (APPLX.O). "I do believe there's an informational advantage to that." Portfolio managers at large mutual funds and hedge funds do not necessarily need material information from a company to gain an edge. Theoretical discussions about strategy, including potential mergers and divestitures, can offer insight on how executives are evaluating different options, according to investors and academics. It can even come down to body language, some say. "If I see you (a company executive) tense up with a question or glance at your chief financial officer, I'm picking that up," said Adam Grossman, an analyst at Boston-based Middleton & Co, which has $650 million in assets under management. "It's not a violation (of RegFD) -- but it's an advantage." Fund managers who attended private meetings with senior management were more likely to buy or sell a company's stock compared with fund managers who were not at the meetings, according to a study by David Solomon, a finance professor at University of Southern California's Marshall School of Business, and Eugene Soltes from the Harvard Business School. The study was based on more than 900 meetings that one unnamed mid-cap company held with investors over a six-year period. The researchers concluded that private meetings helped some investors make more informed trading decisions, most likely because they were better able to process what they were told and not necessarily because they were given material information. KOSHER OR NOT Ross Gerber, president of a Santa Monica, California wealth management firm Gerber Kawasaki Inc, which owns about 25,000 Tesla shares, is irked by what he sees as preferential treatment for bigger shareholders. He said there is only one number that comes to mind for how many times CEOs like Musk should meet with select investors: "None is OK." Gerber said he has never had a private audience with Musk. Ian Roffman, a former SEC lawyer who now works for Nutter McClennen & Fish LLP in Boston, however, said dialogue between management and shareholders is generally a good thing. "It's just hard to know whether the conversations here were kosher or not without knowing more about the content," he said, referring to Musk's comments on SolarCity. RegFD can be difficult to enforce because the meetings typically happen behind closed doors. Since the rule was introduced in 2000, the SEC has only taken about 15 actions against companies for selectively disclosing nonpublic, material information. The agency suffered a major setback in 2005 when it lost a RegFD case against software company Siebel Systems Inc, centering on private talks between the company's chief financial officer with large institutional investors. A federal judge dismissed the case and scolded the SEC for nitpicking the difference between what the company said publicly and privately. He added that such an approach would force executives "to become linguistic experts, or otherwise live in fear of violating Regulation FD." After the loss, the SEC did not bring a RegFD enforcement action for more than four years. Some executives say they have become well-trained over the years in the art of keeping mum. Former Medtronic Plc (MDT.N) Chief Executive Bill George, who currently is on Goldman Sachs's (GS.N) board of directors, said he had about a dozen private meetings each year with the medical device maker's largest shareholders, often meeting directly with portfolio managers in their offices. Once a conversation strayed into what George considered material information, his guard went up. "I would say something formal, like, we don't discuss our acquisition plans," he said. (Editing by Carmel Crimmins and Edward Tobin) By PTI: Phulbani (Odisha), Jul 11 (PTI) Normal life was paralysed in Odishas Kandhamal district today due to a dawn-to-dusk bandh called by Congress and BJP in protest against the killing of tribals during Maoist-police crossfire near Kurtamgarh. Shops, markets, business establishments, educational institutions and banks remained closed in the tribal-dominated district during the 12-hour shut-down with bandh supporters holding picketing at different places. advertisement Vehicular movement also came to a halt as Congress and BJP workers staged road blockades by burning tyres and placing boulders at many places including Baliguda, Phulbani, Raikia, K Nuagaon and G Udayagiri, police said. As a precautionary measure, elaborate security arrangements were made with deployment of adequate forces in order to prevent any untoward incident and maintain law and order, a senior police official said. The bandh remained peaceful with no untoward incident reported from any place so far, he said. The bandh was called in protest against death of five civilians in the Maoist-police crossfire near Gumudumaha village of the district on Friday night. Both Congress and BJP have held the BJD government responsible for the incident and demanded strong action against erring officials. Stating that the bandh evoked good response and support from the people of Kandhamal, Congress leader and former MP Pradip Majhi said the agitation would be intensified and spread across the state if no action was taken against police officials responsible for the tragedy. Dubbing the BJD government as "anti-tribal", senior BJP leaders have demanded slapping of murder charge against police officials for the incident. A BJP team led by partys state unit president Basant Panda is scheduled to visit the area tomorrow . Condemning the incident, CPI-M leader Ali Kishore Patnaik has demanded Rs 20 lakh to the kin of those killed in the incident. PTI COR SKN MM DIP PS --- ENDS --- "We're living in this world where there are so many images and things our kids can't escape from," Kenya Barris told The Hollywood Reporter during the Comedy Showrunner Roundtable. "You have to learn how to talk to your kids about this." Read more: Anthony Anderson Discusses 'Black-ish' Episode on Police Brutality "Police brutality is one that for me in particular, I had to have that conversation with my young sons, because they did not understand why the protestors were mad," said Barris, creator and showrunner of NBC's hit series, Black-ish. "I didn't want my personal feelings about the police - and my wife has different feelings about it - to influence their experience." Read more: Comedy Showrunner Roundtable: Marta Kauffman, Kenya Barris on Limits of Sexual Innuendo on TV "We're in this world where there's stuff with politics or there's stuff with sexuality, everything, and how do you have these kind of talks with your kids and not let our experiences kind of scorch the earth for them?" Barris asked. "I don't want to tell my kids to not be aware that police brutality exists because they're young black men and they may have some experiences," he said, explaining the anxiety many black parents face in their homes. Read more: Comedy Showrunner Roundtable: Reunions Youll Never See (Sorry, 'Friends' Fans!), Diversity and How to Write Sex Scenes Barris said he was categorized as one thing early on in his career - as "the black guy who wrote for black guys." "That's what [Black-ish] was actually created around," he said. "In the pitch [Dre, played by Anthony Anderson] was a television writer. Often, a joke in the pilot would be, 'How do you think a black guy would say hello?'" Read more: 'Black-ish': TV Review More roundtables featuring comedy and drama actors and actresses, drama showrunners, and reality hosts and producers will roll out throughout June in print and online. Tune in to new episodes of Close Up With The Hollywood Reporter starting June 26 on Sundance TV, with the premiere of the "Comedy Showrunners Roundtable" on Sunday, July 31. And look for clips at THR.com/roundtables with full episodes on THR.com after broadcast. var el = document.getElementById('targetParams');if (el !== null && typeof(el) != 'undefined') {var srcParams = $('.advert iframe').attr('src');var addParams = srcParams.split(";");for (i=1;i<=addParams.length - 1;i++) {if (addParams[i] != '=null' && addParams[i] != 'dcopt=ist' && addParams[i] != '!c=iframe' && addParams[i] != 'pos=t' && addParams[i] != 'sz=728x90') {el.value += addParams[i]+";";}}}brightcove.createExperiences();>>>>>>> By Summer Delaney There were over 200 arrests made in Baton Rouge, La. and St. Paul, Minn. Saturday during demonstrations over recent fatal police shootings. One of those arrested was activist DeRay Mckesson, a prominent voice in the Black Lives Matter movement. Mckesson spoke with Yahoo Global News Anchor Katie Couric about the ongoing protests, the movement and his arrest. Ive seen protesters engaged in peaceful civil disobedience, in peaceful protests; Ive only seen violent police, said Mckesson, who was protesting the death of Alton Sterling outside police headquarters in Baton Rouge. We have to live in a world where the police dont kill people, where the police dont harm people. Baton Rouge authorities claim that Mckesson was blocking a major thoroughfare and ignoring officers order to stay out of the road. Mckesson told Couric that protesters were acting calm and peaceful, and that police created the conflict that night. [With my arrest], the police gave an order, I followed the order and I was arrested nonetheless, said Mckesson. I maintain that the arrest was unlawful. Mckesson gained national attention after he took to Twitter to speak out following the death of 18-year-old Michael Brown in Ferguson, Mo., nearly two years ago. His profile was further elevated Saturday night when he live-streamed his arrest on Periscope. Protest is this idea of telling the truth in public, and Ill never be afraid to tell the truth, said Mckesson. What I heard in the jail cell and the conversations we had is that the arrests will not stop people from telling the truth, and that people remain as committed to this work today as they were two days ago and as they were yesterday. Mckesson also discussed former New York Mayor Rudi Giulianis comments over the weekend in which he called the Black Lives Matter movement inherently racist. His statements [Sunday] were both a disgrace and an embarrassment to an understanding of racial inequity and definitely racial justice, said Mckesson. What Giuliani wanted to do is distract people from the real issues of police accountability. He would prefer us to talk about those embarrassing comments and to talk about the fact that police culture has to change and you have to have a broader conception of safety. Story continues He also weighed in on 2016 presidential politics, saying if Donald Trump is elected president, Americans have much to worry about. Trump continues to demonstrate a deep misunderstanding or willful ignorance around race, said Mckesson. His candidacy both in a metaphorical sense and certainly in a visceral sense provide a cover for bigotry and racism that is intolerable, and has no place when we think about American politics. By Peter Eisler and Alana Wise WASHINGTON (Reuters) - Black Lives Matter has become a mantra for people protesting police violence against African Americans. Its a hash tag, a popular t-shirt slogan and a movement that is loosely organized by design. Black Lives Matter was founded by three women who popularized the slogan during protests over the 2012 killing of Trayvon Martin, an African-American teen who was shot by George Zimmerman, a neighborhood watch volunteer in Sanford, Florida. It has a website (BlackLivesMatter.com) and a network of chapters. But the idea is bigger than the organization. Although the march where five Dallas police officers were fatally shot Thursday was organized by another group, news reports described it as a Black Lives Matter event. The convenient narrative has been for people, for the media to say, Well, this was organized by Black Lives Matter', said Tezlyn Figaro, a publicist for Next Generation Action Network, the group that organized the event. The rally "had no affiliation with Black Lives Matter." The confusion flows in part from the decentralized structure of the Black Lives Matter organization and its founders desire that it remain open and inclusive. Not everyone who shows up at a demonstration is a full-fledged member of BLM, (but) theyre welcomed and encouraged to participate, Melina Abdullah, a representative of the groups Los Angeles chapter, said in a conversation with Reuters in June. During the standoff with police negotiators Thursday, the shooter invoked the slogan, saying he was "upset about black lives matter," according to Dallas Police Chief David Brown. The organization disavowed the violence in a post on its web page. This is a tragedy - both for those who have been impacted by yesterday's attack and for our democracy, it said. There are some who would use these events to stifle a movement for change and quicken the demise of a vibrant discourse on the human rights of Black Americans. We should reject all of this. Black activists have raised the call for an end to violence, not an escalation of it. Story continues That didnt stop a wave of social media criticism attempting to tie the violence to the movement. But U.S. Attorney General Loretta Lynch, speaking about the demonstrations inspired by Black Lives and other groups, drew a bright line between the gunmans actions and lawful protest and protected speech. Do not be discouraged by those who use your lawful actions as cover for their heinous violence," Lynch said Friday. "We will continue to safeguard your constitutional rights and to work with you in the difficult mission of building a better nation and a brighter future. Some said the best way to define the movement is by continuing to push a positive message. After a vigil Friday in Dallas for the slain officers, Richmond Bunch played Amazing Grace on his violin. We need to frame out a way to come back to peace, said Bunch, 35, an African-American Dallas resident and Black Lives Matter contributor. The guy who committed this act, he doesn't stand for what America is. (Additional reporting by Ruthy Munoz in Washington, and Ernest Scheyder and Marice Richter in Dallas. Editing by Jason Szep and Lisa Girion) A woman at a party has too many drinks too quickly and another partygoer sexually assaults her while she's unconscious. A black man outside a convenience store has a gun in his pocket when police pin him down on the pavement and shoot him. Who's to blame? The way you answer that question may depend on how heavily you weigh two types of moral values, a new study finds. In experiments, researchers found that people whose values focus on reducing harm and caring for everyone are likely to blame the perpetrators: the rapist or the police. In contrast, people who adhere more closely to values like loyalty, purity and obedience to authority are more likely to blame the victims. This difference holds after accounting for politics and demographic factors, said study researcher Laura Niemi, a postdoctoral researcher in psychology at Harvard University in Massachusetts. It's also equally true both for sex crimes, in which problems in securing convictions are often traced to victim blaming, and for crimes of a nonsexual nature. "We're finding it across victimization in general," Niemi told Live Science. "So there's something about just being in that victim role that's what's important." A difference of values The vignettes above are based on recent, controversial news stories. In June, outrage erupted over a light jail and probation sentence for a former Stanford University swimmer who sexually assaulted an unconscious woman. And on Tuesday, a 37-year-old black man named Alton Sterling died after police pinned him down and fatally shot him. Video of the incident ignited instant protests because it appeared that the officers had already immobilized Sterling when they shot him. In both cases, however, some commentators argued that the individuals' own actions drinking heavily at a party or not cooperating with police conferred some blame on the victims. In the new study, which was published online June 23 in the journal Personality and Social Psychology Bulletin, Niemi and her colleagues were interested in why people see blame in such cases so differently. Previous research had suggested that victim blaming arises out of a belief in a "just world," in which people must get what they deserve. [Understanding the 10 Most Destructive Human Behaviors] Story continues "What this work was overlooking was that it matters whether you think it's legitimate that people can deserve to be harmed at all," Niemi said. Another line of psychological research has shown that people have very different opinions on that question. People who endorse "individualizing values," which prioritize the reduction of harm and the universal application of compassion, tend to think no one deserves harm. In contrast, other people give more priority to "binding values," which prioritize purity, loyalty and obedience. These values are important for keeping groups together, Niemi said; for example, imagine a religious group united by taboos over eating certain foods. But binding values can "spiral out of control" and lead to callousness toward victims who don't conform to whatever standard of purity or obedience the observer holds, Niemi said. Who blames victims? To better understand how these moral values may influence victim blaming, Niemi and her colleagues set up four experiments using Amazon's Mechanical Turk, an online marketplace that lets users participate in studies or complete tasks for small payments. A total of 994 users participated across the four studies, all of which presented brief vignettes on sexual and nonsexual crimes, and asked people to assign the blame for the crime to the perpetrator or the victim. The participants also filled out an established questionnaire on their moral values. Across these studies, the more that a participant believed in binding values, the more likely he or she was to blame the victims for the crimes, and to judge the victims as contaminated or tainted in some way. And these individuals were less likely to see victims as injured by the experience.[Fight, Fight, Fight: The History of Human Aggression] "Binding values were related to victim stigmatization, victim blame, victim responsibility and victim judgment," Niemi said. People who strongly held to binding values were also more likely than those focused on individualizing values to point out things that victims, rather than perpetrators, could have done differently to prevent a crime. In contrast, the people in the study who strongly held to individualizing values were more prone to rate victims as injured by the crime. However, this effect on victim judgment was not as strong as the effect of binding values, Niemi said. The researchers also found that phrasing could influence where people placed blame. For example, Niemi said, in the context of this week's events, people would be more likely to fixate on the victim's actions if they read a sentence like, "Alton Sterling was shot by police," than if they're told, "Police shot Alton Sterling." "If you focus less on victims and more on perpetrators, it actually led to more sympathy for victims," Niemi said. But the effect of language was small, she said. The researchers found that the results about binding values versus individualizing values held true in the study regardless of people's political persuasion, Niemi said. But she noted that people who are politically conservative generally are more likely than people who are politically liberal to endorse binding values. "It's helpful to remember that everybody shares these values and that across political ideology, we have evidence that people endorse all these values," she said. Binding values are probably necessary for societies to function, she said, but they might sometimes motivate disregard for human rights. "If that's the case, then it might be important to determine when and why that occurs and think about, 'Is there an intervention that might be helpful?'" she said. There's also more work to do to figure out how people process information in relation to their moral values, Niemi said. People operating through the lens of individualizing values may be focusing on the harm caused to the victim by the perpetrator, a relatively cut-and-dried example of cause and effect. On the other hand, people thinking about binding values may see causation and responsibility as somehow more distributed across all parties, she said. "Maybe people understand cause and effect differently, to be really basic about it," Niemi said. Original article on Live Science. Editor's Recommendations Copyright 2016 LiveScience, a Purch company. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. (Adds background on the trust and Hershey, share reaction) By Lauren Hirsch July 11 (Reuters) - A board member of the charitable trust that controls Hershey Co has resigned, the fourth such departure in less than 12 months, the trust said on Monday, at a time when the chocolate company is a takeover target for Mondelez International Inc. While no explanation for the move was provided, the resignation came as the trust is locked in a bitter dispute with its direct overseer, the Pennsylvania Attorney General's office, over its governance. The $12 billion trust, set up by Hershey founder Milton Hershey over a century ago to fund and run a school for underprivileged children, must approve any sale of the company. Its affairs have been in the spotlight since Hershey rejected Mondelez's $23 billion offer two weeks ago. Joan Steel, a Hershey trustee, resigned over the weekend, trust spokesman Kent Jarrell said. He did not provide a reason for her resignation, but said the trust's board would continue to function with its nine remaining members until her replacement is named. Steel could not be immediately reached for comment. Hershey shares rose 2 percent after Reuters first reported the news and were trading up 0.6 percent at $110.00 at mid-afternoon in New York. Steel's departure follows the resignations of Hershey trustees Richard Zilmer, John Fry and Stephanie Bell-Rose over the past year. Bell-Rose resigned this spring, three months after her appointment, because the position required more time than she had expected and interfered with her responsibilities as senior managing director at TIAA-CREF Financial Services, Jarrell said. Fry, the president of Drexel University, informed the board earlier this year he was saddened to leave, but that his additional responsibilities at Greater Philadelphia Chamber of Commerce mean he would be unable to fulfill his many responsibilities, Jarrell said. Zilmer gave no reason for his resignation, Jarrell added. Story continues The attorney general's office is investigating the trust for excessive spending and allowing board members to overstay their terms. The office has been asking three of the Hershey trustees, Velma Redmond, Joseph Senser and Robert Cavanaugh, to step down because they have exceeded the customary board term limits of 10 years. Chief Deputy Attorney General Mark Pacella is seeking a voluntary settlement with the trust by the end of July, the Philadelphia Enquirer reported on July 8, citing internal memos. The attorney general's office could petition the Dauphin County Orphans' Court to remove board trustees if no settlement is reached. (Reporting by Lauren Hirsch in New York; Editing by Richard Chang) By Lauren Hirsch (Reuters) - A board member of the charitable trust that controls Hershey Co (HSY.N) has resigned, the fourth such departure in less than 12 months, the trust said on Monday, at a time when the chocolate company is a takeover target for Mondelez International Inc (MDLZ.O). While no explanation for the move was provided, the resignation came as the trust is locked in a bitter dispute with its direct overseer, the Pennsylvania Attorney General's office, over its governance. The $12 billion trust, set up by Hershey founder Milton Hershey over a century ago to fund and run a school for underprivileged children, must approve any sale of the company. Its affairs have been in the spotlight since Hershey rejected Mondelez's $23 billion offer two weeks ago. Joan Steel, a Hershey trustee, resigned over the weekend, trust spokesman Kent Jarrell said. He did not provide a reason for her resignation, but said the trust's board would continue to function with its nine remaining members until her replacement is named. Steel could not be immediately reached for comment. Hershey shares rose 2 percent after Reuters first reported the news and were trading down 0.6 percent at $110.00 at mid-afternoon in New York. Mondelez's offer last month, which was half in cash and half in stock, was worth around $107 per share, sources have previously said. Steel's departure follows the resignations of Hershey trustees Richard Zilmer, John Fry and Stephanie Bell-Rose over the past year. Bell-Rose resigned this spring, three months after her appointment, because the position required more time than she had expected and interfered with her responsibilities as senior managing director at TIAA-CREF Financial Services, Jarrell said. Fry, the president of Drexel University, informed the board earlier this year he was saddened to leave, but that his additional responsibilities at Greater Philadelphia Chamber of Commerce mean he would be unable to fulfill his many responsibilities, Jarrell said. Story continues Zilmer gave no reason for his resignation, Jarrell added. The attorney general's office is investigating the trust for excessive spending and allowing board members to overstay their terms. The office has been asking three of the Hershey trustees, Velma Redmond, Joseph Senser and Robert Cavanaugh, to step down because they have exceeded the customary board term limits of 10 years. Chief Deputy Attorney General Mark Pacella is seeking a voluntary settlement with the trust by the end of July, the Philadelphia Enquirer reported on July 8, citing internal memos. The attorney general's office could petition the Dauphin County Orphans' Court to remove board trustees if no settlement is reached. (Reporting by Lauren Hirsch in New York; Editing by Richard Chang) By PTI: Nahan, Jul 11 (PTI) Police today seized 2.700 kg of opium worth 10 lakh from a truck and arrested four persons in Kala Amb area, police said. Acting on a tip-off, police intercepted a truck in Kala Amb, coming from Haryana side and arrested four smugglers, Superintendent of police Soumya Sambsivan said today. The accused have been identified as Raju Ghadi and Shankar Thapa both resident of Uttar Kashi (Uttrakhand), Naveen resident of Vikas Nagar (Uttrakhand) and Bishan Singh, a resident of Jubbal in Shimla district of Himachal. advertisement A case has been registered under various sections and the accused would be produced in a local court tomorrow, she added. PTI CORR PCL KJ RG KJ --- ENDS --- Farnborough (United Kingdom) (AFP) - Canada's Bombardier is celebrating at this week's Farnborough Airshow after reaching a key milestone with its first C Series plane, a new generation of medium-haul jet plagued by delays and rising costs. The planemaker hopes to win fresh orders for its fuel-efficient C Series -- which has between 100 and 150 seats -- and which will enter commercial service for the first time for airline Swiss on Friday. "We've gotten a lot of momentum since last year," Fred Cromer, president of Bombardier's commercial aircraft division, told AFP in an interview on the sidelines of Farnborough. The C Series -- whose two models comprise the C100 and the C300 -- seeks to challenge the Airbus A320 and the Boeing 737 in the market for medium-range, single-aisle aircraft. "We have certified the aircraft. We actually have the first delivery now to Swiss, we've got a big event today to celebrate that, and they are putting the aircraft into service this coming Friday. "So if you think about all the milestones along the way, we are getting this momentum, and then in the first half of this year we announced the large orders for both Air Canada and Delta, which has in our view relaunched the programme and gained the industry attention that we were looking for." The Swiss company has taken delivery of a CS100, the smallest model in the range, and its first commercial flight is scheduled for Friday between Zurich and Charles de Gaulle airport in Paris. The C Series is two-and-a-half years behind schedule, and its cost at $5.4 billion is nearly double the initial estimate. Production began in 2008 after a launch back in 2004. - Spate of orders - Bombardier has now clinched 370 firm orders for its new aircraft from such carriers as Air Canada, Delta, Korean Airlines and Swiss. Meanwhile on Monday, the group won certification from the Canadian government for its CS300 passenger jet and the first ones will be delivered late this year. Story continues Cromer denied that the group regarded itself as the "underdog" seeking to break the Airbus-Boeing duopoly. "A lot is made of this underdog story -- taking on the big guys -- but if you look at the market that we are trying to serve, it is really focussed with the CS100 and the CS300 in the 100-150 seat market," Cromer said. "And Boeing and Airbus are really optimising around a larger seat count. "And so direct competition -- it is not really what everyone else is trying to make out." Bombardier bills its mid-range carrier as the quietest in its range. It says the plane is 20 percent more fuel efficient than other planes of its class and 10 percent more than refitted Airbus and Boeing planes. "So we have got an airplane that really focuses on the 100-150 seat market we see 7,000 airplanes in that market over the next 20 years," Cromer added. "I think given the economics in what we are delivering, all new technology clean-sheet aircraft, we should do very well in getting more than our fair share of that market." Questioned about the steep discounts given to early customers like Delta, Cromer said everyone offered attractive prices in the launch phase. "I think if you think about a new aircraft programme in the launch phase...you tend to be a little bit more aggressive with those early customers, to gain confidence, to bring large orders in the order book," he told AFP. Cromer meanwhile talked down the impact of Brexit -- or Britain's exit from the European Union -- on the outlook for global aircraft demand. He highlighted instead that the broader sector enjoys consistent and solid growth in passenger traffic. While getting a transplant was great news for this Massachusetts boy, he was sad to learn he'd be missing his pre-K graduation. So when Lucas St. Onge's teachers found out he'd be missing the ceremony for surgery, they brought the graduation to him. Read: Girl With Down Syndrome Cannot Contain Her Joy When Boyfriend Gives Her A Promise Ring For weeks, Lucas had been practicing singing songs and walking across the stage to prepare for his May graduation. But he's been waiting for a transplant for even longer. His mom Heather St. Onge told InsideEdition.com that she has known Lucas would have health problems since he was still in the womb, but never did she expect that her son would become the only child in the world with short bowel syndrome and severe combined immunodeficiency syndrome. At just 3 years old, Lucas was placed on the transplant list. "Quite simply, he is a little miracle," read a statement on a crowdfunding campaign in support of the family. On Lucas' 5th birthday, the news came that a donor became available and the boy would be scheduled to undergo a mulivisceral organ transplant surgery. The St. Onge family was ecstatic, but Lucas was less excited to hear that as he was receiving a stomach, pancreas, small intestine, a spleen and liver, he would have to miss his pre-K graduation. "The morning after he had a transplant, he said, 'Mom, I can't go to school today, my belly hurts,'" his mom Heather St. Onge said. "Then he woke up and said, 'I have to go to school tomorrow, I have to go to my graduation." So she contacted his teacher, Laurie Archambault, and asked if she and Lucas could Facetime them at the graduation, and at least be able to see what was going on as the boy recovered in his hospital bed. Instead, "Miss Laurie" from the Hellenic American Academy decided her student deserved better. After the ceremony, she and several other teachers paid Lucas a special visit in his hospital room to give the boy his own graduation. Story continues St. Onge said the hospital donated balloons and a sign, and she dressed her son in a suit and tie. The teachers brought his graduation hat and diploma, and cheered as Miss. Laurie called his name. "He was excited that his teachers were there," St. Onge said. "It was perfect." Read: Cops Stand by Fallen Officer's Son at Kindergarten Graduation: 'We Were All Glad to Be There' They spent the rest of the afternoon taking pictures in the park, and singing the songs Lucas had prepared for the big day. Now, after the transplant, Lucas is spending the rest of his summer vacation recovering until he begins Kindergarten in the fall, even though Lucas would much rather spend his time at school. Watch: Retired Cop Attends College Graduation of Girl He Saved From Fire When She Was 5 Years Old Related Articles: By Leonardo Goy and Alonso Soto BRASILIA (Reuters) - Brazil's interim government plans to remove foreign ownership limits on domestic airlines through a presidential decree or an act of Congress this year, Transportation Minister Mauricio Quintella said on Monday. Facing opposition from some senators, Interim President Michel Temer agreed in June to partially veto a decree allowing foreigners to own up to 100 percent of Brazilian airlines. That will leave maximum foreign ownership of airlines at 20 percent, but Quintella said the government still wants to lift the cap altogether. "We will insist on 100 percent ... We have to think now about reopening the debate in the Senate," said Quintella from his office overlooking the two-chamber Congress in Brasilia. Temer, a conservative who has replaced leftist President Dilma Rousseff while she faces an impeachment trial, agreed to the partial veto to prevent lawmakers from striking down the complete decree, which contained measures that paved the way for the sale of the state-run airport operator Infraero. In his comments to Reuters, Quintella also harshly criticized the chief executive of airline Azul, Antonoaldo Neves, who voiced opposition to raising foreign ownership of domestic airliners to 100 percent in an interview with newspaper Folha de S.Paulo over the weekend. "Azul is the only airline opposing this," Quintella said. "It is natural that he doesn't want competition, but that's not what the country wants." Azul Linhas Aereas Brasileiras SA, Brazil's third-largest airline, is controlled by JetBlue Airways Corp (JBLU.O) founder David Neeleman. A two-year recession has curbed air travel in Latin America's largest country and weakened the local currency, which battered the profitability of Brazilian airlines by driving up the cost of fuel and aircraft leases. Foreign capital could help shore up the finances of local airlines, especially Gol Linhas Aereas SA (GOLL4.SA), which has had limited success restructuring its costly debt burden. Story continues Gol, partly owned by U.S. carrier Delta Airlines Inc (DAL.N), dominates the Brazilian market together with the local unit of Chile's Latam Airlines Group SA (LAN.SN). China's HNA Group [HNAIRC.UL] took a $450 million stake in Azul last year and has shown interest in buying its smaller rival, Avianca Brasil, which has also attracted interest from Delta and United Continental Holdings Inc (UAL.N), sources told Reuters last month. Quintella said the government is preparing to auction off concessions to operate four airports, which could provide it with at least 1 billion reais in revenues in 2017. The auction, expected later this year, is for airports in Florianopolis, Salvador, Porto Alegre and Fortaleza. (Reporting by Alonso Soto and Leonardo Goy; Editing by Tom Brown) (Adds decree details and Quintella comments on airport auctions) By Leonardo Goy and Alonso Soto BRASILIA, July 11 (Reuters) - Brazil's interim government plans to remove foreign ownership limits on domestic airlines through a presidential decree or an act of Congress this year, Transportation Minister Mauricio Quintella said on Monday. Facing opposition from some senators, Interim President Michel Temer agreed in June to partially veto a decree allowing foreigners to own up to 100 percent of Brazilian airlines. That will leave maximum foreign ownership of airlines at 20 percent, but Quintella said the government still wants to lift the cap altogether. "We will insist on 100 percent ... We have to think now about reopening the debate in the Senate," said Quintella from his office overlooking the two-chamber Congress in Brasilia. Temer, a conservative who has replaced leftist President Dilma Rousseff while she faces an impeachment trial, agreed to the partial veto to prevent lawmakers from striking down the complete decree, which contained measures that paved the way for the sale of the state-run airport operator Infraero. In his comments to Reuters, Quintella also harshly criticized the chief executive of airline Azul, Antonoaldo Neves, who voiced opposition to raising foreign ownership of domestic airliners to 100 percent in an interview with newspaper Folha de S.Paulo over the weekend. "Azul is the only airline opposing this," Quintella said. "It is natural that he doesn't want competition, but that's not what the country wants." Azul Linhas Aereas Brasileiras SA, Brazil's third-largest airline, is controlled by JetBlue Airways Corp founder David Neeleman. A two-year recession has curbed air travel in Latin America's largest country and weakened the local currency, which battered the profitability of Brazilian airlines by driving up the cost of fuel and aircraft leases. Foreign capital could help shore up the finances of local airlines, especially Gol Linhas Aereas SA, which has had limited success restructuring its costly debt burden. Story continues Gol, partly owned by U.S. carrier Delta Airlines Inc , dominates the Brazilian market together with the local unit of Chile's Latam Airlines Group SA. China's HNA Group took a $450 million stake in Azul last year and has shown interest in buying its smaller rival, Avianca Brasil, which has also attracted interest from Delta and United Continental Holdings Inc, sources told Reuters last month. Quintella said the government is preparing to auction off concessions to operate four airports, which could provide it with at least 1 billion reais in revenues in 2017. The auction, expected later this year, is for airports in Florianopolis, Salvador, Porto Alegre and Fortaleza. (Reporting by Alonso Soto and Leonardo Goy; Editing by Tom Brown) FARNBOROUGH, England (Reuters) - Britain's vote to leave the European Union is not expected to fundamentally alter its ties with the U.S. military or weapons-related trade, the Pentagon's chief arms buyer said. U.S. Defense Undersecretary Frank Kendall said it was too early to assess specific trade impacts since it would take a long time to formalise Britain's exit from the EU, but he did not foresee a big impact on the strong bilateral relationship. "I dont see any reason why it should fundamentally affect our relationship with the UK or our business deals with the UK," Kendall said. He said he hoped the decision would not affect Britain's requirements for military equipment since it would remain a key partner in NATO. U.S. arms makers, most of which have big offices in London, are keeping a close on the situation, and some are now considering setting up offices in other parts of Europe. U.S. government and industrial officials say they do not expect a significant short-term impact on the Lockheed Martin Corp (LMT.N) F-35 fighter jet programme, despite the fact that UK firms builds about 15 percent of the content of the plane. Air Force Lieutenant General Chris Bogdan, who runs the F-35 programme for the Pentagon, told reporters this weekend that his office was carefully assessing any potential impact on trade and tariffs stemming from Britain's vote to leave the EU. A drop in the value of the British pound (GBP=) could help lower some costs. At the same time, the lower pound could affect Britain's ability to afford new equipment, industry executives said. Britain's top defence procurement official Philip Dunne last week said he did not expect the drop in the currency to have a big impact on the F-35 program since the ministry had hedged its currency rates weeks before the vote. Bogdan said the F-35 program office also engaged in currency hedging to some extent, which would partially, but not completely, mitigate the impact of the drop in the UK currency. Story continues Jeff Babione, Lockheed's F-35 program manager, also said he did not foresee any near-term effect on the cost of the jets. "In the near term I dont see anything that would affect the affordability of the F-35," he told reporters last week. (Reporting by Andrea Shalal; Editing by Mark Potter) By Guy Faulconbridge LONDON (Reuters) - Lawmakers in parliament should decide whether Britain leaves the European Union because the Brexit vote was not binding, more than 1,000 prominent British lawyers said in a letter to Prime Minister David Cameron. The signatories, which include senior lawyers, said that lawmakers should have a free vote in parliament before any British leader takes the decision to trigger the formal EU divorce procedure by invoking Article 50 of the Lisbon treaty. A separate group of lawyers advising the British government has said the prime minister does not need parliamentary approval to start the process. The government has also rejected a petition for a second referendum. The letter is the latest attempt by opponents of Brexit to slow the divorce process. Some "Leave" campaigners say there is a concerted attempt by the British elite to prevent an EU departure by entangling any process in political and legal challenges. "Our legal opinion is that the referendum is advisory," the lawyers said in a letter dated July 9 that was signed by 1054 lawyers. Reuters has a copy of the letter. "We believe that in order to trigger Article 50, there must first be primary legislation," said the letter which was signed by 118 eminent lawyers known as Queen's Counsel. Turnout in the June 23 referendum was 72.2 percent. A total of 17.41 million people, or 51.9 percent, voted to leave the EU while 16.14 million, or 48.1 percent, voted to remain in the EU. While Theresa May, the only Conservative Party candidate remaining to succeed Cameron, says the vote will be implemented, the government is facing several legal challenges over whether it can begin divorce proceedings without approval from parliament. May has said Article 50 should not be invoked before the end of this year. HAIRDRESSER'S CHALLENGE The British government rejected an online petition signed by 4.1 million people calling for a new referendum on whether to leave the EU. "The Prime Minister and Government have been clear that this was a once in a generation vote and, as the Prime Minister has said, the decision must be respected," the Foreign Office said. "We must now prepare for the process to exit the EU." A separate set of lawyers, acting for hairdresser Deir Dos Santos, has started proceedings aimed at forcing the government to allow Parliament to decide whether Brexit goes ahead. The argument for Parliamentary approval before invoking Article 50 is based on a reasoning of the authority for EU law in the United Kingdom. Under this reasoning, EU law applies in the UK because of the European Communities Act of 1972 so the executive cannot undermine rights given by Parliament by triggering Article 50. "Articles 1 and 2 of the Bill of Rights of 1688, which is still in force today, expressly provides that no personal body apart from Parliament itself can override an act of Parliament and therefore only Parliament can take the decision to withdraw," said Dominic Chambers, a senior lawyer working on the Dos Santos case. "If the legal challenge is successful, the result will be that there will have to be a debate, a vote in Parliament and then it is up to Parliament to decide," Chambers said by telephone. Chambers said he was not charging his client. When asked whether it was an attempt to derail Brexit, Chambers said: "My client respects the results of the referendum and he is not in any way doing this to try to prevent Brexit: His sole concern is upholding the rule of law." Proceedings were issued on June 28 and a preliminary hearing in the High Court is due on July 19. Santos could not be reached for comment. DIVIDED NATION The Brexit vote unleashed turmoil in financial markets and has raised the prospect of the division of the United Kingdom as England and Wales voted to leave, while Scotland, Northern Ireland and Gibraltar voted to remain. The day after the vote, Scotland's first minister, Nicola Sturgeon, said a second independence referendum for Scotland was highly likely. "The Brexit referendum has made clear that the UK is not a united nation-state, but a divided state of nations," said Aidan ONeill, who specializes in constitutional law and EU law. "If the UK is to survive the result of this vote, a consensus needs to be built up about the way forward." "Fully informed discussions and deliberations within and between our parliaments is the only proper constitutional way to achieve this," ONeill added. The lawyers who wrote to Cameron, including Philip Kolvin, a senior advocate at Cornerstone Barristers, said the British government should establish a royal commission to review the evidence and to report on the benefits and risks of triggering Article 50. "The parliamentary vote should not take place until the commission has reported," the letter said. (editing by Michael Holden and Anna Willard) Supreme court today ordered release of minor arrested for murder 17 years ago. The accused had killed a relative over a family dispute. By Ahmad Azeem: After being jailed for 17 years, the Supreme Court today ordered the release of a minor booked for murder in 1999. The accused was convicted by a lower court in 1999 following which he was jailed. On March 23, 1999, Sundar Singh got into a family dispute in his field in Meerut. During the commotion he accidentally murdered his relative. Singh was arrested by the Meerut police on the next of the incident. advertisement ACCUSED WAS 12-YEARS-OLD WHEN HE WAS ARRESTED On June 30, 2013, the Supreme Court ordered a medical examination of Singh which proved that he was a minor when the incident took place. Singh was 12-year-old when he accidentally killed his relative. He is now 29-years-old and has been in jail for the last 17 years. Also read: 50-year-old landlord sexually assaults minor; arrested --- ENDS --- VANCOUVER (Reuters) - Vancouver, a city on Canada's west coast in the grips of a housing affordability crisis, will introduce a tax on vacant homes ideally as early as next year after winning support of the provincial government, the city's mayor said on Monday. A residential vacancy tax could drive up costs for foreign, mostly mainland China, investors who have helped make Vancouver Canada's most expensive property market and drive new investments to other housing markets. Many investors buy property in Vancouver that they neither live in nor rent out. "We want this (tax) to happen soon. The affordability crisis is right now," Mayor Gregor Robertson said. The British Columbia government earlier in the day said it would introduce the necessary legislative amendments on July 25 to allow Vancouver to push ahead with taxing the nearly 11,000 homes in the city that are empty for at least 12 months. Robertson sees the tax as one way to increase the supply of rental accommodation in Vancouver, where rental vacancy rates are close to zero. Vancouver would decide on a tax rate in the next few months, he told reporters. Robertson last month said the city would introduce a tax with or without British Columbia's backing. But it would be easier with the province's support as the city would then not have to draft regulations for a new business tax, he said. British Columbia already has data on which houses are empty and said on Monday that it aimed to share this with the city. It is leaving the administration and the enforcement of a tax to the city, tasks which Robertson admitted could be complicated. House prices have soared in Vancouver. Ninety percent of detached homes in Vancouver are worth more than C$1 million ($762,078.95), according to a recent study, leading to demands by the public and opposition politicians that the government take steps to cool the market. For some, like Vancouver real estate agent Pam Allen, a vacancy tax will not have an impact on affordability. "I think they are moving in the incorrect direction. The city should instead be making it easier for condos to be built," she said. (Reporting by Nicole Mordant in Vancouver; Editing by Chizu Nomiyama and Leslie Adler) July 11 (Reuters) - One of London's biggest commercial landlords, British Land Company Plc, said two tenants in its "Cheesegrater" skyscraper had leased additional space since Britain voted to leave the EU, indicating confidence in London's status as a financial centre. Britons' vote on June 23 to leave the European Union has raised concerns that London will lose business and jobs to Frankfurt and Paris, leading some analysts to predict a downturn in the London property market. "To receive commitments from occupiers so soon after the EU referendum is not only a tremendous endorsement of the building; it underlines the enduring appeal of London," Tim Roberts, head of offices and residential at British Land, said in a statement. The Cheesegrater is now fully leased out, British Land said, after existing tenants Kames Capital, MS Amlin and Rothesay Life had committed to rent the remaining three floors, extending their current floor spaces. Two of those deals were completed after the EU referendum, but the property company did not say which two. British Land co-owns the building, known officially as the Leadenhall Building, in the heart of City of London, with Oxford Properties. The building is shaped like a cheesegrater. Asset manager Kames Capital had taken out a new 11-year lease that includes an extra 7,000 square feet of space over its current lease, while insurer MS Amlin has agreed to lease 13,000 square feet on top of the 93,000 it already leases, British Land said. Specialist insurer Rothesay Life has agreed to take up about 11,000 square feet of space under a new lease in addition to the 14,000 square feet it currently occupies, British Land said. The Cheesegrater was one of the first projects restarted by British Land in 2010 after the 2008 financial crash and was considered a risky bet at the time. The company built the tower without substantial pre-lease commitments as it anticipated a shortage of premium commercial space in the British capital. Shares in British Land were up 3.8 percent at 609.5 pence at 0146 GMT, after falling by about 25 percent since Britain voted to leave the European Union, amid mounting concerns that rental demand and sales of commercial property could be hit. (Reporting by Esha Vaish in Bengaluru; Editing by Susan Fenton) LONDON (Reuters) - Andrea Leadsom, the junior minister seeking to become Britain's next prime minister, apologised to her childless rival over remarks which suggested she had a greater stake in the country's future because she was a mother. Leadsom's comments, published in a newspaper interview on Saturday, caused an uproar and led to harsh criticism from supporters of Theresa May, the interior minister who is the favourite to replace David Cameron. "I've already said to Theresa how very sorry I am for any hurt caused and how that article said completely the opposite of what I said and believe," Leadsom told the Daily Telegraph newspaper. Leadsom was little known nationally before becoming a prominent figure in the campaign for Britain to leave the European Union but remains an outsider to succeed Cameron who said he would step down after Britons voted to leave the bloc on June 23. Leadsom, a devout Christian, accused the Times of "gutter journalism" after it published an interview in which she said: "I feel being a mum means you have a very real stake in the future of our country, a tangible stake." It prompted lawmakers in the ruling Conservative party to describe the comments as "vile" and "insulting". Leadsom told the Telegraph in an interview on Monday the row had left her in tears feeling under attack, and said she had been guilty of naivety. "I absolutely said, what I specifically said, is that motherhood should not play a part in the campaign. I was pressed to say how my children had formed my views," she told the Telegraph. "Having children has no bearing on the ability to be prime minister." (Reporting by Michael Holden; editing by Guy Faulconbridge) LONDON (Reuters) - Andrea Leadsom, the junior minister seeking to become Britain's next prime minister, apologized to her childless rival over remarks which suggested she had a greater stake in the country's future because she was a mother. Leadsom's comments, published in a newspaper interview on Saturday, caused an uproar and led to harsh criticism from supporters of Theresa May, the interior minister who is the favorite to replace David Cameron. "I've already said to Theresa how very sorry I am for any hurt caused and how that article said completely the opposite of what I said and believe," Leadsom told the Daily Telegraph newspaper. Leadsom was little known nationally before becoming a prominent figure in the campaign for Britain to leave the European Union but remains an outsider to succeed Cameron who said he would step down after Britons voted to leave the bloc on June 23. Leadsom, a devout Christian, accused the Times of "gutter journalism" after it published an interview in which she said: "I feel being a mum means you have a very real stake in the future of our country, a tangible stake." It prompted lawmakers in the ruling Conservative party to describe the comments as "vile" and "insulting". Leadsom told the Telegraph in an interview on Monday the row had left her in tears feeling under attack, and said she had been guilty of naivety. "I absolutely said, what I specifically said, is that motherhood should not play a part in the campaign. I was pressed to say how my children had formed my views," she told the Telegraph. "Having children has no bearing on the ability to be prime minister." (Reporting by Michael Holden; editing by Guy Faulconbridge) After five seasons as chemistry professor-turned-crystal-meth-kingpin Walter White on AMCs Breaking Bad, Bryan Cranston seems right at home in a story about the illicit narcotics trade. Hell again be descending into that underworld this Wednesday in The Infiltrator, a based-on-real-events tale about the U.S. governments efforts to thwart Pablo Escobars cocaine-fueled Columbian empire and Yahoo Movies has an exclusive first clip (watch it above) from the film. Related: The Infiltrator Review: Bryan Cranston Rises Above Uneven Drug Story In The Infiltrator, Cranston steps into the swanky shoes of Bob Mazur, a U.S. Customs agent sent undercover during the 1970s to help bring an end to Escobars reign of terror. To do that, the mild-mannered Mazur assumed the guise of Bob Musella, a cocky money launderer with skills that might be of service to Escobar and his legion of crooked businessmen, whose greatest vulnerability wasnt their stashes of drugs (which could always be replaced), but their enormous cash reserves. Cranston certainly looks like the part of a suave criminal financier in this sneak peek, which shows him arriving to join up with some of his fellow undercover cohorts (including John Leguizamo) while decked out in a dapper suit. The brief clip has the rocking swagger of a suspenseful 70s-set saga, and early reviews suggest that the film (from The Lincoln Lawyer director Brad Furman) lives up to its promise, with Varietys Owen Gleiberman dubbing it a sensationally intelligent and exciting true-life thriller. Related: Bryan Cranston Explains the Power Rangers Movie to a Confused Larry King With Cranston now firmly established as one of Hollywoods most versatile and compelling leading men, The Infiltrator bears watching as a possible under-the-radar summer hit when it arrives in theaters on July 13. The Infiltrator: Watch the trailer: London (AFP) - Burberry appointed Celine chairman Marco Gobbetti as its next chief executive on Monday, replacing Christopher Bailey who will become the British luxury fashion group's new president. Gobbetti, currently the chairman and chief executive of French luxury brand Celine, will join the board in 2017 "as soon as he is contractually able to do so", Burberry said in a statement. Burberry announced a fall in annual net profits in May, triggering a three-year programme of cost savings totalling at least 100 million ($130 million, 117 million euros). "Marco brings incredible experience and skills in luxury and retail with him that will be invaluable to us," said Bailey, who has been chief executive since 2014 and chief creative officer for six years. When Gobbetti comes in, Bailey will take on the new role of president as well as chief creative officer, "overseeing all elements of brand and design" and working with Gobbetti on "company strategy and culture", Burberry said. Burberry chairman John Peace said: "I am delighted that Marco will be joining us to work alongside Christopher in his new role as we embark upon the next chapter in our 160-year-old success story." Burberry shares rose 4.2 percent to 1.211 pence on Monday. Gobbetti's base pay will be 1.1 million per year, with an annual bonus opportunity of up to 200 percent of salary. He may also receive up to 325 percent of salary in shares. By James Davey and Astrid Wendlandt LONDON (Reuters) - British luxury brand Burberry (BRBY.L) has recruited Marco Gobbetti, the Italian boss of French brand Celine, to succeed Christopher Bailey as chief executive next year, freeing Bailey to focus again on the design role that made his name. Bailey's dual roles of CEO and chief creative officer since May 2014 had worried some investors who felt he had too much on his plate. Burberry said he would retain his creative role and also take the title of president. Shares in the 160-year-old firm, down 24 percent over the last year, rose by up to 7.9 percent, their strongest day in three years. "It was clear that the 'dual role' solution was not working, and that both the business and the share price had suffered," said Exane BNP Paribas analyst Luca Solca. "This is a step forward for Burberry, where we perceived a need of reinvention and stronger direction." The Paris attacks have deterred tourists and demand from major markets such as China has fallen, with global sales growth seen sinking this year to flat to low-single digits. In April the firm -- famous for its camel, black and red-checked designs -- reported a 10 percent fall in annual profit and said it would overhaul its business, shrinking its product range and focusing more on handbags. It also gave a bleak outlook for the current financial year. Burberry said Gobbetti, 57, currently Celine's chairman and CEO, will join in 2017 as soon as he is contractually able to. Under the creative stewardship of award-winning designer Phoebe Philo for the past eight years, Celine has been one of LVMHs (LVMH.PA) fastest-growing and most successful fashion labels generating around 600 million euros (511.4 million) in sales. EXPERIENCE Gobbetti has over 20 years experience in the luxury industry and a track record of growing and developing brands including Givenchy, Moschino and Bottega Veneta, said Burberry. He will be responsible for all commercial, operational and financial elements of the business, working in partnership with Bailey, who will oversee all elements of brand and design. Story continues Among the highest-paid FTSE 100 bosses, Bailey will not be taking a pay cut. Analysts say Gobbettis main tasks will include giving more coherence to Burberrys fashion identity and boosting the brands desirability. Celine is extremely profitable, market sources say: its strength is in leather goods where margins are the highest. Investors hope Gobbetti will bring the same touch to Burberrys leather goods sales. Critics say some of Burberry's mistakes were self-inflicted, seeking to become a digital age trailblazer but paying too little attention to the substance of its fashion message. The firm has made destabilising changes in recent months, including bringing all its sub labels such as Prorsum, Brit and London under one Burberry brand and regrouping its menswear and womenswear shows into one. It has also been working to get new clothing and accessories more quickly to customers. As part of its management shake-up, Burberry also said it had appointed Julie Brown to the new role of Chief Operating & Financial Officer. Brown, who is currently CFO at medical technology business Smith and Nephew (SN.L), will join in early 2017 at the latest. Current CFO Carol Fairweather will step down from the board by the end of January 2017 "to pursue new opportunities". Burberry is due to release a quarterly trading update on Wednesday. (Editing by Keith Weir/Ruth Pitchford) Today marks the 212th anniversary of the deadly duel between Alexander Hamilton and Aaron Burr. What caused the sitting vice president to shoot and kill a Founding Father on the cliffs overlooking New York City? Historians are still arguing over the events in Weehawken, New Jersey, on July 11, 1804. The men became bitter enemies over political and personal issues, but a lot is still in dispute over the duel itselfand why it had to happen. Here are some points to remember as you draw your own conclusions. 1. The men were involved in New York politics. Hamilton was already a force in New York state politics, when Burr came along. The men became rivals when Burr ran for the U.S Senate against Hamiltons father-in-law, Philip Schuyler in 1791. Burr won the election in the New York state legislature. Burr then became a player in the Democratic-Republican Party in New York. while Hamilton was a top rival Federalist Party leader. 2. The backstabbing, double-dealing election of 1800. The election of 1800 was one of the first early national elections with political parties, but in a twist of fate, running mates Thomas Jefferson and Aaron Burr tied in the Electoral College voting (someone forgot to cast one less vote for Burr) under the Constitutions original provision for electing presidents. Hamilton then worked behind the scenes to defeat Burr in the House runoff election, after Burr decided he didnt want to play second fiddle to Jefferson. 3. Hamilton helped make Burr a political exile. After the 1800 election, Hamilton didnt let the feud with Burr drop. Jefferson also ignored Burr as his vice president, and he made it clear that under new election rules, there was no way Burr was going on the 1804 ticket. Hamilton then worked to defeat Burrs attempt to become governor of New York. Burr was effectively out of political power even though he was the vice president of the United States. 4. The duel that historians still debate. The final straw for Burr was the publication of a letter in a newspaper that said Hamilton demeaned Burrs character. Burr demanded Hamilton apologize for the insults or explain them. Hamilton stayed quiet, so Burr demanded a duel. Story continues Duels were common and both men had experience with them. In 1799, Burr dueled against Hamiltons brother-in-law, John Church. This time, Burr and Hamilton met on the same Weehawken spot where Hamiltons son died in an 1801 duel. In some accounts, Hamilton shot first and missed, followed by Burrs deadly shot. One theory, stated in a 1976 Smithsonian magazine article, is that Hamiltons pistol had a hair trigger that let him get off the first shot. But Burr claimed in his autobiography that he supplied the pistols, and not Hamilton. And another report stated each man brought their own weapons. To this today, there is no real consensus on the sequence of events at the dueling site. Hamilton died 36 hours after the duel from his wounds. Vice President Burr was indicted for the duel but not arrested. In 1807, Burr was accused of treason in a separate incident, but he was acquitted in a trial presided over by Chief Justice John Marshall. He quietly worked as a lawyer in New York in his later years. The controversy over the duel, however, hasnt quieted down since 1804. Recent Historical Stories on Constitution Daily 10 fascinating facts about John Quincy Adams for his 249th birthday 12 famous Americans killed, involved in duels Celebrating the 148th anniversary of the 14th Amendment Pakistan Foreign Secretary summoned Indian High Commissioner to Islamabad Gautam Bambawale today and conveyed "Pakistan's serious concerns over the recent killings of Kashmiri leader Burhan Wani and many other civilians." By Maha Siddiqui: Even as the situation in the Kashmir valley remained grim on Monday Pakistan made repeated attempts to provoke India. Pakistan Prime Minister Nawaz Sharif termed slain Hizbul Mujahideen commander Burhan Wani as a 'Kashimiri leader'. The official statement said, "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary force." advertisement INDIA ASKS PAKISTAN TO STOP INTERFERING IN INTERNAL AFFAIRS India came back with a rebuttal with the Ministry of External Affairs saying such statements "reflect Pakistan's continued attachment to terrorism and its usage as an instrument of State policy." It asked Pakistan to "refrain from interfering in the internal affairs of its neighbours." The Prime Minister in his address at the University of Nairobi hit out indirectly at Pakistan saying "we must be equally condemning of those who give shelter to terrorists and use them as political instruments." INDIAN HIGH COMMISSIONER TO ISLAMABAD SUMMONED Even as those statements emerged from the Indian side Pakistan Foreign Secretary summoned Indian High Commissioner to Islamabad Gautam Bambawale and conveyed "Pakistan's serious concerns over the recent killings of Kashmiri leader Burhan Wani and many other civilians." The press release from the Pakistan Foreign Office further added "such brutal use of force is not acceptable under any circumstances. The Foreign Secretary called for fair and transparent inquiry against individuals responsible for these killings. It was emphasized that oppressive measures cannot deter the valiant people of the Jammu and Kashmir from their demand of exercising their right to self determination in accordance with the UN Security Council resolutions." HAFIZ SAEED AND SYED SALAHUDDIN'S UNHOLY ALLIANCE Meanwhile, it has emerged that in Pakistan occupied Kashmir 26/11 mastermind and JuD chief Hafiz Saeed shared stage with Hizbul Mujahideen leader Sayeed Salahuddin in eulogising the slain Burhan Wani at a prayer service on Saturday. Three days after the killing of Hizb terrorist Burhan Wani Kashmir continues to be on the boil. Eight more people died in the last 24 hours taking the death toll to 25, including two policemen. Over 200 people including 96 security personnel have been injured in clashes since Saturday. Stone pelting incidents were reported from outside Awantipora airbase. ALSO READ: Kashmir unrest: Pakistan provokes by calling for plebiscite, terror czars meet in PoK As Kashmir continues to simmer, NC asks BJP not to convert Kashmir theatre for UP elections --- ENDS --- * Brexit clauses in some cases used as bargaining chip * Deal negotiations may continue after clause invoked * Fall in sterling seen as opportunity for foreigners By Ana Nicolaci da Costa and Esha Vaish LONDON, July 11 (Reuters) - Some commercial property buyers are invoking "Brexit clauses" written into contracts agreed before Britain voted to leave the European Union, allowing them to walk away from the deals. In other cases buyers have yet to exercise such get-out clauses but are keeping the option open to try to renegotiate the price down, according to property lawyers and managers. A third group is playing for time in the hope that the effect of the June 23 referendum result on values becomes clearer, they say. British commercial real estate - from office blocks to shopping centres - has been particularly hard hit by uncertainty surrounding Brexit. Transactions fell sharply before the referendum and a number of property funds have been suspended since then as retail investors try to bail out. Some buyers demanded contract provisions before the referendum allowing them to pull out if the decision was to leave the EU. It was unclear how widespread they were, but some are now being exercised following the result, although the overall number is unknown. "Brexit clauses have been invoked across the industry including some by our clients," Paul Firth, head of real estate at law firm Irwin Mitchell LLP told Reuters. "We have had at least three." Before the vote, Firth said a significant percentage of the firm's "bigger investment deals" with values ranging from 10 million to 80 million pounds ($13 million to $100 million) either included Brexit clauses, or purchasers had sought to negotiate that they be included. The economic effect of Brexit is beginning to be felt. British consumer confidence suffered one of its biggest drops in 21 years, a survey showed on Friday, clouding the prospects for retail property. Doubts also surround the ability of the British financial services industry, which employs more than two million people, to continue serving clients on the continent. Under an EU "passport" system, banks, asset managers and clearing houses have access to the single market only if the country where they are based complies with the bloc's regulations. Story continues This may mean moving jobs from Britain to countries that remain in the EU, heightening uncertainty over demand for office space particularly in London. Shares in British-listed companies that invest in commercial property, which own large amounts of office space in the capital, have already fallen sharply. "It is likely that the uncertainty created by the EU referendum result will have a negative impact on economic growth in London," Toby Courtauld, chief executive of Great Portland Estates said in a statement on Thursday. "As a result, we can expect London's commercial property markets to weaken during this period of uncertainty." The Bank of England also said that commercial real estate transactions by overseas investors had fallen by 48 percent in the first quarter of 2016. Investors in retail property funds are already trying to get out in such numbers that a number have now suspended redemptions, freezing more than 18 billion pounds. BREXIT CLAUSE LEVERAGE In some cases, investors are using Brexit provisions as a leverage when trying to renegotiate deals on better terms. "We had one acquisition that was subject to a Brexit clause and we are currently renegotiating some financial terms of the deal," said Mike Sales, head of TH Real Estate. "It is unclear at this stage whether we'll progress with the deal," added Sales, whose firm manages property assets worth $96.3 billion. Negotiations can be conducted either before a Brexit provision is invoked or informally after a deal has been cancelled, as buyers try to secure better terms. Imogen Moss, head of real estate group at law firm Allen & Overy, said Brexit clauses tended to have very short timeframes - typically five or 10 days - in which the right to terminate the deal can be carried out. "While a purchaser may have exercised that right, it doesn't necessarily mean that the dialogue it had with its seller has ceased," Moss said. "In some cases negotiations continue around price and there may still be a deal to be done, albeit on slightly different terms." She said her firm had also worked on deals where purchasers had invoked Brexit clauses and terminated contracts. Some investors are trying to lengthen the time they have to decide whether to invoke the Brexit termination option. Melanie Curtis, a real estate partner at law firm K&L Gates LLP, said that before the vote she had worked on a commercial property transaction with a Brexit clause worth more than 10 million pounds. The overseas buyer, on whose behalf her firm was acting, had not yet invoked the clause but was negotiating a six-week extension to the deadline by which they have to make a decision to see if the market improved or better financing terms could be agreed. "They had a finance deal lined up which was very satisfactory before (the vote)," Curtis said. "The terms have gotten harder now, they are not particularly keen on them. I don't think they would go ahead on that basis." BARGAIN-HUNTERS? One silver lining for the sector could be that the sharp fall in the pound may entice foreign bargain hunters. "With sterling devaluation, overseas buyers from the U.S., or other currency-backed buyers from overseas, may see opportunistic value in buying UK real estate assets again," said Don Rowlands, head of real estate at law firm Herbert Smith Freehills LLP. "One of the opportunities that they ... are actively looking for is situations where there were Brexit termination clauses, so that if they are triggered by the buyer and the sale contract falls over, then they would offer to step in into those positions." Howard Meaney, head of UK real estate at UBS Asset Management, said he had heard of U.S. investors turning their attention to Britain. "They've seen an appreciation in their exchange rate and what is potentially going to be a drop in asset pricing, so they could possibly get in 20 percent cheaper than they could have done pre-Brexit." ($1 = 0.7719 pounds) (Esha Vaish reported on this story from BENGALURU; Additional reporting by Simon Jessop; Editing by Guy Faulconbridge and David Stamp) LILLE, France (Reuters) - French authorities will soon announce that the remaining half of the "Jungle" migrant camp near Calais will be dismantled, the mayor of the northern port city said on Monday. In February and March authorities dismantled the southern half of the camp, where thousands of migrants fleeing war or poverty in the Middle East, Africa and Asia have massed, hoping to make their way to Britain. About 4,500 migrants were still living in the remaining northern half of the camp as recently as June, according to the regional prefecture. Calais' conservative mayor, Natacha Bouchart, said she had received assurances from officials close to Interior Minister Bernard Cazeneuve that the dismantling of the northern part of the camp would soon be announced. "We can't wait any longer, we need to know as fast as possible when and how the Jungle will be torn down," she said on her Twitter account. The prefecture's office, which would be in charge of issuing an order to tear the camp down, declined to comment when contacted by Reuters. Last year migrants' efforts to force their way through the Channel Tunnel or to stow away aboard trucks disrupted traffic between France and Britain and forced French police to maintain a large deployment in the area. (Reporting by Pierre Savary in Lille and Chine Labbe in Paris; writing by Leigh Thomas; editing by Andrew Roche) By Lisa Richwine LOS ANGELES (Reuters) - An ex-girlfriend of media mogul Sumner Redstone will not be granted a new trial over her lawsuit that challenged the 93-year-old's mental competence, a California judge said in a tentative ruling on Monday. Former companion Manuela Herzer argued that recent developments surrounding Viacom Inc (VIAB.O), one of the media companies that Redstone controls, provided new evidence to support her claim that the billionaire mogul was being manipulated. "There are no grounds for a new trial under the facts presented," Judge David Cowan wrote in a tentative ruling. California judges often issue tentative rulings, which are then finalized after a hearing with few major changes. Viacom shares dropped 1.8 percent in afternoon trading to $44.37 on Nasdaq. In a lawsuit filed last year, Herzer had challenged her removal as Redstone's designated healthcare agent in October 2015. In May, Cowan dismissed Herzer's case after one day of testimony. Less than two weeks later, Redstone removed Viacom Chief Executive Philippe Dauman and another board member from the trust that will control Viacom and CBS Corp (CBS.N) after Redstone dies or is declared incapacitated, according to Redstone's spokesman. Judge Cowan noted that Redstone, in a videotaped deposition presented at Herzers original trial in May, has vehemently indicated he does not want her in his life." The proceeding is not reasonably necessary to protect Redstones interests as a patient, Cowan wrote. Herzer's attorneys will appeal the dismissal of her lawsuit, lawyer Pierce O'Donnell told reporters on Monday. O'Donnell said he would file the appeal within a day or two and ask to have it expedited. Herzer and Dauman have argued in court documents that Redstone suffers from diminished mental competency and is a victim of undue influence exerted by his daughter, Shari. She denies that allegation, saying her father makes his own decisions. Redstone's attorneys also say the mogul is fully aware of his actions. Dauman is challenging his removal from the trust in separate litigation in Delaware. Fred Salerno, Viacom's lead independent director, also has filed suit in Delaware to challenge the removal of Viacom board members in June. (Reporting by Lisa Richwine in Los Angeles; Editing by Bernadette Baum and Nick Zieminski) OTTAWA (Reuters) - A Canadian government announcement about aerospace funding in Quebec on Tuesday has nothing to do with possible federal aid to planemaker Bombardier Inc , a source familiar with the matter said on Monday. Innovation Minister Navdeep Bains - who is leading talks with Bombardier about possible government aid - will make the announcement at Britain's Farnborough Airshow. Bombardier requested $1 billion in aid from Ottawa last year, but talks between the two sides have bogged down, say sources close to the file. (Reporting by David Ljunggren; Editing by Steve Orlofsky) Riga (AFP) - Canada vowed Monday to keep a soon-to-be deployed battalion in Baltic state Latvia for as long as Russia remains a "troublemaker" in the region. Ottawa's pledge refers to NATO's planned deployment of four battalions of around 1,000 troops each in Poland and the Baltic states as a tripwire against fresh Russian adventurism in its Soviet-era backyard. The alliance formally endorsed the move at its landmark weekend summit in Warsaw, the largest reinforcement of its eastern flank since the Cold War. "As long as Russia is a troublemaker in the region, we need to be strong together and Canada will be part of it," Foreign Minister Stephane Dion said Monday following talks with his Latvian counterpart Edgars Rinkevics in Riga. The Kremlin has stepped up its presence in the Baltic Sea area and its jets frequently test the airspace of NATO allies such as Estonia. Canadian troops would remain "as long as it is necessary" while using "strong dialogue to convince Russia to change their behaviour," Dion added, as NATO prepared to hold fresh talks with Russia on Wednesday. Canada announced it will lead a multi-national battalion in Latvia from early 2017 and deploy around 450 troops. Britain will lead a battalion in Estonia, Germany in Lithuania, the US will do so in Poland. Fears that Russia could attempt an attack in the Baltics surged after Moscow's 2014 annexation of Crimea from Ukraine, a move that sent East-West relations to their lowest point since the Cold War. Celebrity paleo chef Pete Evans, an avid surfer, called sunscreen poisonous, igniting online debate. (Photo: Getty Images) In an age when skin cancer is on the rise and there are more sunscreen options than ever around to fight it, it may sometimes feel verboten to speak out against the stuff. But that didnt stop Australian celebrity paleo chef Pete Evans from doing just that and from being challenged, in return, by some skin cancer survivors. The controversy arose out of an exchange between a fan and Evans author of a number of books, including The Paleo Chef and the highly criticized 2015 Baby Yum Yum (which advocated DIY infant formula made partially of bone broth) and the star of many Australian cooking shows during one of the chefs frequent Facebook Q&As. The fans question was What do you use for sunscreen? and this is how Evans, an avid surfer, responded: Generally nothing, as I keep an all over tan all year and dont stay out for super long periods in the sun. Read The Healing Sun by Richard Hobday for a great common sense view of our relationship with the sun. When I go surfing like I did this morning in Fiji, when I was in the sun for 4 hours, then I use a product called Surf Mud which is as good as it gets for shielding from the sun. The silly thing is people put on normal chemical sunscreen then lay out in the sun for hours on end and think that they are safe because they have covered themselves in poisonous chemicals, which is a recipe for disaster as we are witnessing these days. We need to respect the sun but not hide from it either, as it is so beneficial for us. But use common sense. The goal is always never to burn yourself. Related: 98% of Millennial Women Know Skin Cancer Is Deadly. So Why Are They Still Tanning? His comments, while widely praised by many of his fans, also drew sharp criticism, particularly from those who have experienced the scare of skin cancer. Melanoma survivor and advocate Jay Allen tweeted a photo of his surgery sutures (below) and noted, I might have avoided stage 3 #melanoma use ur voice to inspire not false comments. Australian radio host Mark Colvin did the same. Others simply declared him a bozo, called his comments dangerous, or asked: Whats next for Pete Evans? He doesnt support stopping at red lights? On Facebook, one former fan noted, All the healthy foods in the world wont help if you develop melanoma! Any respect that I had for you has dropped to zero since your statements about sunscreen. How irresponsible can you be, Pete? Story continues Pete Evans had I worn sunscreen I might have avoided stage 3 #melanoma use ur voice to inspire not false comments. pic.twitter.com/IyZRzA4U9K Jay Allen (@Getaskincheck) July 10, 2016 After catching wind of the media attention, Evans posted a follow-up comment on Facebook, which had since been seen more than 14,000 times. Related: All Sunscreens Are Not Created Equal: Check This Guide Before You Step Outside Nope, Im not hiding from the Sun, Im respecting it, by not staying out long enough to burn and yup Im wearing a non toxic sunscreen specifically for surfing too! he wrote. He went on to blame the media and the misinformed for twisting his words to create fear and drama but instead only helping by spreading the message further. Read labels folks, learn about whats in your food, whats in your sunscreen, your skincare, your haircare, your water and most importantly, please wise up as to what youre putting on or into your precious children! he wrote. He continued in his own defense on Monday, noting on a morning radio show in Australia that his comments had been taken out of context and that he is just trying to share the truth. He added, I never said dont use it, I just said choose wisely. So, does Evans have a point? That depends on whom you ask. According to a recent sunscreen report by the Environmental Working Group, many products can be dangerous, whether because they provide inferior protection or because they contain chemicals linked to hormone disruption, skin allergies, or unknown health risks. The bottom line message is that the FDA sets weak rules for skin protection from sunscreen, and as a result, we see worrying marketing trends and products that are overpromising, EWG senior analyst Sonya Lunder told Yahoo Beauty when the report was released. But Dr. Henry Lim, chair of the dermatology department at the Henry Ford Medical Center in Detroit and president-elect of the American Academy of Dermatology, calls Evanss statements irresponsible. He points to a recent series of long-term studies out of Evanss native Australia a country with one of the highest rates of melanoma in the world, second only to New Zealand which clearly showed that people who used sunscreen regularly developed skin cancer at significantly lower rates. The science is very good there, Lim tells Yahoo Beauty, adding that while sunscreen is only one photoprotection available to people, along with shade, clothing, and hats, its quite an effective one. While chemicals in many sunscreens are always controversial, Lim says, those findings are based on studies of mice, and people would need much higher doses to produce the same negative effects. He adds that because the FDA has deemed the chemicals safe, its not a realistic concern. Finally, Lim says its untrue that burns are more problematic than tans, as Evans suggested. Its a myth when your skin is tan, damage has already occurred, Lim says. He adds, however, that he would not advocate slathering on the sunscreen and then sunbathing for hours concurring, at least on this point, with the chef. The purpose of sunscreen is not to be able to lie in the sun, and not to allow you to increase your time outside, but to be able to enjoy time outside with added protection, he says. And once again, its just one of the methods of photoprotection out there. Lets keep in touch! Follow Yahoo Beauty on Facebook, Twitter, Instagram, and Pinterest. SANTIAGO, CHILE / ACCESSWIRE / July 11, 2016 / Cencosud S.A. (NYSE: CNCO, BCS: Cencosud) ("Cencosud" or the "Company") announced today that it has filed a prospectus for a registered public secondary offering of 142,126,044 shares of its common stock, representing 5% of Cencosud total outstanding common stock, including in the form of American Depositary Shares ("ADS's"). The shares will be sold by Inversiones Tano Limitada (the "Selling Shareholder") in the United States and elsewhere outside of Chile in the form of ADSs (the "International Offering") and in Chile in the form of common stock (the "Chilean Offering" and, together with the International Offering, the "Global Offering"), subject to market and other conditions. J.P. Morgan Securities LLC and Credicorp Capital S.A. Corredores de Bolsa are acting as global coordinators in the Global Offering, with J.P. Morgan Securities LLC acting as sole book-running manager in the International Offering and Credicorp Capital S.A. Corredores de Bolsa, and J.P. Morgan Corredores de Bolsa SpA acting as Chilean placement agents in the Chilean Offering. The Selling Shareholder is controlled by the Paulmann Family, who will continue to be the controlling shareholders of Cencosud following the Global Offering. Cencosud will not receive any of the proceeds from the sale by the Selling Shareholder of the shares of common stock in the Global Offering. The Global Offering will be priced by means of the sale of the shares by the Selling Shareholder in one block through a book auction on the Santiago Stock Exchange in a process known as Subasta de Libro de Ordenes, in compliance with Chilean law and the rules of the Santiago Stock Exchange. The price and allocations resulting from the auction are expected to be announced before trading of Cencosud shares and ADSs commences in Santiago and New York, respectively, on or around July 15, 2016. The shares of common stock are being offered pursuant to an effective registration statement that was filed with the U.S. Securities and Exchange Commission on July 11, 2016. Any offer, solicitation or sale will be made only by means of the prospectus included in that registration statement. Before you invest, you should read the prospectus in that registration statement and other documents the Company has filed with the SEC for more complete information about the Company and this offering. You may get these documents by visiting EDGAR on the SEC website at www.sec.gov. Alternatively, the Company or any dealer participating in the offering will arrange to send you the accompanying prospectus supplement if you request it by contacting J.P. Morgan Securities LLC, c/o Broadridge Financial Solutions, 1155 Long Island Avenue, Edgewood, NY 11717, Phone: 631-254-1735. This press release shall not constitute an offer to sell or the solicitation of an offer to buy, nor shall there be any sale of these securities in any state or jurisdiction in which such offer, solicitation or sale would be unlawful prior to registration or qualification under the securities laws of any such state or jurisdiction. Investor Relations Contact Marisol Fernandez Mariasoledad.fernandez@cencosud.cl +562 2959 0545 Natalia Nacif Natalia.nacif@cencosud.cl +562 2959 0368 Valentina Klein Valentina.klein@cencosud.cl +562 2200 4395 About Cencosud S.A. Cencosud is a leading multi-brand retailer in South America, headquartered in Chile and with operations in Chile, Brazil, Argentina, Peru and Colombia. The Company operates in supermarkets, home improvement stores, shopping centers and department stores. In 2012, the company listed American Depositary Receipts on the New York Stock Exchange. SOURCE: Cencosud S.A. With a travel period starting July 11 and ending on November 24, this airline is offering an attractive deal for travellers. By India Today Web Desk: Although most of the leading airlines in the country had offered attractive deals for monsoon travel, a lot of us were not able to grab one of those discounted seats. Either flights to the desired destination were not a part of the deal, or the tickets were simply sold out by the time we tried. But here's another chance. advertisement AirAsia has announced a discount of 20 per cent on tickets booked for all destinations in its network. The offer is valid for the travel period between 18 July 2016 and 24 November 2016, which is lengthier than what most of the airline sales that have offered this year. Also read: Travel hacks: 7 ways to survive a long-haul flight The discounts are valid on online reservations made between July 11 and July 17, and is applicable only on the base fare charged by the airline. With a large number of destinations, both domestic and international, included in this offer, it will surely make air travel easier for Indian tourists this year. Besides, the travellers' favourite Diwali-Durga Puja festive season falls within the specified travel period. For more details on the offer, visit www.airasia.com --- ENDS --- State-owned China National Chemical Corp. said Monday it was extending its $43 billion agreed takeover for Swiss pesticide and seed giant Syngenta until September. The company, also known as ChemChina, said the offer for all Syngenta's shares in what would be by far the biggest-ever overseas acquisition by a Chinese firm was now open until at least September 13. "As previously stated, extensions to the tender offers are expected to occur until all conditions to the offers are satisfied, including obtaining all applicable regulatory approvals," ChemChina said in a statement. The offer for Syngenta shares, announced in February, had been extended in May and was due to expire on July 18. "All of the other terms and conditions of the tender offers remain unchanged and ChemChina continues to expect to conclude the transaction by the end of the year," said ChemChina. Syngenta rebuffed US-rival Monsanto three times last year before accepting the ChemChina's offer. The proposed merger is not the only one sector, with German chemicals and pharmaceuticals giant Bayer having made in May a $62 offer for Monsanto, although the US company has yet to endorse a deal. SANTIAGO, July 11 (Reuters) - Chile's 2017 budget talks should consider whether the country will tap into its sovereign wealth funds, finance minister Rodrigo Valdes told lawmakers on Monday. Valdes said use of the funds may be needed at a time when the fiscal deficit is rising, as income from mining investment shrinks. It is expected to rise to 3.2 percent of estimated gross domestic product this year from 2.2 percent last year, he said. He is awaiting a report from an advisory committee before further advancing in the discussion of whether to tap the funds, he added. Chile has two sovereign wealth funds, currently valued at a combined $26.7 billion. Unusual for Latin American countries, they were created in the last decade to help fund pensions and as a "rainy day fund" for times of economic stress. The government used the rainy day fund during the 2009 financial crisis, and made smaller withdrawals in 2014 and 2015. (Reporting by Antonio de la Jara; Writing by Gram Slattery; Editing by David Gregorio) (Repeats Sunday story with no changes) * Chinese patients take on debt to fund rising medical costs * Personal medical expenditure expected to hit $1.9 trln by 2025 * Public health insurance broad but shallow, so much not covered * Patients liable for about half of total healthcare spending * Consumer borrowing has tripled since 2010 By Adam Jourdan and Ben Hirschler SHANGHAI/LONDON, July 10 (Reuters) - As China's medical bills rise steeply, outpacing government insurance provision, patients and their families are increasingly turning to loans to pay for healthcare, adding to the country's growing burden of consumer debt. While public health insurance reaches nearly all of China's 1.4 billion people, its coverage is basic, leaving patients liable for about half of total healthcare spending, with the proportion rising further for serious or chronic diseases such as cancer and diabetes. That is likely to get significantly worse as the personal healthcare bill soars almost fourfold to 12.7 trillion yuan ($1.9 trillion) by 2025, according to Boston Consulting Group estimates. For many, like Li Xinjin, a construction materials trader whose son was diagnosed with leukaemia in 2009, that means taking on crippling debt. Li, from Cangzhou in Hebei province, scoured local papers and websites for small lenders to finance his son's costly treatment at a specialist hospital in Beijing, running up debts of more than 1.7 million yuan, about 10 times his typical annual income. "At that time, borrowing money and having to make repayments, I was very stressed. Every day I worried about this," said Li, 47, adding that he and his wife had at times slept rough on the streets near the hospital. "But I couldn't let my son down. I had to try to save him," he said. Li's boy died last year. The debts will weigh him down for a few more years yet. Medical loans are just part of China's debt mountain - consumer borrowing has tripled since 2010 to nearly 21 trillion yuan, and in eight years household debt relative to the economy has doubled to nearly 40 percent - but they are growing. Story continues That is luring big companies like Ping An Insurance Group , as well as small loan firms and P2P platforms, as China's traditional savings culture proves inadequate to the challenge of such heavy costs. The stress is particularly apparent in lower-tier cities and rural areas where insurance has failed to keep pace with rising costs, said Andrew Chen, Shanghai-based healthcare head for consultancy Parthenon-EY. "It's a storm waiting to happen where patients from rural areas will have huge financial burdens they didn't have to face before," he said, adding people would often take second mortgages on their homes or turn to community finance schemes. ROOTS OF POVERTY China's government has moved to ramp up rural health insurance, boost coverage for major illnesses and put pressure on drug companies to slash prices, but it is an uphill battle. Official data show up to 44 percent of families pushed into poverty were impoverished by illness. The Ministry of Health, which did not immediately respond to requests for comment, is currently investigating the impact of these costs on the country's labour force. "Typically, what happens in China is the whole family contributes when someone gets a severe disease like cancer," Severin Schwan, chief executive of Roche Holding AG, the world's biggest maker of cancer drugs, told Reuters. "When it comes to innovative medicines, the financial burden is just too much. Families can go broke." Roche itself has schemes in China to make cancer drugs more affordable, including an insurance scheme developed with Swiss Re. There are no reliable figures for total healthcare lending, as lenders do not usually advance the money for healthcare-specific purposes. "If you want to use it for medical bills, cosmetic surgery or plastic surgery that's all fine," says Ping An Puhui, which advertises that its loans can "alleviate the pain of illness" and "bring new hope to sick families". But there is plenty of anecdotal evidence from online lenders that it is a growing segment. "Our loan numbers have risen steadily, and no small number of people have used these for medical purposes," said Li Jin, a customer service worker at peer-to-peer (P2P) lender ppdai.com. "Healthcare costs are high, and lots of people don't have good state cover, so they need a loan." China Minsheng Banking Corp has launched a healthcare loan scheme in the western city of Chengdu, while Shanghai Pharmaceuticals Holding Co Ltd rolled out a loan scheme in April to help patients access expensive drugs. Some desperate patients are pawning their personal belongings. "They use things of various value from jewellery to purses and even cars," said Chen Yi, an office worker at online lender minbaodai.cn. "Previously the majority of people were looking for extra cash flow for their business, but last year and this we've seen a rise in healthcare loans." ($1 = 6.6696 Chinese yuan renminbi) (Additional reporting by SHANGHAI newsroom; Editing by Will Waterman) Beijing (AFP) - China is probing a retired top air force general on suspicion of graft, media said, the latest high-ranking official brought low by the country's much-publicised anti-corruption campaign. Tian Xiusi, formerly the air force's political commissar, was "placed under investigation for serious disciplinary violations", www.81cn.com, an official news site affiliated with the People's Liberation Army, said at the weekend. The expression is often used as a euphemism for corruption. The article provided no further details about the probe. Since coming to power, China's President Xi Jinping has moved to ensure the ruling Communist Party's control over the military and loyalty in its ranks. During his time in office nearly 50 senior officers in the PLA and the armed police, including the military's former number two and three figures, Guo Boxiong and Xu Caihou, have been convicted or investigated on corruption allegations. China's military has significant business interests in sectors ranging from property and logistics to telecommunications and healthcare, which have become a hotbed for corruption. Xi, the son of a revered revolutionary, is said to have closer links to the armed forces than his predecessor Hu Jintao. But in March authorities ordered them to stop providing "paid services", or commercial activities, in around three years. Tian began his military career in 1968 and held positions including political commissar in the mainly Muslim region of Xinjiang, before becoming the air force political commissar last year, the state-run China Daily reported Monday. Tian's wife and secretary have also been placed under investigation, it said, quoting Chinese media reports. In May, China's Central Military Commission announced that it had sent 10 teams of inspectors to investigate the PLA, the first time the supreme military command established a standing anti-corruption force. By Ben Blanchard BEIJING (Reuters) - In 2010, then Secretary of State Hillary Clinton provoked outrage in Beijing when she pushed the South China Sea to the top of the regional and U.S. security agendas. Now as an international court prepares to hand down a ruling that threatens China's sweeping claims in the vital waterway, Beijing is watching Clinton's presidential run with trepidation. Combined with her tough line on human rights and role in leading President Barack Obama's Asia "rebalancing", Clinton is well-known in China - but not well liked. While presidential rival Donald Trump has irritated Beijing with comments such as comparing the U.S. trade deficit with China to rape, he is largely an unknown quantity, a person who even privately officials shrug their shoulders over. "Clinton will be a difficult partner," one senior Chinese diplomatic source told Reuters, having just admitted to not knowing much about Trump or what he stands for. China remembers clearly a 2010 Southeast Asian security summit in Hanoi, when Clinton waded into the South China Sea dispute, saying open access and legal solutions were a U.S. "national interest" and "pivotal to regional security". In a strongly worded response, China stressed the South China Sea as one of its "core interests", putting the issue up there with Taiwan, Tibet and Xinjiang in terms of its importance to Beijing. China warned its rival claimants and neighbors not to be emboldened by U.S. support - a line it has repeated ever since. An arbitration court hearing the dispute between China and the Philippines over the South China Sea is set to hand down its ruling on in the Dutch city of The Hague on Tuesday. Legal experts expect at least some substantive findings to go against China, which has vowed to ignore the ruling. STRENGTH, RESPECT China's military, which is ramping up its presence in the South China Sea as part of a major modernization program, is also watching the election closely. "Hillary is very fierce when it comes to China," a Chinese official close to the military establishment told Reuters. While the Chinese government has been largely quiet about the U.S. election, state media has not been so restrained, with one paper even equating Trump to Hitler. In May, China's official Xinhua news agency noted Trump's more isolationist campaign compared to Clinton's, who it described as an "old foreign policy hand" and important backer of the Asia-Pacific "pivot" that China considers a threat. "As far as she's concerned, being tough on foreign policy is perhaps the best way to show America's so called 'leadership'," it said in a commentary. Laura Rosenberger, a Clinton campaign foreign policy adviser who worked with her in the State Department, told Reuters Clinton would remain tough on the South China Sea issue. "She believes that we need to be very strong in terms of standing up to many of the actions the Chinese have taken," Rosenberger said. "She believes in the principles of freedom of navigation in international waters, that commerce on the high seas in incredibly important to the United States, and that these are really very direct interests that we need to continue to stand up for." Trump adviser Peter Navarro, an economist at University of California Irvine and the author of the book, "Crouching Tiger: What China's Militarism Means for the World," said a Trump presidency would lead to respect. "The central difference between a Trump administration and the current administration or a Clinton administration is respect. The leaders of Russia, the leaders of China will respect Mr. Trump, will respect America because we will be strong economically, militarily and politically." UNKNOWN QUANTITY Trump may actually find some sympathy in China, even if he is seen as an unknown quantity. "Who is Trump? We don't really know. We do know he hates Muslims though - and that will be well received in some circles here," said the Chinese official with ties to the military, pointing to what China views as its war on terror in its Muslim-populated far western region of Xinjiang. China also views Trump as a businessmen with whom they can probably negotiate. "It would be very transactional for the Chinese," said a senior Western diplomat in Beijing. "He's a businessman they think they'll be able to strike a deal with." Trump may also be less tough on China over human rights than Clinton, who has frequently clashed with Beijing on the issue. In 2011, Clinton said China was on a "fool's errand" to try to halt the march of freedom, while in 2012 she was deeply involved in efforts to get blind dissident Chen Guangcheng out of China after he fled to the U.S. embassy in Beijing. Trump advisor Navarro said Clinton's record on human rights abuse was "sketchy at best and abysmal at worst", highlighting her first trip to Asia as Secretary of State in 2009, where other issues were given priority. "So it's difficult to see how she would have someone would view her as credible on that issue." Rosenberger, the Clinton adviser, rejected that view, highlighting comments from Trump in a 1990 interview referring to the "strength" of the Chinese government in its bloody crackdown of student-led protests in Tiananmen Square a year earlier. Its ironic for Trumps adviser to criticize Hillarys statement there when Trump himself has actually praised the Chinese for the Tiananmen massacre, Rosenberger said. Still, China is hoping that whoever wins they will understand that both countries need each other and will have to work closely, a source with ties to the Chinese leadership told Reuters, requesting anonymity. "It is the most important bilateral relationship in the world. China needs the United States and vice versa," the source said. "We don't know who will be the lesser of two evils." (Additional reporting by Benjamin Kang Lim and Michael Martina in Beijing, Greg Torode in Hong Kong, and Alana Wise and Caren Bohan in Washington) Akshay Kumar has finally returned to Mumbai with wife Twinkle Khanna and daughter Nitara from their vacation in the United States. By India Today Web Desk: Akshay Kumar has finally bid a farewell to New York City and is now back to Mumbai. The Airlift actor, who is gearing up for his upcoming film Rustam, also promoted the film in the United States. Akshay was seen with his wife Twinkle Khanna and daughter Nitara. ALSO SEE: This photo of Akshay Kumar with his little princess Nitara is too cute to miss advertisement Nitara looked adorable in her denims, blue top and white jacket. Twinkle was wearing a blue attire while Akshay was seen in his casual avatar. Akshay also shared a picture of him and Nitara on Twitter and it was all over the internet. He wrote, "Like all good things, this holiday too comes to an end!Bidding goodbye to New York with the lil one,until next time (sic)." Like all good things,this holiday too comes to an end!Bidding goodbye to New York with the lil one,until next time.. pic.twitter.com/zNWVGDkvVs Akshay Kumar (@akshaykumar) July 9, 2016 Despite his hectic schedules, Akshay always manages to spend quality time with his family. On the work front, Akshay's upcoming film is set to release on August 12. (Photo Courtesy: Viral Bhayani) --- ENDS --- Photo: Getty Images By Ana Colon The latest chapter in the discourse about red carpet options for celebs of all sizes started, perhaps unsurprisingly, with a single tweet. Comedian Leslie Jones was gearing up for the Ghostbusters press tour, but ran into a frustrating problem: Apparently, there werent any fashion brands wanting to outfit her for her big movie premiere. Unfortunately, this situation isnt unique. Many actresses, including Jones Ghostbusters co-star Melissa McCarthy, have spoken out about designers not being able to accommodate (sometimes even refusing to dress) women who dont fit into a sample size for red carpet events. Related: 10 Things I Bought Because Of Instagram So, Jones tweeted about it. One designer was quick to throw his name in the ring: Christian Siriano. His speedy response ignited a flurry of follow-up tweets (to the tune of YAAAS), and even a few think pieces. Jones and Siriano then met IRL and promised they were up to no good in his New York studio. Related: Killer Summer Shoes Under $50 On Saturday, Jones stepped out and straight-up slayed at the Ghostbusters premiere in a custom, bright-red, off-the-shoulder gown. This wasnt your typical step-and-repeat reveal. Aside from the unconventional way Jones and Siriano met, the comedians tweet and the designers quick replies continue the conversation about the issues non-sample sized celebrities face when dressing for high-profile events. Related: Sunglasses Earrings Are Where We Draw The Line Siriano has been dressing celebrities of all body types (and making them look fierce) for years in fact hes made that accessibility an integral part of his business. So, we spoke to the (newlywed!) designer about that tweet and, in a broader sense, the economics of red carpet dressing. Why did you respond to Leslies tweet? It was actually super random; I was just like: Hey, Im here. If you want something Im available. She must not have thought of me, so thats why I threw myself in there because I love her, I follow her, and I would love to dress her. Then, it started becoming such a thing. Honestly, it was just as simple as Im a fan of hers. Story continues Related: Everything Youve Ever Wanted To Know About Lip Injections In your follow-up tweet, you addressed how it shouldnt be exceptional to work with non-sample sized celebrities. Tell us more. We dress every size there is we dress girls who are size 0, and girls who are a size 20. Its always been super important for my brand to have such a mix, but we never really think about sizes that much. There are designers that have a hard time with samples, because they dont have the infrastructure to make things in two days; maybe thats why some of them said no. Related: This Is What Female-Directed Porn Looks Like "Im sure Leslie felt like, Oh my God, Im in this movie and Im having a hard time [with a dress], and people arent able to loan. I didnt want anybody to think that we would ever say no to Leslie just because shes a different size, or because shes not a red carpet maven. Its more exciting for me to have moments with people that are different, or that shock, or are newer names. I was one of the first people to dress Lady Gaga [in 2008]. Its fun to have such a huge moment with Leslie on the red carpet, because she hasnt really had that. Were you surprised by any of the responses to your Twitter conversation with Leslie? Ive been doing this for a long time, dressing different women of all different sizesfinally people are [starting to] notice. When Leslie tweeted that she didnt have anything, a lot of people suggested me, which is really nice. I want everyone to think that my brand is for everyone. I wasnt that shocked with the responses except people saying, 'Well, she can afford it. Why cant she buy something? Because that isnt the issue. Related: The Craziest Beauty Routines Of The Rich & Famous What was it like when you met with Leslie in your studio? We didnt make it as big of a moment as everybody else did. Its always shocking what people care about and what they dont care about. Im sure she is frustrated, because shes in this amazing movie and this [dress] is all everybody wants to talk about; Im kind of frustrated, because I have dressed some of the biggest [names] in the world and done all of these cool things, and this is what everybody writes now. Youve been dressing a range of body types for a while. Has that always been a focus of yours? I dont necessarily think it was a goal; It just started happening more and more. If a woman like Oprah called you, what would you make for her? There are so many amazing people out there that are sizes 6 and 8, and Id never [want to not] have something for them. So, thats super important. We just made a ton of clothes for Michelle Obama imagine if I never designed for [her size] before; I wouldnt want to be figuring that out for the first time. Other celebs have lamented red carpet dressing issues: Bryce Dallas Howard bought her own Golden Globes dress to have more choices than stylists or designers have available for a size 6, for example. Have customers come to you frustrated by the lack of options? Yeah, totally. We definitely have customers that are just average [non-celebrity] women, who know that we can make any size. The other day, a bride said she had a body really similar to Christina Hendricks, and really wanted me to make the wedding dress. That is exactly what you want for customers to feel comfortable in your clothes. Why does the fashion industry still not offer more variety for non-sample sized women? Some small brands and young designers cant physically have samples in every single size always available its just not possible [when] you have [so many] pieces in every collection. But then, there are the big brands: What is Dior doing? What are all those brands doing? Im not sure, because they have sizes readily available. If Im a very small brand, and were able to do it, a big house can make something very easily its just whether they choose to or not. "Also, when designers make samples to be shown in a runway show, its the first time weve ever made the clothes. Ive made pieces that make me realize, You know what, actually, in a size 10 this isnt going to look good. But I dont know that yet [when designing]. If Leslie came in with a very specific dress in mind, I might say to her: Honestly, its not going to look good in every size. That happens all the time. Creating custom pieces is expensive, especially for a wider range of sizes. When were you finally able to afford to do that? It wasnt possible my first few seasons. Obviously, if Oprah called and needed something, youll figure it out even if we are scraping to pay for it, we make it happen. Ive built into my business that whatever we do in terms of PR, we always make the exception to make it work [financially] if its going to be a big moment. I remember I made some things for Whoopi Goldberg for the Tony Awards [in 2008]: It was one of my first projects, but Whoopi paid for some of it, so that helped. That happens, too sometimes an actress or a stylist would be like, 'We have a little budget. But its a challenge. Not every brand can make something custom all the time. The fact that celebs typically borrow, or get a custom piece for free, from designers has been controversial. Are celebs entitled do this and how much does it cost you as a designer? Brands use marketing dollars to pay for ads; dressing an actress for red carpet is very similar. I never think of it as 'getting free clothes. Its a trade. Actresses know that in this world, a great dress at the Emmys could be a great moment for that designer in sales. Thats the balance. I feel like Im sometimes getting the better end of the deal: Usually they send the dress back, and I still get all the marketing. "The challenge is figuring out what works. When we dress some people, it results in sales; others are just great publicity; and sometimes, its both. It also is about brand recognition, because if everybody is running photos of this [celebrity], its just more eyes on the brand. Thats the whole point of this industry: If you dont see people wearing the clothes, how do you know they exist? Weve had a lot of red carpet moments, but that isnt the be all, end all. If someone doesnt wear something, were still running a great business; people are still buying the clothes. Some designers have dressed thousands of people, but are really struggling. You can dress Gwyneth Paltrow all the time, but if people arent buying that dress [youve designed for her], it doesnt matter. What are your thoughts on celebrities, like Leslie, being criticized for not being respectful of the great cost of a custom dress for a fashion brands both in terms of money and time? Leslie and a lot of actresses think about it like a trade. Maybe she reached out to a few brands, and they all said, We dont have your size. We cant send them to you, and none offered up the custom option. I think thats probably what happened, but I dont know Im sure her stylist was reaching out to people weeks and months before, once they finally got the premiere date, but it takes time. And some designers just dont have larger sizes, and they literally dont have time to re-cut them. Do you have a wider range of sample sizes to accommodate the size-inclusive variety of clients you work with? I sometimes have sizes available, not in every dress, but I can pull sizes from my store." Is that an unusual practice, to pull pieces from the retail selling floor? "Some brands dont want to pull from their stores because if they send 15 options to an actress and she doesnt wear any, and they get dirty or theres makeup on them from trying them on then you cant sell those dresses. You can lose money; I totally get that. For a big brand, [the cost is] very minimal. [Labels with] 200 retailers, or 200 stores it wouldnt really affect them that much. Younger designers Im talking about everyone from Prabal [Gurung], to Alexander Wang, to Proenza [Schouler] theyre not hundred-billion dollar companies, so its a challenge. Back to dressing Leslie: Whats your takeaway from this whole situation? I dont think it shouldve become such a thing: Leslie had a hard time finding a dress; were going to make something, and its going to be amazing. Im sure now there would be lots of other brands that will also want to work with her. I really dont know what the takeaway is except for that we should celebrate everybody. This is just a frivolous thing its just dresses on a red carpet, and then everybody will write about a new dress the next day. We should just try to make every woman feel great about themselves, because theres a enough crazy hate going on in the world. Bogota (AFP) - A clash between FARC guerrillas and Colombian government forces last week stemmed from an insurgent "error," the official leading peace negotiations with the rebels said Monday. An unspecified number of FARC rebels were wounded in the confrontation Friday in central Colombia. They were members of a delegation that was to travel to peace talks being held in Havana. The violence was seen as a discouraging sign as the government and rebels are thought to be close to ending five decades of civil war. A FARC negotiator, Carlos Lozada, had asserted on Twitter the clash was "an attack on FARC delegates who were on their way to Havana." But on Monday, Humberto de la Calle, the government official leading the talks, put the exchange of gunfire down to a mistake by the FARC. "An error was committed by the FARC, which transmitted wrong coordinates," he said. The FARC delegation "was in a different zone than the one expected," he said. De la Calle said the number two person in the FARC's hierarchy, Ivan Marquez, "acknowledged that the error with the coordinates was the FARC's responsibility." The FARC, which has about 7,000 members, signed a ceasefire and disarmament arrangement with the government last month at the peace talks in Cuba. The government said it hoped to move on to a full peace deal within weeks. By James Oliphant WASHINGTON (Reuters) - The shocking shooting deaths of five Dallas police officers have magnified the challenge that Hillary Clinton faces as she tries to reassure both voters jittery about social unrest and activists angry about law-enforcement abuses that she is on their side. For Clinton, the likely Democratic presidential nominee, the political concerns going forward are two-fold. She can't afford to alienate black and progressive voters she needs to show up in large numbers in the November election by taking too strong a stand against the protests like those recently in Louisiana and Minnesota that resulted in hundreds of arrests. At the same time, Clinton can't allow more moderate voters worried about the violent images on their TV screens to gravitate to her Republican rival, Donald Trump, who has attempted to use the tragedy in Dallas to argue that he is the better law-and-order candidate. Clinton herself recognizes the fine line she is trying to navigate. In remarks Friday following the Dallas sniper attack that left five officers dead, she acknowledged she was sending a mixed message in advocating for reform to curb police misconduct while at the same time praising the honor and bravery of police officers. "I know that, just by saying all these things together, I may upset some people," Clinton said in Philadelphia. Polling by Reuters/Ipsos has revealed sharp differences in how Trump and Clintons supporters view the police when it comes to African-American suspects. Just 24 percent of Trump voters believe that black people are treated worse than whites compared to 55 percent of Clinton voters, according to a poll conducted between May 13 and June 7. African-Americans were also almost twice as likely as whites to describe the police as too violent, according to Reuters polling. The slain Dallas gunman, Micah Johnson, shot a dozen Dallas officers because he wanted to "kill white people," authorities said. Prior to the attack, Clinton had tried to demonstrate her solidarity with the Black Lives Matter movement after black men were killed by police in a suburb of St. Paul, Minnesota and Baton Rouge, Louisiana. White people need to start listening, she said, to the legitimate cries that are coming from our African-American fellow citizens. Clintons words were seized upon on Sunday by retired Lieutenant General Michael Flynn, a top contender to be Trumps vice-presidential pick. Flynn, the former head of the Defense Intelligence Agency, called Clintons comments irresponsible in an interview with ABC News because she talked about white people being to blame. Trump argued on Twitter Sunday that the United States is a divided nation due in part to the leadership of Clinton and President Barack Obama. Reports had him now strongly considering Flynn as a running mate in part because of Americans' growing concerns over public safety. DEBATE OVER DEATH PENALTY But Clinton has also had problems with black activists. Two Black Lives Matter advocates crashed a fundraiser in February, complaining about Clintons past comments about youth gangs. And in April, protesters sparred with her husband Bill Clinton, the former president, over the 1994 bill he signed into law that put non-violent offenders in prison for longer terms. Clinton has spoken at length about criminal-justice reform and scaling back gun rights, but still is viewed by many progressives, who have increasing sway in the party, as too centrist. Democrats, for example, are expected to formally call for the abolition of the death penalty for the first time at the party's nominating convention later this month. While Clinton has been critical about racial bias in the application of capital punishment, she has come short of saying it should be done away with. Trump has argued the death penalty should be automatic for anyone found guilty of murdering a police officer. Steve Schale, a Democratic strategist in Florida, said he believes Clinton can find a message that can appease both moderates and liberals on the issue of police violence. She can talk to the types of voters who strongly support their local law enforcement, but who also understand there are very troubling stories coming out of certain communities and real issues that need to be addressed, he said. Clinton may be able to utilize Vice President Joe Biden, well-liked by law enforcement, as a surrogate to reach out to police groups. Clinton and Biden were scheduled to campaign together Friday, but the event was canceled in the wake of the Dallas incident. However, Biden, as a U.S. senator, was an advocate of the 1994 crime bill that's now the object of scorn among black activists, underscoring the thorny politics of the issue within the party. Trump continues to enjoy the same kind of advantage among white voters that Mitt Romney, the 2012 Republican nominee, held. The most recent Reuters tracking poll shows Trump leading Clinton among white likely voters by two points, while Trump trails Clinton among all likely voters by 13 points. That makes African-American turnout particularly critical for Clinton. In 2012, Romney won almost 60 percent of the white vote but still lost handily to Obama, who drove minorities to the polls in high numbers. (Editing by Caren Bohan and Mary Milliken) On Monday (July 11), K-pop boy band CNBLUE withdrew from KCON LA 2016 following a recent insider-trading scandal that rocked the group. That same day, f(x) member Amber and boy-next-door soloist Eric Nam were added to the KCON 2016 Presented by Toyota lineup. CNBLUE's absence was announced several days after a Seoul court issued a verdict on an insider-trading case relating to two members of the group. Vocalist Jung Yong Hwa was acquitted of the charges, while guitarist Lee Jong Hyun received a fine of 20 million KRW. The group previously performed at KCON LA in 2014 and was slated to perform Saturday, July 29, at this year's event. Monsta X Return to KCON LA Amber will perform at Klub KCON on July 29 and appear at the concert on July 30, while Nam will perform at KCON USA 2016's final concert on July 31. American-born Nam and Amber each moved to South Korea to pursue their careers in the Korean market and are known for their appearances on Korean reality shows. After attending past KCONs as a special guest, Nam performed for the first time at KCON NY last month, whereas Amber appeared with f(x) at KCON Paris earlier this year and previously performed at KCON LA 2013. This is the first time Amber will perform at KCON as a soloist. The f(x) member released her first solo album, Shake That Brass, in 2015 and has since released several additional singles, including the introspective synth hip-hop track "Borders." Nam's Interview album came out in March, and he also recently collaborated with the EDM/pop band Kolaj on "Into You." Nam is expected to drop a new song on July 15. The pair were revealed shortly after ballad-pop duo Davichi and '90s hip-hop trio Turbo (fronted by Running Man star Kim Jong Kook) also announced special appearances at KCON LA. SHINee, Girls' Generation subunit TTS, BTS, Block B, GFRIEND, Twice, Astro, Dean, I.O.I, and Monsta X will also perform at KCON LA, held July 29-31 at the Staples Center and Los Angeles Convention Center. Tickets are currently on sale. A growing group of private colleges is providing student loan repayments, helping students gain some financial security after they graduate. "LRAP is just a buffer to help us get on our feet," says Holly Lutton, 24, of Huntington, Indiana, who graduated in 2014 with a degree in youth ministry from Huntington University with $19,000 in student debt. Loan repayment assistance programs, or LRAPs, give college graduates who meet a certain criteria, such as income, funds to help make the monthly payments on their student loans. The youth pastor says she made minimum wage in Indiana -- $7.25 an hour -- after graduating, and her college's LRAP program paid 100 percent of her monthly student loan payments until she was given a pay raise the following year. "I'm just able to live more securely right now," says Lutton, who tells parents from her youth ministry to look at colleges that offer LRAPs. "They can get an education and know they're not going to come out the other end drowning in debt." [Avoid becoming one of these 10scary student loan statistics.] The average student debt burden for members of the class of 2014 who borrowed was $28,110, according to U.S. News data. Around 69 percent of 2014 graduates borrowed money to attend college, according to the 1,054 ranked colleges reporting that figure to U.S. News. Student debt burdens are typically higher for college grads who attend private college compared with those who graduate from public schools, according to the Institute for College Access & Success. Here are some facts to know about LRAPs offered at private four-year colleges. -- These are more likely to be found at Christian schools: "The majority of schools offering these programs are private Christian colleges," says Peter Samuelson, president of the LRAP Association, an Illinois-based company that services loan programs for more than 100 colleges. Many of the schools that use the LRAP Association to manage their program charge around $25,000 to $40,000 in tuition a year, Samuelson says. Story continues College officials from several schools say their repayment programs are used as an enrollment tool. "We felt that it really addresses the concern that prospective students had about the cost value of higher education," says Ryan Spear, director of admission at Houghton College, a Christian college in Western New York. The New York college charged $29,458 for tuition and fees for the 2015-2016 school year, according to U.S. News data. A 2015 survey by U.S. News found that a typical Houghton College student who borrowed, on average, holds $26,550 in debt after graduating. [Find outwhich private schools are the most and least costly.] -- Income determines eligibility for most undergraduate LRAPs: Most private colleges offering the benefit limit eligibility by income, says the LRAP Association president. Adrian College, a liberal arts college -- which , according to U.S. News data , charged $33,610 in tuition and fees last school year -- offers its LRAP to graduates who make less than $37,000. The move is aimed to bolster enrollment, one college administrator says. The Michigan college increased its enrollment by 18 percent over the last three years since introducing the program, says Frank Hribar, vice president of enrollment and student affairs at Adrian College. "Our goal is graduate them with earnings greater than $37,000 a year," Hribar says. At colleges that offer LRAPs, students are typically reimbursed at 100 percent for private, federal and Parent PLUS loan payments if they make less than $20,000. These program continue to pay a percentage until the student earns more than $37,000 annually, experts say. "The day they graduate, if they are making less than $37,000, then the college , through AdrianPlus , will pay all or some of their quarterly loan payments," Hribar says. "It's prorated from $20,000." [Follow steps to stay on top of an income-driven repayment plan.] But there are a couple other caveats: In most cases, such as in the Adrian Plus plan offered at Adrian College, students have to enroll in an income-driven plan and work at least 30 hours a week to qualify for repayment assistance. -- Some colleges use type of employment to determine eligibility: While most undergraduate LRAPs determine eligibility based on household income, some -- similar to law school LRAPs -- set the criteria by type of employment. Tufts University, for example, which was the first program to include undergraduates in its LRAP in 2008, determines its awards based on type of employment. The program requires its college grads to work in either the nonprofit or public sector for eligibility. "For everyone who meets the criteria -- at the most -- we try to give them at least something," says Patricia Reilly, director of financial aid at Tufts University, who says more than 50 bachelor degree graduates received an award last year. Different colleges do different things with their LRAPs, says Samuelson from LRAP Association, advising prospective students and parents to factor this into their decision making. "Certainly parents and students, when they're looking at colleges, should ask whether it's available to everyone," he says. Trying to fund your education? Get tips and more in the U.S. News Paying for College center. Farran Powell is an education reporter at U.S. News, covering paying for college and graduate school. You can follow her on Twitter or email her at fpowell@usnews.com. (AFP file photo) The revelation that cracks were found in China-made SMRT trains has shown that the government cannot control the flow of information and command the conversation the way they have done for so long. For long has this policy been enforced with a combination of legislation, controls on competition that give the two media houses a free ride to make money and the induction of journalists who generally are convinced to become official megaphones. So numbed has the government been by this policy that it has been blindsided by a reality that is breaking down barriers that very few had imagined even just 10 years ago. At the heart of this new reality is a media policy that has castrated mainstream media to the point where journalists write and edit for fear of losing their jobs and dump investigative journalism practices to the great benefit of the government. The results of this outdated and archaic policy blew up in the faces of both the journalists and politicians when a new media platform called FactWire in Hongkong broke the news that 26 MRT trains found to have cracks were being shipped back to the manufacturer in China for repairs. The news agency, which says its unique selling point is investigative journalism, had videos of the trains being wrapped up in green covers and being moved via road and then sea in the middle of the night. It caused a sensation here with the government and media caught totally off guard and scrambling to respond to a story that was stolen right under their noses. For three days since the story broke last week, the government tried to play catch-up by spinning story after story in official media trying to allay fears about safety of the trains. The cracks are not safety-critical (what the hell does this mean?), do not affect the trains systems or performance, were found three years ago and the affected vehicles were put on the tracks since five years ago. But not once did the Land Transport Authority explain why news of the defaults and the subsequent dispatch of the affected trains to China was kept away from the public. Story continues The government probably felt the defaults were not serious as the affected trains had been in service since 2011. Or, worse still, perhaps the government thought that with the local media under its thumb, there was no way the information would get out. How wrong it was. Investigative journalism frowned upon The government forgot that in a globalised world where news breaks borders, the MRT story could break elsewhere and flow into Singapore via the Internet. Once that happens, the government will have no choice but to let the local media report it. It could have given this news to the local media and got it covered in a manner that was responsible and credible. Investigative journalism has been frowned upon by the establishment here. The mantra was that it has its own checks and balances which can correct its own mistakes, prompting the online world to create a phrase ownself check ownself to mock a government that resents media playing the watchdog. The embarrassment is not just for the government. The MRT story exposed the local medias inability and/or unwillingness to investigate government scandals and thus help play its rightful role in society. Investigative journalism is a lost art here. Our newspapers are filled with press-release journalism, making them dull and at most times unreadable. Things were not this way many years ago when reporters thrived on scoops and exposes. In 1989, the Straits Times Sandra Davie exposed the activities of a group called the House of Israel as those of a cult. The religious group sued, the newspaper refused to cow, went to court and won the libel suit. Lee Han Shih of the Business Times forced LTA to stop its plans to move its premises to a new building after his report caused an uproar. As government and media mull their status in the aftermath of a scoop by an upstart HK wire agency, the fundamental question they must ask themselves is this: Are the media practices of two generations ago relevant in this age of instant information where borders are swept aside? P N Balji is a veteran Singaporean journalist who is the former chief editor of TODAY newspaper, and a media consultant. The views expressed are his own. Stay updated. Follow us on Facebook. Washington (AFP) - Conservative billionaire power broker Charles Koch said Monday he is not backing Donald Trump, claiming the presumptive Republican presidential nominee's principles are "antithetical" to his. In an interview at the Fortune Brainstorm Tech conference in Aspen, Colorado, Koch spoke about Trump and presumptive Democratic nominee Hillary Clinton, saying: "I see two people that as of this point we're not supporting." "If I had to vote for cancer or a heart attack why would I vote for either?" Koch said when asked if he would cast a ballot for either candidate. The decision to shun Trump could be a huge blow to Trump and the Republican Party. Charles Koch and his brother David, each with fortunes estimated at more than $40 billion, have been major benefactors of conservative politicians, fueling the Tea Party movement and efforts that limit the size of government. On Trump, Koch said, "I'm sure he's a fine fellow underneath but when you look at our guiding principles, his guiding principles are in many ways antithetical to ours." Specifically, Koch condemned as a "monstrosity" Trump's plan for tariffs on certain imports, saying a similar effort led to the Great Depression of the 1930s. Koch also said his comments in a previous interview about potentially backing Clinton were misconstrued. "What I said was it was possible (to back Clinton) if she totally changed everything she stood for," he said. "I have got to be more careful about what I say." The Koch brothers, who give few interviews, are at the forefront of a network of hundreds of wealthy donors who share the objective of minimizing the role of the federal government, shrinking taxes, eliminating regulations and generally resisting the policies of Democratic President Barack Obama. Their Koch Industries conglomerate, with interests in chemicals, oil refining and electronics, is the second largest private enterprise in the United States, according to Forbes, with $115 billion in revenue in 2013. "I foresee trouble if there is unfavourable judgment," Shah said. "The treatment meted out to the AMU reflects the treatment meted out to the Muslims of India," the former Army officer told India Today TV. By Javed M. Ansari : Vice-Chancellor of the Aligarh Muslim University Lt General Zameeruddin Shah today feared there might be "trouble" if the Uttar Pradesh-based institution is robbed of its minority tag, which has been challenged by the NDA government. "I foresee trouble if there is unfavourable judgment," Shah said. "The treatment meted out to the AMU reflects the treatment meted out to the Muslims of India," the former Army officer told India Today TV. advertisement AMU SEEKS TIME FROM SUPREME COURT The university today sought four weeks from the Supreme Court to respond to the Centre's affidavit for withdrawing its appeal against an Allahabad High Court verdict which in January 2006 had struck down the provision of the AMU (Amendment) Act, 1981 by which the university was accorded the minority status. "We lost when we had the government's backing. We will win now that the government is not backing us," Shah said as he referred to the previous government's backing of the AMU's minority status. Last week, Attorney General Mukul Rohatgi denied there is "anyting political" about the NDA government's decision to withdraw an appeal filed by the UPA challenging the High Court verdict holding that the AMU is not a minority institution. "I must tell you that there is nothing political about it. The Aligarh Muslim University (AMU) was set up by an Act of the Parliament when India was not free. It was under British rule. Therefore, it is not correct to say that it was set up by Muslims," Rohatgi had told India Today TV. SHAH DEFENDS AMU MINORITY TAG "In AMU, we don't have reservations for Muslims," Shah said as he defended the AMU's minority tag, which the government argues is against the spirit of the constitution since the university does not have reservation for the Dalits or the OBCs from other communities. "There is no substance in saying the minority tag will deny Dalits their rights," Shah said. --- ENDS --- Country music star Craig Morgans 19-year-old son, Jerry Greer, is missing after being involved in a boating accident on Kentucky Lake in Humphreys County, Tennessee, on Sunday, July 10. According to Rob Edwards, Chief Deputy for the Humphreys County Sheriffs Office, Greer and another man who were both wearing life jackets were riding an inner tube pulled by a boat when they were thrown from their raft and Greer never resurfaced. PHOTOS: Celebrity Health Scares A search for the teen began at about 4 p.m. on Sunday afternoon. According to the Associated Press, nearly 50 boats have joined the efforts to locate Greer. Fox News reports that search crews are employing the help of an underwater vehicle to scan the river (where the water reaches 15 feet deep at points) for Greer. PHOTOS: Hottest Country Stars of 2015 Morgan, 51, and his wife, Karen Greer, are asking for privacy as they continue to look for their son. "The family is grateful for everyones support and prayers and requests privacy during this difficult time," a rep for the couple told Fox News in a statement. PHOTOS: Celebrity Dads Bond With Their Kids: Adorable Pictures Morgan, who is also dad to sons Kyle and Wyatt and daughter Alex, opened up to Fox News on June 17 about the love he has for his kids and revealed the advice he gives them on a daily basis. Every day my wife and I understand that we are building young men and women. Theyre going to take over for us as citizens, the Almost Home crooner said. [I tell them to] live. Enjoy your life because nothing is guaranteed and every day is a blessing. An appeal filed by four men sentenced to death for the fatal gang-rape of a student inside a moving bus in 2012 is to be fast-tracked, India's top court said Monday. The Supreme Court would sit beyond its working hours every Monday and Friday in order to expedite the last hearing into the appeal lodged by the men, who were found guilty of the rape and murder of Jyoti Singh in New Delhi. The court begun the final hearing in April, almost two years after staying their execution in the case. The rape and subsequent death of the 23-year-old woman from internal injuries sparked some of the biggest demonstrations in India's recent history, which intensified after being broken up by heavy-handed police tactics. The attack highlighted the frightening level of violence against women in the world's second most populous country and led to a major reform of India's rape laws, speeding up trials and increasing penalties. The court Monday also allowed the victim's parents to intervene in the case, a rare instance in the Indian legal system where victims or their families have virtually no say in the court procedures. "It is a big thing for us that the court has accepted our plea and made us a party in the case," the victim's father Badrinath Singh told AFP. "We would like to thank the court for giving us the opportunity to have a say in the case. It has restored our faith in the judicial system." In 2013, a trial court had ordered the four men to hang, a verdict that was later upheld by the High Court. A fifth convict, a juvenile, was released from a correction home last year while the sixth committed suicide while on remand. The convicts then challenged their sentencing in the top court. Under Indian law, the victim of a sex attack cannot be named but the parents have called for people to use their daughter's name in a bid to end the stigma often attached to victims. Sweet, stupid and objectified, Kevin is the adorably brainless hunk hired by the new all-female Ghostbusters crew as their receptionist. Hilariously played by Chris Hemsworth, he tries to answer a phone while it's sitting in a fish tank, removes the lenses from his glasses so he can rub his eyes better, and - sad to say - is the funniest part of Paul Feig's disappointingly timid reboot. Kevin is a savagely funny send-up, revenge for generations of sexy but incompetent onscreen secretaries played by women. But the gender reversal at the center of the film is less satisfying: While Melissa McCarthy, Kristen Wiig, Leslie Jones and Kate McKinnon fit comfortably in their Ghostbuster roles, there's no edge to their characters. Flipping genders with scarcely a nod to the fact that the once-male heroes are now women may be equality of a sort, but it's also a missed opportunity. If you have a female gaze, why not use it? Switching genders effectively is trickier than it sounds. This summer's current comedy Mike and Dave Need Wedding Dates and the upcoming Wall Street drama Equity both carry it off better than Ghostbusters. Along with a number of gender-reversing works from the last year, these movies hint at the pitfalls of doing what Helen Mirren suggested recently in a Hollywood Reporter roundtable: "Just change the name" and cast a woman in a part that's been written for a man. That strategy worked perfectly for Eye in the Sky, in which Mirren plays a tough military commander. But Eye is a plot-driven suspense movie about drones. Films that are more character-based have to work harder. The trick is to acknowledge what is specific about female characters without falling into stereotypes. Read More: Top Women Critics Like 'Ghostbusters' a Lot More Than Their Male Counterparts Do Compare Ghostbusters to Bridesmaids (both directed by Feig, usually so reliable at comedies with women) and you instantly see the difference. Many people remember Bridesmaids as a comedy in which girls get to be just as gross as guys because of the indelible pooping-in-public scene. But that episode is not representative of the film. Kristen Wiig and Annie Mumalo's screenplay is truly about female friendship, from the way the characters talk to each other about men and self-respect to the maid of honor's jealousy of the bride's new BFF. Depictions of women's ties and rivalries have rarely been as fresh, honest and funny. Story continues Ghostbusters merely tosses in a few lines about gender. A villain sneers, "You shoot like girls." Looking at online comments about their ghostbusting business, McCarthy's character reads, "Ain't no bitches gonna hunt no ghosts," directly addressing the real-life internet backlash against the casting. The movie was never going to be what those ugly, fanboy comments suggested: too female. No one could have guessed it would have the opposite problem: Ghostbusters isn't female enough. Think of what the film might have been if the characters' social roles had been more sharply defined. Wiig's character, a Columbia University professor, has a single throwaway line about her demure skirt suit being too sexy for academe. A smarter script might have lambasted the sexism behind that standard. The girlhood friendship and later estrangement between Wiig and McCarthy's characters might have been given some substance instead of bland expository dialogue. And instead of a mayor's aide (Cecily Strong) dismissing the ghostbusters as "sad and lonely women" who "read Eat, Pray Love and just ran with it" (which makes no sense to anyone who has read that goopy memoir), maybe she could have slung an insult that hurt. Read More: 'Ghostbusters': What the Critics Are Saying The surprisingly funny Mike and Dave is built on the kind of pointed gender reversal that Ghostbusters avoids. Two idiotic brothers (Zac Efron and Adam Devine) advertise for "good girls" to take to a family wedding. They find a pair of hard-partying women, Tatiana and Alice (Aubrey Plaza and Anna Kendrick), who masquerade as prim little ladies - at least until they are unleashed at the wedding in Hawaii and turn out to be even more sexual, selfish and disruptive than their dates. Mike and Dave are the ones clutching their pearls here, while the women are the wedding crashers (the film even name-checks that movie). Part of the clever joke in Andrew Jay Cohen and Brendan O'Brien's knowing screenplay is that Tatiana and Alice are not model feminists. "It's 2016 and women can do shit now," Tatiana slurs drunkenly right before she and Alice are fired from their waitress jobs, at a Hooters-like place no less. Playing that card doesn't work on her boss, who says, "Did you just push your tits up and say 'feminism'?" Wait around for the credits, and there's a bonus scene in a barn between Tatiana and Mike that shows how much these women are in charge of their own sexuality. Sure, the film is stupid-funny and sometimes crass. But the gender-specific comedy offers a lively twist on boys-on-the-loose movies, going all the way back to Animal House. Read More: 'Mike and Dave Need Wedding Dates': Film Review On TV, the recent AMC series The Night Manager pulled off a great switch. An MI5 agent, named Leonard Burr in John LeCarre's novel, became Angela Burr, played by Olivia Coleman. Because Coleman was pregnant when she was filming, that was written into the character. But Burr is no stereotypical, soft-hearted maternal presence. She is a tough, manipulative, complex professional. When she displays compassion, it comes from the depth of her character, not from her baby bump. Equity (in theaters July 29) achieves that kind of smart balance: gender-specific but not simple-minded about it. Anna Gunn plays Naomi, an investment banker who desperately needs a big deal to go through. She and the other lead characters are definitely women in a man's world. A younger colleague tries to hide her pregnancy as long as possible. When Naomi's boss tells her, "You rub people the wrong way," the film doesn't have to spell out the sexism; a man wouldn't have to be so pliant and likable with clients. But the film works as a financial thriller because it is fundamentally about Wall Street ruthlessness and ambition. The plot turns on backbiting in the financial world, and the person who betrays Naomi could just as easily be a woman as a man. For every effective gender-reversal movie, there are still plenty of wrong-headed ones. Take disappointing frat-girl movies like Sisters or Neighbors 2: Sorority Rising, which pay lip service to the idea that the heroines are different from men, but mostly just impose male cliches on female characters. The siblings played by Tina Fey and Amy Poehler in Sisters talk a lot about motherhood. The sorority girls who disrupt the family life of Seth Rogen and Rose Byrne in Neighbors 2 babble a little about female friendship. But both movies are essentially about the idea that women can party as hard and as nastily as men. The jokes are tired on arrival. In another example, last fall's Our Brand Is Crisis, Sandra Bullock plays a campaign manager. The role was once meant for George Clooney, based on a documentary about James Carville managing a Bolivian presidential campaign. With that emphasis on political process, the role reversal might have worked fine the way Eye in the Sky did, by changing the name. Instead, Bullock's character is an emotional wreck, an insecure bumbler. Would Clooney or any actor in the role ever have been so fragile? Bullock and McCarthy had more luck in the buddy-cop movie The Heat (2013), also directed by Feig, which works by plugging them into an odd-couple Lethal Weapon formula, and taking advantage of the actors' comedic strengths. McCarthy is a live-wire mess, Bullock uptight. The screenplay is by Katie Dippold, who co-wrote Ghostbusters with Feig, and you can see how they might have thought an easy gender-flip might work again. But The Heat didn't have the baggage of Ghostbusters, whose casting promised a daring female reboot. Its heart is in the right non-sexist place. But how much better the film might have been if it had acknowledged that women can bust ghosts and carry a big, pricey comedy without wearing men's hand-me-downs. Read More: 'Ghostbusters': Film Review Thousands of #ATLisReady protesters came together over the weekend in ongoing protests and marches in Atlanta in support of Black Lives Matter. This video, from Sunday, July 10, shows the protesters chanting hands up, dont shoot as they marched through the east side of Atlanta towards the state capitol building amidst heavy police presence. On Friday, Atlanta protesters shut down a highway. The protests are part of the ongoing demonstrations across the country against police brutality. The marches were sparked by two police-involved shooting deaths. On July 5, Alton Sterling was shot and killed by police, and video from the scene shows officers pinning down Sterling before the shooting. On July 6, Philando Castile was shot and killed during a traffic stop, and his girlfriend broadcast the aftermath live on Facebook. Credit: Facebook/Marika West Modi's office declined to comment, but said a decision on the new central bank governor would be made before July 18. Panagariya's office also refused to comment. By Reuters: Prime Minister Narendra Modi could name his policy adviser, Arvind Panagariya, as the next governor of the Reserve Bank of India (RBI), two television channels reported on Monday, citing unnamed government sources. The TV channels - CNBC Awaaz and ABP News - also said that a formal announcement of the decision was expected in next 48 hours. Modi's office declined to comment, but said a decision on the new central bank governor would be made before July 18. Panagariya's office also refused to comment. advertisement Panagariya, who heads the government's main economic advisory body NITI Aayog (National Institution for Transforming India), is also India's Group of 20 summit negotiator. The term of outgoing RBI chief Raghuram Rajan ends in early September. Rajan shocked markets late last month by announcing he would not seek reappointment. Also read: Raghuram Rajan wishes RBI Governor had a 4-year term 4 shortlisted to succeed RBI's Raghuram Rajan --- ENDS --- Washington (AFP) - The parents of the gunman who fatally ambushed police at a Dallas protest last week say he was "disappointed" and grew reclusive after being discharged from the US Army in 2015. "The military was not what Micah (Johnson) thought it would be," his mother Delphine told TheBlaze network in an interview released Monday. "He was very disappointed, very disappointed. But it may be that the ideal that he thought of our government, what he thought the military represented, it just didn't live up to his expectations." She called her son's deadly rampage "injustice." Micah Johnson served six years as a private in the Army Reserve force and was in Afghanistan from November 2013 to July 2014, the Army said. He was a carpentry and masonry specialist. It was after his service that Johnson began studying black history and expressed interest in his heritage, according to his father, James. Delphine said her son became a "hermit." But relatives stressed that Johnson had never shown any signs of hatred for white people or other racial groups. His stepmother Donna is white. The gunman opened fire at the Dallas demonstration that followed the deaths of two black men at the hands of police. He shot dead five police, wounding nine more officers and two civilians. He was killed by a bomb carried by a police robot device. "I don't know what to say to anybody to make anything better. I didn't see it coming," said the 25-year-old's father, breaking down in tears. "I love my son with all my heart. I hate what he did." Founded by conservative media personality Glenn Beck, TheBlaze announced it would release the family's full interview later this week. DALLAS (Reuters) - The U.S. military veteran who shot dead five police officers in a racially charged attack in Dallas last week had been "disappointed" by his experience with the U.S. Army, his mother told media outlet TheBlaze.com on Monday. "The military was not what Micah thought it would be," Delphine Johnson, whose son Micah Xavier Johnson was killed by a police robot carrying a bomb at the end of his rampage. "He was very disappointed. Very disappointed." (Writing by Scott Malone; Editing by Frances Kerry) Dallas (AFP) - With the spirit of Thursday's protest march shattered by a deadly sniper attack, members of Dallas's black community have begun the work of regrouping and taking charge of their future. About a thousand people gathered late Sunday at the Friendship-West Baptist Church, called together by the Next Generation Action Network, which had helped organize the march. The protest ended in bloodshed, with five police officers killed, nine wounded and two civilians also hurt after a lone gunman ambushed police. African American activists, entrepreneurs and elected officials at the townhall meeting weighed potential next steps and how to build a movement. But the evening was also dominated by ordinary people who had come to express their exasperation. They spoke about police brutality and Eric Casebolt, a white policeman who, in June 2015, violently slammed a 15-year-old black girl to the ground at a party deemed too noisy and drew a gun on two of her friends. None of them was armed. A grand jury decided last month not to indict the officer from the Dallas suburb of McKinney, TX, touching off a wave of indignation. Others acknowledged that the problem had less to do with the Dallas Police Department, which has made significant reforms in recent years, than with law enforcement in surrounding towns. Dallas Police Chief David Brown, who has received plaudits, still came in for his fair share of criticism. Early on as the situation developed, his department tweeted out a photograph of a man later identified as Mark Hughes, who had been carrying an assault-type rifle at the protest, as a suspect before reversing themselves. His image was beamed around the world. "Everybody is singing Kumbaya for the chief of police," said Mark's brother Cory Hughes, one of the organizers of Thursday's march. Brown "put my brother out there and he has yet to pick up the phone and call us, to send an email, to send out a tweet, and we can't even go home!" Hughes said, noting the family has received numerous death threats. Story continues - A plan of action - Other participants in the meeting said the problems go beyond law enforcement. In some suburbs of Dallas, blacks and Hispanics are not welcome, according to activist Carlos Quintanilla, president of Accion America. He pointed to Garland and Arlington, while others mentioned Duncanville. And in neighborhoods heavily populated by blacks, rats run rampant in school cafeterias, while mold spreads in classrooms. Participants pointed to South Oak Cliff High School, which Brown himself attended several decades, as one such school. Dominique Alexander, the charismatic founder of the Next Generation Action Network, spoke of a "school-to-jail pipeline" in majority black, poor neighborhoods. Wearing a T-shirt emblazoned with the name of late boxer and civil rights activist Muhammad Ali, senior pastor Frederick Douglass Haynes III called for far-reaching answers. "There are wounds to our souls," said Haynes, who paid tribute to the slain officers. "We can't settle for another Kumbaya moment that is superficial," he said. "We need a plan of action." Civil society representatives urged people to vote, contact their elected leaders, to be present when movements get started and make donations. "I get a hundred likes when I post a picture" on Facebook, said a young woman with long braids. "I get four when I say come to this townhall meeting." The gathering set a date for the next city council meeting on August 3 and called for another townhall on Thursday in the hopes of setting something in motion. After Thursday's killings, "Dallas is ground zero for change," said Royce West, a Democrat in the Texas Senate. "We don't have a choice," he told AFP. "The loss of lives of officers and citizens, it creates the perfect storm for change." Dallas Police Chief David Brown said Monday that the number of officers wounded by bullets or bullet fragments exchanging gun fire with alleged shooter Micah Johnson in last weeks attack is now nine, up from seven. The death toll stands at five officers, he said. He also updated the number of officers who engaged with the suspect to 11 officers who fired on him plus two officers who rigged the explosive device to the robot that eventually blew Johnson up. Johnson said the decision to use the robot was made very quickly, within 15 to 20 minutes. Hed already killed us. He was telling us how many more he wanted to kill. Id do it again, Brown told reporters at Dallas police headquarters. Id use any tool necessary to save officers lives. The robot used was a Remotech Andros Mark V-A1, purchased by the DPD in 2008 for $51,000. An extension arm was damaged by the explosion, but it otherwise remains functional. Read More: When Can Police Use a Bomb Robot to Kill a Suspect? Brown said the Johnson family was cooperating with authorities and that he was particularly concerned with the amount of explosives found inside Johnsons home. He knew what he was doing. On the laptop, the evidence collected, there are questions on how he learned thathe didnt learn it in the military, Johnson said. Johnson said Dallas police were working with the FBI to decipher the bloody initials RB that Johnson drew on the wall of the parking lot before he died. Brown said police are still sorting through 170 hours of body camera footage as they try to piece together a timeline of the attack. They expect to release a timeline in the coming days. Downtown Dallas traffic was snarled Monday morning as commuters tried to navigate around a 25-square block crime scene that police anticipate will remain closed until at least Wednesday. Overall, Brown emphasized that Dallas has the best police department in the nation, noting that 2015 say the 12th consecutive year of crime reduction, to a 50-year-low level of crime. He particularly noted that the departments community policing effort had helped reduce excessive force complaints against police by 67 percent. When asked what young black men can do to overcome their fear of cops, Brown told them to become cops. Get out of that protest line, he said. Were hiring Well help you solve some of the problems youve been protesting about. Brown said it would be the last time he anticipates speaking to the press for several days as the police force as a whole turns to burying their dead and mourning as a community. (Recasts with details on use of robot) * Gunman asked negotiators how many people he had shot * Gunman 'disappointed' with military experience -mother By Ernest Scheyder DALLAS, July 11 (Reuters) - Dallas police improvised when they decided to use a robot typically deployed to inspect potential bombs to instead deliver one to kill a gunman who had slain five officers at a march against police violence, the city's police chief said on Monday. Police used a Northrop Grumman Corp Mark5A-1 robot equipped with explosives to kill black former U.S. Army Reserve soldier Micah Johnson, 25, after concluding during an hours-long standoff there was no safe way of taking him into custody, Dallas Police Chief David Brown said. "They improvised this whole idea in about 15, 20 minutes," Brown told a news conference. "I asked the question of how much (explosives) we were using, and I said ... 'Don't bring the building down.' But that was the extent of my guidance." The incident is believed to have been the first time U.S. police have killed a suspect this way, and some civil liberties advocates said it created a troubling precedent. But Brown said that when faced with a man who had already killed five officers, wounded nine other officers and told negotiators he wanted to kill even more, "This wasn't an ethical dilemma for me." Explosives found at Johnson's home suggested he had been plotting a larger assault, according to authorities who were still trying to understand a message he wrote in his own blood - the initials "R.B." - on a wall before being killed by the bomb-equipped robot. The attack on Thursday night came at the end of a demonstration decrying police shootings last week of two black men in Baton Rouge, Louisiana, and near St. Paul, Minnesota. Those were the latest in a series of high-profile killings of black men by police in cities including New York, Ferguson, Missouri, Chicago and Baltimore that have triggered protests. Baton Rouge District Attorney Hillar Moore on Monday recused himself from the investigation into last Tuesday's fatal shooting outside a convenience store of Alton Sterling, 37, by police officers responding to a call saying he had threatened someone with a gun. Moore said he has known the parents of one of the officers for many years and has worked with the officers on programs and projects. Story continues Hundreds of people were arrested over the weekend as new protests against the use of deadly force by police flared in U.S. cities. Scores of people were arrested in Baton Rouge on Sunday after authorities said violence during street demonstrations would not be tolerated. GUNMAN 'DISAPPOINTED' WITH MILITARY Johnson had served with the U.S. Army Reserve from 2009 to 2015 and was deployed to Afghanistan from November 2013 to July 2014. He had been "disappointed" in his experience in the military, his mother told TheBlaze.com in an interview shown online on Monday. "The military was not what Micah thought it would be," Delphine Johnson told The Blaze. "He was very disappointed. Very disappointed." She did not give details. The Dallas police chief, who is black, urged people upset about the conduct of police to consider joining his police force. "Become a part of that solution. Serve your communities. Don't be a part of the problem. We're hiring," Brown said. "Get off that protest line and put an application in, and we'll put you in your neighborhood, and we will help you resolve some of the problems you're protesting about," he added. Brown said police will be reviewing more than 170 hours of video from police body cameras relating to Thursday's shootings as well as surveillance videos from surrounding businesses. In Baton Rouge, protesters faced off with police officers wearing gas masks on Sunday evening. Media, citing Baton Rouge police, reported that at least 48 people were taken into custody after demonstrators clashed with police following a peaceful march to the state capitol. In St. Paul, 50 people remained in jail on Monday after they were arrested on Saturday night when they blocked a highway during protests. City Attorney Samuel Clark said his office would decide by late on Monday whether to bring charges against any of them. About 300 protesters blocked traffic on an interstate highway in St. Paul on Saturday to protest the fatal shooting of Philando Castile, 32, during a traffic stop. (Additonal reporting by Jon Herskovitz in Austin, Texas and Fiona Ortiz in Chicago; Writing by Daniel Wallis and Scott Malone; Editing by Frances Kerry and Will Dunham) Many of the speeches were eerily the same. The speakers, the thoughts, the frustration and anger all the same. But what was different at a meeting of the Next Generation Action Network the lead group that sponsored Thursdays night Black Lives Matter rally in Dallas was that five police officers had died and seven were wounded protecting many of the people in the crowd Sunday night at Friendship West Baptist Church in southern Dallas. I aint anti-police, said Fredrick Douglass Haynes, the pastor at Friendship West. Its about a system that needs to be corrected. While most speakers expressed regret and condolences for the officers who had lost their lives or were wounded, many felt that such violence was inevitable. And while they condemned the alleged shooter Micah Johnson, they also said he was Exhibit A of the problem. Micah, God rest his soul, no excuse. Its horrible, but hes homegrown, Haynes said. You reap what you sow when youre the most violent nation on the earth. Echoed Dominique Alexander, the head of NGAN: We should look also at what got him there, and address the issues. What got him there is the failure of our government to serve the veterans, he said. They train them to be vicious and then throw them out on our streets. Johnson, 25, served a tour in Afghanistan before being honorably discharged from the Army. Before an audience of nearly 1,000 mostly African Americans, the group held two discussions. The first looked at policy changes and things everyone can do to make things better. Ideas ranged from joining nonprofits; attending city council meetings even when theres nothing on the agenda to show numbers and interest; to voting for Republican candidates, when it makes sense to become all-powerful swing voters, and to have a voice in places like Texas, where Republicans have control of most of the government. Story continues As long as we vote for the same people all the time, were not going to have any power, said Pastor Juan Price. Dont vote for [Donald] Trump, his fellow panelist state senator Royce West said, to laughter. Well, not Trump, no, Price said. But Im independent. I listen to the issues, I dont get caught up in that party stuff. But their top recommendation: love. All five people on the panel agreed that the first step to change was love: thats what missing in our community. We dont know how to love each other, Price said. The second panel talked about grassroots organizing and included Mark Hughes, the man Dallas police falsely accused as a suspect and later cleared, as well as Adrian Taylor, whose 19-year-old son Christian was shot and killed by a Dallas police officer last year. The panel also included representatives from the Nation of Islam, a mental-health group and a Latino group to talk about common areas of interest and bringing many groups together to address problems of criminal-justice reform. But after two hours of sedate panels, a long line formed full of angry people yelling to be heard. They demanded to know what answers panelists had to stop police brutality, racial profiling and economic disparity. As each fuming questioner refused to be silenced by the moderator, the audience roared its approval. We had a community meeting, but we didnt hear anything from the community, said LaShadion Anthony, Dallas Action Coalition. We were told we cant effect change in 24-hours. If we stand up all together we can get change We had a panel about policies but we didnt discuss any policies. Amen! Amen the audience called. The anger and frustration was the same as on Thursday. But this time, when it boiled over, it was thankfully only in raised voices and not a snipers bullets. By Brian Thevenot and Erwin Seba DALLAS (Reuters) - The U.S. military veteran who fatally shot five Dallas police officers was plotting a larger assault, authorities said on Sunday, disclosing how he also taunted negotiators and wrote on a wall in his own blood before being killed. Micah X. Johnson improvised instead and used "shoot-and-move" tactics to gun down the officers during a demonstration on Thursday evening, Dallas Police Chief David Brown told CNN. It was the deadliest day for U.S. law enforcement since the Sept. 11, 2001, attacks. Brown said a search of Johnson's home showed the gunman had practiced using explosives, and that other evidence suggested he wanted to use them against law enforcement. "We're convinced that this suspect had other plans," he said, adding that last week's fatal police shootings of two black men in Minnesota and Louisiana led the 25-year-old Texas shooter to "fast-track" his attack plans. Johnson, a black veteran who served in Afghanistan, took advantage of a spontaneous march that began toward the end of the protest over those killings. Moving ahead of the rally in a black Tahoe SUV, he stopped when he saw a chance to use "high ground" to target police, Brown said. Before being killed by a bomb-equipped robot, Johnson sang, laughed at and taunted officers, according to Brown, telling them he wanted to "kill white people" in retribution for police killings of black people. "He seemed very much in control and very determined to hurt other officers," the police chief said. SURPRISE ATTACK Brown said police had been caught off guard when some protesters broke away from Thursday's demonstration, and his officers were exposed as they raced to block off intersections ahead of the marchers. Johnson's military training helped him to shoot and move rapidly, "triangulating" his fire with multiple rounds so that police at first feared they were facing several shooters. Brown defended the decision to use a robot to kill him, saying that "about a pound of C4" explosive was attached to it. He added Johnson scrawled the letters "RB" in his own blood on a wall before dying. "We're trying to figure out through looking at things in his home what those initials mean," the police chief said. The U.S. Department of Defense and a lawyer who represented Johnson did not return requests for information on his military history or the status of his discharge. Several members of Johnson's former Army unit, the 420th Engineer Brigade, exchanged comments on Facebook. "Makes me sick to my stomach," wrote one, Bryan Bols. Speaking at a local hospital, wounded mother Shetamia Taylor sobbed as she thanked police who shielded her and her son as the bullets began to fly. At the Cathedral Shrine of the Virgin of Guadalupe in downtown Dallas, Roman Catholic parishioners gathered on Sunday for their weekly service and to remember the fallen officers. "I would like you to join me in asking: 'Who is my neighbor?'" the Rev. Eugene Azorji, who is black, told the congregation. "Those who put their lives on the line every day to bring a security and peace, they represent our neighbor." A candlelight vigil is due to be held at 8 p.m. on Monday in Dallas City Hall plaza. PROTESTS AND ARRESTS The mass shooting amplified a turbulent week in the United States, as the issues of race, gun violence and use of lethal force by police again convulsed the country. Even as officials and activists condemned the shootings and mourned the slain officers, hundreds of people were arrested on Saturday as new protests against the use of deadly force by police flared in several U.S. cities. Particularly hard hit was St. Paul, Minnesota, where 21 officers were injured as police were pelted with rocks, bottles and fireworks, officials said. Protesters faced off with police officers wearing gas masks on Sunday evening in Baton Rouge, Louisiana. Three countries have warned their citizens to stay on guard when visiting U.S. cities rocked by the protests. Speaking in Madrid during a European tour, U.S. President Barack Obama said attacks on police over racial bias would hurt Black Lives Matter, a civil rights movement that emerged from the recent police killings of African-Americans but has been criticized for vitriolic social media postings against police, some of them sympathetic to Johnson. "Whenever those of us who are concerned about failures of the criminal justice system attack police, you are doing a disservice to the cause," the United States' first black president told a news conference. (Additional reporting by Ernest Scheyder, Jason Lange, David Bailey, Ruthy Munoz and Lisa Garza; Writing by Daniel Trotta and Daniel Wallis; Editing by Paul Simao and Peter Cooney) Police said intensity of violence was less on Monday though protesters overran deserted Special Operation Group (SOG) camps at Litter and Lasipora in Pulwama district of south Kashmir. Police sources said of the 30 killed, 12 people were from Anantnag, nine from Kulgam, three from Pulwama, four from Shopian and one from Srinagar. By Naseer Ganai: Three days after the killing of Hizbul Mujahedeen commander, Burhan Muzaffer Wani, Kashmir continues to remain on boil with the police sources confirming nine more killings taking the death toll to 30 including one policeman, who drowned, after protesters pushed his vehicle into a river. However, the police spokesman maintains that death toll is 23. Police said intensity of violence was less on Monday though protesters overran deserted Special Operation Group (SOG) camps at Litter and Lasipora in Pulwama district of south Kashmir. At Sopore in north Kashmir an empty police post was set ablaze by the angry protesters. In Srinagar, clashes broke out at several places with protesters throwing stones and bricks at the police and paramilitary forces. The security forces fired tear smoke shells, pepper gas and resorted to aerial firing in retaliation. Curfew and restrictions continued to remain in force in south Kashmir, Srinagar and various districts of north Kashmir. advertisement Two persons were wounded when paramilitary forces, according to eyewitnesses, opened fire at the protesters, who defied curfew, at Zirpora in Bijbehara area of south Kashmir on Monday. The wounded were shifted to Srinagar for treatment. According to eyewitnesses, police beat up the people carrying the injured in the ambulance. Police sources said of the 30 killed, 12 people were from Anantnag, nine from Kulgam, three from Pulwama, four from Shopian and one from Srinagar. Police sources said there was no proper record of the wounded but the number of the civilian protesters could be in hundreds. They said the number of injured policemen were 150. A police spokesman said the situation in the Valley remained under control though incidents of arson and mob attacks were reported at some places. "Police and paramilitary personnel deployed exhibited maximum restraint while dealing with the situation," the spokesman said. HOSPITAL SHELLED As Jammu and Kashmir Police fired tear gas shells inside the main hospital of Kashmir, SMHS Hospital, on Saturday evening, Doctors Association Kashmir said it was a senseless act of unspeakable brutality. "This is a wanton disregard to international humanitarian law under which medical facilities and personnel must be protected. It is sickening that doctors and hospitals are attacked by those who are meant to protect them. Hospital staff was beaten and ambulances were damaged by forces while they were ferrying critically injured patients and this act of madness is highly condemnable," the DAK said. GEELANI ASKS PEOPLE NOT TO ATTACK POLICE STATIONS Senior separatist leader and the chairman of Hurriyat Conference, Syed Ali Geelani, in spite of his hawkish image have appealed people not to attack police stations and police posts. He said attacks on police stations could provide an excuse to the government forces to open fire. Geelani said the way people showed their resentment against India since Friday evening was an eye-opener for India and the world community. "People of Kashmir are against illegal occupation of India in Kashmir," Geelani said. He accused the government of spoiling peace in Kashmir and rejected claim of the government that protesters are forcing security men to resort to firing and said Indian soldiers were indulged in proactive actions. The separatist political parties have extended strike for two more days. advertisement DON'T CONVERT KASHMIR THEATRE FOR UP ELECTIONS The main opposition party, National Conference, provincial president, Devender Singh Rana, Monday accused the BJP of indulging in petty politics of dividing various segments of society, not only to conceal its own failures but with an eye on forthcoming UP elections. He cautioned the BJP against converting the Jammu and Kashmir as hate-theatre and said misadventure could have serious political and strategic ramifications for the sensitive state. ALSO READ: Kashmir unrest: Pakistan provokes by calling for plebiscite, terror czars meet in PoK --- ENDS --- Dallas (AFP) - As America reeled from a week of violence pitting police officers against civilians, Dallas Police Chief David Brown came to personify the nation's trauma. After a black army veteran killed five white officers at a peaceful march in the Texas city, the 55-year-old police chief, who is African-American, delivered a simple but poignant message: "We are heartbroken," he said. "This must stop. This divisiveness between our police and our citizens." Brown's own story, marked by personal tragedy, encapsulates the painful tensions surrounding policing, race and gun violence in America. Shortly after Brown took the helm of the Dallas police force in 2010, his 27-year-old son, David Brown Jr, fatally shot an officer and another man while high on drugs, before being killed by police. The city's top cop and Dallas native -- who was moved to join the force to confront a crack cocaine epidemic in his own inner city neighborhood -- also lost both his brother and a former police partner to gun violence. "That man went through a lot," said Ron Franklin, one of the many Dallas residents come to pay tribute at a memorial outside the police headquarters. "I can feel his pain. He's trying to do the best that he can do." Despite the blows he has suffered, Brown is steadfast in his drive to help mend the nation's fraying social fabric and burnish the image of law enforcement. "Police officers are guardians of this great democracy," Brown said Friday, the day after the atrocity. "The freedom to protest, the freedom of speech, the freedom for expression. All freedoms we fight for with our lives; it's what makes us who we are as Americans." - 'He's human' - As police chief, Brown has gained national recognition for his progressive approach to law enforcement, working to cultivate more amicable relations between cops and community members. "He's human. He understands a lot of different sides. Not just his own. Not just from a police perspective," said Julie Gavran, the southwest director of the organization Keep Guns Off Campus. Story continues "He's got a lot of experience and I think he brings that to the course, and that opens dialogue that's much needed," said the gun control activist. Despite facing initial internal reluctance, Brown has geared the force away from violence as a first resort, training cops with simulation exercises to de-escalate confrontation without using their weapons. Department statistics have shown a steady decline in the number of officer-involved shootings since 2012, which last year dropped to their lowest level in a decade. "Our chief in the last couple of years has done great things to strengthen the community and the relationship with the police department," said Gavran. - Words aren't enough - This increasing conviviality was evident Thursday, as police and residents shook hands and took selfies in the hours before gunman Micah Johnson opened fire, turning the demonstration into a chaotic combat zone. In the wake of the attack, Brown came to appear, as he briefed a stunned public on the tragedy in Texas, more like a political leader than a cop. "We are not going to let a coward who ambushed police officers change our democracy," Brown said. "Our city, our country, is better than that." University of Iowa sociologist Jessica Welburn said the fact that Brown is black man leading a major police force of 3,500 officers marked a "step in the right direction." "He definitely seems to have experienced each side of the situation," Welburn said. "He definitely recognizes the position he is in." She was less sure, though, whether Brown's words can really help heal the wounds that have given rise to the Black Lives Matter protest movement. "The chief only has so much power. His words can only go so far." As for being thrust into the spotlight, Brown's message has been one of humility. "This city has embraced me as its police chief," he said on CNN's "State of the Union." "I have always felt a sense of urgency about delivering police service. "But I never wanted this job to be about me." A black trauma surgeon who treated some of the Dallas police officers shot last week says he is struggling to come to terms with the killings as a member of the African-American community. I understand the anger and frustration and distrust of law enforcement, but they are not the problem, Dr. Brian H. Williams said Monday during an emotional press conference at Parkland Memorial Hospital. The problem is the lack of open discussions about the impact of race relations in this country. And I think about it every day that I was unable to save those cops when they came here that night. Five police officers died and 11 others including nine officers were wounded Thursday night in downtown Dallas by a lone gunman during a protest over recent fatal shootings of African-American men. This killing, Williams said, it has to stop. Black men dying, being forgotten. People retaliating against people that are sworn to defend us. We have to come together and end all this. Williams, a former U.S. Air Force engineer, said last weeks police shootings of black men in Louisiana and Minnesota deeply affected him. I think the reasons are obvious, Williams said. I fit that demographic of individuals. But I abhor what is being done to these officers, and I grieve with their families. Still, Williams said, hes conflicted. Its much more complicated for me because its not just about that one night, its about the racial undertones that affect and impact all of this, he said. So it began for me much longer before those cops came through the door that evening. I dont know what Im going to do about that. Parkland Hospital Dr. Brian Williams: When I see police officers eating at a restaurant, I pick up their tab" pic.twitter.com/JGRZVbMKnv Fox News (@FoxNews) July 11, 2016 Dr. Alex Eastman, the medical director of Parklands trauma unit, said the shootings rocked some guys to their core that I thought were unshakable. Story continues Williams, who was on ER duty Thursday night, said he is one of them. Right now it certainly is a struggle, he said. Theres a dichotomy where I stand with law enforcement but I also personally feel and understand that angst that comes when you cross the paths of an officer in uniform and youre fearing for your safety. Ive been there and understand that. But for me that does not condone disrespecting or killing police officers. Its something Im dealing with constantly. And I truly dont know what Im going to do next. The 47-year-old surgeon said he has tried to prevent his daughter from harboring the same feelings about law enforcement by doing simple things like picking up their tabs at restaurants and buying police officers ice cream in front of her. I want my daughter seeing me interacting with police that way so that she doesnt grow up with the same burden that I carry, Williams said. And I want Dallas PD to see me, a black man, and understand that I support you, I will defend you and I will care for you. He added: That does not mean that I do not fear you. That doesnt mean, if you approach me, I will not immediately have a visceral reaction and start worrying for my personal safety. But Ill control that the best I can. The daughter of a notorious British murderer Ian Huntley known as the Soham killer said she only discovered the identity of her father after doing research for a school project when she was 14. For a citizenship class, Samantha Bryan, who is now 18, was assigned to research notorious crimes in relation to her town Grimbsy, in the north of England. It was only when Google returned a photo of herself and her mother that Bryan realized her father was the killer of two 10-year-old girls, Holly Wells and Jessica Chapman, the Telegraph reports, citing an article in the Mail on Sunday. It was like being thumped in the chest, Bryan reportedly said. I began to shake, I couldnt stop the tears. Huntley was convicted in 2002 of murdering the two schoolgirls in Soham, in the English county of Cambridgeshire, and given two life sentences. The two girls went missing on Aug. 4, 2002, and after U.K. police launched one of the largest inquiries ever mounted, their bodies were found 13 days later on Aug. 17. [Telegraph] Jerusalem (AFP) - Israel this week marks a decade since its 2006 war with its "main enemy" Hezbollah, but the Lebanese militia's involvement in Syria has made another conflict less likely for now. The war sparked by Hezbollah's capture of two Israeli soldiers on July 12, 2006 is viewed negatively in Israel, with analysts saying there was a lack of a clear strategy. Chief of Staff Gadi Eisenkot, who was head of operations at the time, posted an open letter on Sunday paying tribute to the troops involved, and also saying lessons had been learned. "The threat from Lebanon still exists and contains many challenges, and necessitates the (Israeli military) to be prepared for any scenario," Eisenkot wrote. Earlier this year, he described the Iran-backed Hezbollah as Israel's main enemy, and deputy chief of staff Yair Golan said in April that the Shiite militia's improved capabilities were worrying. Golan also warned that in any future crisis "it's going to be full-scale war". He said that because of the presence of Hezbollah fighters in civilian areas, "there is no other way to take out this threat without really creating large damage to the Lebanese infrastructure, to Lebanese houses and other civilian facilities". Israel's military believes Hezbollah has between 100,000 and 120,000 short- and medium-range missiles and rockets, as well as several hundred long-range missiles, with the medium-range missiles capable of reaching Tel Aviv. - Objectives not met - But now the group's focus is on the war in Syria where it is fighting in support of President Bashar al-Assad. It has sent thousands of fighters to help regime forces battle rebels and jihadists. "For now, Hezbollah's attention is on Syria," said Phillip Smyth, an adjunct fellow at the Washington Institute for Near East Policy. "It's hard for them to open another front against a markedly superior foe like the Israelis, especially as they have to deal with fighting a multitude of foes across Syria." Story continues Amid the chaos in Syria, Israel has also acknowledged attacking dozens of convoys there that were transporting weapons destined for Hezbollah. Israel occupied parts of Lebanon for 22 years until 2000, with Hezbollah claiming credit for its withdrawal following persistent guerrilla attacks, and the two countries are still technically at war. The 34-day conflict in 2006 led to the deaths of 1,200 people in Lebanon, mainly civilians, and 160 Israelis, mostly soldiers. It began when Israel retaliated over a cross-border raid in which Hezbollah captured two Israeli soldiers and killed three, and quickly spiralled into a fully fledged war. Hezbollah fired thousands of rockets into northern Israel, which carried out devastating strikes across Lebanon. Many people in Israel considered the massive ground and air war on Lebanon to be a failure because it did not halt Hezbollah rocket fire or recover the two captured soldiers. - 'Serious missed opportunity' - Their bodies were returned two years later in exchange for the release of five Lebanese prisoners. Then prime minister Ehud Olmert and military leaders at the time faced heavy criticism. A key government report on the war called it a "serious missed opportunity" for Israel, saying there was a lack of planning and no clear exit strategy. The so-called Winograd report highlighted the controversial ground offensive launched in the final days of the war, while the United Nations was brokering a ceasefire agreement, saying it did not achieve its objectives. "I think it's still viewed as a blemish on the IDF for not being prepared for it," said Efraim Inbar, director of Israel's Begin-Sadat Centre for Strategic Studies, referring to the Israeli military. "A lot of confusion at the highest echelons in the military. And also the political strategic leadership failed," he told AFP. But as the years have passed, some in Israel have adopted a more forgiving view, noting the relative quiet along the Lebanese border even before the Syrian war began in 2011 and drew in Hezbollah, said Jonathan Spyer, director of Israel's Rubin Centre for international affairs research. There are concerns, however, over whether Hezbollah could benefit from its fighting experience in Syria, becoming more battle-hardened and knowledgeable after having fought on the same side as Russia, which also backs Assad. Spyer said the likelihood of another conflict with Hezbollah was low until the Syrian war ends. But he also noted that "Hezbollah remains committed to the destruction of Israel" and that the militia is "much stronger now than it was back in 2006". To keep up with Democracy Lab in real time, follow us on Twitter and Facebook. In Democracy Labs twelfth anti-corruption case study, Maya Gainer tells the story of how Kenya cleaned up its courts. (Check out the rest of the series, republished by our friends at the Legatum Institute.) Jeffrey Smith takes Washington to task for cozying up to the unsavory regime in Djibouti just to keep its African drone base. Rick Rowden analyzes recent signs that the IMF may be reconsidering some of the neoliberal economic policies it has pursued for decades. Nicholas Borroz and Hunter Marston push back against the impression that democracy in Southeast Asia is in a downward spiral. And now for this weeks recommended reads: SyriaUntold is launching a new project, Cities in Revolution, which tells the story of the Syrian uprising in five cities through stories, photographs, videos, and other materials. In Politico Magazine, Janine Di Giovanni bemoans the failure of American presidential candidates to propose any solutions for ending the war in Syria. Michael Bratton and Eldred Masunungure write for Foreign Affairs about what Zimbabweans can expect from the impending post-Mugabe era. The Washington Posts Jackson Diehl slams the Obama administration for its silence about Egypts detention of a U.S. citizen. Also in the Post, Michael Birnbaum reports on how Polands public broadcaster misled its audience about President Obamas criticism of the countrys democracy. At OpenDemocracy, a group of prominent Nicaraguans publish an open letter expressing concern about the fairness of upcoming elections. In the New York Times Magazine, Maggie Jones tells the story of a Guatemalan forensic anthropologist who is helping secure justice for the victims of the countrys civil war. In the Global Anticorruption Blog, Matthew Stephenson announces the publication of a new set of case studies about special anti-corruption courts in Indonesia, the Philippines, Slovakia, and Uganda. Story continues In a paper for the Carnegie Endowment for International Peace, Sarah Chayes finds common features in the corrupt networks of three Eurasian countries Azerbaijan, Kyrgyzstan, and Moldova. And finally, Foreign Policys James Traub asks whether the democratic West is giving up on liberal democracy. In the photo, opposition Movement for Democratic Change supporters demonstrate against the Zimbabwean government on May 28 in Bulawayo. Photo credit: ZINYANGE AUNTONY/AFP/Getty Images By PTI: Vijayawada, July 10 (PTI) After Singapore, Japan and China, Kazakhstan will be the fourth country that will partner with Andhra Pradesh in building its new capital city Amaravati. Astana, Kazakhstans capital, and Andhra Pradesh will sign a memorandum of understanding "in a few months from now" on working together on building Amaravati while a joint working group with representatives from both sides will be soon be constituted to "work closely and share experiences to build a world-class capital", stated a release from the Chief Ministers Office here. advertisement Chief Minister N Chandrababu Naidu, on the second-day of his visit to Kazakhstan, today held talks with Astana Mayor Asset Issekeshev on the capital construction, the release said. "Astana is a very young, modern and functional city. I am very impressed by the way it has been built. I have seen almost the entire city and found it very beautiful," Naidu told Issekeshev. The CM asked the Mayor to share details about the architects, designers and builders involved in the construction of Astana. The Mayor said, "More than 150 architects worked for ten years on the Astana project. We will work with you (in building Amaravati). We will share our experiences in building Astana so you could learn and avoid the mistakes we committed". Stating that he was interested in "working closely" with Astana, Naidu invited the Mayor to visit Amaravati. "We have to chalk out a roadmap on how to take our co-operation forward. A clear time frame is needed for this," the CM said. Issekeshev said they were keen on building a relationship with AP that would be "beneficial for both of us". (More) PTI DBV NSK RG SRE --- ENDS --- Philando Castiles gun was absolutely not visible, when he was fatally shot by a police officer in suburban Minnesota last week, Castiles girlfriend Diamond Reynolds told Yahoo Global News Anchor Katie Couric on Monday. Reynolds and her 4-year-old daughter, Dae-Anna, were in the car with Castile when St. Anthony Police Officer Jeronimo Yanez opened fire on him during a traffic stop. A graphic video that Reynolds livestreamed to Facebook in the immediate aftermath of the shooting has been viewed by millions. In the interview with Couric, Reynolds and lawyer Larry Rogers countered the claim made by Yanezs attorney over the weekend that the officers decision to use deadly force against Castile had nothing to do with race, and everything to do with the presence of a gun. While she maintains that Castile informed Yanez of the fact that he was armed and licensed to carry a concealed weapon, Reynolds said that the gun her boyfriend carried with him everywhere was not visible to anyone at the time of the shooting. It was concealed and the officer took matters into his own hands, Reynolds said. Its exactly this kind of dispute over the details of what happened that Reynolds said motivated her to use the last 10 percent of battery life left on her phone and start livestreaming from the passenger seat, despite being terrified that Yanez might shoot her next. I knew with this police officer it was going to be his word against my word, she said. Had I not started livestreaming that video, who is to say I wouldnt have been executed the way my boyfriend was? Rogers further suggested that if Reynolds had chosen to simply record a video of the incident rather than stream it directly to Facebook, Castiles death might never have even made the news, as Reynolds phone was eventually confiscated by the police. Its a sad state of affairs when people who have been victimized by the police have been ignored and it has taken the advent of the cell phone and instantaneous recording for people to believe what theyve been claiming, Rogers said. Thats what lead Diamond to take out her phone, the feeling that she would not be believed. As of Friday, when NATO concluded its most important gathering since the Cold War ended, the North Atlantic alliance has a full menu of new tasks. So does Barack Obamas successor. With the Warsaw summit, Obamas foreign policy legacy is now complete in outline -- and theres more mess than success in it. The administration has just has elevated Cold War II to official policy across both oceans. The Warsaw summit confirms Russia as implacable enemy No. 1, while Defense Secretary Carters recent campaign to up the military ante in the western Pacific casts China as a close No. 2. Related: NATO-Russia Council to meet in possible move to ease tensions Barack Obama, the peacenik president, turns out to be highly proficient at cultivating enemies. In both cases, the administration has left immense room for cooperation on questions of shared concern more or less unexplored. Obama was eager-on-the-way-to-desperate to project an image of unity among NATOs 28 members when the Warsaw proceedings concluded. But by misreading the momenta habit of his on the foreign sideObama has made worsening divisions in transAtlantic relations another certain aspect of what he will leave behind. Yes, Britains June 23 vote to leave the European Union was a bad backdrop for a gathering intended to reaffirm NATOs traditional tasks and define new ones. From its beginning in 1949, the AngloAmerican relationship has been the steel beam holding up the alliance. NATO But theres no putting the Warsaw summits very mixed outcome down to the Brexit. What transpired in the Polish capital reflects two realities: This administration has overplayed its hand for years, and it consistently pretends to success while creating uncertainty and resistance among traditional allies. Related: Brexit Anxiety Eats Into NATO Summit Well before Warsaw, NATO had trouble persuading members to participate in plans to rotate troops through Poland and the Baltic states, as announced earlier this year. Why did Washington fail to read the reluctance as a warning signal? Story continues As now agreed, the U.S. will deploy a battalion in Poland, and Germany will send one to Lithuania. A British battalion goes to Estonia, and Canada sends one to Latvia. About 4,000 soldiers will deploy, but it was a tooth-pull until shortly before the NATO convention. Lurking beneath a veneer of unity was growing evidence in Warsaw of fissures within Europe that go beyond the highly visible split with Britain, The New York Times reported Sunday. France, Italy, Finland, and even Germany, all long restive with the sanctions regime the U.S. maintains against Russia, are showing signs of wavering, as The Times reported. Nobody put this better than FrankWalter Steinmeier, the Social Democratic foreign minister in Chancellor Merkels coalition cabinet. We dont want a Cold War, Steinmeier pointedly told reporters at a press conference in Warsaw Saturday. Rather, were putting dialogue alongside our defense readiness. As a result, the summit agreed to schedule a NATORussia Council meeting as early as next week. Related: NATO Takes Over U.S.-Built Missile Shield, Amid Russian Suspicion Were now watching a case of schizophrenia develop within NATO. While many Continentals urge diplomatic engagement, Washington and Moscow are taking turns expelling each others diplomatsa Cold War classic if ever there was one. As during Cold War I, theres little evidence that NATOs hawks are able to register how the alliances new deployments, which includes a newly operational missile-defense system, appear from the other side. NATO poses no threat to any country, Jens Stoltenberg, NATOs (Norwegian) secretary-general, said in Warsaw. We do not want a new Cold War. We do not want a new arms race. And we do not seek confrontation. Leaving aside whether or not this is plausible, good statecraft requires an understanding of Russias perspective. NATO has begun preparations for escalating from the Cold War into a hot one, Michail Gorbachev, who still commands respect in the West, said in reacting to the alliances newly agreed deployments. Thats a mild expression of the view from Moscow. One can only wish President Obamas successor a very good time as he or she negotiates a path through this minefield. A day before leaving for Warsaw, Obama announced that contrary to earlier plans, he would leave 8,400 troops in Afghanistan, in part to encourage other NATO members to make commitments as Kabul loses ground in the fight against the Taliban. Related: Burden Sharing Woes to Cloud Obama's Trip to NATO Summit With Stoltenbergs help, Obama got the alliance to continue funding the Afghanistan campaign through 2020. But look at the figure: $1 billion a year is taxi fare. (Based on responsible estimates published last year, total costs are now well more than $1 trillion.) In effect, Afghanistan is likely to prove another case of Washington isolating itself undulyand another headache for Obamas successor. In The Hague, an international tribunal is to rule this week in a case the Philippines brought against China in 2013apparently at thenSecretary of State Clintons urgingto resolve conflicting maritime claims in the South China Sea. Beijing made clear nearly two years ago that it doesnt respect the tribunals jurisdiction. So if the point is to further alienate the Chinese and raise tensions in the region another notch, the exercise will prove a success; nothing else will be accomplished. The court decision will follow several months of steady visits to the region by Defense Secretary Carter and top naval commanders, each of whom further inflamed tensions over how sovereignty and territorial questions are to be resolved and how maritime security is to be maintained in the western Pacific. Its bad strategy and worse tacticsespecially since Manila had earlier agreed to negotiate its differences with Beijing bilaterally. In the postObama years, we may find that Asians are not quite as desperate as Obamas people are to see a standoff between Washington and Beijing. Indeed, Rodrigo Duterte, the flamboyant pol Filipinos elected president in May, wasted no time signaling his willingness to negotiate with the Chinese. Its a record of misjudgment the next occupant of the White House will have a tough time correcting, as the NATO summit in Warsaw reminds us. Top Reads from The Fiscal Times: This broken hearted Chow Chow has learned to love again after he was surrendered to a local animal shelter, and spent days staring despondently at the wall. Read: Melancholy Rescue Dog Learns to Trust After Spending Weeks Staring at Wall, Unresponsive Oso was abandoned at the Downey Animal Care Center earlier this month, Maria Rosales of the Los Angeles Department of Animal Care and Control told InsideEdition.com. Although owners never said why they were leaving their 8-year-old dog at the shelter, officials believed his treatable skin problems may have been too much for the family to handle, The Dodo reported. Since arriving at the shelter, Oso was seen staring at the wall while trembling. "He is in a completely new environment with lots of loud noises and people he doesn't know," Rosales said. She said the shelter has been home to other dogs with similar shyness issues that have since warmed up, and hope this will be the case for Oso as well. "He's warmed up a bit during these last few days, although he is timid," she said. Oso has since left the corner of the shelter, where he had been facing the wall, and has started playing outdoors, but the best medicine for the 8-year-old dog is a loving family to adopt him. Read: Boy Caught on Home Security Footage Sneaking Into Garage to Hug Dog: 'He's Welcome to Stay and Play' The department is currently working with no-kill rescues that take in special needs animals, but hopes to instead find a forever home for the heartbroken dog. To find out more about adopting Oso, visit the Downey Animal Care Center. Watch: 276 Dogs Rescued From New Jersey Home: 'The Worst Hoarding Case We've Ever Experienced' Related Articles: VANCOUVER, BC / ACCESSWIRE / July 11, 2016 / Dolly Varden Silver Corporation (TSX.V: DV | OTC: DOLLF) (the "Company" or "Dolly Varden") acknowledges that on July 8, 2016 Hecla Mining Company (together with its affiliates, "Hecla") filed a formal takeover bid circular to purchase all of the outstanding shares of Dolly Varden (the "Hecla Offer"). Hecla announced its intent to make this unsolicited insider bid in a news release on June 27, 2016. Hecla Launches Insider Bid after Dolly Varden Announces Repayment of Restrictive Hecla Loan The Hecla Offer was precipitated by Dolly Varden's announcement, two weeks previously, on June 13, 2016, that it would replace a restrictive loan from Hecla (as agent) (the "Restrictive Loan") with a new flexible loan that would allow Dolly Varden to issue equity to discharge all Company debt and raise funds for exploration. The Company's Board was concerned that, as an exploration stage company with no source of operating revenue to repay its indebtedness, keeping the Restrictive Loan in place was not in the best interest of Dolly Varden. In particular, the Board was concerned that the Restrictive Loan would: inhibit the Company from raising equity capital; starve the Company of liquidity and its ability to remain a going concern; prevent Dolly Varden from creating future shareholder value through exploration expenditures on its prospective Dolly Varden silver property; and ultimately risk forfeiture of the Dolly Varden property to Hecla, as it was pledged as security under the Restrictive Loan. Unfortunately, Hecla repeatedly withheld consent for the Company to issue equity in order to discharge the Restrictive Loan, which forced Dolly Varden to arrange for the new loan. Accordingly, the Company used funds drawn from the new loan to pay off the Restrictive Loan on July 4, 2016. No Action Required by Shareholders Once a thorough review of the Hecla Offer and other alternatives has been completed, the Board will communicate its recommendations to shareholders by issuing a news release and filing and mailing a circular to them within the requisite 15-day statutory time frame. In the interim, the Board advises shareholders not to take any action with respect to the Hecla Offer. The Hecla Offer does not expire until 4:00 p.m. (Toronto time) on October 21, 2016 and shareholders have ample time to carefully consider the Hecla Offer and the Board's recommendation before making any decision. Shareholders should not feel coerced into unnecessarily restricting their own liquidity by depositing shares prematurely. Dolly Varden formed a Special Committee comprised of independent directors to evaluate the Hecla Offer and consider other alternatives (announced on July 4, 2016). The Special Committee has engaged Fort Capital Partners as its financial advisor and Mr. Robert Pirooz, Q.C. of Mirador Law Corporation as its independent counsel. Hecla Offer Fails to Provide an Independent Valuation and Essential Information to Shareholders Shareholders are warned that the Hecla Offer does not include a formal valuation of Dolly Varden prepared by an independent valuator under the supervision of the Special Committee, as is required in connection with "insider bids" under Ontario and Quebec securities laws. This is a material deficiency in the Hecla Offer. The valuation requirement is a cornerstone of the protections afforded in Canada to minority shareholders of a public company when an insider with access to material undisclosed information seeks to acquire control from the minority shareholders. The Company is particularly concerned that Hecla is withholding material information from Dolly Varden's shareholders concerning the prospective Kinskuch exploration property - which adjoins and surrounds the Dolly Varden property on three sides - and the circumstances under which Hecla acquired that property in May 2016. Without this information the Company's shareholders are placed at a significant informational disadvantage in assessing the true value of the Company, as its Dolly Varden property represents a critical component of a broader "area play" by Hecla involving the Kinskuch property. The Company is applying to the relevant regulatory authorities for an order to require Hecla to comply with the valuation requirement under Ontario and Quebec securities laws and to provide this crucial information to Dolly Varden's shareholders. Dolly Varden to Move Forward with Financing In the meantime, Dolly Varden intends to move forward with its plans to raise CDN$6,000,000 in a non-brokered private placement consisting of both common shares and flow-through shares (see news release dated July 5, 2016). Proceeds of the financing will be used to pay off the new loan (~CDN$2,500,000), for exploration of the Dolly Varden silver project (~CDN$2,500,000) and for working capital purposes (~CDN$1,000,000). The Board believes that this level of capitalization and use of proceeds are reasonable and in the best long-term interests of the Company to create a strong platform for value-creation unhindered by indebtedness. The Company is disappointed that Hecla has chosen to engage in frivolous and costly litigation by making an application to the British Columbia Securities Commission to have the private placement cease traded in a continued effort to restrict Dolly Varden's access to capital - which the Company believes is designed to obstruct both its opportunity to create value through exploration and its ability to canvass for alternative transactions which may benefit Dolly Varden more than the Hecla Offer. The Company strongly opposes such tactics. A Commitment to Acting in the Best Interests of the Company "The Board is humbled by the outpouring of support from shareholders and other public mining companies that it has received since the Hecla Offer was announced. The Hecla Offer has clearly validated the Board's expectation that a repayment of the Restrictive Loan would unlock value for the Company and, regrettably, has confirmed that Hecla sought to keep the Restrictive Loan in place ultimately to acquire the Dolly Varden silver property - without paying any consideration to shareholders. While Hecla has criticized the new loan and private placement as being dilutive, without those transactions shareholders would have risked a total loss of their investment to Hecla. Considerable dilution and costs could also have been avoided if Hecla had not withheld its consent for an equity issuance," stated independent director Tom Wharton, Chair of the Special Committee. "The Board will give due consideration to any offer made for fair value where its shareholders are on a level informational playing field with a bidder. But, it is critical that insider bidders comply with the law and reveal all material information that our shareholders need in order to make an informed decision. We are making every effort to protect our shareholders' rights." About Dolly Varden - Dolly Varden Silver Corporation is a mineral exploration company focused on the exploration of the Dolly Varden silver property located in northwestern British Columbia, Canada. The entire Dolly Varden property is considered to be highly prospective for hosting high-grade precious metal deposits, since it comprises the same structural and stratigraphic setting that host numerous other, on-trend, high-grade deposits (Eskay Creek, Brucejack). The Company's common shares are listed and traded on the TSX Venture Exchange under the symbol DV and on the OTCBB system under the symbol DOLLF. FORWARD-LOOKING STATEMENTS: This release may contain forward-looking statements or information. Forward-looking statements involve known and unknown risks, uncertainties, and other factors which may cause the actual results, performance, or achievements of Dolly Varden to be materially different from any future results, performance, or achievements expressed or implied by the forward-looking statements. Forward looking statements or information relate to, among other things, the Hecla Offer not being withdrawn, the closing of the Company's private placement financing, the use of proceeds of the Company's private placement financing, the realization of Dolly Varden's stated goal to become debt free, the impact of the Kinskuch property on the value of the Company, the Company's application for an order to require Hecla to comply with the valuation requirement under Ontario and Quebec securities laws, and the continued exploration and valuation of the Dolly Varden silver property. These forward-looking statements are based on management's current expectations and beliefs, but given the uncertainties, assumptions and risks, readers are cautioned not to place undue reliance on such forward-looking statements or information. The Company disclaims any obligation to update, or to publicly announce, any such statements, events or developments except as required by law. For additional information on risks and uncertainties, see the Company's most recently filed annual management discussion & analysis ("MD&A"), which is available on SEDAR at www.sedar.com and on the Company's website at www.dollyvardensilver.com. The risk factors identified in the MD&A are not intended to represent a complete list of factors that could affect the Company. Neither the TSXV nor its Regulation Services Provider (as that term is defined in the policies of the TSXV) accepts responsibility for the adequacy or accuracy of this news release. Contact Information: Dolly Varden Silver Corporation Rosie Moore, Interim CEO and President 1-604-925-5881 www.dollyvardensilver.com SOURCE: Dolly Varden Silver Corporation Donald Trump explained his plan to reform the Department of Veterans Affairs Monday, defending the countrys veterans as well as its police in the wake of the shooting in Dallas that killed five police officers. I am the law-and-order candidate, Trump said emphatically in Virginia Beach, using a slogan that propelled Richard Nixon to the presidency during the 1968 campaign. The men and women who have served in our armed forces represent the very best of America, he continued. Now is the time to follow their example of public service, unity and selfless devotion to our nation. Trumps 10-point plan hinges on giving veterans the choice for care at either a VA hospital or at a private health care facility, with care at both funded by the government. He said this includes mental health care, for which he wants to increase outreach and the number of facilities and professionals available. He also focused on improving accountability in the system to decrease waste and wait times, by offering bonuses to employees who save money and as making sure the director has the authority to fire bad employees. Fixing this corruption will be one of my many and really highest priorities, Trump said. And believe me, it will happen, Im really good at things like that. He also said he would establish a 24-hour hotline to the White House where people could call in complaints about the VA and be connected to a real person, possibly even Trump himself. I will fix it myself if I have to, Trump said. Throughout the speech, Trump hammered presumptive Democratic nominee Hillary Clinton as part of the problem. Shes been part of this rigged system for a long time, Trump said. Perhaps its easy for politicians to lose touch with reality when theyre being paid millions of dollars to read speeches on teleprompters to Wall Street executives, instead of spending time with real people in real pain. London fashion hotspot Dover Street Market (DSM) has reopened following its seasonal changeover with a host of new spaces and collections. Alongside the new Autumn / Winter 2016 collections, the boutique space now features a punky event space installation by Comme des Garcons that houses some of the label's most outlandish designs. Additional new spaces include a Daniela Gregis area on the store's third floor and a new Kiko Kostadinov section in the basement. The high-end store is also welcoming the London menswear label Wales Bonner to its premises, following the brand's success earlier this summer when founder Grace Wales Bonner was named the LVMH Young Fashion Designer 2016'. Edie Campbell and Christabel MacGreevy's brand Itchy Scratchy Patchy, which focuses on re-worked and customized unique pieces from denim brand Levi's and English heritage brand Sunspel is also joining the ranks. Additional new brands to the DSM space include menswear labels Brioni, Charles Jeffrey Loverboy and Kiko Kostadinov, among others. New accessories labels joining the ranks include Italian brand Avec Moderation, womenswear designer David Szeto, luxury knitwear brand Jo Gordon, Greek jewelry designer Nikos Koulis and New York jewelry brand Tilda Biehn. Back in March, Drake and Rihanna met a superfan through the Make-A-Wish Foundation after a show in Miami. Megan Flores got to meet her musical heroes and Drake was so touched he ended up dedicating his Views album to her, writing, "this body of work is dedicated to Megan Flores. I pray for your well-being every day." Drake & Rihanna Visit Make-A-Wish Cancer Patient in Miami On Sunday, Drake paid tribute to Flores, 14, who died on Saturday after battling progressive metastasized sarcoma, by posting a picture of her on his Instagram with the caption, "Rest in peace my angel. I know heaven is celebrating today." Rest in peace my angel. I know heaven is celebrating today. Thank you for the moments and emotions we share I am blessed to have known you in this lifetime. @ovomegann ---- A photo posted by champagnepapi (@champagnepapi) on Jul 9, 2016 at 2:03pm PDT Flores' story also touched the heart of Rih, who re-posted a picture of the three from April with the caption, "I had the pleasure of meeting an angel on earth! ... There was always a smile waiting for me there! You brightened any day! Rest up baby girl! We will miss you!" I had the pleasure of meeting an angel on earth! After my show in Miami, @champagnepapi introduced me to Megan! She's inspired me so much with her strength and her perspective on life! So fearless and kind! It's heavy news that I can't slide in your DMs anymore like I used to!! There was always a smile waiting for me there! You brightened any day! Rest up baby girl! We will miss you!! A photo posted by badgalriri (@badgalriri) on Jul 9, 2016 at 2:46pm PDT Shoutout to @ovomegann ---- #Drake #Drizzy #Repost @ovomegann BEST DAY OF MY LIFE! I MET THE LOML ---- He was so sweet & down to earth. Gave me and my family some OVO clothing. BEST DAY EVER. NO LIE. -------- @champagnepapi LOVE YOU! -- A video posted by Drake's #1 Fan (@aboodovo) on Mar 20, 2016 at 9:42am PDT Dhaka (AFP) - Eight members of an outlawed Islamist group have been charged with murdering a Japanese farmer who was shot dead in northern Bangladesh last year, a prosecutor said Monday. The charges come as Bangladesh reels from the killing of 20 hostages -- including nine Italians and seven Japanese -- last weekend at an upmarket cafe in the capital Dhaka. Hoshi Kunio, 66, was killed last October in a drive-by shooting on a dirt road outside the northern town of Rangpur where he was a long-term resident. Although the Islamic State group took responsibility for Kunio's killing, police later detained four suspected members of the Jamayetul Mujahideen Bangladesh (JMB), an extremist group which was banned for over a decade. The four were formally charged with murder on Sunday while four other JMB followers who have gone to ground since the attack were also added to the charge sheet. "All eight who are charged are JMB members," Abdul Malek, the prosecutor in Rangpur district, told AFP. The government and police say homegrown extremists are responsible for the deaths of some 80 secular activists, foreigners and religious minorities killed over the last three years. Although both IS and a branch of Al-Qaeda have claimed responsibility for many of the attacks, the government insists that international jihadist networks have not gained a foothold in Bangladesh Authorities have also blamed the JMB for the killings of 20 hostages and two policemen during the siege at the cafe in the heart of Dhaka's diplomatic zone last weekend. David M. Benett/Dave Benett/Getty ImagesRihanna and Drake each took to Instagram on Saturday to honor the memory of one of their loyal fans, Meghan Flores, who lost her battle to cancer. Drake shared a photo of Meghan using Snapchat's flower crown filter, along with the caption, Rest in peace my angel. I know heaven is celebrating today. Thank you for the moments and emotions we share I am blessed to have known you in this lifetime. I had the pleasure of meeting an angel on earth! Rihanna captioned a snapshot of Flores seated between her and Drake. After my show in Miami, @champagnepapi introduced me to Megan! Shes inspired me so much with her strength and her perspective on life! So fearless and kind! Its heavy news that I cant slide in your DMs anymore like I used to!! adds Rihanna. There was always a smile waiting for me there! You brightened any day! Rest up baby girl! We will miss you!! Flores was reportedly battling terminal cancer when she met both artists in March through the Make-A-Wish Foundation. Copyright 2016, ABC Radio. All rights reserved. A man has been banned from owning a pet for 3 years after he bit off a live rat's head and has been sentenced to community service. He says it was funny. By India Today Web Desk: In January, a really sick incident made rounds on the internet. In a video, a man -- Matthew Clement Maloney -- was swearing and pulled a pet rat from a box. He chewed its head off and took shots of vodka to end it all. The video was viewed hundreds of thousands of times when it was posted online. advertisement Missed the video? Watch it here. It might severely disgust you. Maloney, 25, from Albion in Brisbane, pleaded guilty to one count of animal cruelty in Brisbane Magistrates Court and Magistrate Suzette Coates ordered him to complete 100 hours of community service and banned him from owning a pet for three years. Facing a maximum penalty of three years in jail, Magistrate Coates described Maloney as a narcissist for the way in which he used the internet to seek attention. "The impulsive way in which you dealt with the rat was, as your solicitor says, ridiculous and embarrassing," she said. "If that's what you have to do to get attention, well it's a really sad indictment on you and your relationship with society." "There's nothing that really indicates that this behaviour is suitable, there's nothing that indicates that it is manly, there's nothing that indicates that it is admirable." "It indicates that it's rabid, narcissistic, attention-seeking behaviour on your behalf." she added. WHAT ABOUT THE RAT? RSPCA (Royal Society for the Prevention of Cruelty to Animals) said, the rat was in 29 seconds of pain, before he died. Commenting on the aforementioned statement -- Maloney -- who went by the moniker "Mad Matt" when he posted the video online said, "I don't know how they could have proven that when they test on rats all the time". He clearly rejected the suggestions the rat had suffered. Maloney said he would not be making any more videos. "I just thought it was funny at the time and then yeah, just a stupid decision," he said. RSPCA prosecutor Georgia Sakrzewski said she was very happy with the outcome. "I think that he was wanting attention from social media and I don't think he gave much thought as to what he was actually doing in terms of the pain and suffering that he was causing to that animal," she said. --- ENDS --- Equals stars Kristen Stewart and Nicholas Hoult were among those walking the red carpet at the Los Angeles premiere of their new movie on Thursday at the Arclight Hollywood. Though Equals has been exclusively available on DirecTV since May 26, the premiere celebrated the film's limited release in theaters. This futuristic romance takes place in a world where emotions have been eradicated, aside from a certain few - including Hoult and Stewart's characters. While the two are an exception, that doesn't mean they don't portray themselves as emotionless in portions of the film. Doing so presented a unique challenge - not only for Hoult and Stewart - but a majority of the cast. "The characters aren't meant to feel, so then you have to play into that and understand that and how that changes through the movie and what it all means for these people," Hoult said. "Drake has this incredible rehearsal process that really doesn't consist of rehearsal because it's not about going over the material; it's about getting to know each other, which is, like, really nice groundwork that a lot of people don't necessarily typically put into rehearsal," Stewart said. "Usually it's about making sure in this sort of fearful way that you know your lines or that you are on the same page - this was really more about breaking down barriers and feeling comfortable with each other and just knowing that once we actually got to set that we were able to give our all." Hoult explained the process as being put in a room and doing different exercises, "where you'll just say hello to each other, look into each other's eyes for a long time and then you'll, like, only be allowed to tell the truth or you'll only be able to lie." The two seemed to have a natural chemistry, according to Doremus. "They're perfect," he told The Hollywood Reporter. "I mean, I really wrote the film for Nick, so he was always, you know, right there. When I met Kristen, she was so interesting and such a powerhouse, so much energy. I feel like they bring out something really intense and beautiful in each other in the film." Story continues See More: TIFF: Kristen Stewart Talks Process of Love in 'Equals' While Equals certainly isn't Doremus' first love story (his credits also include the award-winning Like Crazy), the film is different compared to other movies he's worked on. "I think this film is very different than the previous films, but also very similar," he said. "I mean, at the end of the day it's a very intimate study of what it's like to fall in love and deal with those feelings and over the course of time how that changes you." "I was never really a sci-fi nerd, per say, but I was always fascinated with the genre and thought it was an interesting sort of landscape to set a love story, to try to do something really warm to be honest," he said. "Most sci-fi films, to me, are very cold and very distant and I really wanted to make something that was the opposite of that - it seems very warm." Weaver even called the film a "retelling of the old Romeo and Juliet story," due to Hoult and Stewart's love being forbidden. Though Stewart certainly isn't new to playing a Juliet-type character - like Bella in Twilight - she didn't see any relation between Bella and this new character; however, she said the two are "similar in their sort of dire nature." For moviegoers, Doremus told THR he wants them to turn off their brains and turn on their hearts. Charlie Hunnam, Courtney Love and Matthew Gray Gubler also were among those attending the premiere of Equals, which will hit theaters July 15. Istanbul (AFP) - President Recep Tayyip Erdogan defended his plan to give Syrian refugees Turkish citizenship in comments published Monday, arguing the country has ample space after a backlash against the suggestion. Erdogan said on July 2 that Syrians could eventually be granted Turkish citizenship "if they want it", in remarks which were met with anger from opposition politicians and social media users. More than 2.7 million Syrian refugees now live in Turkey, where they have guest status, according to the Turkish government. In his first comments on the issue since announcing the plan, Erdogan said Syrians with dual citizenship did not have to return to Syria once the conflict was over. "Is it a must for dual citizens, for people with citizenship, to return to their countries of birth?" he told Turkish reporters on the sidelines of the NATO summit in Warsaw, quoted by the Hurriyet daily. "When Turks went to Germany in 1963, no one asked whether they would or would not return to Turkey," he said, referring to the Turkish so-called Gastarbeiter who helped Germany's economic recovery after World War II. He added: "There is no need to worry, this country has 79 million people living on 780,000 square kilometres of land. "Germany is half our size and has 85 million people," he added, lightly overstating the German population. "We are a country that can easily overcome (challenges)." - 'Solution needed' - The president even suggested that empty homes built by the state housing agency could be used to house Syrians. "Most of these Syrians work illegally. "What we're saying is, there needs to be a solution. Among these people, there are doctors, engineers, lawyers, health workers, teachers, all of these people can benefit our country: they can be given citizenship," Erdogan added. In January this year, Turkey allowed Syrian refugees to be given work permits but only 5,502 Syrians were granted such paperwork, according to Turkish media quoting labour ministry statistics. Story continues The president's comments come after Haberturk newspaper reported on Saturday that there were plans to give up to 300,000 Syrian refugees Turkish citizenship, targeting skilled individuals. Soon after the report appeared, #suriyelilerehayir ("No to Syrians") was the top trending topic on Twitter in Turkey. On Saturday, a Syrian man and Turkish teenager were killed after a fight between a group of Turks and Syrians in central Konya province in the latest violent incident highlighting the growing tension. Devlet Bahceli, leader of the Nationalist Movement Party (MHP), warned that Erdogan's plan would cheapen Turkish citizenship and cause ethnic conflict, saying "Turkish citizenship must be deserved and confirmed in good conscience". Deputy Prime Minister Numan Kurtulmus, who is government spokesman, said after a cabinet meeting Monday that work on the citizenship plan was in progress but had not been completed. "Currently work continues on how those Syrians who will benefit Turkey, have no links to terrorism and can build a bridge between Turkey and Syria can become Turkish citizens." Brussels (AFP) - EU Commission chief Jean-Claude Juncker will decide by the end of July what role he will give to Britain's new commissioner, named by London after the Brexit vote, a spokesman said Monday. British Prime Minister David Cameron last week proposed that Britain's ambassador to France Julian King replace financial services commissioner Jonathan Hill, who stepped down after the British referendum on June 23. During an hour-long meeting in Brussels with King on Monday, Juncker "was able to establish Sir Julian's European competences" and determine he was fit for the job, Juncker's spokesman Margaritis Schinas said. "On the basis of this meeting and his biography the president is now reflecting on possible portfolios and he will announce his decision by the end of this month," Schinas told a daily briefing. When asked if there was any chance Juncker would leave King without a specific portfolio given that Britain could be out of the EU in two years, Schinas replied: "Yes I am tempted to exclude this possibility." A senior diplomat, King is currently Britain's ambassador to France, but has also held posts in Brussels, New York, Paris, Luxembourg, The Hague and Lisbon. Crucially, King worked at the European Commission in 2008 and 2009 where he was chief of staff to Trade Commissioner Peter Mandelson and later to foreign affairs supremo Catherine Ashton, both British commissioners. If confirmed, King will not however take over Hill's highly sensitive financial services portfolio, which is now being shared between Latvia's Valdis Dombrovskis, the commission vice president for the euro and Economics Affairs Commissioner Pierre Moscovici of France. King's nomination requires the approval of European Parliament, where nominees must face a gruelling hearing with MEPs, as well the green light of the EU's member states. By Megha Rajagopalan BEIJING (Reuters) - The European Union's trade chief described populist movements in the United States and elsewhere as "a recipe for isolation and failure" on Monday even as she sought to allay fears about Britain's exit from the EU during a trip to China. Cecilia Malmstrom, the commissioner for trade, made the remarks before the EU's annual summit with China, where the two sides are expected to make progress on a major investment deal and discuss issues from steel overcapacity to China's island-building in the South China Sea. Asked by a student about the possibility that presidential candidate Donald Trump could become the United States' next leader, Malmstrom said that populists coming to power around the world could lead to the closing of borders. "The debate in the U.S. today personified by candidate Trump is one of strong criticism against globalization, against trade. The effects of the global crisis have hit many people really, really hard," Malmstrom said. "Many populists around the world prey on these feelings, on these fears." If populists come to power, "that is a clear recipe for isolation, for failure, and for less prosperity," she added. However, she said that China and the EU must maintain close ties with the U.S. whether Trump or Democrat Hillary Clinton wins the White House. Opposing trade deals has generated controversy within Trump's own Republican Party, but won him supporters among American voters concerned about the loss of manufacturing jobs at home. Trump has also repeatedly lambasted China's trade and currency policies as damaging to U.S. interests, raising concern in Beijing. Also on Monday, Malmstrom called Britain's decision to leave the European Union a "little moment of uncertainty", and she sought to allay fears it would hurt the EU's relationship with China. The Brexit vote will not impact the E.U.'s trade commitments to China in future, she said, adding that Britain will remain part of the EU for at least two years. Malmstrom also criticized China, saying the country had to provide a level playing field for European companies before a free trade agreement could be put on the table. New rules on cybersecurity, non-governmental organizations and national security were "steps backward", she added, saying the business environment for European companies had worsened in the country. (Editing by Richard Borsuk) LONDON, July 11 (Reuters) - European shares rose for the third straight session on Monday helped by a rally in shares of steelmakers and financials. ThyssenKrupp, up 5.6 percent in early trading, rose after it confirmed it was in talks with Tata Steel over sector consolidation. The pan-European STOXX 600 index rose 0.8 percent. It is still down about 10 percent so far in 2016, having lost ground after Britain's shock vote last month to leave the European Union. ThyssenKrupp, Germany's biggest steelmaker, said it was in talks with India's Tata Steel about a consolidation of beleaguered European steel mills that are hit by overcapacity, weak demand and cheap imports. Shares in Italian bank Monte Paschi also climbed 7.2 percent, with Italian newspapers reporting that bank rescue fund Atlante will soon take on an additional role to soak up bad loans from Monte Paschi. (Reporting by Sudip Kar-Gupta) Berlin (AFP) - A majority of Europeans fear the recent refugee influx will heighten the risk of terrorist attacks and cost their countries jobs and social benefits, said a survey released Monday by the Pew Research Center. The US institute's survey across European countries shows growing concern about the historic influx of more than one million asylum seekers last year and about the integration of minority groups, Muslims in particular. In eight of the 10 countries surveyed, representing 80 percent of the European population, at least half of the public believe that "incoming refugees increase the likelihood of terrorism in their country", the survey said. The view was held most strongly, by 76 percent of respondents, in Hungary and by 71 percent in Poland -- both countries which have received proportionately few migrants as their governments have adopted a tough stance toward refugees. In Germany -- the largest EU economy, which last year took in the biggest number of migrants and refugees -- 61 percent shared this concern, while 60 percent of Italians and 52 percent of British voiced the same fears. Paradoxically, in France, a country hard hit by jihadist attacks in 2015, only 46 percent thought the danger of an attack had increased. In general, the Pew Center found that "the refugee crisis and the threat of terrorism are very much related to one another in the minds of many Europeans". "The recent surge of refugees into Europe has featured prominently in the anti-immigrant rhetoric of right-wing parties across the continent and in the heated debate over the UK's decision to exit the European Union," it pointed out. The study found that perceptions of refugees are influenced in part by negative attitudes toward Muslims already living in Europe. "In Hungary, Italy, Poland and Greece, more than six-in-ten say they have an unfavourable opinion of the Muslims in their country - an opinion shared by at least one-in-four in each nation polled," it said. Story continues The study also found that majorities in seven of 10 countries saw the arrival of refugees as "a burden on our country because they take our jobs and social benefits". The proportion who held this view reached 82 percent in Hungary, 75 percent in Poland, 72 percent in Greece, 65 percent in Italy and 53 percent in France. In top refugee destinations Germany and Sweden, however, majorities did not share this fear and believed refugees make their nations stronger because of their work and talents. Pew said the survey was conducted with 11,494 respondents between April 4 and May 12. IntelligentX, a London startup, is brewing, selling, and updating beer with the help of their A.I. Your best sci-fi stories just came true, a little, with AI beer. Picture courtesy: YouTube/IntelligentX By Shreya Goswami: Have you always been fascinated by artificial intelligence; even imagined everything from having a robot to help you with homework to the machines taking over the world, Terminator-style? Well, you'd be glad to know a little bit of your favourite sci-fi fantasy is coming true. The future is here in its most delicious form--beer brewed with the help of AI or Artificial Intelligence. advertisement A London startup, IntelligentX, co-founded by Dr. Rob McInerney and Hew Leith, has recently come up with its first video advertisement announcing to the world that they have successfully created four different beers by using artificial intelligence. How does it work? According to the Time Magazine, IntelligentX has come up with an algorithm that helps them update their basic beers according to customer feedback on Facebook. Rob McInerney and Hew Leith are the co-founders of IntelligentX and came up with beer brewing technique using artificial intelligence. Picture courtesy: YouTube/IntelligentX Basically, customers tell the robotic-chat feature on Facebook what they think about IntelligentX's brews, and the machine calculates and makes informed decisions on how to improve the beer recipe to better satisfy customers. IntelligentX is selling four types of beer--Amber AI, Black AI, Golden AI and Pale AI. Of course, the real brewing is done by human master brewers, but these experts work according to the analyses and directions provided by the Automatic Brewing Intelligence, or ABI, as the co-founders have named their AI. Also read: Would you like to try a beer called Bengali? Yes, Bengali This might come across as a very small step in the larger role AI will definitely play in the future of Food and Beverages, as well as other industries, but it's a step forward nevertheless, and a welcome one at that. We are pretty sure all the sci-fi nerd fans are waiting for a bottle of this brewski! Watch the video posted by IntelligentX here to find out more about AI and the way beer is being brewed with the help of technology: --- ENDS --- Calais (France) (AFP) - Calais port workers staged a go-slow after Europe's longest rail motorway halted operations Monday over repeated efforts by migrants to break into trucks being transported to Britain. The VIIA Britanica, a railway carrying unaccompanied trailers from Spain through France to Britain, decided to stop its services until September over a "resurgence in migrant intrusions in past weeks," port authorities said in a statement. The rail motorway -- which only went into operation in March -- allows road hauliers to cross France in 22 hours, avoiding 1,200 kilometres (745 miles) of roads. However migrants living in the infamous camp known as the "Jungle" near the port of Calais try and break into the trailers as part of their desperate efforts to reach Britain. Port workers and business owners launched the go-slow operation on a highway around Calais after the rail motorway stopped running. "The situation keeps getting worse. We don't know what to do anymore to be heard," said Antoine Ravisse, president of a citizens' movement protesting the migrant crisis in the northern port. "The migrants, some of whom are armed, climb into the trailers, they rip the tarpaulins. Our clients lose confidence in us, sometimes their merchandise is destroyed. The cost is enormous," he added. Around 4,500 migrants, mainly from Afghanistan and Sudan, live in the Jungle camp, according to an official estimate. BRUSSELS (Reuters) - EU chief executive Jean-Claude Juncker has accepted in principle the nomination of Julian King as the new British member of his European Commission and will determine this month what portfolio to offer him, the Commission said on Monday. Outgoing Prime Minister David Cameron nominated King, the British ambassador to France, to fill the vacant seat on the Commission left by Jonathan Hill, who resigned after Britons voted last month to leave the European Union. Juncker, the president of the Commission, met King in Brussels on Monday morning. "President Juncker was able to establish Sir Julian's European competencies. On the basis of this meeting and his biography, the president is reflecting on possible portfolios and he will announce his decision by the end of this month," Commission spokesman Margaritis Schinas told a news conference. Hill's financial services portfolio has been taken on by current Commission vice president Valdis Dombrovskis. King is expected to taken on a lower profile post. However, asked whether he ruled out King ending up with no portfolio, Schinas replied: "Yes, I'm tempted to exclude this possibility." King's appointment will be subject to review by the European Parliament, which will return from its summer break in late August, and to confirmation by the Council of EU member states. (Reporting By Philip Blenkinsop; Editing by Alastair Macdonald) Over the past 48 hours in Baton Rouge, La., where the fatal shooting of Alton Sterling by police officers last week has reignited a national conversation on race and policing, over 120 protesters have been arrested including prominent Black Lives Matter activist DeRay McKesson. But intense attention, however, has been focused on the image of the arrest of a lone woman in a flowing dress that has since gone viral. The woman stands, arms crossed, in front of a phalanx of officers, silent even serene but seemingly refusing to budge. Although the womans identity is not yet confirmed, her actions were described in detail by the photographer who captured the image. A group of demonstrators had formed a blockade blocked Airline Highway, which runs in front of Baton Rouge Police headquarters, Jonathan Bachman, a New Orleansbased photographer who was on assignment for Reuters, told the Atlantic. Bachman said officers belonging to several divisions of Louisiana law enforcement, many clad in riot gear, descended on the highway to clear the protesters from its path. I saw this woman, and she was standing in the first lane in that road, he said. It happened quickly, but I could tell that she wasnt going to move, and it seemed like she was making her stand. To me it seemed like: Youre going to have to come and get me. Bachman further stressed that in contrast to the violence and confrontation that has marked other protests across the country (some of which also broke out in Baton Rouge later), this interaction was completely peaceful. It wasnt very violent. She didnt say anything, he added. She didnt resist, and the police didnt drag her off. The image was shared widely on social media and by publications worldwide, with one commenter on the page of New York Daily News reporter Shaun King calling it a legendary picture that will someday be in history and art books, according to the BBC. Story continues King himself later tweeted that he had spoken to one of the womans best friends, and added that she had a 5-year-old son. Although he did not reveal her identity, he subsequently tweeted that she had been released from prison on Sunday evening. In another interview with BuzzFeed, Bachman, the photographer, said he was humbled by the response to his image. That was the first image I transferred [to Reuters] because I knew it was going to be an important photo, he said. You can take images of plenty of people getting arrested, but I think this one speaks more to the movement and what the demonstrators are trying to accomplish here in Baton Rouge. Shoppers, charge your phones, memorize your credit card's CVV and log into your Amazon Prime account. Amazon Prime Day is on July 12, and you'll want to be poised to snatch up all the exceptional deals. [See: 10 Websites to Check Before Shopping.] Amazon has been dropping hints about its upcoming shopping holiday for several months, but the company only revealed the official date in early July. On Amazon Prime Day, the massive online marketplace is set to release more than 100,000 deals across all departments and product categories. These deals will be available exclusively to Amazon Prime members in the U.S. and abroad, including those in the U.K., Spain, Japan, Italy, Germany, France, Canada, Belgium and Austria. What to Expect on July 12 When the clock strikes midnight Pacific Time, the 24-hour savings storm will commence. According to Amazon, Prime Day will be its "biggest event ever" and feature more deals in one day than ever in Amazon history. The 2016 Prime Day sale is to feature more than twice the number of deals of Prime Day 2015, and boast twice as many TVs as Black Friday and Cyber Monday sales combined. Prime Day is expected to rival these major shopping holidays as one of the most heavily trafficked holiday of the year. [See: 6 Ways to Treat Yourself on a Budget.] Throughout July 12, the site will offer new deals as often as every 10 minutes. Shoppers will see savings on nearly every type of product imaginable, from HDTVs to women's shoes to health supplements. Most deals will fall into three main categories, including: Prime Day Spotlight Deals These deals include huge discounts on renowned brands and coveted products. Prime Day Spotlight products will be available until they run out of stock. Amazon calls Spotlight Deals the "best deals you'll find on Prime Day." Amazon Lightning Deals These fleeting promotions will pop up and disappear within a few hours throughout the sale. On every Lightning deal icon, a timer counts down the minutes until the deal is over, while a status bar lets you know how many items are left in stock. Story continues Prime Savings and Deals These promos run through the whole sale. Prime Member promotions will be available on the Prime Day Deals page, and discounts will be applied at checkout. In addition to Amazon products, the sale will spotlight a huge array of small businesses. The online marketplace has promised twice as many third-party sellers as last year, and maintains that 30 percent of all Lightning Deals will be from independent businesses. The Amazon Prime Day Countdown Leading up to Prime Day, Amazon has been giving shoppers a taste of the exceptional sale to come. Every day from July 5 to 11, Amazon has doled out Countdown deals in different themes. Here's what the 2016 Amazon Prime Countdown schedule included: July 5: Summer Entertainment July 6: Tastes of Summer July 7: Summer Travel July 8: Gadgets on the Go July 9: Outdoor Living and Summer Parties July 10: Wardrobe Essentials: Back-to-School and Summer Fashions July 11: Summer Basics [See: 10 Things to Know Before You Shop for Back-to-School Supplies.] Throughout the Amazon Prime Countdown Sale, we saw significant savings. Past deals included things like: -- $119.99 for a 32-inch TV bundle with a Fire TV Stick -- 20 percent off a Garmin vivofit fitness band -- $250 for an Acer 15-inch Chromebook -- A 50-inch Vizio with a $150 eGift card -- 24 set of James Bond movies for $90 -- Up to 50 percent off jewelry top sellers -- Up to 66 percent off men's and women's bestselling watches -- 60 percent off luggage and accessories Throughout the Prime Countdown sale, Amazon has been offering up to 40 percent off Kindle subscriptions, as well as deals on streaming of popular movies and TV series. Essential Tips for Shopping the Sale With Amazon Prime Day coming but once a year, you have only 24 hours to snap up the deals. So before you key "amazon.com" into your address bar, keep these essential Prime shopping tips in mind: 1. You'll need an Amazon Prime account. Without an account, you won't be able to shop the sale. If you're not a Prime member, set up a 30-day trial on the site. You'll be able to access the sale and enjoy Prime benefits until your trial is up in a month, when you can cancel the membership, free of charge. 2. Consider downloading the Amazon shopping app. Using the app, you can track your favorite deals and receive notifications as soon as they launch. This will help you catch the most sought-after Lightening Deals before they disappear. 3. Set up one-click purchasing. The one-click purchase setting allows you to place orders with a single click. To turn on one-click, visit your account and navigate to the "manage addresses" page to link a payment method with your shipping address. Maria Lalonde honed her deal-hunting skills while traveling through South America and Southeast Asia, combing colorful local markets for unique finds. Her love of blogging and thirst for deals brought her to Offers.com, where she blogs about savings tips. More From US News & World Report British politics is undergoing a Medieval period of bloodletting. In the latest development, former business spokeswoman Angela Eagle announced her bid to lead the opposition Labour Party on Monday and wrest power from Jeremy Corbyn, who has lost the confidence of almost all the partys lawmakers. The announcement was not a surprise as senior party members have been trying to persuade Corby to resign since he lost a no confidence vote on June 28 by 174 to 40, but it was overshadowed by the simultaneous announcement of Andrea Leadsom that she was pulling out of the contest to lead the Conservative Party. Eagle is from the soft left of the Labour Party, as opposed to Corbyns position on the far left. She is known for championing women and minority rights, and campaigning for the U.K. to remain in the E.U. Her challenge has angered supporters of Corbyn, who became leader after being backed by 60% of the party membership. Im not a Blairite, Im not a Brownite and Im not a Corbynista. I am my own woman a strong Labour woman, said Eagle as she launched her campaign on Monday. The 55-year-old is one of dozens of Labour shadow cabinet ministers to resign following Britains vote to leave the E.U. on June 23. Eagle, and her colleagues said that Corybn was weak in advocating for the E.U. and did not have the ability to lead the party to victory in a general election. I am not here for a Labour Party that just takes part. Im here to win said Eagle. Eagle was born in the northern English town of Bridlington with her twin sister Maria who is also a Labour Member of Parliament. The Eagles father was a print worker and their mother was a seamstress. Both girls took up chess under the guidance of their father and both had political ambitions from the age of 6. I joined the [Labour] party because my mum and dad were denied in 1940s and 1950s Sheffield any chances for higher or further education because of where they came from, because they were poor, Angela Eagle told the Huffington Post in 2015.I just thought that was wrong, and I was able to be the first person who went to university from my family albeit only about 10 minutes ahead of my sister because of the work that previous Labour governments did in opening up higher education. We both went to Oxford. That is the key to what I have been able to achieve, and I want that for everybody. Story continues The Eagle sisters were taught to work hard, respect others by their parents and to always believe there was nothing they couldnt conquer. Both girls studied Politics, Philosophy and Economics at Oxford University, a subject traditionally taken by students aiming for a career in politics. After jobs with the party and trade unions, Eagle was elected MP for Wallasey in 1992. She went on to serve as a junior minister in the Department for Environment, Transport and the Regions in 1997 and the Department of Social Security from 1998 in the governments of Tony Blair. In 1997, Maria Eagle was elected as MP for Garston and Halewood, making the Eagle sisters the first-ever twins in parliament and later government. The same year Angela Eagle became the first female Labour MP to come out as gay. Eagle, who has been in a civil partnership with trade unionist Maria Exall since 2008, was sacked as a junior minister in 2002. According to the London Times, Tony Blair forgot she existed and gave her role to someone else. She resumed her ministerial career under Prime Minister Gordon Brown as Exchequer Secretary to the Treasury and later as Minister of State for Pensions. Eagle and her sister have been described by the Guardian as politicians more comfortable with the nuts and bolts of policy than the theatre of personality politics. But Eagle has managed to rile the government, once prompting Prime Minister David Cameron to tell her calm down, dear after she challenged him over reforms to the health service. Eagles leadership challenge threatens to split the party between its MPs who have no confidence in Corbyn and party members who voted for him in 2015. A member of her local party hinted that she might also face a vote no confidence from her constituency. As the Conservatives rapidly move from chaos into stability with Wednesdays appointment of Theresa May as Prime Minister, Eagles leadership bid could help calm differences in the Labour Party if she wins, or lead to a catastrophic breakup of the party if she loses. Nick Cannon is addressing all the speculation that he might be hindering his ex Mariah Careys marriage plans in an exclusive interview with ET. There were so many rumors out there that I was holding something up, Cannon points out. Like, Nah! Thats clearly not the case. Who made that up? Who put that out there? WATCH: Nick Cannon Drops Emotional 'Divorce Papers Freestyle About Mariah Carey The rumors hinted that by not signing the divorce papers yet, he could be keeping Carey from tying the knot with her billionaire fiance, James Packer. I wish it was that easy where you can just sign something and say, 'Oh, Im done, but its a lot of things that go with that that are beyond my control, Cannon explains. The only thing thats important to me is my children. I want whats best for them. I believe my ex feels the exact same way. ET caught up with Cannon at New York Fashion Week during a fitting for Mondays Perry Ellis presentation. The estranged couple filed for divorce more than two years ago, but the split has yet to be finalized. WATCH: EXCLUSIVE: Nick Cannon Jokes About Mariah Carey Divorce in Epic Pete Wentz Rap Battle Again, you got to respect the process, Cannon says. Luckily, thats all behind us now and everyone can move on and be happy. Thats where we are. Last month, Cannon aired out some of his grievances in a rap song titled Divorce Papers. This my real life/I almost died twice myself/ but f**k my health/ y'all felt I kept this sh*t /held up for some motherf**king alimony? he raps. Now who phony/when y'all need help, y'all call me/ when I need help, y'all call TMZ/ and y'all believe everything y'all see. WATCH: Mariah Carey and Nick Cannon Couldnt Look Happier Together in Adorable Family Photo That was more of a venting process of what this last two and half to three years has been going on in my mind, Cannon tells ET. Ive never really been able to be personally on that level. I wasnt upset with anyone. If I was upset with anyone, I was upset with the process. Story continues Cannon seems to be putting those frustrations behind him, turning his focus to activism. Last week, he joined hundreds of protestors in New York and Chicago, calling for an end to police brutality. Related Articles Nairobi (AFP) - Thrust into the spotlight by a surge in fighting between loyalist and rebel troops, the United Nations' mission in South Sudan is one of the UN's biggest peacekeeping forces, and has a task to match. Known by the acronym of UNMISS, the mission was created on July 8, 2011, on the eve of independence by the world's youngest nation. UNMISS took over from UNMIS -- the United Nations' peacekeeping mission in Sudan before the south declared independence after six years of autonomy and decades of civil war. Initially given a mandate of just one year, the UNMISS was charged with "consolidating peace and security" and setting in place "necessary conditions for development." At first, it comprised some 7,000 soldiers and 900 police officers and experts, but the contingent was beefed up dramatically after civil war broke out in December 2013. Six months later, the UN Security Council expanded the UNMISS mandate, authorising it to use "all means necessary" to ensure the protection of civilians, human rights and delivery of humanitarian aid. The force now counts around 13,500 soldiers, making it the UN's third-largest peacekeeping mission today, after its deployments in the Democratic Republic of Congo and Sudan's troubled Darfur region. At an emergency meeting on Sunday, the UN Security Council pressed neighbouring countries to help end the fighting and provide extra peacekeepers. Its members also "expressed their readiness to consider enhancing UNMISS" so that the mission and the international community "can prevent and respond to violence." UNMISS also runs six camps across South Sudan, housing about 170,000 displaced people. The mission was criticised in February after a camp housing some 50,000 displaced people in the northeastern oil hub of Malakal was attacked by men in uniform in which at least 25 civilians were killed and 120 were injured. The UN has launched an internal inquiry into the response of UN troops stationed at the camp. HONG KONG/AMSTERDAM (Reuters) - A panel of five judges at the Permanent Court of Arbitration in The Hague will announce on Tuesday their ruling in a case brought by the Philippines against China over its actions in the South China Sea. Here are some key details about the case. 1. WHY IS IT IMPORTANT? - The Philippines' case against China marks the first time any legal challenge has been brought in the South China Sea territorial dispute. Centered on the Spratlys archipelago, which straddle vital international shipping lanes, tensions in the South China Sea have simmered for decades, intensifying in recent years. China, Taiwan, Vietnam, Malaysia and Brunei all claim the Spratlys and/or surrounding waters. China, Taiwan and Vietnam claim all of the Paracel Islands in the north of the South China Sea. - The dispute has intensified political and military rivalry across the region between the rising power of China and the long-dominant player, the United States. China has been projecting its growing naval reach while the United States is deepening ties with both traditional security allies such as Japan and the Philippines and with newer friends, including Vietnam and Myanmar. - Chinese analysts say the South China Sea will only grow in importance for Beijing, particularly as its submarine base on Hainan Island will be crucial to China's future nuclear deterrent. 2. WHAT DOES THE CASE INVOLVE? - The Philippines formally lodged its arbitration case under the United Nations' 1982 Convention of the Law of the Sea, known as UNCLOS, in January 2013. - China repeatedly warned the Philippines against pushing ahead with the case, and Beijing has refused to participate in any of its hearings, forgoing its right to appoint a judge. China says the court has no jurisdiction, and that its historic rights and sovereignty over the South China Sea predates UNCLOS. - UNCLOS does not deal with sovereignty issues, but sets out what countries can claim from various geographic features at sea, as well maritime behavior. That regime allows for 12 nautical miles of territorial waters from islands and rocks and 200 nautical miles of Exclusive Economic Zone (EEZ) from islands that can sustain ordinary human habitation. An EEZ is not sovereign territory but gives a country the right to the fish and seabed resources, including oil and gas, within that zone. - China and the Philippines are among the 167 parties that have signed and ratified UNCLOS. The United States has not, as the law has been blocked in the U.S. Senate in the past. But its government recognizes it as customary international law, including during naval patrols of the South China Sea. 3. WHAT IS THE KEY TO MANILA'S CASE? - Manila's case is built around 15 points that seek to clarify its rights to exploit its EEZ. It challenges Chinese activities, including fishing, dredging and law enforcement patrols, as well as Beijing's reclamation and construction on seven reefs in the Spratlys. It also challenges China's effective control of the Scarborough Shoal, seeking a ruling that shows it sits entirely within the Philippines' EEZ. - Any ruling on the legality of the "nine-dash line", Beijing's controversial claim to much of the South China Sea, will be closely watched. Created in the late 1940s and used on official Chinese maps, the line bisects the EEZs of several other countries and reaches deep into the maritime heart of Southeast Asia. - Manila's lawyers have also argued that none of the islands, shoals and reefs across the Spratlys are significant enough to lay claim to an EEZ. 4. WHAT HAPPENS NEXT? - While the findings are legally binding, UNCLOS has no enforcement body and legal experts say it remains unclear what can be done when China ignores the ruling. (Cases involving a ruling over actual sovereignty require mutual consent by states and are heard by the International Court of Justice in The Hague. ICJ rulings are enforceable by the United Nations' Security Council, of which China is a permanent member.) - Chinese officials have not ruled out future military action to enforce their claims, including construction on the Scarborough Shoal or the imposition of an air defense zone over the area. They have warned against further expansion of the U.S. military presence in the area. - U.S. responses could include an increase in the frequency of so-called freedom of navigation operations and overflights in the region and increased defense aid to Southeast Asian countries, according to U.S. officials speaking on the condition of anonymity. - Other claimants, particularly Vietnam, are being closely watched to see whether they will launch their own action against China. Hanoi has sought legal opinions on a possible case and its officials have yet to rule out such action. 5. WHAT IS THE PERMANENT COURT OF ARBITRATION? - Founded in 1899, the Permanent Court of Arbitration (PCA) is the oldest international judicial institution with 117 member countries. - The PCA is also often called upon to settle disputes under international treaties such as the U.N. Convention on the Law of the Sea (UNCLOS), which both China and the Philippines have signed. - China, which is boycotting proceedings in the South China Sea case, declined to appoint an arbitrator. The Philippines appointed one judge, a German national. The president of another court, the International Tribunal for the Law of the Sea, appointed the remaining members. - China has said the resulting tribunal, a panel of four Europeans presided over by a Ghanaian, does not adequately reflect the diversity of the world's legal system, implying that it might be biased against China. - The body, based in the neo-Gothic extravagance of the Peace Palace in The Hague, has no enforcement powers. Winning parties typically pursue their claims in domestic courts - often a fruitless exercise. (Reporting by Greg Torode and Thomas Escritt; Editing by Lincoln Feast and Gareth Jones) By PTI: Dhaka, Jul 11 (PTI) Senior US diplomat Nisha Desai Biswal today met top Bangladeshi officials as well as Dhaka-based diplomats including the Indian High Commissioner and discussed the security situation in the country following two high- profile terror attacks in the Muslim-majority nation. Biswal, the US Assistant Secretary of State for South and Central Asian Affairs, also visited the Holey Artisan Bakery in Dhakas diplomatic enclave where terrorists killed 22 people including nine Italians, seven Japanese, one Indian and an American citizen of Bangladesh origin on July 1. advertisement The US point person for South Asia, stayed there for around 20 minutes amid police barricade surrounding the area. The radical Islamic State (IS) has reportedly claimed responsibility for the attack on the popular eatery, which is frequented by foreigners. The second terror attack targeted the countrys biggest Eid gathering at Sholakia in northern Kishoreganj district. Biswal is visiting Dhaka following the two high-profile attacks and has offered Washingtons support to build Bangladeshs capabilities in countering terrorism. She is also scheduled to meet Prime Minister Sheikh Hasina and Home Minister Asaduzzaman Khan Kamal. Earlier, she met Foreign Minister AH Mahmood Ali, and Dhaka-based diplomats including the Indian High Commissioner Harsh Vardhan Shringla, among others, to discuss security issues, BDNews24.com reported. US Secretary of State John Kerry had last week phoned Prime Minister Hasina and "encouraged" her government to conduct its investigation in accordance with the highest international standards and offered immediate assistance from US law enforcement, including the FBI. Italy and Japan have also decided to conduct coordinated diplomatic and intelligence operations in Bangladesh, the report said. The ISIS and al-Qaeda in Indian Peninsula have claimed responsibility for some of the other attacks on Hindu priests, Christians and secular activists. However, the government denies the presence of ISIS or al-Qaeda in Bangladesh. Police last month launched a nationwide anti-militancy week-long crackdown to halt these deadly attacks. PTI AKJ AKJ --- ENDS --- Jerusalem (AFP) - Israeli and American victims of Palestinian attacks sued Facebook Monday, claiming that the internet giant enables the militant Hamas group to use its platform and demanding $1 billion in damages. The civil action was filed in New York by Israeli legal NGO Shurat Hadin in the name of family members of four Israeli-Americans and one US citizen killed since June 2014. The plaintiffs say Facebook has knowingly provided its "social media platform and communication services" to Hamas in violation of the US Anti-Terrorism Act which prohibits US businesses from "providing any material support, including services, to designated terrorist groups and their leaders". Nitsana Darshan-Leitner, head of Shurat Hadin and one of the lawyers representing the families, said Hamas members had either perpetrated or endorsed the attacks against the victims at hand. She said the lawsuit's goal was to force Facebook to tighten its regulation of Palestinian "incitement". Facebook could not immediately comment on the lawsuit since it had not yet received it. However, a statement from the company said there was "no place for content encouraging violence, direct threats, terrorism or hate speech on Facebook", and stressed that users can report content "they believe violates our standards", so the company could "investigate and take swift action". Israel's internal security minister recently said Facebook bore "some responsibility" for the wave of violence, and said he would advance legislation making it illegal to publish "offensive content" that encourages attacks. But Darshan-Leitner said such a measure, which would necessitate reporting offensive posts that would eventually be removed, would be ineffective because of the sheer volume of Facebook activity. The only efficient way to deal with Palestinian "incitement" on Facebook is if the measures "come from Facebook itself", she said. Story continues "They have to be convinced that it is not in their interest to act otherwise," she said of the internet giant. "No amount of money can bring a dead person back, but an organisation barricaded in its Palo Alto ivory tower while people are murdered here and cares only about its money, will awaken only if it's hit back through its money," she said. Her group had in October filed a lawsuit against Facebook, demanding that it remove more than 1,000 inflammatory pages and improve its monitoring mechanisms, but did not seek monetary damages. Darshan-Leitner said that case was still ongoing. A wave of violence that began in October last year has killed at least 214 Palestinians, 34 Israelis, two Americans, an Eritrean and a Sudanese. Most of the Palestinians killed were carrying out knife, gun or car-ramming attacks, according to Israeli authorities. Others were shot dead during protests and clashes, while some were killed by Israeli air strikes in the Gaza Strip. Most of the attackers are believed to have acted on their own, without the organisation of any group. On the anniversary of the Burr-Hamilton duel, a look back at history shows the event wasnt unique when it came to early-19th-century squabbles. Dueling was technically illegal in the United States, but even prominent government leaders engaged in the practiceAaron Burr, for example, was serving as vice president when he met his rival Alexander Hamilton face-to-face in Weehawken, New Jersey. On July 11, 1804, the men met to end a decades-long feud. Both men fired, but only Hamilton was hit. He later died from his injuries. Alexander Hamilton was far from the only famous American to be involved in a duelor get killed in one. Jackson vs. Dickinson One of most famous duels involved Andrew Jackson and Charles Dickinson. In 1806, the two men met in combat after Dickinson insulted Jacksons wife. Dickinson was regarded as one of the best duelers in America. Jackson was a fearless soldier. The future president survived Dickinsons first shot but Jacksons pistol jammed. In a breach of the code duello, Jackson re-cocked his pistol and killed Dickinson. Benton vs. Lucas Another frequent dueler was Thomas Hart Benton, who fought with Jackson, and had two duels with a rival attorney, Charles Lucas. Benton killed Lucas in their second duel in 1817. As a senator, Benton became Jacksons right-hand man in Congress. Decatur vs. Barron In 1820, a top Navy commander, Stephen Decatur, died in a duel with a former naval commander, James Barron. Barron apologized to Decatur as he fell wounded. Decatur accepted, saying it was an honorable duel. Graves vs. Cilley Two members of the House of Representatives fought in a fatal 1838 duel, when Kentucky Representative William Jordan Graves killed Maine Representative Jonathan Cilley. Graves was sent to deliver a dueling invitation from New York newspaper editor James Webb, but he wound up fighting Cilley. The Supreme Court boycotted the funeral in protest. Lincoln vs. Shields Then, in 1842, an Illinois state legislator got in hot water after he allegedly published a letter insulting state auditor James Shields. Shields challenged the author of the letter to a duel. The alleged author: Abraham Lincoln. By the time the two men met for the duel, however, the duelers seconds were able to convince them to settle on the grounds that Lincoln was not responsible for the letters. It didnt hurt that Lincoln towered over his opponent. Lincoln, as we know, later became president, and Shields became a general. Story continues Clay vs. Randolph Perhaps the oddest duel was between Secretary of State Henry Clay and Senator John Randolph in 1826. A known hothead, Randolph accused Clay of crucifying the Constitution and cheating at cards in a speech on the Senate floor. Randolph was a much better dueler and didnt want to kill the secretary of state, so he worked with another chronic dueler, Thomas Hart Benton, to purposely miss the first shot, so Clay would end the duel. But Randolphs pistol misfired just before the duel, and after Clay demanded that the duel continue, Randolph shot at Clay and just missed. Clay then shot and missed twice. Randolph went back to his original plan and shot above Clay. Cooler heads prevailed, and the two politicians shook hands and ended the duel. Recent Historical Stories on Constitution Daily The Burr vs. Hamilton duel happened 212 years ago today 10 fascinating facts about John Quincy Adams for his 249th birthday Celebrating the 148th anniversary of the 14th Amendment Bogota (AFP) - A peace deal being negotiated with FARC rebels will ultimately be determined by a referendum previously mooted by the Colombian government, the official leading negotiations with the insurgents said Monday. "If the public says 'No,' the process stops and there will be no result," Humberto de la Calle said in an interview with El Tiempo newspaper. That would also mean "that we would have lost 'four years of our lives.'" The Colombian government and the FARC are in the final phase of four years of talks that it is hoped will result in a peace deal putting to an end a half-a-century of conflict. The rebels last month signed a ceasefire and disarmament deal with the government, with the aim of becoming a political party. The government said it hoped to move on to a full peace deal within weeks. De la Calle noted that Colombian President Juan Manuel Santos has already spoken of a referendum on a final deal, and that the Colombian public would determine whether it was adopted or not. The country's constitutional court is currently studying a bill that would set the scene for a plebiscite. Alejo Vargas, an analyst at the National University's Center for Reflection and Monitoring of the Peace Dialogues, has said government supporters of the deal are in the majority. In a referendum, he estimated, "up to 70 percent would vote 'Yes.'" London (AFP) - European planemaker Airbus and US rival Boeing went head to head at the Farnborough air show, which opened Monday facing Brexit headwinds and shut early due to heavy rain. Airbus, which traditionally fights US rival Boeing for blockbuster order announcements at Farnborough, southwest of London, revealed a $4.4-billion (3.9-billion-euro) firm order from Virgin Atlantic for twelve wide-bodied Airbus A350-1000 jets. The carrier has agreed to buy eight of the aircraft for deliveries starting in 2019, and four new aircraft from Air Lease Corporation (ALC). Airbus added that Vietnam's Jetstar Pacific Airlines has indicated its intention to purchase 10 single-aisle A320ceo aircraft worth $980 million. It added that ALC had also placed a firm order for three long-haul A350-900s jets and one medium-haul A321. For its part, Boeing announced that China's Donghai Airlines intended to buy 30 jets -- comprising 25 medium-haul 737 Max 8s and five long-haul 787-9 Dreamliners -- together worth more than $4.0 billion. Boeing also revealed that Chinese peer Xiamen Airlines had also indicated its interest in 30 of its single-aisle twin engine 737 MAX 200 planes worth $3.4 billion. The week-long Farnborough show takes place this year amid global turbulence from Britain's shock EU exit referendum. However, the biennial event was for shut early on Monday due to heavy downpours during the early afternoon. Prime Minister David Cameron, attending the opening, hailed the Airbus order, citing the fact that the European planemaker's wings are built in Britain, while engines come from Rolls-Royce. Ahead of Farnborough, some airlines had posted profit warnings linked to expected fallout from the June 23 referendum. Fred Cromer, president of Bombardier's commercial aircraft division, told AFP on the sidelines of the show that he did not expect Brexit to have an impact on the Canadian firm, and highlighted that the broader sector enjoys consistent and solid growth in passenger traffic. Story continues - No long-term Brexit impact - Bombardier itself hopes to win fresh orders for its fuel-efficient C Series jetliner at Farnborough as it looks to challenge the dominance of Airbus and Boeing in medium-range, single-aisle aircraft. "I think, like everybody else, that this is going to evolve over time," Cromer told AFP, when asked about the Brexit impact. "The one statistic I would point to, in terms of aviation, is the passenger growth long term. And it is a very stable year-over-year passenger growth number in that 3.0-4.0 percent range, depending on the year with some volatility. "I think our industry is always facing short-term growth issues -- but long-term passenger demand is fuelling the orders that you see today and that we expect to come. "So I wouldn't expect it (Brexit) to have an impact on us -- medium term or long term." Cromer added that Bombardier's long-delayed C Series jet -- whose first customer Swiss flies commercially for the first time on Friday between Zurich and Paris -- is gaining "momentum" after winning recent key orders from Air Canada and Delta Airlines. "If you think about all the milestones along the way, we are sort of getting this momentum, and then in the first half of this year we obviously announced the large orders for both Air Canada and Delta, which has in our view relaunched the programme and gained the industry attention that we were looking for," Cromer added. "So we feel very good about where we are, going into the show with all of this momentum, and the increased interest in the aircraft is phenomenal." Boeing meanwhile forecast Monday that passenger traffic would grow by an average 4.8 percent over the next 20 years, while Airbus put the figure at 4.5 percent. The industry is dogged by a record backlog for orders of planes, which -- alongside weaker demand from airlines -- sent orders tumbling almost a third last year. Miami (AFP) - Female doctors at public medical schools across the United States earn about $20,000 less per year than men, a study found Monday, offering more evidence of a persistent pay gap between men and women. The findings in the Journal of the American Medical Association (JAMA) Internal Medicine were based on salary data for academic physicians at 24 public medical schools in 12 states. In all, more than 10,000 physicians' salaries were analyzed. About one third of those in the study were women, "a proportion comparable to that seen among other US medical schools not included in the study," said the report. In raw numbers, without accounting for factors such as age and experience, women earned $206,641 per year, compared to $257,957 for men -- a difference of more than $51,000. A closer look revealed that faculty rank, age, years since residency, specialty, clinical trial participation and having had one's research published accounted for some of the pay differences, but not all. After factoring in these modifiers, women still earned on average $19,878 per year less than men, said the report. "Our use of publicly available state employee salary data highlights the importance of physician salary transparency to efforts to reduce the male-female earnings gap," said the study, led by Anupam Jena, a doctor at Harvard Medical School. The biggest gaps were seen among specialty surgeons, and women at the top of their profession scale earned about as much as men at mid-level ranks. "Salaries for female full professors ($250,971) were comparable to those of male associate professors ($247,212)," it said. Women tended to be younger than men, and more women specialized in internal medicine, pediatrics, obstetrics and gynecology. "Women also had fewer total publications, were less likely to have funding from the National Institutes of Health (NIH) and less likely to have conducted a clinical trial," said the report. Story continues An accompanying editorial in the journal called for the "courage and leadership of women academic physicians... to advocate to eliminate" the pay gap. "Fixing the pay gap between male and female physicians in academic medicine requires more than just studies showing that it exists; concerted efforts are needed to understand and eliminate the gap," wrote Vineet Arora, a doctor at the University of Chicago. "It is time that the 'woman card' be worth the same amount as the 'man card.'" By Andrew M. Seaman (Reuters Health) - At U.S. medical schools affiliated with public universities, female physicians get paid tens of thousands of dollars less each year than their male colleagues, according to a new study. The average male doctor at these institutions earns at least $50,000 a year more than the average female, researchers found. A large pay gap remained even after accounting for factors that influence salary, such as age and medical specialty. "When you account for those, you can explain about 60 percent of the gap, but about 40 percent of the gap remains," said lead author Dr. Anupam Jena, of Harvard Medical School in Boston. Previous research has found differences in pay between male and female doctors, but those studies were often based on survey results. For the new study, the researcher used data from 24 public medical schools in 12 U.S. states that require salary information be made available to the public through freedom of information requirements. "The states that we looked at had this information online," Jena told Reuters Health. Male doctors at those schools earned on average $257,957, compared to $206,641 among female doctors. Female doctors who were the top professors at their institution were making as much as male doctors who held lower positions. Women were less likely than men to be full professors, to have funding from the National Institutes of Health and to have conducted a clinical trial. They were also more likely to be younger, and to specialize in internal medicine, obstetrics and gynecology and pediatrics. After accounting for those factors, women still made nearly $20,000 less, on average, than men, the researchers report in JAMA Internal Medicine. "They were still left with that pay gap," said Dr. Vineet Arora, who wrote an editorial accompanying the new study. "This study does point to some bright spots that merit further discussion and understanding," added Arora, who is in the Department of Medicine at the University of Chicago. For example, not every institution had a pay gap. Also, women working in radiology were paid as much as their colleagues. "When something is going well some places, we need to figure out why and that may help poor performers," Arora told Reuters Health. Jena suggested several possible reasons for the gap. For example, he said, women negotiate salary differently than men. They may also be less likely to seek out outside job offers to bolster their current salary. They may also be the victims of conscious or unconscious biases. Arora said the next step is to look at ways to reduce the pay gap between male and female doctors. "I think what we need to realize is that women physicians arent alone," she said. "Its important to understand it. It can help women in other fields." SOURCE: http://bit.ly/29rZgRS and http://bit.ly/29rZvwb JAMA Internal Medicine, online July 11, 2016. By Denis Dumo JUBA (Reuters) - Heavy fighting erupted again in South Sudan's capital on Monday a day after the U.N. Security Council told rivals President Salva Kiir and Vice-President Riek Machar to rein in their forces and end days of violence that have left scores dead. A Reuters witness saw two helicopters overhead firing apparently in the direction of Machar's political and military headquarters. Residents reported tanks on the street. A U.N. official said heavy gunfire had erupted around U.N. bases again. The capital has been mired in fighting almost every day since Thursday when troops loyal to Kiir and soldiers backing former rebel leader Machar first clashed, raising fears of a slide back to a full-blown conflict after a two-year civil war. It was not immediately clear who was leading the fighting or if either side was gaining the upper hand. The violence has raised concerns that Kiir and Machar, longtime political and military rivals, may not have full control of their forces. There has been no official death toll but at least five soldiers died on Thursday and a Health Ministry source said 272 people, including 33 civilians, were killed on Friday. After a brief lull on Saturday, Sunday's fighting appeared even more fierce. "We urge an end to these hostilities and hope they (political leaders) will return back to taking up all the action points of the peace agreement," Shantal Persaud, spokeswoman for the U.N. mission UNMISS, told Reuters by telephone. She said gunfire had erupted on Monday around the U.N. headquarters in the Jebel area of Juba and also around a base near the airport. U.N. bases were hit by small arms and heavy weapons on Sunday. One U.N. Chinese peacekeeper was killed. UNMISS said it was "outraged" by renewed violence in the world's newest nation, which marked five years of independence from Sudan last week. South Sudan's people remain mired in poverty. Oil production, the nation's mainstay, has plummeted. The U.N. Security Council, after an emergency meeting, told the two leaders to "do their utmost to control their respective forces, urgently end the fighting and prevent the spread of violence" and commit themselves to their peace deal. Attacks on civilians, U.N. personnel and U.N. premises might amount to war crimes that would need investigation, it said. On Friday, Kiir and Machar had been in patch-up talks after Thursday's shootings when gunfire erupted. Both said then they could not explain what happened. The two men have long been rivals for power both in politics and on the battlefield. The civil war erupted in December 2013 a few months after Kiir sacked Machar as his deputy. They signed a peace deal in August 2015, but then spent months wrangling over details. Machar finally returned to Juba in April, at the time seen as step towards cementing peace. But experts say the failure to implement swiftly key elements, such as the re-integration and demobilisation of combatants, has allowed tension to fester and risked igniting a new conflict. (Writing by George Obulutsa and Edmund Blair; Editing by Andrew Heavens) BMW Motorrad debuted the G310R at the Auto Expo 2016, with its operations starting from most likely from October. The India-bound BMW G310R will most likely come within a price bracket of Rs 2 lakh to Rs 3.8 lakh (ex showroom, New Delhi). (Photo Credit: Paul Tan) By India Today Web Desk: According to reports, BMW Motorrad has initiated its entry in the Indian market, with the production of the G310R motorcycle started at the TVS Group's plant in Hosur, Karnataka. Showcased at the EICMA 2015, the BMW G310R is jointly developed by TVS and BMW Motorrad. BMW Motorrad debuted the G310R at the Auto Expo 2016, with its operations starting from most likely from October. Reports also suggest that the BMW G310R will be the first product from the company for the Indian market. Moreover, the upcoming TVS Akula 310, now called TVS Apache RTR 300, is also based on the BMW G310R. The sporty seat emphasizes the roadster dynamic and gives the bike an unmistakable motorsport look. (Photo: Paul Tan) advertisement ALSO READ: BMW G310 R priced cheaper than the KTM Duke 390 in the UK Price: The India-bound BMW G310R will most likely come within a price bracket of Rs 2 lakh to Rs 3.8 lakh (ex showroom, New Delhi). Engine: The India-bound BMW G310R will come with a displacement of 313cc with Water-cooled, single-cylinder, 4-stroke engine churning maximum power output of 34 hp @9,500 rpm and maximum torque of 28 Nm @7,500 rpm, mated to six-speed constant-mesh transmission, with a top speed of 144kmph. Design: ALSO READ: BMW Motorrad to officially enter India in October The BMW G 310 R carries the BMW S 1000 R genes. The sporty headlight fairing with powerful headlight, dynamic fuel tank trim and typical roadster proportions transform it into an eye-catcher on the street. The G 310 R is light and strong. The sporty seat emphasizes the roadster dynamic and gives the bike an unmistakable motorsport look. The gold anodized fork and brake calipers emphasize the workmanship of the machine. Dimensions: The upcoming BMW G310R will be 1988 mm in length, 896 mm in width and 1,227 mm in height with a fuel capacity of 11L and reserve tank of 1L. The upcoming BMW G310R will come with 3 color options- Strato Blue Metallic, Cosmic Black and Pearl White Metallic. (Photo: Paul Tan) Colors: ALSO READ: BMW Motorrad presents Smartphone cradle for motorcycles The upcoming BMW G310R will come with 3 color options- Strato Blue Metallic, Cosmic Black and Pearl White Metallic. Competition: The BMW G310R will compete against the likes of Benelli TNT300 and KTM Duke 390 in the Indian market. --- ENDS --- Helsinki (AFP) - Collectors with a penchant for old Soviet cars will head to the Arctic this weekend where the Finnish customs will auction dozens of cars abandoned by migrants crossing over from Russia. The 128 cars, mostly Soviet-era Ladas or Volgas in poor condition, will be auctioned on Friday and Saturday in Salla, about 900 kilometres (560 miles) north of Helsinki. Between December and March, more than 1,700 asylum seekers, mainly from Afghanistan and Syria, crossed the border in clunkers they had bought in Russia to get into Europe's passport-free Schengen zone through two of Finland's northern entry points in the Arctic. Despite the winter temperatures plunging as low as -30 Celsius (-22 Fahrenheit) in the region, some migrants considered the Arctic route to Europe safer than the more perilous Mediterranean crossings in risky boats. "These are abandoned vehicles that were handed over to the state, 128 pieces. Asylum seekers who arrived via Russia abandoned or handed them over," chief inspector Kari Hannu told AFP on Monday. Hannu said the auction had already attracted "considerable attention". Migrants were crossing the Finnish-Russian border on bicycles until December, because foot crossings were prohibited and being transported by someone else in a vehicle constituted trafficking. But when Finnish authorities barred cycle crossings for safety reasons, migrants had no choice but to shell out over 1,000 euros ($1,105) for old rusty cars they abandoned in Finland. Eventually in March the Arctic route was blocked when Finland and Russia announced an agreement to close two of their northern border crossings to migrants. By Fayaz Bukhari SRINAGAR (Reuters) - Four protesters and a police officer were killed in India's northern Jammu and Kashmir state on Sunday, police said, raising the death toll in violence sparked by the death of a separatist militant to 20 since Friday. Protests erupted after security services on Friday evening shot dead 22-year-old militant leader Burhan Wani. His death came amid a rise in violence and separatist sentiment across the state, which has been at the center of a tussle between India and Pakistan for decades. The director general of Jammu and Kashmir Police, K. Rajendra Kumar, told reporters that 100 members of the security forces had been wounded and that three were missing. In addition, "miscreants threw a police vehicle into River Jhelum", south of the state's summer capital of Srinagar, killing the officer inside, he said. On Saturday, police had said that angry crowds set fire to three police stations and two government buildings south of Srinagar, and blocked roads. Kumar put the protestor death toll at 15, but a second officer, who asked to remain anonymous, said four more died on Sunday in clashes with security forces, raising the total number of deaths to 20. Confrontations continued on Sunday despite the authorities imposing round-the-clock curfew conditions on most of the Kashmir valley, the officer said. Wani was the leader of Hizb-ul Mujahideen, a group fighting Indian control of the Muslim-majority region. His social media videos show him wearing military fatigues and calling for jihad. Activists and separatist leaders have criticized the security forces' response to the protests, accusing them of using excessive force. "It is shocking and painful that Indian armed forces have yet again unleashed terror on the mourners and protesters, resulting in massive civilian casualties," Khurram Parvez, an activist with rights group the Jammu and Kashmir Coalition for Civil Society, said in a statement. The local government has appealed to the public and separatist political leaders to help calm the situation. (Reporting by Fayaz Bukhari; Editing by Tom Lasseter and Raissa Kasolowsky) Hundreds of protesters tried to storm a military airbase in Indian Kashmir on Monday, the third day of deadly clashes between government forces and demonstrators angered by the killing of a popular young rebel. Police said 30 people had now died in the violence, most of them protesters killed by gunshot wounds as government forces fired live ammunition and tear gas to try to enforce a curfew imposed across the Kashmir Valley. Thousands again defied the curfew to take to the streets on Monday. Police said several hundred protesters tried to storm an Indian Airforce base about 25 kilometres (15 miles) south of the capital Srinagar as the worst civilian unrest since 2010 spread. "A few hundred protesters stormed the airforce base," said a senior officer on condition of anonymity. "We do not know if firearms were used, but the protesters were pushed back," he said, adding there were no immediate reports of casualties. Protesters also set police stations and vehicles on fire. On Sunday one police officer drowned when his armoured vehicle was pushed into a river. The demonstrations follow the killing on Friday of Burhan Wani, a 22-year-old commander of Kashmir's largest militant group Hizbul Mujahideen (HM), during a gun battle with government forces. HM is one of several homegrown militant groups that have for decades been fighting around half a million Indian troops deployed in the region, calling for independence or a merger with Pakistan. Around 300 people have been injured, including nearly 100 police, and hospitals say they are overwhelmed. There were also reports of injured protesters being targeted -- one local doctors' association said Sunday that tear gas canisters had been fired inside a hospital emergency room. Another group, the Jammu and Kashmir Coalition for Civil Society, alleged that police had attacked ambulances taking the wounded to hospital. The state government has called for calm and has cut off Internet and mobile phone networks to try to stop the protests spreading. Story continues It is the worst civilian violence to hit the restive region since 2010, when mass protests broke out against Indian rule. - India warns Pakistan - New Delhi warned Islamabad late Monday not to meddle in India's internal matters after Pakistan accused it of violating human rights in the aftermath of Wani's killing. "We have seen statements from Pakistan on the situation in the Indian state of Jammu and Kashmir. They reflect Pakistan's continued attachment to terrorism and its usage as an instrument of State policy," an Indian foreign ministry statement said. "Pakistan is advised to refrain from interfering in the internal affairs of its neighbours." On Sunday, Pakistan expressed deep shock at Wani's death. A statement from the prime minister's office condemned the "excessive and unlawful force" used against those protesting the killing, adding: "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self determination." Kashmir has been divided between rivals India and Pakistan since 1947, but both claim the territory in its entirety. Tens of thousands of people, mostly civilians, have died in the fighting since 1989. Violence has sharply declined in recent years following a major crackdown by the hundreds of thousands of forces deployed in the region. But a recent uptick in militant attacks has galvanised frustrated young Kashmiris, many of whom deeply resent the military's presence. Wani joined the HM rebel group at the age of just 15 after his brother was allegedly tortured by government forces. His strong presence on social media where he posted pictures of himself in army fatigues and videos of his band of fighters, made him a rallying point for Kashmir's youth and tens of thousands of mourners attended his funeral. On Monday, separatist leaders said a shutdown protesting his death should be extended by two more days, and called a rally in the main city of Srinagar on Friday. Also Monday, paramilitary troops evacuated 23,000 Hindu pilgrims left stranded after authorities shut the main highway. The government has decided to suspend the annual pilgrimage to the Amarnath shrine, one of Hinduism's most revered sites 3,800 metres (12,800 feet) above sea level. NEW DELHI (Reuters) - Flash floods triggered by torrential rain have killed at least 22 people in India and forced more than 170,000 from their homes, officials said on Monday, as forecasters predicted more downpours in coming days. India's monsoon rains, though vital for agriculture, regularly bring death and destruction. The rain was 35 percent above average in the week that ended on July 6, the weather office said. Twenty people were killed in the central state of Madhya Pradesh where 70,000 people were left homeless as water rose to dangerous levels along parts of the Narmada river. Firemen waded through thigh-deep water to rescue women and children in flooded villages while rescue teams used inflatable boats to reach people stranded in urban areas. "Thousands of people will be evacuated today. We are working on a war footing mode to set up relief camps," additional home secretary Basant Singh said in Bhopal, the state capital. "The health department is distributing medicines to prevent outbreak of water-borne diseases." Stormy weather also ravaged parts of the remote northeast. Heavy rain pounded the tea-growing, oil-rich state of Assam killing at least two people. About 100,000 people were forced to take shelter on higher ground, officials there said. The rain has also swelled the Brahmaputra river, which flows into Bangladesh, to dangerous levels. Assam Chief Minister Sarbananda Sonowal ordered officials to distribute food, clothing and medicines to people who could not return to their homes. (Reporting by Biswajyoti Das in GUWAHATI Rupam Jain in NEW DELHI, Editing by Tommy Wilkes, Robeert Birsel) By Alwyn Scott SEATTLE (Reuters) - Employees laid off by Spirit AeroSystems Holdings Inc in 2013 filed a lawsuit on Monday accusing the aircraft parts supplier of singling them out by age and disability. Twenty-four plaintiffs were named and another 150 may join the case, attorney Daniel Kohrman, of the elderly nonprofit group AARP, said at a news conference in Wichita. The lawsuit filed in U.S. District Court in Kansas seeks back pay, lost income and attorney's fees. Wichita-based Spirit was spun off from Boeing Co in 2005 and is a major supplier to Boeing, Airbus Group SE, Bombardier Inc and other aircraft makers. The plaintiffs said they were all aged over 40 when fired and that they or their family members had medical conditions that were or could be costly under a self-insurance health plan Spirit adopted in 2013. "Spirit's senior management believed, based on knowledge of such conditions, that older employees ... posed a high risk of incurring large medical costs that Spirit would be solely responsible for paying, due to the company becoming 'self-insured' on July 1, 2013," the lawsuit said. The former employees claim the company gave them poor performance reviews to justify laying them off, and did not rehire them when jobs for which they were qualified became open. Spirit responded that it does not discriminate in hiring and firing workers. "Reductions in force are never easy. However, all decisions are based on job-related, non-discriminatory criteria," Spirit spokesman Jarrod Bartlett said in a statement. "We are confident the evidence in this case will show Spirit is compliant with the law in its employment practices." Spirit's shares closed 1.2 percent higher at $42.67 on the New York Stock Exchange. (Reporting by Alwyn Scott; Editing by Richard Chang) Part of OZYs occasional Know This Name series on prominent leaders in business, sports, politics and other fields. She seems no-nonsense. Fit and trim, she has pixie-cut brown hair and a simple fashion sense pantsuits, solid-colored blouses that labels her no fuss! She approaches interviews and speeches with a near-permanent smile and appears to be a cheerful, go-getting mother of four. But this 41-year-old chemist turned politician is inspiring plenty of heated discussions around German dinner tables. Just as Marine Le Pen and Geert Wilders front far-right politics in France and the Netherlands, Dresden native Frauke Petry is offering a youthful zeal and smile to anti-Muslim, anti-immigrant and Euroskeptic rhetoric in Germany. While some are intrigued by her provocations and calls for saving German culture from an influx of 1 million-plus refugees, others repel at the Third Reich-style tone her party has been known to inspire. In the wake of Brexit, many fear that populist parties like Petrys Alternative fur Deutschland (AfD) will enjoy a boost. It seems there are people within the party leadership that are even more radical than she is. Peter Matuschek of polling firm Forsa The AfD chair ousted party founder Bernd Lucke last July, rising to the top on her strength as a compromise candidate, says Kai Arzheimer, professor of political science at the University of Mainz. Internally, its a pretty diverse party, he says, referring to a few market liberal holdouts from Luckes time, as well as super nationalists. She became Luckes successor because she was acceptable to both wings in the party. Her job as chair means shes responsible for steering and unifying the AfDs national campaign while boosting supporter numbers and coffers. Gettyimages 511699068 Frauke Petry speaks to the foreign journalists association in February 2016 in Berlin. Source: Sean Gallup/Getty Images But Petry now faces the challenge of uniting a bevy of right-wing malcontents. She has become rather isolated within the party leadership, says Arzheimer. Extremists have lambasted her for being too soft: They didnt take kindly to the party axing its proposed ban on circumcision, for example, which had been widely labeled as Islamophobic and anti-Semitic. Moderates, meanwhile, were alarmed earlier this year by Petrys mention of shooting refugees at the border as a last resort. When she replaced Lucke, she was considered a radical, but now, says Peter Matuschek, head of political and social research at German opinion-polling firm Forsa, it seems there are people within the party leadership that are even more radical than she is. Story continues Petry, who holds degrees from English and German universities, speaks perfect English and has been a successful entrepreneur. She claims the press often maligns her though she ignored requests for comments to this article and appears hell-bent on being the voice that prevails. Which is a daring strategy given that her predecessor, Lucke, had a reputation for being overly authoritative. Now Petry must figure out how to define her party without stepping on too many toes. The question at hand: The AfD doesnt seem to know whether its a conservative party, a right-wing populist party or an ultra-nationalist organization. Also up in the air is whether it should align with Pegida, the radically anti-Islamization group known for protests, or with other far-right groups throughout Europe. They have to send a clear message, says Arzheimer, especially ahead of the September 2017 national parliamentary elections; to do otherwise would be political suicide, he says. The AfD is polling at around 10 percent these days, according to Forsa, and Arzheimer believes the party will surpass the 5 percent barrier for entering national parliament for the first time next year, perhaps netting between 8 percent and 12 percent of the vote. (Right now, theres no way to know how many seats that could translate to.) Two years ago, when the AfD was new, its anti-European Union stance meant it was basically campaigning on the wrong issue, says Arzheimer. But the refugee crisis has since created a perfect storm flipping political discussion away from 2013s core issue, unemployment, to immigration. The party has now published its first-ever national manifesto, the main focus of which was Muslims, whom they portray as a danger, says Arzheimer. As for the EU, the AfD doesnt want out so much as to remodel the union into a free trading area. Domestically, it espouses morally conservative values. It prefers Germanys former three-pronged upper-education system to new comprehensive schools, and is opposed to gender and LGBT equality, as well as sex education. Yet Petry, whose first husband was a Lutheran pastor, recently ran off with fellow politician and married (now divorced) father of four Marcus Pretzell, who didnt reply to OZYs requests for comment. But shes in luck: While there are fundamentalist Christians in her midst, the party is not overly religious. So while some supporters may have a problem with their leader not reflecting conservative ideals, its not a problem for the majority. That said, many believe Petrys political career and perhaps even the AfD has already peaked. While the AfD, which has seats in several state parliaments, is likely to land in the Bundestag next year, for it to truly play at the national table it would need some prospect of becoming a coalition partner in governance. Arzheimer says its more likely that center-right parties, liberals and Christian Democrats will ignore the AfD, refusing to grant it more legitimacy. But then again, we now know all too well what can happen when we assume the establishment will override the fringe outsider. Related Articles By Michel Rose PARIS (Reuters) - Portugal does not deserve to be penalised by the European Union for missing fiscal targets, having made a huge effort to rein in its public finances, French Finance Minister Michel Sapin said on Monday. The European Commission began formal disciplinary procedures against Portugal and Spain last week over excessive deficits in 2014 and 2015, and EU finance ministers will make a decision based on the executive's recommendation at a meeting on Tuesday. Sapin, who did not spell out his stance on Spain, said the European Commission was entitled to launch the procedure. But "Portugal doesn't deserve to have exaggerated discipline imposed," he told a news conference. "One cannot say Portugal hasn't made all the appropriate efforts." EU budget penalties have never yet been enforced and it is unclear whether they will be imposed this time. France itself has repeatedly benefited from EU leniency over its own breaches of the bloc's public finances rules. Portugal and Spain both ran deficits above the EU limit of 3 percent of gross domestic product in the past two years and failed to narrow the gap quickly enough, the Commission said. "For Spain, the difficulty we have is to know who we're talking to," Sapin said. He declined to comment on the issue of budget sanctions for that country. But Sapin had said at the end of May that euro zone finance ministers were "not inclined" to sanction Spain, and that there was goodwill from France on this point. Spain has been in political deadlock since December, when a national election left no single party able to form a government. Negotiations over a coalition also failed to bear fruit and a second election in late June produced a similarly inconclusive result. The Commission's decision to open a disciplinary procedure has the potential to ignite controversy over the fair application of EU rules, its President Jean-Claude Juncker having said in May that France was granted budget leeway because "it's France", attracting criticism. Spain's Economy Minister Luis de Guindos said on Thursday his country should also escape any sanction, and would work with Brussels on a new deficit-cutting path. (Writing and additional reporting by Ingrid Melander; Editing by Andrew Callus and John Stonestreet) Beirut (AFP) - French Foreign Minister Jean-Marc Ayrault on Monday told rival Lebanese leaders they must solve the political paralysis that has prevented the election of a new president since 2014. "A solution must be found and it is up to the Lebanese political parties to find a way to comprise," Ayrault said at the start of a two-day visit to Lebanon. Deep divisions among the country's Christians, Sunni and Shiite Muslims and Druze leaders have sparked a political paralysis. The tiny Mediterranean country has been without a president since May 2014 when Michel Sleiman's mandate expired, and parliament has extended its own mandate twice since 2009. As a result, government institutions are paralysed and the country faces a myriad of problems, including the burden of hosting more than a million refugees from worn-torn Syria -- nearly a quarter of its population. The government is also split roughly between a bloc led by the Shiite movement Hezbollah and another headed by former prime minister Saad Hariri. Hezbollah is backed by Iran and has sent men to fight in Syria alongside the forces of President Bashar al-Assad's regime, while Hariri's bloc is supported by Saudi Arabia and the United States. Ayrault, speaking to a group of politicians invited to a banquet at the French embassy in Beirut, said Syria's five-year war "alone cannot justify the absence of a solution to the institutional crisis" in Lebanon. He held separate talks with some of Lebanon's political leaders and he is to hold further meetings on Tuesday. Ayrault also inspected French peacekeepers serving with the UN in southern Lebanon and travelled to UNIFIL headquarters in the town of Naqura on the border with Israel. By PTI: Mumbai, Jul 11 (PTI) Global rating agency Moodys today said the UKs decision to leave the European Union is unlikely to impact Asia-Pacific sovereigns, but external finance dependence may pose vulnerability for some countries. "We do not expect the vote of UK (Aa1 negative) to leave the European Union (EU, Aaa stable) to have a significant credit impact on Asia-Pacific sovereigns," the rater said in a report here today. advertisement Although lower GDP growth in the UK will dampen demand for products from the rest of the world, Asia-Pacifics direct trade linkages with the country are generally limited, it said. Most Asia-Pacific sovereigns have minimal reliance on exports to the UK - Cambodia (B2 stable) is the most exposed, with exports to the UK worth 5.8 per cent of GDP in 2015. The report does not foresee a large impact on trade or GDP growth in the region. Announcements related to Brexit in coming months may trigger financial market volatility, said the agency. "While it is not our baseline expectation that there will be a significant shift in portfolio or banking flows to the region, if global financial volatility results in tighter external financing conditions, it would hurt growth in countries where fiscal and monetary policy space is already constrained," the report said. It, however, added that potential market volatility resulting from Brexit would affect Asia-Pacific sovereigns that depend on external financing. Out of those Asia-Pacific countries that have large current account deficits, Mongolia (B2 negative) relies in part on private sector financing flows. "In addition, both Mongolia and to a lesser extent, Sri Lanka (B1 negative) have significant debt repayments due in 2016. Consequently, any severe and prolonged market volatility could heighten balance of payments pressures for these two sovereigns," the rating agency said. It saw the impact on financial flows into Asia from the UK and other European banks as "uncertain". As international financial centres, Hong Kong (Aa1 negative) and to a lesser extent, Singapore (Aaa stable) would be exposed if financing flows from the UK and European banks ebbed, it added. However, there is a possibility that these centres could benefit if UK and European banks aim to diversify their asset bases. PTI HV NRB ARD JMF --- ENDS --- Dallas (AFP) - When shots rang out in Dallas last week, police zeroed in -- wrongly -- on men in camouflage gear carrying powerful military-grade rifles. People can carry such guns openly under Texas law, a provision now under scrutiny for complicating police work in a moment of acute crisis. About 20 such armed men had joined a march Thursday over the deaths days earlier of two black men at the hands of police, in Louisiana and Minnesota. Some even carried gas masks and wore bulletproof vests. The problem began when Micah Johnson, a black 25-year-old one-time Army reservist, launched an ambush attack with an assault rifle, targeting white police monitoring the rally. When the chaos that engulfed downtown Dallas was over, Johnson had killed five police and wounded seven others, plus two civilians. He told police negotiators before being killed by a robot bomb that he wanted to kill white cops. When Johnson initially started shooting, police immediately faced the dilemma of distinguishing armed but non-hostile protesters from the actual shooter or shooters in a moment of violent mayhem as crowds scurried for safety. Most US states, including Texas, let people carry long arms -- rifles and shotguns -- in public with no need for a permit. Since January, people in Texas can also carry handguns openly in public, but for that, they do need a license. Dallas police chief David Brown questioned the logic of carrying long firearms at a protest rally. "Doesn't make sense to us," Brown said. "But that's their right in Texas." "We don't know who the good guy is versus the bad guy," he said. Texan gun owner Joe Coker said Dallas police have not received enough credit for how well they handled the crisis Thursday: not getting trigger-happy as a man with an AR-15 assault rifle opened fire and others with those same weapons were running through the streets seeking cover. "You saw those guys that were open carrying those ARs and somebody carrying an AR-15 was shooting at them," Coker told AFP. Story continues Police held their fire. "They're trained to know what to do in those kinds of situations. They just don't go, 'Wow, a guy with an AR15' and then, bam, shoot him in the head," Coker said. But Dallas Mayor Mike Rawlings insisted that however well police managed the crisis, the open carry law makes their job harder. "That is one of the real issues with the gun rights issues that we face, that in the middle of a firefight, it's hard to pick out the good guys and the bad guys," he told CBS on Sunday. While the Dallas police did not exchange fire with any of the armed civilians in the crowd, they did briefly detain three of them. Police also released a photo of one of the armed men, identified as Mark Hughes, and said he was a suspect. They later pulled the picture from Twitter when they realized their mistake. Coker also said carrying long firearms during a rally was not a good idea. "To me in a protest situation, it's not a very bright thing for those gentlemen to do," he said. - Preventing another shooting - For Cody Harris, who sells guns at Ray's Sporting Goods in Dallas, the shooting at the demonstration was an extremely isolated incident that should not undermine the argument that people have the right to carry guns openly in public. There are "a lot of places in the world where there are guns all over the place, accessible to law-abiding citizens. Those places are actually the safest," Harris asserted. "Because someone can deal with the threat right away if something happens." Julie Gavran, southwestern director of the advocacy group Keep Guns Off Campus, said however that the way Thursday's events unfolded showed the exact opposite. "They always say, 'We're there to stop the next mass shooting,' but they heard the gun fire and ran away," she told AFP. "There were about 20 'open carry' activists downtown during that protest, and when they heard the shots being fired, they ran away," she said. Coker insisted that in many situations, armed civilians have thwarted crimes but the mainstream media does not report on those because they "have an agenda that they care about." - Game changer? - At Ray's Sporting Goods, the shooting at the rally appeared to have no effect on sales or customers. "It's really not a spike (in gun sales) as big as people think," he said. "I've been busy but it's Saturday. It's not even crazy." As of the end of 2015, Texas -- which has a population of 27 million -- had issued 937,000 licenses to carry handguns, according to the state public security office. "Another argument that the gun lobby makes is that all these mass shootings are happening in gun-free zones" where firearms are forbidden, said Gavran. "Downtown Dallas wasn't a gun-free zone. There were police officers everywhere. There were concealed carry everywhere, open carry," she said. "This incident, I hope, is a game changer with legislation -- not just in Texas, but in the country." The Entrepreneur Insiders network is an online community where the most thoughtful and influential people in America's startup scene contribute answers to timely questions about entrepreneurship and careers. Today's answer to the question "What should you do when your friends ask you to hire them?" is written by Jim Robeson is the CEO and co-founder of PiinPoint, a location analytics company. When you're building a startup, every hiring decision is critical. I have friends I've wanted to work with, but in each case, I've had to ask myself this tough question: Would I be okay if I lost this friendship because of the business? Building a company is not easy and can disrupt even the most solid relationships. There are times when it is more helpful to know that you have a friend to call who is not at the company, who is far removed from the situation, and can lend an objective opinion. If a friend approached me for a job that I believed was truly a good fit, I'd treat them like everyone else. They would have an expedited interview process in the sense that their resume would be reviewed quickly and we'd bring them in for an interview especially if it's a friend from university or grad school, where I would have gotten a sense of their aptitude and work ethic. Nonetheless, the final hiring decision would be made at the committee-level. In our company, referrals are important. Many of our early employees - all of whom are top performers - came from referrals. If employees know a potential hire, we ask them to rate the candidate on a scale of 1 to 5: 1 means "I know them socially but have no knowledge of their professionalism or work quality," and 5 means "This individual will be a top performer; I've worked with them before, and they are a perfect fit for our organization and will be instrumental in driving the business forward." Related: 6 Rules to Follow When Hiring Your Friends Story continues A referrer's opinion isn't everything. We have a set of criteria that we use when evaluating candidates, regardless of their association with the company. Our criteria is based on nine variables that range from "Does this person need to be led or can they lead themselves?" to "What is their sense of curiosity?" Speaking to the latter, when someone asks me during their first interview for a demo of the company platform, I know that they have a genuine interest in our solution and they're not just here because it's a job. Finally, when you're considering hiring friends to join your team, remember that you don't want to end up with a team that thinks too much like you. You want people to challenge you, push your buttons, raise concerns, and question strategy. You want to build a company with an office culture where people feel like they are free to voice their concerns. A friend may not feel as comfortable doing that, for the sake of the friendship. And that's something you need to keep in mind before you bring them on. See original article on Fortune.com More from Fortune.com If a bankruptcy judge won't hold back Hulk Hogan, Gawker founder Nick Denton will likely have to declare personal bankruptcy. And so on Monday, Gawker's attorneys told a bankruptcy court of the crucial role that Denton is playing in selling the company and urged a judge to enjoin Hogan's collection efforts. Gawker declared Chapter 11 on June 10, after experiencing a $140.1 million final judgment in the Hogan sex-tape lawsuit. That day, Gawker brought an adversary proceeding against its legal foes and also announced it had come to a $100 million asset purchase agreement whereby Ziff Davis would be the stalking horse bidder to acquire Gawker's assets. In a deposition last week, revealed today, Gawker's chief restructuring officer William Holden testified that 55 potential bidders have emerged to acquire the media company. He also said there has been a "deluge" of queries that would grow "exponential[ly] as soon as we are out of the no-shop period." Gawker's lawyers are using the strong interest plus word of Denton's "critical" involvement to hit back against Hogan's efforts to move forward in an attempt to collect at least $10 million of the Florida judgment. "Here, even an ordinary CEO would be critical, but Mr. Denton is no ordinary CEO," states Gawker's latest court filing. "He built the Company from the ground up, remains intimately involved in, and central to, its day-to-day operations, is a unique repository of knowledge about the Company, and is the single most critical person to maximizing the value of the sale of its assets." In his own deposition, Denton says he has been highly involved in the planned auction, which was approved by a judge on Thursday. "We have gradually been building up better responses to investor questions," he said. "Each investor or lender or acquirer has different preoccupations and, you know, some are much more interested in the editorial ethos and editorial personnel. Some are much more interested in commerce numbers. Some are more interested in traffic trends. So each party comes with their own requests. I couldn't particularly anticipate what requests are likely from bidders for the company apart from Ziff Davis." Story continues Denton testified that Ziff Davis wanted his help and thus agreed to a consulting agreement. Another potential bidder wants to lock him up for several years as a continuing employee of the business whereas some other companies, he says, "have an existing management structure that they want to put the brands [on] ... ." According to Holden, Gawker has had to adjust salary for some of the company's concerned employees. "So far, we've had a number of employees leave the company, and we've also had to make a number of adjustments to a number of employees' base salary in order to bring them to market," testified Holden. "One of the anecdotal comments which came from one of the employees was that they are worried about their exposure and whether or not the company will continue to be able to indemnify them." Denton, who himself has an indemnification agreement (whose scope is being challenged by Hogan), says that Gawker has agreed to indemnify any writers and editors who are named in civil actions "because otherwise they couldn't do their work if they had the threat of being named personally and pursued in lawsuits, as A.J. Daulerio was." Apart from Hogan, Gawker is facing several other lawsuits reported to be part of a litigation funding campaign by Silicon Valley billionaire Peter Thiel. That gets attention in Gawker's push to get a judge to sign off on a preliminary injunction against Hogan (whose real name is Terry Bollea). According to its court memorandum, "Two things are abundantly clear following the submission of Mr. Bollea's opposition brief, document discovery and the depositions of three of the Debtors' most senior executives, including Mr. Denton: (1) Gawker Media has far exceeded the standard necessary to obtain the relief it seeks in this Motion, which is necessary and appropriate to maximize the value of the now Court-authorized asset sale, and (2) Mr. Bollea remains intent on using this Adversary Proceeding to further his billionaire financial backer's campaign to destroy the Debtors and their employees. The Motion should be granted and Mr. Bollea should not be allowed to serve as an agent for a personal vendetta to the detriment of these chapter 11 proceedings." LONDON (Reuters) - Germany may not finish negotiations with Europe's MBDA and Lockheed Martin Corp on the MEADS missile defense system by the end of the year as planned, according to a document sent to lawmakers by a senior German defense ministry official. The document, dated July 8 and sent by State Secretary Ralf Brauksiepe, said it was unclear if the project could be submitted to the parliamentary budget committee by the end of this year, or if it would slip into early next year. A copy of the document was seen by Reuters. Company officials told Reuters last month they hoped to submit a final contract proposal for the Medium Extended Air Defense System (MEADS) by the end of July and wrap up negotiations on the $4.5 billion project by year's end. Lockheed officials were not immediately available to comment on the ministry document. Experts say it may be difficult to win parliamentary approval if negotiations drag on too long too close to Germany's national elections in September 2017. Tobias Lindner, a member of the Green party, said the delay made him question if the ministry had fully understood the risks involved in the project. Germany announced last year it had chosen the MEADS system over Raytheon Co's Patriot system to replace the current Patriot system fielded in the 1980s, but said the companies had to meet demanding performance milestones to retain the contract. Germany funded a quarter of the $4 billion invested by it, the United States and Italy to develop the new system as a successor for the Patriot system. The U.S. military, citing financial pressures, decided several years ago not to buy the system, while Italy has not yet announced its plans. European missile maker MBDA is jointly owned by Airbus Group, Britain's BAE Systems Plc and Italy's Leonardo Finmeccanica SpA. Raytheon, a candidate to build a separate radar system that would be integrated with the MEADS system, says it is staying in close touch with the German government in case the MEADS consortium fails to meet the milestones set by the government. (Reporting by Andrea Shalal and Sabine Siebold; Editing by Paul Simao) HAMBURG (Reuters) - German prosecutors will grant Volkswagen (VOWG_p.DE) no mitigation for a record vehicle emissions settlement it faces in the United States and want VW to pay them a separate fine, a spokesman said. Prosecutors in Braunschweig, near Volkswagen's (VW) Wolfsburg headquarters, are demanding VW be fined based on the level of the profits it made from selling about 11 million cars equipped with illicit engine software. VW last month agreed with the U.S. government and regulators to pay $15.3 billion to get about half a million emissions-cheating diesel cars off U.S. roads. But the scale of U.S. penalties is no reason to exercise leniency on VW's regulatory offence, a spokesman for the Braunschweig prosecutor's office said on Monday. "We cannot pay heed to what VW may have to pay in other countries when we go about setting the fine," he said. "We cannot say: 'VW is already requested to pay a lot in the U.S., so let's not be so strict.' That's not possible." Under Germany's law on regulatory offences, prosecutors are assessing the "economic advantage" VW enjoyed from using cheating software, rather than expensive exhaust filter systems, to manipulate pollution tests, the spokesman said, adding it will be difficult to determine the level of profits VW has reaped from its wrongdoing. Industry observers in Germany estimate this could result in a fine of several hundreds of millions of euros. Braunschweig prosecutors, which last month started probing former VW Chief Executive Martin Winterkorn and VW brand chief Herbert Diess over suspicion of market manipulation, declined comment. Europe's largest automaker confirmed on Monday it has been notified by prosecutors about the latest probe but declined further comment. The proposed U.S. settlement would move VW close to the 16.2 billion euros (13.8 billion) it has set aside to cover the costs of the scandal. VW still faces criminal probes in the United States, Germany and South Korea as well as lawsuits from investors around the world suing the carmaker for what they describe as losses incurred after the manipulations were disclosed in September. (Reporting by Jan Schwartz and Andreas Cremer; Editing by Ruth Pitchford) FRANKFURT (Reuters) - The German state of Hesse called on Monday for the relocation of the European Banking Authority (EBA) to the financial hub of Frankfurt, which the state is home to, following Britain's decision to leave the EU. The EBA, which oversees the regulation of banks across the European Union and employs 160 staff, has already said it will have to move from London and an EU official in early July said it would likely relocate to either Paris or Frankfurt, which is already home to the European Central Bank. "I am certain that we will receive the clear support from the other (German) states in this," Hesse's state premier Volker Bouffier said on Monday, dismissing previous claims made by Bavaria's finance minister for the European banking watchdog to be moved to Munich. But other locations, such as Milan and Madrid, have also thrown their hat into the ring. Hesse will also push for the clearing of trades in euro-denominated financial derivatives to be relocated from London to Frankfurt. "This is one of the points that's very interesting to us," said Hesse's Finance Minister Tarek Al-Wazir, adding that the decision would not affect the planned merger of Germany's Deutsche Boerse (DB1Gn.DE) and the London Stock Exchange (LSE.L). (Reporting by Andreas Kroener; Writing by Tina Bellon; Editing by Greg Mahlich) BERLIN (Reuters) - Negotiations between the European Union and Britain following its decision to leave the bloc will difficult, German Chancellor Angela Merkel said on Monday. "We will have difficult negotiations with Britain, it will not be easy," Merkel told a rally of her conservatives in eastern Germany. "But that is why we as 27 member states must ask 'how should our Europe look?' And there, I think everyone can say how much bureaucracy there is," she added. (Writing by Paul Carrel; Editing by Noah Barkin) Miss [Sigourney] Weaver looks great and shows herself to be a willing comedienne, as well as an excellent foil for Mr. Murray. But this is his movie, first and foremost, and its another of the messy, near-miss films in which he seems to specialize. Put Mr. Murray in any setting where order, tidiness and rationality are taken seriously, and he becomes the consummate anarchic slob; thats enough to keep Ghostbusters going, like Stripes and Meatballs before it. But Mr. Murray would be even more welcome if his talents were used in the service of something genuinely witty and coherent, rather than as an end in themselves. By PTI: at cheap rates New Delhi, Jul 12 (PTI) The Centre and Delhi government will get land from DDA for health services, such as setting up medical institutions and hospitals, at a nominal rate of Re 1 per annum, Union Urban Development Minister Venkaiah Naidu said today. Announcing the rates of premium for land for institutional purposes, he said the government has decided to allot land at cheap rate for public utilities and services in the national capital. The decision was taken after consulting the Delhi government and DDA. advertisement Delhi government will also get land for offices from DDA at zonal variant rate (ZVR) which range between Rs 23,490 and Rs 52,853 per sqm from the earlier market rate which was between Rs 1,82,400 and Rs 6,72,000 per sqm. These rates are applicable for 2014-15 and 2015-16. The rate for land for staff quarters, for schools and hospitals of local bodies and Delhi Government has been reduced from 10 per cent of residential rates, which were between Rs 31,000 and Rs 75,700 per sqm, to a nominal charge of Re 1 per annum, the minister said. Rate for land allotted to Delhi government for un-remunerative uses such as maternity centres, night shelters and orphanages has also been reduced to Re 1 per annum, he added. Besides, the rate of land for DTC Depots and offices have been reduced from commercial rates ranging between Rs 1,82,400 and Rs 6,72,000 per sqm will now be at no profit no loss rate or Rs 11,745 per sqm. Land for roads, graveyards and crematoria, playgrounds and parks, water supply and drainage for DDA colonies will continue to be allotted free of cost or at nominal charge of Rs 1 per annum, Naidu said. Naidu also took a dig at Delhi Chief Minister Arvind Kejriwals accusation that Centre is not letting his government work, saying, "They are giving ad (advertisement) but I am adding (to the development of the state)." PTI MP NSD RG NSD --- ENDS --- The new, cheerfully silly Ghostbusters is that rarest of big-studio offerings a movie that is a lot of enjoyable, disposable fun whatever else you can say about the new Ghostbusters, its a lot like the old Ghostbusters, except that it stars four funny women instead of, you know, four funny men. In other words, it doesnt have a lot of XY chromosomes and basso profondo voices, though its token hottie, played by a game, nimbly funny Chris Hemsworth, pulls his weight on both those counts. Otherwise, the redo is pretty much what you might expect from Paul Feig, one of the best things to happen to American big-screen comedy since Harold Ramis. Diamond Reynolds, the woman who livestreamed her boyfriends death after he was shot during a traffic stop last week, pleaded for calm Monday, saying violence is never the key. The tearful girlfriend of police shooting victim Philando Castile said she was baffled by some protesters who responded to police violence with more violence. Read: Obama Cutting Europe Trip Short After Dallas Cop Slayings, Calls Shooter 'Demented Individual Following Castiles death in Minnesota, demonstrators forced the closure of Interstate 94 and reportedly threw objects at police. Violence is never the key. Its not acceptable, Reynolds said during an appearance on Good Morning America. We have to be able to come together and lead by example, she said. If were not able to stand together and control our emotions, then how can we ever expect anyone else in the world to do so? Reynolds, whose 4-year-old daughter was in the backseat when police pulled over Castile last week for allegedly having a broken tail light, said her boyfriend informed police he was licensed to carry a gun, but the weapon was never displayed. Read: Minnesota Governor Says Philando Castile Would Still Be Alive If He Were White It never came out. It could never be a threat. [The officer] never asked about it. He didnt know it was on his person. He came to the car. He said it was a traffic stop for a tail light, and then asked for license and registration. That was it. That was all. The St. Anthony Police Department has not released a reason for the traffic stop. Officer Jeronimo Yanez, who fired the fatal shots, said through an attorney that race had nothing to do with the shooting. It had everything to do with the presence of a gun, said lawyer Thomas Kelly. Reynolds said Monday, Its just very unfortunate that things had to resolve like this, and I just want justice to be served. Story continues Watch: Sister of Dallas Police Shooter Micah Johnson: 'My Eyes Hurt From Crying.' Related Articles: Former New York City Mayor Rudy Giuliani testifies on Capitol Hill in Washington, D.C., July 10, 2013. (Photo: Jacquelyn Martin/AP/File) Rudy Giuliani continued to blast Black Lives Matter on Monday, saying he saved more African-Americans as New York City mayor than the activist movement is saving today. Dont tell me I dont care about black lives, Giuliani said on Fox & Friends. I believe I saved a lot more black lives than Black Lives Matter. I saved more black lives than anyone in the history of the city. I took over the city with 1,924 murders, said Giuliani, who served as the citys mayor from 1994 to 2001. I gave it to Mayor [Mike] Bloomberg with 500-plus murders. Seventy-five percent of the people saved during that time were African-American. The former mayor and 2008 Republican presidential candidate criticized Black Lives Matter for focusing on police killings of African-Americans while ignoring black-on-black violence. I dont see what Black Lives Matter is doing for blacks other than isolating them, Giuliani said. All it cares about is the police shooting of blacks. It doesnt care about the 90 percent of blacks that are killed by other blacks. That is a simple fact. According to the FBIs 2014 homicide data, 90 percent of black homicide victims are killed by other African-Americans, while 82 percent of white homicide victims are killed by other white people. On NBCs Meet the Press, New York City Police Commissioner William Bratton said he agreed with part of Giulianis assessment. The reality of the Black Lives Matter movement is it is significantly focused, primarily focused on police and their efforts to portray police and the police profession in a very negative way, which is unfortunate, Bratton said. There are no denying within the police profession, 800,000 of us, that we have racists. We have brutal people. We have criminals, cops who shouldnt be here. But they do not represent the vast majority of American police. But Homeland Security Secretary Jeh Johnson advised Giuliani to dial back the overheated rhetoric. Story continues [Lets] come together and work on building and rebuilding our community and public safety, Johnson said on Meet the Press. Cover of the New York Daily News, July 11, 2016. (Courtesy Newseum.org) In an interview with CBS Face the Nation Sunday, Giuliani called the idea behind Black Lives Matter inherently racist. He reiterated that assertion on Fox & Friends Monday. It is inherently racist because, number one, it divides us, Giuliani said. All lives matter. All lives matter black lives, white lives, all lives. If I had an organization called White Lives Matter, you would say that it is a racist organization, Giuliani further explained on Fox News Sunday. Of course, black lives matter, and they matter greatly, he said on Face the Nation. But when you focus in on 1 percent of less than 1 percent of the murder thats going on in America and you make it a national thing, and all of you in the media make it much bigger than the black kid thats getting killed in Chicago every 14 hours, you create a disproportion. Giuliani also blamed hip-hop music for exacerbating the tension between the African-American community and police. They sing rap songs about killing police officers, and they talk about killing police officers, and they yell it out at their rallies, he said. The former mayor said if he were a black father concerned about the safety of his children, he would tell them to be very respectful to the police, most of them are good, some can be very bad and just be very careful. Id also say, Be very careful of those kids in the neighborhood. Dont get involved with them because, son, theres a 99 percent chance theyre going to kill you, not the police, Giuliani said. Giulianis comments come amid broiling racial tensions following a pair of fatal police shootings of black men in Baton Rouge, La., and Falcon Heights, Minn., and the killings of five Dallas police officers during a Black Lives Matter protest about the Louisiana and Minnesota shootings. President Obama condemned the attacks on the officers. Whenever those of us who are concerned about fairness in the criminal justice system attack police officers, you are doing a disservice to the cause, Obama said while speaking to reporters in Madrid on Sunday. First of all, any violence directed at police officers is a reprehensible crime and needs to be prosecuted. But even rhetorically, if we paint police in broad brush without recognizing that the vast majority of police officers are doing a really good job and are trying to protect people and do so fairly then were going to lose allies in the reform cause. The president also said it would be wrong to paint Black Lives Matter activists with a similarly broad brush. I dont think that you can hold well-meaning activists who are doing the right thing and peacefully protesting responsible for everything that is uttered at a protest site, Obama said. The overwhelming majority of people who are involved in the Black Lives Matter movement, what they really want to see is a better relationship between the police and the community so that they can feel that its serving them. And the best way to do that is to bring allies forward. (Adds details and comment from interview, background, dateline) ASPEN, Colo., July 11 (Reuters) - General Motors Co and ride-hailing company Lyft Inc said on Monday they would expand their short-term rental program to California and Colorado, building on an effort that GM President Dan Ammann said has "dramatically exceeded expectations." The program, called Express Drive, makes GM cars available to Lyft drivers along with insurance and maintenance. It currently operates in Chicago, Boston, Washington, D.C., and Baltimore. The expansion will include two electric vehicles as options in California, the 2017 Chevrolet Bolt EV and the extended-range electric 2016 Chevrolet Volt. (http://bit.ly/29I1OMx) In January, GM said it would invest $500 million in Lyft and laid out plans to develop an on-demand network of self-driving cars with the ride-sharing service. GM subsequently acquired self-driving car startup Cruise Automation, and the three companies are working together on autonomous vehicles. In a joint interview with Reuters ahead of the Fortune technology conference in Aspen, Colorado, Ammann and Lyft President John Zimmer declined to offer details on how many cars were involved in Express Drive. Amman said the numbers were "meaningful and are going to be very meaningful." They also declined to discuss speculation about Lyft's financial situation and whether the company was seeking a buyer. They said they are "very happy" with the relationship, but declined to comment whether GM may invest more money into the company. The focus on Lyft's strategic plans followed reports that the company has hired boutique investment bank Qatalyst Partners, which is known for its merger and acquisition expertise. Zimmer said Monday that Lyft has "several" advisers, including Qatalyst, but declined to elaborate. Lyft is far smaller than arch-rival Uber, and both companies have been burning large sums of cash as they race to establish position in a market for next-generation transportation technologies and services that some analysts say could be worth $1 trillion a year. Story continues Zimmer said "learnings" gained from Express Drive could apply to autonomous vehicle development. He cited the real estate strategies needed to develop "holding" lots for self-driving vehicles; convenient locations are also needed for drivers to pick up their leased GM/ Lyft vehicles. Zimmer said there was no timeline on the rollout of autonomous or semi-autonomous Lyft vehicles, saying that "technically, things are happening faster than people realized." He suggested that Lyft and GM would likely start with limited autonomy for certain routes and functions. The Express Drive program will launch in California this summer and in Colorado in the fall. Ammann said there were "wait lists everywhere" for the program, under which the cars are owned and leased by GM. (Reporting by Jonathan Weber in Aspen; Additional reporting by Arunima Banerjee in Bengaluru; Editing by Leslie Adler) General Motors GM and ride-hailing company Lyft Inc. announced Monday that they would be expanding their short-term rental program to California and Colorado, building on the success that the two have had with one another thus far. The rental program, called Express Drive, makes GM vehicles available to Lyft drivers along with insurance and maintenance. Currently the program is in use in Chicago, Boston, Washington D.C., and Baltimore. The program expansion will include two electric vehicles as options in California with the 2017 Chevrolet Bolt EV and the extended-range electric Chevrolet Volt. The partnership between GM and Lyft started back in January of this year, when GM said it would invest $500 million in Lyft and laid out plans to develop an on-demand network of self-driving cars together with Lyft. GM then acquired self-driving car startup Cruise Automation, and the three began to work together. While Lyft is smaller than its rival Uber Technologies, both companies have been working, and spending, towards establishing position in a market for next-generation transportation and services that some project could be worth upwards of $1 trillion per year. While no time table has been established for the rollout of self-driving or partially self-driving vehicles, Lyft President John Zimmer said that technically, things are happening faster than people realized. Zimmer seemed to suggest that the two companies would start with semi-autonomous vehicles, before moving towards fully-autonomous vehicles. The expansion in California will happen this summer, and in Colorado it will begin in the fall. President of GM Dan Ammann said that there are waitlists everywhere for the program, under which cars are owned and leased by GM. General Motors saw shares of the company close 1.58% higher Monday. Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report >> Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report GENERAL MOTORS (GM): Free Stock Analysis Report To read this article on Zacks.com click here. Zacks Investment Research By David Shepardson WASHINGTON, July 11 (Reuters) - Alphabet Inc's Google self-driving car project said on Monday it appointed its first general counsel, as U.S. regulators increase their scrutiny of autonomous vehicles. Google has logged more than 1.7 million miles (2.7 million km) of autonomous driving in testing in Texas, California, Arizona and Washington state. It has said it has no timetable for making self-driving vehicles available to the public. But a number of crashes involving the vehicles has caught the attention of regulators. The National Highway Traffic Safety Administration said in March it was collecting information after a Google self-driving car struck a municipal bus in California in a minor crash. But it did not open a formal probe. The agency has opened a formal investigation into the May 7 death of a Tesla Motors Model S driver in a Florida crash who was operating in "Autopilot" mode. U.S. regulators are working on guidelines for such vehicles. They were supposed to be unveiled by July 14, but U.S. Transportation Secretary Anthony Foxx told reporters last month they might not be released until later this summer. Google named Ken Vosen as the top lawyer for its self-driving car project. He was most recently chief legal officer at The Climate Corporation, an environmental analysis firm and a unit of Monsanto Co. He was also previously counsel at O'Melveny & Myers LLP. His hiring was reported earlier by Recode. The move could be a sign that Google is preparing to make its self-driving car unit a separate company. The program is now part of its X research laboratory unit. "The self-driving car project is in the middle of graduating from X and this is sort of a gradual process," Astro Teller, who heads the X program, told NBC News in April. Google also said it hired Tim Papandreou to work on partnerships. He previously worked at the San Francisco Municipal Transportation Agency's Office of Innovation. In May, Google and Fiat Chrysler Automobiles NV said they had agreed to work together to build a fleet of 100 self-driving minivans, marking the first time a Silicon Valley firm had teamed up with a traditional carmaker to develop an autonomous vehicle. In March, Reuters reported Google's self-driving car team was expanding and hiring more people with automotive industry expertise, underscoring the company's determination to move the division past the experimental stage. (Reporting by David Shepardson; Editing by Peter Cooney) If you only read one thing: Good morning from Cleveland, where Republicans are gathering to draft the partys platform in the age of Donald Trump. The GOPs wary tortured relationship with its presumptive nominee is expected to continue, as several tentpoles of Trumps agendafrom building a wall to demanding rape and incest exceptions to abortionare expected to fail. The draft platform prepared by the RNC that is set to serve as the basis for discussion makes some concessions to Trump on trade, but stays away from his protectionist language on free trade agreements and tariffs. Meanwhile, the platform rejects the Supreme Court decision legalizing same-sex marriage, while calling for a constitutional amendment or subsequent court decision to reverse it. In his introductory message to committee members, RNC Chairman Reince Priebus signaled to the committee his expectation that they reject a Trump-ward shift. It is important for us to maintain who we are as a party, he said Monday night. We are the party of the open door we are the party of Lincoln, we are the party of the civil rights act. The convention rules committee will meet later this week, where efforts to dump Trump will make their last stand, but they likely lack the votes to even force a convention floor vote on unbinding the delegates. Donald Trumps vice presidential reality show rumbles on to Virginia Beach Monday where he is set to appear with New Jersey Gov. Chris Christie. On Tuesday, he is holding a rally in Indiana, where Gov. Mike Pence is set to attend. Meanwhile, team Trump is floating Gen. Mike Flynn, a pro-choice registered Democrat, in a trial balloon that landed with a thud over the weekend. Bernie Sanders will finally endorse Hillary Clinton at a joint appearance in New Hampshire Tuesday after securing significant concessions in the Democratic platform over the weekend. The document is the most progressive in history, including calls for tough Wall Street reforms, abolishing the death penalty, and expanding Social Security. Sanders failed in his bid for the platform to call for an explicit rejection of the Trans-Pacific Partnership, the trade bill advanced by President Obama. Story continues Here are your must-reads: Must Reads Can Female Leaders Like Hillary Clinton Dream Big? TIMEs Jay Newton-Small on Clintons new pie-in-the-sky approach Why President Obamas Police Reform Is a Work in Progress High-minded approach has few practical results, TIMEs Maya Rhodan writes Big Business Joins Justice Department Opposing North Carolinas Bathrooms Law TIMEs Philip Elliott on the unlikely new coalition Ruth Bader Ginsburg, No Fan of Donald Trump, Critiques Latest Term She says she cant imagine what the country would be like if hes elected [New York Times] Voters Add to Election Turmoil by Threatening to Jump Party Lines Longtime Republicans and Democrats are further unsettling the presidential race by embracing opposition candidates [Wall Street Journal] Killings and Racial Tensions Commingle With Divided and Divisive Politics A tumultuous period in U.S. history [Washington Post] Sound Off I think its a I think for women and these are difficult issues, but I think women have to be able to choose what they you know, sort of the right of choice, but I think that thats a difficult legal decision that and I think that women are so important in that decision-making process. Gen. Mike Flynn on abortion on ABCs This Week I think its inappropriate for me to second guess or comment. President Obama declining to comment on the concluded FBI and DOJ probes of Hillary Clintons email Bits and Bites Anti-Trump Delegates Are Making A Plan To Pick Their Own Vice Presidential Nominee [BuzzFeed] Rudy Giuliani Says Black Lives Matter Is Inherently Racist [CBS] Amid healing words in Dallas, Abbott was suffering from severe burns [Austin American Statesman] Dallas attack adds to Cleveland concerns before Republican convention [Reuters] Donald Trump Keeps Distance in G.O.P. Platform Fight on Gay Rights [New York Times] Bernie Sanders Set to Endorse Hillary Clinton at New Hampshire Rally [TIME] Gingrich says Trump is a necessary candidate [Associated Press] Democrats Advance Most Progressive Platform in Party History [NBC News] Hugo Strange (B.D. Wong) didn't make it out of Gotham season two as a free man, but his legacy sure did. At the end of the Fox DC Comics drama's game-changing season two finale, Strange's twisted human experiments from Indian Hill, his secret lab underneath Arkham Asylum, had all escaped their captivity thanks to the resurrected Fish Mooney (Jada Pinkett Smith). Fish drove a bus containing all the monsters right into the heart of Gotham City, and after crashing the bus, every single one of them went their separate ways. Along with Fish, another familiar face got off the bus: Jerome Valeska (Cameron Monaghan), who many believed to be the Joker before he was killed. Now that he's been resurrected, the Joker theories have been, too. Viewers also saw double when a long-haired boy wearing the same face as Bruce Wayne (David Mazouz) walked off the bus into the night after Jerome and Fish. But aside from these three, the rest of the monsters were unidentified, leaving Gotham with the opportunity to introduce a slew of infamous villains from the Batman mythology during its upcoming third season. Below, The Hollywood Reporter takes a look at seven iconic Rogues Gallery villains from the comic books that could - and maybe should - be included in the group of fugitive villains that may wreak havoc in season three. Read More: 'Gotham' Casts Its New Poison Ivy 7. Solomon Grundy This zombie villain has battled more than just Batman over the years. He also went against the Green Lantern, Superman and the Justice Society of America as well as the Justice League of America, to name a few. But before he became a villain, he was just Cyrus Gold, a merchant in Gotham city who was murdered and tossed into Slaughter Swamp, resulting in his transformation into the undead with superhuman strength and stamina. 6. Hush Bruce's childhood friend Tommy Elliot was a sociopath who tried to murder his own parents to inherit their fortune. When Bruce's father saved their lives, Tommy blamed Bruce, and targeted him for revenge. Tommy grew up to become a brilliant surgeon and disguised himself as Hush to try and kill Bruce. Gotham could introduce the young version of Tommy and tell the story of how his friendship with Bruce turned sour. Story continues 5. The Tweedle Brothers Tweedledee and Tweedledum are Dumfrey and Deever Tweed, cousins who look like twins, and in the comics they are ruthless crime bosses. Although they're not much of a threat physically because of their weight and outright laziness, they're both cunning and strategic and usually have a bevy of henchmen at their disposal. 4. Mad Hatter Another Alice in Wonderland-inspired villain is Jervis Tetch, aka the Mad Hatter. His weapon of choice is mind control, and the skilled-but-delusional scientist creates his own technology (usually embedded in crazy hats) to amplify his mind control. Read More: 'Gotham': Paul Reubens Discusses "Downfall" of the Penguin's Father 3. Talon Since season two introduced the existence of the Court of Owls, one of their most loyal assassins, William Cobb aka Talon, could be one of the monsters escaping Indian Hill. In the comics, William is the great grandfather of Dick Grayson, the original Robin. 2. Killer Croc One of the monsters that got off the bus had a scaly face, so it's not a far reach to assume that the Fox series is going to introduce its own version of the DC Comics villain, despite Suicide Squad's interpretation played by Adewale Akinnuoye-Agbaje hitting the screen this summer. 1. Thomas Wayne Jr. The mystery of who the Bruce Wayne look-alike is can be boiled down to one very likely option: Bruce's brother. There are many different versions of Thomas Wayne Jr. in the comics. One version suffered severe brain damage when he was hit by a car as a child, and so he was sent to a mental institution for his own safety and for the safety of those around him. Thomas and Martha Wayne never got the chance to tell Bruce about his brother before they were murdered. If Thomas was sent to Arkham, and Hugo experimented on him, there's no telling what kind of powers he might have now, or what he's planning to do with his brother. Will he become the assassin Boomerang Killer or Owlman as he did in the comics? Gotham season three premieres Monday, Sept. 19 at 8 p.m. on Fox. By Padraic Halpin DUBLIN (Reuters) - Irish Prime Minister Enda Kenny resisted a call on Monday from a lawmaker of his Fine Gael party to step down over the next two months, rejecting the first open challenge to make good on a promise to allow a change of leadership. Kenny returned to office in May as head of a minority government that is due to run until the end of 2018. He has said he will not lead his center-right party into the next election but not specified when he will stand down. Pressure for Kenny to announce a timeline has risen since an opinion poll last week showed his party had fallen nine percentage points behind its main rival, Fianna Fail. "The best opportunity for the country for stable government is a change of leadership. Particularly in the context of Brexit, we need to have a stronger Fine Gael," party legislator Brendan Griffin told national broadcaster RTE on Monday. He added that the only opportunity for "an orderly transition" was the summer parliamentary recess starting this month and running into September. In a speech broadcast by RTE, Kenny said he had "no intention of being diverted from ... that responsibility that I've undertaken and which I have received a mandate to fulfill." After suffering heavy losses in elections in February, Fine Gael returned to power with the backing of a group of independent lawmakers and facilitated by Fianna Fail, theoretically putting in the latter in a position to trigger a snap election. Griffin said he was considering filing a motion of no confidence in Kenny at Fine Gael's weekly party meeting on Wednesday, but senior ministers came out in support of the prime minister. "This is not the time for a leadership challenge. In the light of Britain's vote to leave the European Union, which is a big body blow, we need the experience of the Taoiseach (prime minister) and his relationships in Europe," Education Minister Richard Bruton, who led a challenge against Kenny in 2010, told RTE. (Editing by Keith Weir and John Stonestreet) By PTI: New Delhi, Jul 11 (PTI) Mercom Capital Group today said corporate funding into the solar sector in April-June quarter fell to USD 1.7 billion, a 41 per cent drop compared to the USD 2.8 billion raised in Jan-March period of 2016. Mercom Capital Group, LLC, a global clean energy communications and consulting firm, released its report on funding and merger and acquisition (M&A) activity for the solar sector in the second quarter of 2016. advertisement Total corporate funding, including venture capital funding, public market and debt financing into the solar sector in Q2 2016 fell to USD 1.7 billion this quarter, a 41 per cent drop compared to the USD 2.8 billion raised in Q1 2016, it said. Mercom Capital further said that the year-over-year total corporate funding was down significantly compared to USD 5.9 billion in the second quarter (April-June) of last year. "The solar industry continues to experience weakness in terms of financing activity, and corporate funding in Q2 2016 was at its lowest level in three years," CEO and Co-Founder of Mercom Capital Group Raj Prabhu said in a statement. Global solar VC funding (including private equity) saw a large decline this quarter with USD 174 million in 16 deals compared to USD 406 million in 23 deals in Q1 2016. Year-over-year (YoY) VC numbers were slightly better compared to Q2 2015 with USD 142 million in 24 deals. Solar downstream companies raised the most (64 per cent) VC funding in Q2 2016 with USD 112 million in seven deals. A large part of the total came from the USD 100 million raised by Silicon Ranch from private equity firm Partners Group. Other VC deals this quarter included the USD 20 million raised by Tigo Energy, 1366 Technologies USD 15 million raise, and the USD 12.5 million raised by Sol Voltaics. A total of 21 VC investors participated in funding deals. Solar public market financing in Q2 2016 came to USD 179 million in four deals compared to USD 94 million in four deals in the first quarter of 2016 and USD 2.3 billion in 12 deals in Q2 2015. According to the report, Tata Power Renewable Energy (TPREL), a Tata Power subsidiary and a renewable energy project developer, acquired Welspun Renewables Energys (WREPL) 1,140 MW renewable energy project pipeline for USD 1.38 billion. CLP India, a subsidiary of Hong Kong based CLP Group, bought a 49 per cent stake in Suzlon Energys 100 MW solar project in Veltoor, Telangana, with an option of acquiring the 51 per cent stake balance in the future. Amplus Energy Solutions (Amplus Solar), an Indian solar installer and a portfolio company of I Squared Capital, acquired SunEdisons 7 MW rooftop solar project portfolio in India. The projects are spread across the states of Maharashtra, Karnataka, Tamil Nadu and Delhi. PTI KKS MKJ --- ENDS --- advertisement Think of it as Black Friday in July. Tuesday, July 12, is Amazons (AMZN) second annual Prime Day, and the company is offering deals on 100,000 items over 24 hours. New deals will be introduced as often as every five minutes. Heres a rundown of what to expect. Starting at midnight PT/ 3 a.m. ET, Amazon is offering promotions exclusively to its Prime members. Consumers who arent Prime members who want to get in on the deals can sign up for a free 30-day trial. This will be the Seattle retailers second Prime Day; last year the company reported 34.4 million items sold, which translates to 398 items per second. There are three different kinds of deals that will be available: lightning, spotlight and savings and deals. The lightning deal, as the name implies, is available for a limited time, a few hours at most. Spotlight deals are ones Amazon highlights as the best sales of the day. Savings and deals are promos that will be available all day. You can find the deals online or on the Amazon Shopping mobile app. Prime members who have an Echo device can get $10 off their first purchase of at least $20 if they snag a deal using their voice. Members in countries that currently offer Amazon Prime memberships the US, UK, Spain, Japan, Italy, Germany, France, Canada, Belgium and Austria will have access to these sales. For extra hype, Amazon has been offering countdown deals for Prime members between July 5 and July 11 Mondays include vacuums, protein powders and fitness tracking devices. What kinds of deals can you expect? Despite Amazon calling last years Prime Day a huge success, many customers took to social media to express dissatisfaction over what some saw as low-quality, seemingly random products, like thermal laminators and 24-inch shoehorns. One likened the day to a Marshalls clearance bin. Is it me or is #PrimeDay not that serious? It's more like a Marshall's clearance bin. "Oh great, $0.15 savings on an off-brand cup holder." Robert Britt (@RobrtAnthony) July 15, 2015 Thats why this year Amazon is offering a lot more stuff. Following last years record sales, we have dramatically increased the inventory behind many deals, said Greg Greeley, vice president of Amazon Prime, in a statement. Story continues Specifically highlighting TVs, headphones, slow cookers and vitamins, the company says youll be able to get your hands on things you want, things you need, and everything in between. Amazon will offer nearly twice the number of TVs compared to Black Friday and Cyber Monday combined. According to the company, toys, mobile device cases, and pet products were hot sellers in the US last year. To cater to that interest, Amazon will be offering toy deals nearly all day. The retailer says its also adding sellers: twice as many small business sellers will be participating globally. The case for Prime Amazon Prime costs $99 a year. The main draw is the unlimited free shipping option (theres unlimited free two-day shipping on more than 20 million items, unlimited free same-day delivery on a million items in 14 metro areas). For non-Prime members, the minimum purchase amount to get free shipping is $49. But theres more value to Prime than just free shipping on your Amazon orders. Prime is also great for streaming junkies especially with the emergence of popular original shows like Transparent, Catastrophe, The Man in the High Castle, and Mozart in the Jungle. Prime members get unlimited, ad-free access to more than a million songs as well as curated playlists, and unlimited photo storage in Amazons Cloud Drive. Plus, you can borrow books from the Kindle Owners Lending Library and share them with another adult in your family. More competition Amazon wont say how many Prime members it has, but the latest estimate from Consumer Intelligence Research Partners (CIRP) pegs the figure at 63 million subscribers, 19 million of them joined since last July. More shoppers tried Prime worldwide on Amazon Prime Day than any other day in Amazons history, according to the company. And CIRP found that 73% of 30-day trial subscribers do end up paying for the year of membership. In an effort to compete with Amazon, Walmart (WMT) is getting generous in the unlimited shipping category. On Monday it announced a new program, ShippingPass, that offers free unlimited two-day shipping. It costs $49 a year, half as much as the cost of Prime (but youre really only getting a fraction of what Amazon Prime has to offer). Prime Day is most similar to Alibabas (BABA) Singles Day. Held every November, Singles Day was originally a joke celebration for single people in China. Alibaba capitalized on this in 2009 to make it a shopping bonanza for all, by offering flash promotions and steep discounts. Last year, the Chinese e-commerce giant said the total value of goods transacted on that day was $14.32 billion. The bottom line is Prime Day is great if you already have a membership, but you shouldnt be joining for a day of deals alone (or if you do, try the 30-day trial and if you dont become a die-hard Prime fan, remember to cancel the membership before the fees hit). As one saying goes, if you didnt see, you wouldnt buy it. Read more from Melody: Why you should drop 2 months rent on a piece of art I checked out WeWorks communal housing, and now Im considering a move Its a grave mistake to neglect Snapchat: Gary Vaynerchuk HBO nabbed 1.3 million total viewers out of its Sunday Night Of premiere and two encores. Previously, it earned 1.5 million in preview sampling, which TheWrap exclusively reported. For those math-challenged, that means 2.8 million viewers have caught the debut episode thus far. Heres how the 1.3 million broke down per linear airing: 9 oclock p.m.: 774,000 total viewers 10:30: 317,000 total viewers Midnight: 188,000 total viewers. Also Read: 'The Night Of' Recap: No Day at 'The Beach' Read more details about the preview sampling here. The Night Of was created by Steven Zaillian (Schindlers List) and Richard Price (The Wire). Starring John Turturro (O Brother, Where Art Thou?) and Riz Ahmed (Nightcrawler), the show delves into the intricacies of a complex New York City murder case with cultural and political overtones. The story examines the police investigation, the legal proceedings, the criminal justice system and Rikers Island, where the accused await trial. Related stories from TheWrap: 'The Night Of': Who Are All These Characters in HBO's Crime Drama? (Photos) 'The Night Of': What the Big Yellow Taxi Means in an Age of Uber 5 Reasons 'The Night Of' Is What 'True Detective' Season 2 Should Have Been 'The Night Of': HBO Buys Real Subway Ads for Show's Fake Lawyer (Photo) Heather Dubrow has concerns about Teresa Giudice's recent return from prison. Talking to PEOPLE Now, the Real Housewives of Orange County star shares her thoughts on how Guidice's life after lockup is going to play out on the show. "I'm curious to see what happens. I'm sure it's sad and interesting," Dubrow, 47, says of the new season's coverage of Guidice, 44, reuniting with her family after 11 months in prison. And although Dubrow says she doesn't know Giudice, she acknowledges that the Real Housewives of New Jersey star "seems like a good woman and a good mother." That said, Dubrow does question her fellow Housewife's actions since returning to the public eye. "It was a little bit odd to see her come back and talk about doing yoga in prison," Dubrow tells PEOPLE Now. "I'm not sure that was the right message." Bravo's The Real Housewives of New Jersey airs Sundays (8 p.m. ET) and The Real Housewives of Orange County airs Mondays (9 p.m. ET). Lagos (AFP) - Gunmen believed to be nomadic Fulani herdsmen have killed scores of villagers in central Nigeria's Benue State in a long-running conflict over grazing rights, the state government said on Monday. "The magnitude of killings is enormous. Scores of people were killed in the past two weeks by Fulani herdsmen in at least 10 local government areas of the state," spokesman Tahav Agerzua told AFP. The state government was collaborating with security agencies to contain the violence, which is the latest flare-up between local farmers and the herders in the state. Benue state police spokesman Moses Yamu confirmed the killings but said the affected communities had returned to normal. "It's not a recent development. The clashes happened last month and we are on top of the situation," he said. Nigeria's Channels television put the number of dead at 81 in the past two weeks after attacks on farming villages in the Logo and Ukum areas of the state. The mainly Muslim Fulani herders and largely Christian farmers have clashed for decades over increasingly scarce land and resources, particularly in the religiously mixed central states. In February, hundreds of people were said to have been killed and about 1,000 homes destroyed in the Agatu area of Benue in a wave of attacks blamed on Fulani. Those attacks appeared to be in revenge for the death of a Fulani leader and the theft of his cattle, which was blamed on the Agatu people. President Muhammadu Buhari, northern Muslim, has proposed the creation of grazing land to prevent further clashes but Fulani groups say in Benue the government has opposed the plan. The violence is the latest security headache for Nigeria, which has been battling Boko Haram Islamists in the northeast for the last seven years and a flare-up of militancy in the oil-producing south. BERLIN (Reuters) - German Economy Minister Sigmar Gabriel urged the 30 biggest listed companies to follow the example of small- and medium-sized firms and hire more refugees. Germany is at the frontline of efforts to integrate migrants into Europe after more than 1 million arrived in the country last year alone, mainly people fleeing conflicts in Syria, Iraq and Afghanistan. In a letter to the chief executives of the 30 DAX companies, seen by Reuters on Monday, Gabriel said big companies seemed far less committed than the thousands of Mittelstand firms that form the backbone of Europe's largest economy. According to a survey by newspaper Frankfurter Allgemeine Zeitung, the 30 DAX companies have hired 54 refugees of whom 50 were employed by Deutsche Post. Gabriel, who is leader of the centre-left Social Democrats (SPD), pointed to the efforts of many small- and medium-sized companies as well as the DIHK chambers of industry and commerce to build bridges into the labour market. "But without the flagships of corporate Germany, without you, the bridge isn't complete," Gabriel told the CEOs in the letter, adding integrating the record-influx of migrants was Germany's most demanding task since reunification. Germany's labour market is booming. The government expects employment to reach a record 43.5 million this year and nearly 44 million in 2017. The unemployment rate, 6.1 percent in June, is at its lowest level since reunification in 1990, leaving many companies struggling to hire trained staff. (Reporting by Gernot Heller and Michael Nienaber; Editing by Janet Lawrence) Michaela Pereiras new, Los Angeles-based morning news program Michaela kicks off today on HLN but the Canadian native already feels comfortable on the West Coast. Im overlooking the Hollywood sign and I feel like I am home, Pereira told TheWrap after a busy day of rehearsals at CNNs L.A. headquarters. Michaela is currently the only national morning news program broadcast from Los Angeles. HLN and Pereira plan to bring a West Coast feel to the the broadcast. Also Read: Nancy Grace Exit: What's Next for HLN Without Its Biggest Star? We are looking at the people and the passion driving the headlines, Pereira said. There is a shift in how you move [in L.A.]. There is a shift in how you interact. There is a vibe here that is its something that we all feel. A native of British Columbia, Pereira has spent the bulk of her career on the West Coast except for a a three-year excursion in New York to co-host CNNs morning show New Day. Pereira admitted that she didnt feel completely at home in New York since her L.A. sensibilities are wrapped up in who she is. Also Read: HLN, CNN Add Former NFL Star and 'Dancing With the Stars' Champ Hines Ward as Sports Contributor (Exclusive) There is this vibe that is a little different. We are pretty chillaxed. Its that kind of sensibility that people up and down the coast, of the west, I believe feel and connect to. Thats going to be part of the spirit of our show, Pereira said. There will be a feel to our show. Pereira then pointed out that her staffers were wear flip-flops and said that wouldnt fly in New York. The flip-flop mentality. Thats a thing, she said. The show reunites executive producer Scott Warren and Pereira. The two collaborated at both KTLA and TechTV. Also Read: Michaela Pereira to Exit CNN's 'New Day' for HLN The nine years I was at KTLA that job allowed me into peoples homes and into peoples communities. I relished that, Pereira said. The community of L.A. embraced me in return. I quickly fell head over heels in love with the place. Story continues Pereira loves that L.A. is actually a bunch of cities where anyone can find their place. Its a fascinating and intriguing place, she said. Michaela airs at 10 a.m. ET/ 7 a.m. PT on HLN. Related stories from TheWrap: Michaela Pereira to Exit CNN's 'New Day' for HLN Nancy Grace Exit: What's Next for HLN Without Its Biggest Star? CNN's 10 Most Popular News Shows Ranked, From Don Lemon to Anderson Cooper (Photos) The Westchester Bank CEO John Tolomer weighed in on the state of community banks and their relationship with small business in America. Tolomer explained why Brexit was not a major concern for community banks. Community banks are more focused on small, medium-sized businesses in their marketplace. And really, across the country I guess, 40% of the small business lending is being done by community banks, Tolomer told the FOX Business Networks Sandra Smith. Tolomer then discussed the impact of health care costs on small businesses. Health care has been up about 20% year-over-year. And thats a concern for small, medium-sized businesses. Tolomer sees The Affordable Care Act as a major obstacle to small business job creation in America. We hear all the time people trying to keep their businesses less than 50 employees to stay away from Obamacare and that becomes a major issue. Small, medium-sized businesses, entrepreneurs, theyre the ones that create the jobs in this economy. And I think they look at that as a big headwind for them. Despite concerns about the state of small business credit, Tolomer said banks are eager to lend to small business. Banks clearly want to lend. When you look at a 10-year Treasury of 1.36% [as of Fridays market close], how do you deploy your capital? Theres really not much in the way of investment that makes any sense, so you want to make loans. And a bank like ours has been able to grow because of that. But, Tolomer said, it has become an increasingly competitive landscape for the loans banks want to make. Again, what you have to do is find the transactions and the relationships that make sense. So youre looking at primary sources of repayment, youre looking at secondary sources of repayment. But those are the credits that every bank wants to do so you are really competing. And we compete, while we are a community bank, were competing with the largest financial institutions in the world. Story continues According to Tolomer, the political environment has created additional concerns for the business community, namely uncertainty. Uncertainty leads people to be a little more reticent to expand their businesses; Its a wait and see atmosphere. Related Articles The dawn to dusk bandh call has been given in support of its demand for setting up a judicial commission to investigate into the nexus between the education mafias, politicians and bureaucracy. By Rohit Kumar Singh: CPI (ML) on Monday has called for Bihar Bandh to protest against the toppers scam that rocked not only the state but also the country by its sensational revelations . The dawn to dusk bandh call has been given in support of its demand for setting up a judicial commission to investigate into the nexus between the education mafias, politicians and bureaucracy. BLOCKING TRAINS advertisement Since early morning on Monday, the CPI (ML) workers assembled at the railway stations at various station across the state to block train movement. Reports from Darbhanga, Nalanda and Jahanabad railway stations received suggested that the CPI (ML) workers have already started blocking train movement to press for their demand. In Jhanababad, the CPI (ML) workers stopped the movement of Patna-Ranchi Jan Shatabdi for over 30 minutes. Also these workers block the movement on National Highway no. 83 and 110 which is the Patna-Gaya route and Patna-Arwal route, respectively. ML workers also damaged few vehicles and broke glasses of private vehicles. In Darbhanga too, workers stooped movement of Darbhanga-Delhi Bihar Sampark Kranti Express and Darbhanga-Patna Kamla Intercity Express. CPI (ML) has compared toppers scam with the VYAPAM, the recruitment scam that shamed Madhya Pradesh. ML has alleged that in the entire scam the Bihar gvot was cracking down only on small fish and the big fishes were being let off. ALSO READ: India Today Impact: Bihar topper scam kingpin Lalkeshwar Prasad, wife arrested --- ENDS --- WASHINGTON (Reuters) - U.S. House of Representatives Speaker Paul Ryan will address the Republican National Convention this month after his staff reached a deal with party officials and the campaign of presidential candidate Donald Trump, Politico reported on Monday. Ryan, who had publicly vacillated over whether or not to support his party's controversial nominee, will deliver a 10-minute speech that focuses on "the sharp contrast between Republican ideas and four more years of Obama-like progressive policies; and the need for conservatives to unite around Republican candidates in advance of a critical election, an aide to the speaker was quoted as saying. (Reporting by Doina Chiacu) By Julie Steenhuysen CHICAGO (Reuters) - A study examining the genes of more than 120,000 people from Europe, Asia, Africa and the Americas has offered the clearest picture yet of the genes that drive type 2 diabetes. The study, published on Monday in the journal Nature, puts to rest a decades-long debate over the genetics that influence the risk of diabetes, which affects one in 10 people over the course of their lifetime. And it has identified more than a dozen specific genes directly involved in the development of type 2 diabetes that might serve as potential drug targets. "There was a whole furious debate that arose about this," said Dr. Francis Collins, director of the National Institutes of Health, one of more than 300 scientists collaborating on the work. Prior studies turned up more than 80 spots in the genome associated with the development of adult-onset diabetes, but most of these genetic errors were common, meaning they occurred frequently in the population, and they explained only a small fraction of disease risk. These discoveries were based on genome-wide association studies or GWAS, which used gene chips that scan thousands of genes at a time. Researchers used these to scan DNA from large populations of individuals with a specific disease and compare them with DNA from similar groups of healthy people. Critics, including geneticist Dr. David Goldstein at Columbia University, argued that such studies were a waste of resources because they only found common variants that explained just a small fraction of the risk for disease. He said the really important drivers of common diseases such as diabetes and schizophrenia were more likely to be found in extremely rare genes, those occurring in individuals or in families, not those shared by large populations of people. Goldstein "argued very persuasively that it was all about rare variants and we were all going down the wrong road looking at the common ones," Collins said in a telephone interview. The new study took a deeper look, using next-generation sequencing to search the entire genetic code of 2,657 people with and without diabetes to assess the contribution of both rare and common genes driving diabetes. They also sequenced all of the protein-making genes in 12,940 people, and used statistical methods to estimate risk in another 111,548 people with less complete DNA data. They found that, indeed, most of the genetic risk for type 2 diabetes is caused by common mistakes in the genetic code, with each mistake contributing only a small portion of an individual's risk for developing the disease. "What this study says quite definitively for diabetes is the vast majority of hereditary risk variants are in fact these common ones, and the rare ones, while they pop up here and there, are a much smaller contribution," Collins said. The study also turned up more than a dozen examples where variants alter the way proteins are made, suggesting that these gene variants have some direct impact on the development of type 2 diabetes. "These represent promising avenues for efforts to design new ways to treat or prevent the disease," said Mark McCarthy, a senior author of the study from Oxford University. All of the data will be made publicly available online through the Accelerating Medicines Partnership, a public-private partnership between the NIH, the U.S. Food and Drug Administration, 10 drug companies and several nonprofits. Goldstein said the work was "a careful, solid investigation" that does not change his view much overall, adding that it was time to "quit arguing." "What I care about now is finding the exact variants that infer risk, and understanding how they do so," he said. (Editing by Bernadette Baum) Hundreds of mourners gathered for the funeral Monday of a prominent Cambodian political commentator gunned down in broad daylight, as the country's premier vowed a thorough investigation. Kem Ley, a well regarded political analyst and grassroots campaigner, was shot dead on Sunday as he drank coffee at a convenience store attached to a petrol station in the capital Phnom Penh. The slaying of the 46-year-old has shocked the nation and comes as tensions boil between strongman premier Hun Sen and the country's political opposition. Buddhist monks led a large crowd, many wearing black and white, at a temple in Phnom Penh where Kem Ley's body was laid out, covered by Cambodia's national flag and strewn with flowers. One distressed mourner cut his arm with a razor blade in front of the victim's body, according to an AFP journalist at the scene, in an act of protest at the silencing of the respected analyst. Police say they have arrested the gunman, who identified himself as Chuob Samlab -- an unlikely Khmer name which translates as "meet to kill" -- and confessed to killing the analyst over an unpaid debt. But the suspect's apparent motive and his name have been questioned by activists in a country where the rule of law is threadbare and criticism of powerful figures carries great risk. Hun Sen on Monday described the murder as "a heinous act" and ordered authorities to bring anyone behind Kem Ley's murder to book. "I hope people will let authorities conduct their work thoroughly and avoid turning this tragedy into a political case which will lead to a troubling situation," Hun Sen said. "I hope that other politicians will not politicise this case to incite (people), that would lead the nation into chaos," he added. Police could not be reached for comment on Monday. Washington led the international outcry over the murder of a well-known anti-government critic. The US was "deeply saddened and concerned by reports of the tragic killing," State Department spokesman John Kirby said in a statement. Story continues "We are following developments in this case closely, noting the Cambodian government's call for an investigation, and urge that authorities ensure this process be thorough and impartial," he added. Kem Ley was critical of both the government and opposition parties, advocating for a new era of clean politics in a notoriously corrupt nation which is expected to hold a general election in 2018. Hun Sen, a former army commander who defected from the Khmer Rouge, has held power alongside a small but powerful coterie of political allies that have become enormously wealthy during his 31-year rule. Scores of government critics and rights workers have been arrested in recent months while others have been tied up in ongoing legal cases. Hundreds of mourners gathered for the funeral Monday of a leading Cambodian political commentator gunned down in broad daylight, a killing that has sent fear rippling through civil society. Kem Ley, a political analyst and pro-democracy campaigner, was shot dead on Sunday as he drank coffee at a convenience store attached to a petrol station in the capital Phnom Penh. The slaying of the 46-year-old comes as tensions boil between premier Hun Sen and the country's political opposition, who accuse the strongman ruler of tying them up in spurious legal cases and deploying thugs to intimidate them. Buddhist monks led a large crowd, many wearing black and white, at a temple in Phnom Penh where Kem Ley's body was laid out, covered by Cambodia's national flag and strewn with flowers. One distressed mourner cut his arm with a razor blade in front of the victim's body, according to an AFP journalist at the scene, in an act of protest at the murder of the respected analyst. Several women carrying joss sticks wept over the body, their hands clasped together in prayer. A man who identified himself as Chuob Samlab -- an unlikely Khmer name which translates as "meet to kill" -- was later taken to a Phnom Penh court amid tight security after allegedly confessing to shooting Kem Ley over an unpaid debt. But the suspect's motive as well as his name have been questioned by activists in a country where the rule of law is threadbare and criticism of powerful figures carries great risk. Authorities suspect he is using an alias but insist they have the right man. - 'Do not be cowed' - "No parents would give their kid that name," General Khieu Sopheak, spokesman for the ministry of interior, told AFP. But based on CCTV footage he is "the real killer", he added. The brazen murder has rattled the activist community in the capital, where Kem Ley's advocacy group -- 'Khmer for Khmer' -- did much of its work in support of land and worker rights as well as grassroots democracy. Story continues "I always worry for my safety... but the murder of Kem Ley deepens our fear now," Am Sam Ath, of rights group Licadho, told AFP. "But even with the fear I will continue my work. We already know what may happen to us," he said. Another civil society worker said it was "crucial" that justice is served. "However all too often in Cambodia we see farcical judicial proceedings where the real perpetrators enjoy impunity," Chak Sopheap, of the Cambodian Center for Human Rights, told AFP, adding she hoped activists "will not be cowed by his murder". A letter signed by 70 civil society groups expressed "outrage" at the brutal murder and called for a full, transparent police probe. Moving quickly to limit the fallout of the killing, Prime Minister Hun Sen described the murder as "a heinous act" and ordered authorities to bring anyone behind Kem Ley's murder to book. "I hope that other politicians will not politicise this case to incite (people), that would lead the nation into chaos," he added. Kem Ley advocated a new era of clean politics in a notoriously corrupt nation which is expected to hold a general election in 2018. Last week he gave a lengthy radio interview welcoming a report into Hun Sen's family fortune, saying it gave a clear idea of how Cambodia's political elite have become rich during his 31-year rule. The election in two years is seen as a major test of the wily Hun Sen, a former army commander who defected from the Khmer Rouge, in a country with a young population wearied by corruption and the stifling of democracy. Hundreds of Chicago youth participated in a sit-in followed by a march against police brutality on Monday, July 11. The group sat in Millennium Park before marching through downtown Chicagos streets. Four teens organized the event, according to reports, and one said supporting Black Lives Matter doesnt mean youre anti-police. The protest was one of many organized across the country against police brutality in the wake of the shooting of two black men. Alton Sterling was fatally shot a week ago in Baton Rouge, Louisiana, and video from the scene indicates he was pinned down by police before being shot. Philando Castile was pulled over by police for a broken tail light the following day before being fatally shot by an officer. His girlfriend broadcast the aftermath via Facebook. Credit: Instagram/Bernadette Aguilar NAIROBI (Reuters) - India will lend Kenya $45 million to help develop a textile factory and other smaller industries, the leaders of both nations said on Monday. Prime Minister Narendra Modi is on a four-nation tour of Africa that is part of a policy push to make his country a more global player, economically and diplomatically. (Click http://in.reuters.com/news/picture/modi-in-africa?articleId=INRTSH6F1 for pictures) "India is Kenya's largest trading partner and the second largest investor here. Even with that, there is a potential to achieve much more," Modi told a news conference with Kenyan President Uhuru Kenyatta. Monday's deal highlights Kenya's desire to link up with countries that can help improve its struggling manufacturing sector. Kenyatta said $30 million of the funds would be used to revive Rift Valley Textiles Factory, which went out of business in 2000 as mismanagement of the cotton sector led to Kenyan production to collapse. The factory is owned by a local university. Kenya exports raw or semi-processed commodities such as tea and coffee to India, while India exports finished products including pharmaceuticals, steel, cement, paper and paperboard, electronics, machinery, vehicles and motorcycles to Kenya. (Reporting by Neha Wadekar; Editing by Duncan Miriri and Robin Pomeroy) Dallas Police chief, Brown said a search of Johnson's home showed the gunman had practiced using explosives, and that other evidence suggested he wanted to use them against law enforcement. By Reuters: The U.S. military veteran who fatally shot five Dallas police officers was plotting a larger assault, authorities said on Sunday, disclosing how he also taunted negotiators and wrote on a wall in his own blood before being killed. CAREFULLY PLANNED Protests against U.S. police tactics continued for a third straight day on Sunday, with scores arrested in Baton Rouge, Louisiana, after authorities warned that violence during street demonstrations over the fatal police shootings of two black men last week would not be tolerated. advertisement Dallas Police Chief David Brown said a search of Johnson's home showed the gunman had practiced using explosives, and that other evidence suggested he wanted to use them against law enforcement. "We're convinced that this suspect had other plans," he said, adding that last week's fatal police shootings of two black men in Minnesota and Louisiana led the 25-year-old Texas shooter to "fast-track" his attack plans. Johnson, a black veteran who served in Afghanistan, took advantage of a spontaneous march that began toward the end of the protest over those killings. Moving ahead of the rally in a black Tahoe SUV, he stopped when he saw a chance to use "high ground" to target police, Brown said. Before being killed by a bomb-equipped robot, Johnson sang, laughed at and taunted officers, according to Brown, telling them he wanted to "kill white people" in retribution for police killings of black people. "He seemed very much in control and very determined to hurt other officers," the police chief said. SURPRISE ATTACK Brown said police had been caught off guard when some protesters broke away from Thursday's demonstration, and his officers were exposed as they raced to block off intersections ahead of the marchers. Johnson's military training helped him to shoot and move rapidly, "triangulating" his fire with multiple rounds so that police at first feared they were facing several shooters. Brown defended the decision to use a robot to kill him, saying that "about a pound of C4" explosive was attached to it. He added Johnson scrawled the letters "RB" in his own blood on a wall before dying. "We're trying to figure out through looking at things in his home what those initials mean," the police chief said. The U.S. Department of Defense and a lawyer who represented Johnson did not return requests for information on his military history or the status of his discharge. Several members of Johnson's former Army unit, the 420th Engineer Brigade, exchanged comments on Facebook. "Makes me sick to my stomach," wrote one, Bryan Bols. Speaking at a local hospital, wounded mother Shetamia Taylor sobbed as she thanked police who shielded her and her son as the bullets began to fly. At the Cathedral Shrine of the Virgin of Guadalupe in downtown Dallas, Roman Catholic parishioners gathered on Sunday for their weekly service and to remember the fallen officers. advertisement "I would like you to join me in asking: 'Who is my neighbor?'" the Rev. Eugene Azorji, who is black, told the congregation. "Those who put their lives on the line every day to bring a security and peace, they represent our neighbor." A candlelight vigil is due to be held at 8 p.m. on Monday in Dallas City Hall plaza. PROTESTS AND ARRESTS The mass shooting amplified a turbulent week in the United States, as the issues of race, gun violence and use of lethal force by police again convulsed the country. Even as officials and activists condemned the shootings and mourned the slain officers, hundreds of people were arrested on Saturday as new protests against the use of deadly force by police flared in several U.S. cities. Particularly hard hit was St. Paul, Minnesota, where 21 officers were injured as police were pelted with rocks, bottles and fireworks, officials said. Protesters faced off with police officers wearing gas masks on Sunday evening in Baton Rouge, Louisiana. Three countries have warned their citizens to stay on guard when visiting U.S. cities rocked by the protests. advertisement Speaking in Madrid during a European tour, U.S. President Barack Obama said attacks on police over racial bias would hurt Black Lives Matter, a civil rights movement that emerged from the recent police killings of African-Americans but has been criticized for vitriolic social media postings against police, some of them sympathetic to Johnson. "Whenever those of us who are concerned about failures of the criminal justice system attack police, you are doing a disservice to the cause," the United States' first black president told a news conference. ALSO READ: Black lives matter: Girlfriend live streams as cop shoots man --- ENDS --- By Ransdell Pierson NEW YORK (Reuters) - After two confirmed U.S. cases of a superbug that thwarts a last-resort antibiotic, infectious disease experts say they expect more cases in coming months because the bacterial gene behind it is likely far more widespread than previously believed. Army scientists in May reported finding E. coli bacteria that harbor a gene which renders the antibiotic colistin useless. The gene, called mcr-1, was found in a urine sample of a Pennsylvania woman being treated for a urinary tract infection. On Monday, researchers confirmed preliminary findings that E. coli carrying the same mcr-1 gene were found in a stored bacterial sample of a New York patient who had been treated for an infection last year, as well as in patient samples from nine other countries. The report came from a global effort called the SENTRY Antimicrobial Surveillance Program, led by Mariana Castanheira of JMI Laboratories based in North Liberty, Iowa. The mcr-1 superbug has been identified over the past six months in farm animals and people in about 20 countries, including China, Germany and Italy. The bacteria can be transmitted by fecal contact and poor hygiene, which suggests a far wider likely presence than the documented cases so far, according to leading infectious disease experts. Health officials fear the mcr-1 gene, carried by a highly mobile piece of DNA called a plasmid, will soon be found in bacteria already resistant to all or virtually all other types of antibiotics, potentially making infections untreatable. "You can be sure (mcr-1) is already in the guts of people throughout the United States and will continue to spread," said Dr. Brad Spellberg, professor of medicine at the University of Southern California. Dr. David Van Duin, an infectious disease expert at the University of North Carolina in Chapel Hill, said he expects more documented U.S. cases of mcr-1 in coming months because it is already here and will spread from abroad. "We will see a lot more of this gene." Story continues Colistin causes kidney damage, but doctors have opted for it as other antibiotics increasingly fail. Its overuse, especially in overseas farm animals, has allowed bacteria to develop resistance to it. PAST AND PRESENT INFECTIONS To track the mcr-1 gene, U.S. hospitals are working together with state and federal agencies to test bacteria samples of patients that have recently been treated for infections. Many of the largest research hospitals are examining samples of antibiotic-resistant bacteria that have long been stored in their freezers. Gautam Dantas, associate professor of pathology at Washington University Medical Center in St. Louis, has tested hundreds of U.S. samples of archived bacteria in recent months and has not yet detected mcr-1. But he expects dozens of confirmed cases of the gene will be documented by next year in the country, mostly among current patients. The concern of many disease experts is that mcr-1 could soon show up in bacteria also resistant to carbapenems, one of the few remaining dependable classes of antibiotics. In that event, with colistin no longer a last-ditch option, some patients would have to rely on their immune systems to fight off infection. "Within the next two to three years, it's going to be fairly routine for infections to occur in the United States for which we have no (effective) drugs available," Dantas said. Castanheira also believes mcr-1 will find its way into carbapenem-resistant bacteria, formally known as carbapenem-resistant enterobacteriaceae (CRE). In an interview, she said the resulting virtually impervious bacterium would likely spread slowly inside the United States because CRE themselves are not yet widespread in the country, giving drugmakers some time to create new antibiotics. Beginning in August, the U.S. Centers for Disease Control and Prevention will use $21 million to expand surveillance at laboratories operated by all 50 state health departments and seven larger regional labs. The federal funding will help pay for more-sensitive equipment to test for antibiotic resistance in bacteria samples provided by hospitals. Jean Patel, deputy director of the CDC's Office of Antimicrobial Resistance, said the effort will provide the CDC improved national surveillance of antibiotic-resistance trends, including any spread of mcr-1. "This is data for action," she said, adding that special procedures to prevent infections from spreading in hospitals could be taken once a patient is identified with mcr-1 related infections or with multidrug-resistant bacteria. (Reporting by Ransdell Pierson; Editing by Marguerita Choy) By Isaiah Esipisu MAKUENI, Kenya (Thomson Reuters Foundation) - Even after the heavy rains that drenched East Africa in April, Makueni County in eastern Kenya remains dry and it's not clear when increasingly elusive rainfall will come again. But the women of Kikumbulyu village are not worried. Last November, they built a rock catchment system to harvest rainwater. Now, despite dry weather, the village still has plenty of water. "Apart from the gift of life from God, this is the other biggest blessing that has come to us," said villager Mary Mwikali Kiminza, a mother of five and a member of the villages Ithine Self Help Group. "My feet are now rested without endless trips to (fetch water), and my children can now concentrate in school because I no longer ask them to follow me to the river," she said. Kibwezi sub-county, where Kikumbulyu village is located, is hilly with huge rocks not the kind of environment that supports traditional methods of water conservation such as water pans or "sand dams", which use wet sand to hold water. But since 2010, the Africa Sand Dam Foundation (ASDF), a Kenyan non-governmental organization, has worked with villagers in the Makueni area to build rock catchment systems, taking advantage of the local geography to make themselves more water secure. Rock catchment systems use naturally occurring rock outcrops to divert rainwater to a central collection area. A concrete wall is built to direct the water that trickles down the rock surface into a sand and gravel filter, then down pipes into covered storage tanks. "The main idea is to build resilience to climate extremes among the worst-hit areas, using locally acceptable techniques and making them as sustainable as possible," Matheka Cornelius Kyalo, ASDFs executive director, told the Thomson Reuters Foundation. CASH FROM WATER According to data from the Kenya Agricultural and Livestock Research Organisation, annual rainfall in Makueni County ranges between 150mm during a dry spell and 650mm in years of heavy rainfall. But, even in a good year, Makueni is thirsty compared with regions in western Kenya such as Kitale, which receives an average of 1,260 mm of rainfall annually. The ASDF project aims to help villagers work together to adapt to the area's increasingly dry climate. So far, the organization has built 10 rock catchment units in as many Makueni villages, feeding rainfall runoff from the rocks to a total of 26 concrete tanks. Each tank can hold up to 190,000 liters of water. To construct a catchment as part of the project, residents must form a community group and provide the labor as well as locally available materials, such as sand and pebbles. The foundation then provides other needed building materials, such as cement and pipes, and experts to help guide the construction. A rock catchment unit with two tanks costs 2.5 million Kenyan shillings ($25,000) to build, with the money raised from donor organizations including Kenyan banks. The project also generates an income for the community groups who build the systems. The groups sell 20 liters of water for 10 Kenyan shillings (10 cents), even to their own members. Back in 2014, during a period of heavy rainfall, members of Ithine Self Help Group made Sh. 16,000 ($160) selling harvested water, which they put in a bank. Early this year, after some deliberation, they withdrew the money and bought 10 goats which have since been given to various members for breeding. SAND TO BEANS In Songeni village, in Makueni County's Mbooni East constituency, villagers are finding water security with another water harvesting project, this one focusing on sand dams. By constructing barriers made of sand at different points across the area's rivers, villagers can catch and hold the water that rushes down during seasonal flooding. Using the water stored in a sand dam they constructed, members of Songeni's Mukaso Self Help Group are now growing and exporting green beans to European markets. According to chairman Harison Kitaa, the group expects to collect its first cheque in a few weeks' time. "This is a lasting solution to a problem that has rocked us for several years," said Kitaa. "Even without the export market, we cannot go hungry as has been the case in the past." The Africa Sand Dam Foundation has been working with local groups to construct 256 sand dams across different rivers in Eastern Kenya, with the aim of supporting more than 12,700 households who use the water for domestic and irrigation purposes. According to Kyalo, the foundations director, the sand dam that the Mukaso Self Help Group uses can hold millions of liters of water. Meanwhile, in Isiolo County, in the arid northeast, and Embu and Kitui counties in the east, more than 80 households are now using home dams to store rainwater runoff, under an initiative supported by ActionAid International Kenya. The simple dams reservoirs with a polythene lining to stop water percolating into the ground are easy to build, families say. Under the program, local people who dig out the reservoirs receive free polythene liners and lessons on dry-land farming and aquaculture. The Bidii Women Group, in semi-arid Isiolo County, now uses the water stored in home dams to produce green beans for export, through a company that sells primarily to markets in Britain, the United States and Asia. Sadia Ibrahims, the womens group leader, said the organization earns an average of Sh. 50,000 ($500) every two weeks. According to the U.N. World Food Programme, an estimated 1.3 million Kenyans are food insecure and in need of assistance. Water storage projects, their backers say, can help families use the resources available to them to adapt and even thrive in the face of climate change. "Water has been the main problem for people in these dry-land areas," Kyalo said. "But with innovative water harvesting techniques, most of them have become food secure and not dependent on aid any longer." (Reporting by Isaiah Esipisu; editing by Laurie Goering :; Please credit the Thomson Reuters Foundation, the charitable arm of Thomson Reuters, that covers humanitarian news, climate change, women's rights, trafficking and property rights. Visit http://news.trust.org/climate) By Jonathan Saul and Andrew MacAskill LONDON (Reuters) - The Royal Bank of Scotland (RBS.L) is facing setbacks over a proposed sale of its Greek ship finance business, with potential suitors backing off, partly due to the British vote to leave the European Union, sources told Reuters. Britain's June 23 vote has raised the risk of recession and earnings downgrades that have battered bank shares. Reuters reported earlier that week that RBS had received bids for its Greek shipping operation. Sources said Credit Suisse (CSGN.S) and China Merchants were among the suitors. Banking and finance sources say Brexit has put into doubt any advancement of discussions for now - highlighting the fallout for transactions that had been in the works. "The deal has unsettled some buyers and obviously there would be a knock-on impact on deals like this one because of Brexit," one banking source familiar with the matter said. RBS declined to comment. Two separate finance sources said Credit Suisse had backed away. "Interest has definitely cooled and Brexit does not help," one said. "Capital pressures on European banks are also weighing on such portfolio sales now." A third source added: "If you are a Chinese buyer, the whole thing might now appear too scary or complicated." China Merchants did not respond to an emailed request for comment. Credit Suisse could not be immediately reached for comment. The Greek operation was worth about $3 billion although sources in the shipping business said that problems with lending to the industry, much of which is in a deep downturn, would affect the value of what could be recouped via a sale. The business also includes a branch banking licence as well as about 40 staff, the sources said. They said there was a question mark over what would happen with the banking licence, once Britain pulls out of the EU, which was adding to considerations by potential suitors. The British bank, which was rescued with a 46 billion pound government bailout during the financial crisis, had previously been a top lender to the global shipping industry and its Greek office played a pivotal role. Story continues "RBS has to decide whether they want to try and offload this whole business at increasingly distressed prices, which has already been eroded given the non-performing part of the portfolio," another finance source said. "If you add Brexit to this mix, it's another complication. They may be better off to wait although the bank is under pressure to lighten its non-core segments." RBS, 73 percent state-owned, is in the midst of a restructuring aimed at returning the bank to profit after eight straight years of losses. The bank's total shipping exposure reached 7.1 billion pounds in the first quarter of this year, down from 7.5 billion pounds at the end of last year. Non-performing loans to the industry - those on which repayments are significantly in arrears - increased to 827 million pounds in the first quarter of this year from 434 million at the end of 2015, RBS said in its quarterly results. (Additional reporting by Sophie Sassard and Sumeet Chatterjee; editing by Adrian Croft) The founder and CEO of the Indian Paranormal Society was found lying on his bathroom floor with a thin black line across his neck. Tiwari, seen during one of his investigations. By Shams Tahir Khan, Vishakha Saxena: Indian paranormal investigator Gaurav Tiwari, 32, was found dead at his Dwarka home on July 7, under curiously mysterious circumstances. Police are calling it a case of asphyxiation, but are yet to ascertain if it was a suicide. The founder and CEO of the Indian Paranormal Society was found lying on his bathroom floor with a thin black line across his neck. advertisement According to his family, they heard a loud thud from his bathroom at around 11 am on Thursday. They forced their way through the locked door to find Tiwari lying on the floor. He was rushed to a hospital but could not be resuscitated. Suicide or not? Initial investigation shows Tiwari had no financial or other pressing issues that could have driven him to suicide. He was featured on this month's cover of Youth Incorporated magazine and even posted about a day before his death. Recently married, Tiwari used to stay out ghost-hunting quite late into the night and it led to some marital friction. He was investigating a suspected haunted house in Delhi's Janakpuri on Wednesday and returned home at around 1:30 am at night, triggering a fight with his wife. He appeared absolutely fine on the day of his death, however, and was "checking mails" a few minutes before he died. Police searched the house and Tiwari's mobile for clues but couldn't find anything substantial. They are now waiting for the full autopsy report. His family members - who don't believe in the paranormal - initially suspected he died from the trauma from falling on the bathroom floor, a possibility that's being inspected. They have maintained that Tiwari had no reasons to kill himself. Meanwhile, Australian paranormal investigator Allen Tiller who was working with Tiwari on a Syfy TV series 'Haunting: Australia' told his fans on Facebook that Tiwari had a heart attack. There are, however, deeper mysteries behind his death. Tiwari's father told the Times of India that his son was feeling "a negative force was pulling him towards it." He told his wife about it a month ago, saying "he was trying to control it but seemed unable to do so." His wife dismissed his fears believing he was just depressed due to heavy workload and didn't tell the family about it. A spooky life An ordained minister of Metaphysical Church of Humanistic Science, 'Reverend' Gaurav Tiwari was a certified paranormal investigator and UFO field investigator. During the course of his work, he visited more than 6,000 'haunted locations' and investigated 'hauntings', 'UFO abductions' and 'mysterious creatures'. advertisement His paranormal research skills were so strong that his team was completely dependent on him despite having access to many hi-tech equipment like full-spectrum cameras. In India, Tiwari had worked on various TV shows including Haunted Weekends with Sunny Leone, Bhoot Aaya, MTV He Ticket and Fear Files. He also appeared in Bollywood flicks 16 December and Tango Charlie. Tiwari's tryst with the paranormal began in 2007 while he was studying in Florida, USA to become a commercial pilot. He experienced phenomena like poltergeists and heard disembodied 'whispers' in the apartment he was sharing with four other people. One of his flatmates also saw an apparition of young girl. Soon after, all housemates reported hearing footsteps from the attic and seeing a translucent apparition of a young girl. The group vacated the house as they struggled to explain the goings-on, but the paranormal had already seized Tiwari's imagination. --- ENDS --- The Islamic State has published a local language publication to increase its appeal to jihadists in Southeast Asia, according to a report in the Malaysian newspaper Berita Harian cited by the Malay Mail. The publication al-Fatihin the name is Arabic and means the Conquerors was launched on June 20, in the holy fasting month of Ramadan, with the tagline: The newspaper for Malay-speaking migrants in the Islamic State. The articles, bringing updates from Iraq and Syria, were mainly written in the Indonesian language, which is comprehensible for many Malay speakers in Southeast Asia. According to a report in CNN Indonesia, ISIS has a brigade called Katibah Nusantara, which is based in Syria and comprises Malay-speaking militants from Indonesia, Malaysia and the Philippines. Apart from serving these jihadists, al-Fatihin also seeks to target its supporters in Malaysia, Indonesia, Brunei, Singapore, southern Thailand and southern Philippines areas that overlap with the territory of a Southeast Asian caliphate envisioned by terrorist group Jemaah Islamiyah. Al-Fatihin buttresses [ISIS] messages calling on militant groups in Indonesia and the Philippines to unite and pledge their allegiance to Abu Bakr Al-Baghdadi, wrote Jasminder Singh and Muhammad Haziq Jani, terrorism analysts at Singapores Nanyang Technological University, in their research paper published last month, Al-Fatihin: Islamic States First Malay-Language Newspaper. Al-Fatihins tagline drives the point that, no matter the differences and nuances in language, identity and origins, Southeast Asian jihadists have a common logos and as such, all Malay-speaking jihadists should act as one, they added. Two days after the newspaper was launched, ISIS released a video declaring the Philippines as its territory and calling for Southeast Asian jihadists to travel to the Philippines if they could not go to Syria. There are growing fears of ISIS encroachment in the region. Different militant groups in Southeast Asia have pledged allegiance to ISIS, including the East Indonesia Mujahideen in Central Sulawesi province, and Abu Sayyaf in the southern Philippines. Recent terrorist attacks in Indonesia and Malaysia have been blamed on ISIS supporters, with links to Indonesian and Malaysian jihadists in Syria. By Rajendra Jadhav and Tova Cohen MUMBAI/TEL AVIV (Reuters) - Israel Chemicals (ICL) will ship potash to India at the same decade-low price agreed by another big seller last month, two industry sources said, at a time when global supply of the crop nutrient is exceeding demand. ICL's decision could pressure other key producers such as Russia's Uralkali and North American trading group Canpotex Ltd, owned by Potash Corp of Saskatchewan , Mosaic Co and Agrium Inc , to consider offering potash at similar prices. Indian Potash Ltd (IPL), one of that country's biggest fertiliser importers, is buying around 600,000 tonnes of potash at $227 per tonne on a cost and freight (CFR) basis with a credit period of 180 days, said the industry sources, who declined to be named. The price is a third lower than last year. In late June, Belarus agreed to supply 700,000 tonnes of potash at the same rate to India, one of the world's top buyers of the material. Following Reuters' story, ICL issued a statement citing "media reports on the matter" and confirmed the first agreement for the sale of potash to India was signed on Monday. "Additional agreements are expected to be signed in the coming days," it said, providing no details of quantities or prices. Shares in ICL were up 2.3 percent at 15.24 shekels at 1105 GMT, outpacing a gain of 0.3 percent in the Tel Aviv blue chip index. "Some certainty has been restored to the market now that the contract with India has been signed," said Yehonatan Shohat, an analyst with brokerage Leader Capital Markets. He noted that last year ICL sold a total of 800,000 tonnes of potash to Indian customers and he expects the company will reach around that amount this year when it signs the additional contracts. Uralkali, the world's biggest potash producer, has said the price agreed by Belarus was too low and it was not yet ready to sign a potash supply contract with India. But Indian officials have said $227 was the new benchmark. Prices were as high as $490 three years ago. "Already two producers have agreed this price. It is not possible for India to offer a higher price," said one of the sources involved in the latest deal. India and China, the world's biggest fertiliser consumers, usually sign contracts earlier in the year. This year, deals were delayed as high stocks held by farmers in the wake of falling prices for agricultural commodities meant there was no rush to agree a deal. India's deal is a rare instance of the country signing a potash supply contract with a major producer before China. Shohat does not expect potash prices to recover in the coming year. "There is stagnation or even a decline in the amounts of potash being bought relative to 2015 and I don't see capacity cuts by potash producers during the year," he said. (Editing by Joseph Radford/Mark Heinrich) Jerusalem (AFP) - Israel announced Monday it had outlawed a Palestinian group it says acted as a front for Iran-directed militant activities targeting Israelis and the regime of Palestinian president Mahmud Abbas. Defence Minister Avigdor Lieberman signed the order outlawing Al-Hirak Al-Shababi ("the youth movement") at the recommendation of the Shin Bet internal security agency, a ministry statement read. The decision followed "significant information indicating that the group is directed by Hezbollah and Iran to carry out attacks against Israelis, and ignite a wave of violence in the West Bank and east Jerusalem at Israel and the Palestinian Authority," it read. While the group claimed to be a collective of youths seeking to change the situation in the West Bank through civil activity, it was in fact "a terror group headed by two opponents of the Palestinian Authority", the statement read. The defence ministry named them as Munir Asli, living in Lebanon, and Hilmi Balbisi, living in Jordan. It said they are "directed and funded by Hezbollah and Iran", which have both transferred "hundreds of thousands of shekels" over the past two years to fund the group's activities. Members of Al-Hirak Al-Shababi were engaged in firebombing and bombing attacks on Israeli targets in the West Bank and Jerusalem, as well as stirring unrest on the volatile Al-Aqsa mosque compound in the city. The group's goals included "toppling the regime of (Palestinian President Mahmud Abbas) and collapsing the Palestinian Authority". Israel's army sees the Iran-backed Lebanese militia Hezbollah as its main enemy, and Prime Minister Benjamin Netanyahu considers Iran to be the region's main destabilising element. "Exposing the organisation, the extent of its activity and true goals shows once again the efforts of Iran and Hezbollah to advance terror activity against Israel," the defence ministry statement read. BRUSSELS, July 11 (Reuters) - Italy's troubled banks do not represent an acute crisis and resolving their problems will be more of a gradual process than a big fix, Eurogroup President Jeroen Dijsselbloem said on Monday. Bank of Italy's Governor Ignazio Visco said on Friday that public money should be used to help Italy's troubled banks in a financial system that was "full of risk". "Yes, there are issues of non-performing loans in Italian banks, but that's not a new issue," Dijsselbloem said before a meeting of the Eurogroup of euro zone finance ministers, which he chairs. "It needs to be dealt with, it will have to be dealt with gradually. There will be no big solutions. I don't think that's possible for this kind of issue and it's not an acute crisis." The European Union had clear rules on how to deal with banks facing difficulties and there was only a limited role for the Eurogroup. "There is not much we can do," he said. "The European Commission and the European banking supervisor has to talk to the Italians using the rules (we have set out)," he added. (Reporting by Robert-Jan Bartunek and Philip Blenkinsop) Wellington (AFP) - The International Whaling Commission (IWC) has called on New Zealand to take urgent action to save the world's rarest dolphin from extinction, voicing "grave concern" about its future. Population numbers of the Maui's dolphin, the world's smallest and scarcest sub-species found only in waters off New Zealand's North Island, have dwindled to less than 50, prompting fears it could reach the point of no return without strong action, including a ban on fishing in its habitat. The IWC, in a report released over the weekend, said not enough was being done to prevent the dolphins becoming "bycatch" to the commercial fishing industry. "The IWC scientific committee expressed continued grave concern over the status of this small, severely depleted sub-species," it said. "Existing management measures in relation to bycatch mitigation fall short of what has been recommended previously." The IWC said Wellington had been saying since 2013 that it was researching the issue, but it had not taken any steps to rectify it. Barbara Maas, an endangered species specialist with conservation group NABU International, said the New Zealand government had repeatedly ignored the IWC's concerns. "The science is clear, if nothing is done then the Maui's dolphin is gone," she told AFP. "What's needed is action, not more research. This is a huge indictment on New Zealand." Maas estimates only 42 Maui's dolphin are left, with perhaps a quarter of those comprising breeding age females. She has called for a boycott of New Zealand's billion-dollar fishing industry, arguing it is the only way to force action on the issue. Greenpeace said New Zealand could not continue to trade on its "clean, green" image while allowing the Maui's dolphin to edge towards oblivion. "How many damning scientific reports do we need to see before the government agency actually does something," Greenpeace NZ executive director Russel Norman said. "Protecting New Zealand dolphins from extinction is part of what it means to love this country. We market this country on swimming with dolphins." The fishing industry argues the government has already banned trawl and set-net fishing in 6,000 square kilometres (2,300 square miles) of waters and there is no evidence Maui's dolphins exist outside this area. By PTI: Ahmedabad, Jul 11 (PTI) After nine months of incarceration, 22-year-old Hardik Patel is set to walk out of jail as the Gujarat High Court today removed the last hurdle by granting him bail in a case related to violence at Visnagar MLAs office. However, Hardik will have to stay outside Gujarat for the next six months as directed by the high court on Friday when it granted him bail in two sedition cases. advertisement Hardiks lawyer Zubin Bharda said that he is likely to get out of jail a couple of days after completion of all the legal procedures. As to where Hardik will stay during the next six months could not be ascertained. Justice P P Bhatt today granted bail to Hardik in the Visnagar mob violence case after the state government did not oppose his bail plea. However, the court imposed a restriction on Hardik that he cannot enter Mehsana district, in which Visnagar town is located, till the trial of this case is over. The news of Hardik getting bail was welcomed by members of the Patidar community who broke into jubilation bursting crackers and distributing sweets. The Patidar Anamat Andolan Samiti (PAAS) led by Hardik now hopes that his release will revive the quota agitation movement. Congress termed his impending release as "good for democracy" while ruling BJP said that it was due to their decision of not opposing his bail that he is set to walk out of jail and they expect an amicable solution to the agitation. While granting bail to Hardik today, the court also added that he can seek modification to the condition (that he cannot enter Mehsana district) after nine months and the court at that time can pass an appropriate order based on his conduct. Gujarat Congress used the Hardik issue to target BJP with state party president Bharat Solanki saying that "the government tried to shake the will power of this youth by applying dirty tricks and even offering him enticement. But against all this, the young man remained adamant and continued with the struggle. The fact that he has been granted bail is good for democracy." State BJP president and MLA Vijay Rupani said that Hardik got bail as the government did not oppose his bail plea. "Chirag Patel and other friends of Hardik, who were jailed in quota agitation, were released due to governments lenient approach. Hardik also got bail due to governments efforts. We are committed to resolving the matter amicably," he said. MORE PTI KA PD NP PAL --- ENDS --- advertisement Nara (Japan) (AFP) - Britain might be a world away, but the future of a 500-year-old family company in rural Japan could rest on the UK's decision to quit the European Union. Chikumeido, a speciality maker of delicate bamboo whisks used in traditional tea ceremonies, started selling the niche products in the United Kingdom last year, its first major push overseas. Sabun Kubo, its 71-year-old president, hoped the gamble would pay off by setting up his little company for a launch into the potentially lucrative European market, and counter years of falling sales. Things got off to a good start for the company, which has been run by 24 generations of Kubo's family -- and then Britain voted to quit the EU. "We just started exporting to London last year as our sales base in Europe," said the septuagenarian craftsman. "This was part of our efforts to take a chance on doing business overseas. I thought our products would be well received in Europe, and especially in Britain where there is a tea culture. "But then, all of a sudden, Brexit happened. It was a shock." Since the June 23 vote, firms in Japan and across Asia have been scrambling to get a read on how they'll be impacted by Brexit, and what to do about it. Japan Inc has also been hit as worries over the vote sparked a sharp rally in the yen. The currency is seen as a safe investment in tumultuous times, but its rise hurts Japanese exporters' profitability. The threat to Kubo's minnow firm illustrates how the breakaway vote will not just affect multinational giants like Toyota and Hitachi, which have major operations in England. - Frothy formalities - More than 1,000 Japanese companies do business in Britain, employing some 140,000 local people, and Japan's direct investment in the country has topped 10 trillion yen ($99 billion) to date. "The main problem for Japanese companies is that the single (EU) markets are very small and diverse with different languages and business cultures and often different standards," said Martin Schulz, senior research fellow at the Fujitsu Research Institute in Tokyo. Story continues "They really need a position from where they can serve the overall market. That has been London." For Kubo, Brexit rekindles worries about the 100 full and part-time employees working for the company near temple-dotted Nara, one of Japan's ancient capitals. The firm is known nationwide for being one of the only manufacturers able to produce as many as 120 different types of the lightweight whisks, called chasen. The small utensils are made by hand from a single piece of bamboo. They take centre stage in a formal ceremony, usually performed in a tatami-floored room, that includes drinking a powdery form of green tea called matcha. "When you're making tea, you need to have a tool that can beat the surface into a froth," Kubo said of the emerald-green beverage. But the chasen business is a tough go these days as low-cost rivals in China and South Korea keep eating away at a shrinking market. Around 1970, the peak period for sales, about 50 manufacturers moved around one million whisks yearly -- and Kubo's employees were run off their feet, he said. Today, the number of makers has fallen by more than half while annual sales have tumbled to about 300,000 a year, Kubo said, adding that his firm controls about 30 percent of the domestic market. While the company had previously sold some products abroad through Japanese buyers, last year was the first time it sold via wholesalers overseas. The whisks went on sale at department stores in England for about $50 each. "After Brexit and now with the strong yen, I'm really wondering how this is going to change our business overseas, starting with England," Kubo said. "I don't have much reason for optimism." TOKYO (Reuters) - Prime Minister Shinzo Abe said on Monday it would not be easy to revise Japan's constitution, a day after a resounding election victory opened the door for a possible revision of the charter that was adopted after World War Two. Abe's coalition and allies obtained two-thirds of the seats in the upper house of parliament which, with the ruling bloc's super majority in the lower house, could allow them to revise the document. However, Abe told a news conference this would not be so easy and debate had to be deepened. (Reporting by Kiyoshi Takenaka; Editing by Paul Tait) TOKYO (Reuters) - Japan on Monday ordered three C-130 military transport planes to an air base in east Africa to prepare to evacuate Japanese trapped by fighting in South Sudan's capital, Juba. "We want to have the aircraft on standby as soon as possible to conduct any evacuation," Japan's Minister of Defence Gen Nakatani said after issuing the order. "The situation there is very fluid." Japan's Chief Cabinet Secretary Yoshihide Suga said earlier some 47 government aid workers had been ordered back to Japan with between 20 to 30 other Japanese civilians in the capital. The three transport planes would stand by at Japan's Self Defense Force base in Djibouti, about 3,000 km (1,865 miles) east of Juba. While Japan has chartered commercial aircraft and used the prime minister's Boeing 747 jumbo in the past to evacuate Japanese in danger overseas, it would be the furthest and only the second rescue mission by military aircraft. The rescue would be another sign that Japan's defense force is increasing its ability to conduct operations far from home, as the government considers a relaxation of constitutional constraints on the military. A contingent of 350 Japanese army engineers in South Sudan, who are rebuilding roads and other infrastructure as part of a peace keeping operation, will remain for now, Nakatani said. At least 272 people have been killed in renewed fighting in South Sudan which erupted on Sunday. (Reporting by Tim Kelly and Kaori Kaneko; Editing by Michael Perry, Robert Birsel) Japanese stocks are soaring after an election victor for Shinzo Abe. The Japanese Prime Minister won in a landslide victory, making it easier to push through his economic stimulus plans. U.S. stocks will try to build on Friday's job report gains. The S&P 500 is close to a record high. The Farnborough Air Show gets underway and big plane deals could be happening. The last few years have seen some plum deals signed for planes with both Boeing and Airbus but there are signs demand is slowing. Tomorrow is Amazon second Prime day. It's a sale on hundreds of thousands of items for members of its Prime program. Walmart is stepping up competition by offering free shipping on any items starting today and lasting 5 days. Earnings season gets underway today with Alcoa reporting after the close. Jennifer Garner is doing everything she can to help flood victims in her home state of West Virginia. The actress, 44, and Save the Children, for which she is a trustee and longtime supporter, have launched an Omaze t-shirt campaign to raise funds for recovery efforts after historic flash flooding in late June that left 23 people dead and destroyed hundreds of homes. The actress tells PEOPLE that she first started to realize how bad the situation was while she was on the phone with her mom, Patricia, who still lives in the state. "She pulled to the side of the road because she couldn't see," the actress recalls. "She said, 'This rain has been so intense, it just won't stop." A state of emergency was declared in 44 of the state's 55 counties on June 24 after the flash floods and Gov. Earl Ray Tomblin said the flooding was "among the worst in a century for some parts of the state." Garner, whose family was not impacted, and Save the Children immediately started relief efforts to aid victims. "I'm so proud of the disaster relief response," the actress says. "Passing out supplies needed, like clothes for babies, diapers, toiletries. Whatever is needed, we do our best to get for them." Another emotional component Save the Children offers is to provide a safe place for parents to take their kids. "There are counselors who will play with them and they can address any trauma theyave been through," Garner adds. "Kids come in and talk about what theyave seen while they are playing. Which also gives the parents time and freedom to do what they need to do to figure out their life. It's especially devastating because so many are living paycheck to paycheck and don't have flood insurance." RELATED: Jennifer Garner's Changing Looks! Every t-shirt, which reads "West Virginia Strong" amid an icon of mountains, benefits Save the Children, which serves families in five West Virginia counties through health, early education and after-school programs. "I wanted it to have mountains to show the deep strength of the people in the state," Garner says. "This is what Save the Children is about. To go to where people are having a really rough time and help." West Virginia has also become a special place for Garner's three kids, Violet, 10, Seraphina, 7, and Samuel, 4. "At the end of summer, their friends talk about what beautiful vacations theyave taken and my kids have too but what they'll almost always say is, 'This summer I went to West Virginia.' And that makes me so happy," the actress says. "When you're there, you understand. The pace is more forgiving, the air is beautiful, there are fireflies at night. It's different from what we have in L.A. They are very proud of those roots." Click here to purchase Garner's West Virginia Strong t-shirt to support relief efforts. (Adds details on Justice Department pilot program on reporting bribery, SEC allegations, lack of individuals charged) By Jonathan Stempel July 11 (Reuters) - Johnson Controls Inc agreed to pay $14.4 million to settle U.S. regulatory charges that its workers bribed Chinese shipbuilders and shipyards, including some owned by the Chinese government, to win business and enrich themselves. The U.S. Securities and Exchange Commission on Monday said the accord resolves civil charges that the Milwaukee-based maker of car batteries and heating and ventilation equipment violated the federal Foreign Corrupt Practices Act. Separately, the U.S. Department of Justice said it declined to bring related criminal charges, in part reflecting Johnson Controls' decision to voluntarily report the misconduct. Johnson Controls is the third company to publicly settle with the Justice Department under that agency's pilot program to encourage companies to report bribery violations, in return for reduced penalties. Last month, Akamai Technologies Inc and Nortek Inc reached similar, unrelated settlements, also concerning alleged bribery in China. Monday's developments remove a legal overhang for Johnson Controls as it prepares to merge with Dublin-based Tyco International Plc, which it agreed to buy for $16.5 billion in a bid to lower its tax bill. According to the SEC, from 2007 to 2013 roughly 19 workers at Johnson Controls' Chinese marine subsidiary used sham vendors to make roughly $4.9 million of improper payments, emboldened by what the regulator called a "culture of impunity." The SEC said the company improperly recorded the payments on its books and lacked proper controls to detect them, and that the payments led to $11.8 million of profit on transactions. Without admitting wrongdoing, Johnson Controls agreed to pay a $1.18 million civil fine, give up $11.8 million of gains, and pay $1.38 million of interest to settle. No individuals were charged, but the Justice Department said Johnson Controls has ended the employment of the workers involved in the misconduct. Story continues In a statement, Johnson Controls confirmed the settlements. Shareholders of Johnson Controls and Tyco are expected to vote on the companies' merger on Aug. 17, and a closing is possible around Oct. 1, the companies have said. (Reporting by Jonathan Stempel in New York; Editing by Tom Brown and Andrew Hay) (Adds attorney comment on appeal, Viacom share price) By Lisa Richwine LOS ANGELES, July 11 (Reuters) - An ex-girlfriend of media mogul Sumner Redstone will not be granted a new trial over her lawsuit that challenged the 93-year-old's mental competence, a California judge said in a tentative ruling on Monday. Former companion Manuela Herzer argued that recent developments surrounding Viacom Inc, one of the media companies that Redstone controls, provided new evidence to support her claim that the billionaire mogul was being manipulated. "There are no grounds for a new trial under the facts presented," Judge David Cowan wrote in a tentative ruling. California judges often issue tentative rulings, which are then finalized after a hearing with few major changes. Viacom shares dropped 1.8 percent in afternoon trading to $44.37 on Nasdaq. In a lawsuit filed last year, Herzer had challenged her removal as Redstone's designated healthcare agent in October 2015. In May, Cowan dismissed Herzer's case after one day of testimony. Less than two weeks later, Redstone removed Viacom Chief Executive Philippe Dauman and another board member from the trust that will control Viacom and CBS Corp after Redstone dies or is declared incapacitated, according to Redstone's spokesman. Judge Cowan noted that Redstone, in a videotaped deposition presented at Herzer's original trial in May, "has vehemently indicated he does not want her in his life." "The proceeding is not reasonably necessary to protect Redstone's interests as a patient," Cowan wrote. Herzer's attorneys will appeal the dismissal of her lawsuit, lawyer Pierce O'Donnell told reporters on Monday. O'Donnell said he would file the appeal within a day or two and ask to have it expedited. Herzer and Dauman have argued in court documents that Redstone suffers from diminished mental competency and is a victim of undue influence exerted by his daughter, Shari. She denies that allegation, saying her father makes his own decisions. Redstone's attorneys also say the mogul is fully aware of his actions. Dauman is challenging his removal from the trust in separate litigation in Delaware. Fred Salerno, Viacom's lead independent director, also has filed suit in Delaware to challenge the removal of Viacom board members in June. (Reporting by Lisa Richwine in Los Angeles; Editing by Bernadette Baum and Nick Zieminski) Los Angeles (AFP) - A Los Angeles judge on Monday threw out an appeal by the ex-companion of media mogul Sumner Redstone asking that she be re-instated as the 93-year-old billionaire's caretaker. In a 21-page ruling, Superior Court Judge David Cowan dismissed Manuela Herzer's petition, saying there was no new evidence to warrant a new trial and that he did not wish for the case to turn into a spectacle. "The court... must consider what is in Redstone's interests as a patient, including protecting his dignity and privacy," Cowan wrote. "The court found that a trial was contrary to those interests. "This case is not theater and this court is not in the business of providing a spectacle; this is about protecting the health of a man in his twilight years in the privacy of his home." Herzer has been battling to be reinstated as Redstone's caretaker after he stripped her of that title in October and cut her out of his will. The high-stakes lawsuit she filed after her dismissal was thrown out in May after the judge heard videotaped testimony from Redstone in which he used expletives in referring to her and said he wanted his one-time lover and longtime companion out of his life. Redstone was executive chairman of both Viacom and CBS before stepping down in February. In his latest ruling, Cowan cast doubt on Herzer's motive, noting that shortly after he had dismissed her initial petition in May, she had filed a lawsuit against Redstone's daughter, Shari, accusing her of orchestrating her ouster from the mogul's entourage. "The filing of that other case may somewhat undercut Herzer's professing herein to be interested only in Redstone's health and welfare," Cowan wrote. The case, which has unfolded like a soap opera, has captivated Hollywood and Wall Street, with court papers laying out salacious details about Redstone's private life and his troubled relationship with his daughter. Herzer's lead attorney Pierce O'Donnell said he planned to appeal Monday's ruling. By PTI: New Delhi, Jul 11 (PTI) The Supreme Court today fixed September 20 for hearing the plea of BJP leader Subramanian Swamy challenging constitutional validity of certain IPC provisions on hate speech and writing. "List the matter on September 20, 2016," a bench of justices A R Dave and L Nageshwara Rao said. The bench accepted Swamys plea to adjourn the matter after he mentioned it as the bench assembled to begin the days proceedings. advertisement The Centre had earlier termed as "not maintainable" and opposed Swamys plea saying his petition was not a "writ petition but a personal interest litigation" as NBW has been already been issued against him with regard to alleged hate speeches. Swamy had vehemently opposed the contention of Solicitor General and said his plea has already been considered by the previous bench headed by Justice Ranjan Gogoi which has agreed to examine the constitutional validity of 156(3) of IPC and he is not on the issue of bailable warrant. He had argued that his plea for quashing of NBW was already before the Gauhati High Court. The apex court was hearing Swamys plea against the order of a trial court in Assam which issued an NBW against him for failing to appear before it on March 19 last year in a case of alleged hate speech. On July 2 last year, the apex court had stayed the execution of the non-bailable warrant issued against him by the Assam court for allegedly delivering a hate speech at a university there. Swamy, who is facing a court case in Karimganj in Assam for allegedly delivering the inflammatory address at Kaziranga University, had sought relief from the apex court in the case. He has also challenged the constitutional validity of Section 153 A (promoting enmity between different groups on grounds of religion, race, place of birth, residence, language, etc, and doing acts prejudicial to maintenance of harmony) of the Indian Penal Code. PTI ABA RKS ZMN --- ENDS --- VANCOUVER, BC / ACCESSWIRE / July 11, 2016 / KAPUSKASING GOLD CORP. (KAP.V) (the "Company" or "KAP"), announces that further to its news release of May 27, 2016, the Company has closed on a total of 1,640,000 Non Flow-Through Units ("NFT Units") at a price of $0.05 per NFT Unit and 2,743,334 Flow-Through Units ("FT Units") at a price of $0.06 per FT unit for aggregate gross proceeds of $246,600.04 (the "Offering"). Each NFT Unit consists of one NFT Common Share (a "NFT Share") and one Non Flow-Through share purchase warrant ("NFT Warrant"). Each FT Unit will consist of one FT Common Share (a "FT Share") and one NFT warrant. Each NFT Warrant will entitle the holder to purchase one NFT Share at $0.10 for 18 months from the date of issuance. In connection with the Offering, the Company paid certain finders (the "Finders") a cash commission equal to 8% of the proceeds of the sale of Shares by such Finder. All securities issued in connection with the Offering will be subject to a four month hold period expiring on November 11, 2016, in accordance with applicable Canadian securities laws. Net proceeds of the private placement will be used for general working capital purposes and to advance the company's mineral properties. The Offering remains subject to final acceptance by the TSX Venture Exchange. On behalf of the Board of Directors: KAPUSKASING GOLD CORP. Jonathan Armes President & CEO, Director Phone 1 (416) 708-0243 Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. Forward Looking Statements and Cautionary Notes Statements in this document, which are not purely historical, are forward-looking statements, including any statements regarding beliefs, plans, expectations or intentions regarding the future. Forward-looking statements contained in this document are based on certain key expectations and assumptions made by Kapuskasing, including, without limitation, expectations and assumptions respecting the outlook of exploration activity on the Borden and Rollo properties and the impact on Kapuskasing's business and management assessment of future operations. Although the forward-looking information contained in this press release is based upon what Kapuskasing's management believes to be reasonable assumptions, the Company cannot assure investors that actual results will be consistent with such information. Forward-looking information reflects current expectations of management regarding future events and operating performance as of the date of this press release. Story continues It is important to note that actual outcomes and the Company's actual results could differ materially from those in such forward-looking statements. Risks and uncertainties include, but are not limited to, economic, competitive, governmental, environmental and technological factors that may affect the Company's operations, markets, products and prices. Readers should refer to the risk disclosures outlined in the Company's Management Discussion and Analysis of its audited financial statements filed with the British Columbia Securities Commission. The forward-looking information contained herein is expressly qualified in its entirety by this cautionary statement. Forward-looking information reflects management's current beliefs and is based on information currently available to the Company. The forward-looking information is made as of the date of this press release and Kapuskasing assumes no obligation to update or revise such information to reflect new events or circumstances, except as may be required by applicable law. SOURCE: Kapuskasing Gold Corp. Juba (AFP) - South Sudan declared independence in 2011 but since has been torn apart by a civil war replete with massacres that has left tens of thousands dead and ruined the economy. As it struggles to end the war and cope with famine, the world's newest nation this weekend cancelled its fifth independence celebrations. - 2011: Independence - On July 9, South Sudan's President Salva Kiir proclaims independence before tens of thousands of jubilant citizens and several foreign leaders. Southern Sudan split from Sudan after six years of autonomy and decades of civil war, which lasted from the late 1950s to 1972, and then again between 1983 and 2005, leaving millions dead. A peace accord signed in 2005 by north and south opens the way to a referendum on independence, in which nearly 99 percent of southerners vote for secession. However numerous disputes remain between the two countries, notably on how to share oil revenues, the tracing of their common border and the status of disputed regions like Abyei. - 2012: Oil - From March to May deadly clashes pit the armies of Juba and Khartoum against each other in the oil fields of Heglig, an area to which both countries lay claim. South Sudanese troops briefly occupy the area, which accounts for half of Sudan's crude oil production. Upon independence, South Sudan inherited three quarters of Sudan's oil reserves, but, being landlocked, depends on the north's infrastructure to export crude. With bitter arguments over pipeline transit costs, the north confiscates part of the oil and Juba in response halts its production in January. More than a year later, in April 2013, oil from the south starts flowing again through Sudan. - 2013: Civil war - On December 15, heavy gunfire erupts in Juba. Tensions had spiked after Riek Machar, from the country's second-largest ethnic group, the Nuer, was fired as vice-president in July. Kiir, from the majority Dinka people, accuses Machar of a failed coup. Story continues Machar denies this and accuses Kiir of starting the war by launching a purge. The fighting is marked by ethnic massacres in Juba, spreading to several states. The northern city of Bentiu, capital of oil-rich Unity State, Malakal in Upper Nile, and Bor in Jonglei are among the main centres of the fighting. All three are razed by fighting. - 2015: Peace accord - On August 17, Machar signs a peace accord in Addis Ababa providing for a ceasefire and a power-sharing mechanism. On August 26, Kiir signs the accord, while expressing "serious reservations" on several of its provisions. - 2016: Unity government - On April 26, Machar finally returns with members of his security forces to Juba, where he is sworn in as vice-president of a unity government. Three days later, Kiir forms his transitional government. Fighting continues. On July 8, as many as 150 fighters are killed in a shootout between soldiers and former rebels in Juba that begins near the presidential palace as Kiir and Machar were due to address the press with a joint message of peace ahead of independence day. On July 10, fighting erupts again in South Sudan's capital with former rebels and government soldiers exchanging heavy fire. In New York, the UN Security Council urges the two rivals to call a ceasefire, redeploy their forces outside the capital and implement the peace agreement. But intense battles resume July 11 involving tanks, helicopter gunships and artillery and mortar fire, sending thousands of people fleeing to safety. There were no immediate details of casualties. On Sunday's episode of Keeping Up With the Kardashians, Scott Disick comes to terms with his relationship with Kourtney Kardashian while Kim accidentally missed an important event for her husband. The episode started off with Kim making plans for she and Kourtney to fly out to Iceland for Kanye West's music video shoot. While there, they would celebrate Kourtney's birthday with a few friends, sans Disick and the kids. When Kourtney breaks the news to Disick, he got extremely upset that they wouldn't be spending her birthday together. "I thought I'd be there to give her a hug or a gift," Disick told the cameras during an interview. "It's sad for me to hear." Later, he drove over to Kris Jenner's house to talk about his plan to fly to Iceland to surprise Kourtney, but she convinced him that it wasn't the best idea. "Later, if we worked things out, I would've hated to miss things like this," Disick, 33, told Jenner. "We had all of these memories, now they're stopping one by one." Meanwhile, in Iceland, Kim and Kourtney, 37, were off exploring and taking trips to a tomato farm and a hot spring where they began to discuss Kourtney's current relationship with Disick. "We are just doing our best to co-parent and getting along," Kourtney told Kim. "I don't want to spend time with him one-on-one ... that's not what I want right now, and it's not good." Meanwhile back in LA, the news of Rob and Blac Chyna's engagement and baby started to really set in with the family including Khloe, but it took some convincing a little help from Lamar Odom. While Khloe, 32, was relaxing at her house, Odom, 36, walked in with Rob which awkwardly forced the siblings to finally have a sit-down conversation about their lingering issues. "This is kind of awkward for Rob, 29, and Lamar to walk in the theater like nothing has happened," Khloe said in an interview, followed by her ex beraking the ice by asking Khloe if she would like to go out to eat with Rob and Blac Chyna. "No that's not part of the plan, it's not Blac Chyna too," she said to them. "No." Rob wound up giving in and told his sister that it could be just them and Odom if that works for her which she agreed too. "Rob and I have a long way to go but I want my relationship back with my brother," she told the cameras. RELATED VIDEO: Rob Kardashian and Blac Chyna Make First Appearance Since Announcing Baby News Over in Iceland, Kim, 35, was out enjoying some sight-seeing with Kourtney for her birthday, but wound up running late and missed her husband's music video shoot. He took it personally and it caused a huge riff between the couple. "I feel so bad, and so guilty ... the one thing I was out here to do and I missed it," Kim told the cameras. "I usually have my s--- together, but I am disappointed in myself. I don't know what the right thing to do is." She wound up leaving the group during a Northern Light's trip to go spend time with Kanye in the studio to make up for missing his shoot. In the final scene, Kourtney was back in LA, so she and Disick decided to take their kids to a farm to celebrate her birthday as a family. As much as it pained Disick to realize it, he understood he and Kourtney are no longer a couple, but they will remain in one another's lives. "It's difficult not being together, I guess this is just one more thing you got to get used too," he admits to the camera. Keeping Up with the Kardashians airs Sundays (9 p.m. ET) on E! London (AFP) - British lawmaker Angela Eagle launches her bid for the leadership of the main opposition Labour Party on Monday as the Brexit fall-out continues to shake up the political scene. Eagle said she was trying to save Labour from doom as she prepared to announce formally her attempt to topple embattled leader Jeremy Corbyn -- a veteran socialist accused of lukewarm campaigning for Britain to stay in the European Union. The ruling Conservative Party is also engaged in a fierce leadership battle over who will be the next prime minister after David Cameron announced his resignation in the wake of the seismic June 23 vote that has plunged Britain and Europe into uncertainty. Interior Minister Theresa May, the current favourite against junior energy minister Andrea Leadsom, was expected to kick off her campaign on Monday with policy proposals aimed at appealing to disaffected voters who backed Brexit. Conservative Party members will elect the new prime minister and the winner will be announced on September 9. Labour Party members could be asked to do the same. Corbyn has widespread support among party members but has lost the confidence of at least three quarters of Labour MPs. The vast majority of the party's lawmakers do not believe Corbyn can lead them to a general election victory -- with the referendum on Britain's EU membership proving the last straw. - Healing after Brexit shock - "The Labour Party needs to be saved," Eagle told the Daily Mirror newspaper. "I'm stepping up to the plate to say it's about time that we did this so we can make the Labour Party relevant again and so we can contend for government. "I want to ensure our country can be healed after the terrible shock that Brexit is going to inflict on it." The leadership contest depends on a decision by Labour's governing National Executive Committee as to whether Corbyn needs nominations or gets an automatic place on the ballot. Story continues The party's rules are ambiguous and Corbyn has threatened legal action if he cannot get an automatic spot. "It's contrary to all the rules of natural justice and fairness that the sitting leader should not be on the ballot," Corbyn loyalist Diane Abbott told BBC radio. "Angela Eagle is in the strange position of wanting to challenge the leadership but not wanting the leader on the ballot," the party's health spokeswoman said. "Angela Eagle is the Empire Strikes Back candidate. She voted for the Iraq war and more besides," she added. London School of Economics politics professor Iain Begg said the divisions were "destroying the Labour Party". But Begg said a leadership contest in which Corbyn can stand would be "a counterproductive move" since he would likely be re-elected by the party members. - 'Death of Brexit' - In the Conservative contest, May's challenger Andrea Leadsom, a junior energy minister who was only elected to parliament in 2010, was forced to apologise after comments widely interpreted as meaning she was better suited than her rival to lead Britain because she had children. Leadsom's key argument is that May supported the "Remain" campaign and therefore cannot be trusted to extract Britain from the 28-nation bloc. Leadsom was a leading member of the Vote Leave campaign and has the backing of top Brexit campaigners Boris Johnson and Nigel Farage. Arron Banks, a major funder of the "Leave" campaign, has also backed Leadsom, warning that May would bring about "the death of Brexit by a thousand cuts". Also on Monday, more than 1,000 lawyers sent a letter to Cameron saying they believe there should be legislation in parliament before Article 50 of the EU's Lisbon Treaty -- which starts a two-year clock ticking on a country's exit from the bloc -- can be triggered by the incoming premier. They called for an independent body to be established "to receive evidence and report, within a short, fixed timescale, on the benefits, costs and risks of triggering Article 50 to the UK as a whole, and to all of its constituent populations". By PTI: Kochi, Jul 11 (PTI) Kerala High Court today granted bail to all the 41 accused in the Puttingal Devi temple fire work tragedy, that claimed 109 lives at Paravoor in Kollam district in April. Granting bail to them, Justice P Ubaid instructed them to surrender their passports to the police and not to leave the state. The court observed police have not yet filed charge sheet in the case in spite of 91 days (it is mandatory to file charge sheet in 90 days) passing after the incident. The accused included 15 managing committee members of the temple, firework contractor and his workers. The accused were charged under section 304 of IPC (culpable homicide not amounting to murder) The gruesome incident took place on the early hours of April 10 during a festival at the temple. One hundred and nine people were killed and 383 persons injured in the major fire that engulfed the 100-year-old Puttingal Devi Temple complex in the fireworks, for which no permission had been granted by the authorities. PTI COR JRK RC DV --- ENDS --- advertisement PHNOM PENH Like the monsoons, repression in Cambodia comes on a regular schedule, and the current season looks like it will be an especially long and stormy one. On July 10, the tension reached a violent crescendo when Kem Ley, a prominent political commentator and advocate, was shot and killed in a brazen daylight attack at a gas station in Phnom Penh. Though police quickly arrested a man who confessed to shooting Kem Ley over a $3,000 debt, few doubt the killing was politically motivated just one of a string of unsolved political murders that stretches back to the early 1990s. Over the past 12 months, the government has jailed more than 20 people, including opposition parliamentarians, human rights activists, and land rights campaigners. Kem Sokha, the deputy president of the countrys main opposition party, the Cambodia National Rescue Party (CNRP), remains holed up in the partys headquarters in Phnom Penh, Julian Assange-style, facing arrest in connection with an alleged affair with a hairdresser. His crime? Trumped-up charges of procuring prostitution. As of May 26, failure to show up to court has been added to the docket. Meanwhile, Sam Rainsy, the countrys perennial political gadfly, is stranded in self-exile overseas his third enforced timeout in the past decade facing arrest on a defamation conviction from 2011. This is all straight out of the playbook of Cambodias prime minister, Hun Sen, one of the worlds longest-serving leaders. For nearly half his life, the 63-year-old has loomed over this small Southeast Asian nation of 15 million people, ruling through a carefully calibrated blend of force, guile, and legal manipulation. Crackdowns like the current one have alternated with periods of apparent calm and tolerance, often timed to national elections. And Hun Sen, as well as his ruling Cambodian Peoples Party (CPP), always emerges on top even when he doesnt win the actual election. But as opposition mounts ahead of local elections next June, and with crunch national polls scheduled for mid-2018, Hun Sens hold on power is appearing increasingly insecure. At the last national election in 2013, the CNRP scored large gains by tapping into concerns about the corruption, clotted institutions, and lack of economic opportunity that have marked the latter years of the Hun Sen era. Indeed, after 37 years in power, the CPP has a lot to lose. In a report released on July 7, the London-based transparency group Global Witness argued that Hun Sen sits at the center of an economic empire that reaches into every corner of the country, what it described as a huge network of secret deal-making, corruption and cronyism. According to the report, titled Hostile Takeover and based on public company records held by the Cambodian Ministry of Commerce, Hun Sens immediate family including his wife, five children, children-in-law, and various nieces and nephews has registered interests in 114 private domestic firms. These range from energy, petrol, and bottled water to advertising and media, with a total listed capital of just over $200 million the first conclusive statistic to have been published on Hun Sens family wealth. Patrick Alley, the co-founder of Global Witness, said this was most likely just the tip of the iceberg, given the dearth of official documentation. There is very little transparency in Cambodias private sector and the majority of economic activities take place behind a wall of secrecy, he said in an email. As for the full extent of the Hun familys wealth, experts estimate it as anything from half a billion USD up to as much as $4 billion, but nobody knows the real figure. Phay Siphan, a spokesman for the Council of Ministers, as Cambodias cabinet is known, refused to comment on the specific allegations made by Global Witness. This report is propaganda against the prime minister. We dont care about these people, he said. For years, this off-the-books wealth has been crucial to Hun Sens hold on power, providing a wellspring of patronage that trickles downward, ensuring the loyalty of powerful tycoons, provincial power brokers, and key members of the military and police. In this system, companies owned by well-connected individuals are granted lucrative public contracts and concession licenses for land and natural resources like timber, allegedly kicking a share of the profits back to Hun Sen and the CPP. But like the late Indonesian dictator Suharto, whose 31-year reign ended amid widespread rioting and public protests in May 1998, this cycle of patronage has created a social blowback that now threatens the CPPs hold on power. Public anger about land grabs, deforestation, and low-level corruption amplified by the canny use of social media contributed to the CNRPs large gains in the 2013 election. And while economic growth remains strong on paper (between 2004 and 2011, the number of Cambodians living under the poverty line fell from more than half the population to one in five, according to the World Bank, and GDP growth for 2015 was projected at 7 percent), large numbers remain vulnerable to small changes in economic fortune. Demographics have compounded Hun Sens challenge. Increasing numbers of Cambodians are too young to remember the countrys cycle of civil wars, which ended in the late 1990s, and are thus less satisfied with the CPPs claim repeated constantly through the pliant Khmer-language press that it brought peace and stability to a long-suffering land. The problem for Hun Sen, longtime Cambodia watchers say, is that true democratic reform risks undermining the economic base of his power. Marcus Hardtke, a veteran environmental activist who has lived in Cambodia for 17 years, described the system as a textbook organized crime cartel, with Hun Sen in the role of godfather. He has to feed the dogs, Hardtke said. If hes not feeding them every month, they wont be loyal anymore. The contradiction is evident in how Hun Sen has sought to win back lost support since 2013. Stung by his partys poor showing in the polls, the prime minister has since adopted a dual-track strategy of wooing the electorate through populist reforms wage hikes for teachers and soldiers, promises of land for poor farmers while acting ruthlessly to quash any challenge to the patrimonial networks that sustain him. This strategy can be seen in the microcosm that is Hun Sens busy Facebook page, another post-2013 election innovation. His staff posts noodle stall photo-ops and avuncular family shots, alongside live-streamed speeches in which he threatens his opponents. In the past, Hun Sen has usually eased off his opponents in order to placate the foreign governments that have bankrolled Cambodias reconstruction since the early 1990s. But as opposition mounts, there are signs that Hun Sen may be settling into a more permanent authoritarian groove. The prime minister has already warned Sam Rainsy, the president of the CNRP, that he shouldnt expect to be allowed to return to Cambodia for the 2018 election, as he was allowed to in 2013. And the prime minister recently told Kem Sokha that he could remain under house arrest forever if Hun Sen wished it. If you dont go to prison, the prison will come to you, he said in a barnstorming June 29 speech. The July 10 assassination of Kem Ley, who had registered a new Grassroots Democracy Party to run in the 2017 commune elections, was a further dark throwback to the 1990s and early 2000s, when journalists, union leaders, and opposition members were frequently gunned down with impunity. In the days before his death, Kem Ley had commented publicly about the new report by Global Witness and criticized the use of the judiciary to attack the opposition. But now, with increasing amounts of support from a rising China, which has poured billions of dollars in loans and investments into Cambodia over the past two decades, Hun Sen is less afraid of a serious backlash from Western donor governments. When the European Parliament passed a resolution in June calling for a suspension of EU development aid to Cambodia in response to the current clampdown, Hun Sen shrugged off the threat, praising Beijing for its no-strings support. In another June speech, he told foreign diplomats to keep their mouths shut, warning, in his characteristic third person, that Hun Sen cannot be easily pressured. Even if Hun Sen comes out on top again, he wont be able to avoid the question of how to reform a patronage-based political system that is in many ways unreformable. As Alley of Global Witness put it, increasing amounts of wealth are still required to continuously reward the countrys elites who expect to be bestowed with gifts in return for their unwavering support. And so the long season of authoritarianism stretches on. About a week before he was murdered in Phnom Penh, I called Kem Ley and asked him for a forecast of where he thought the country was headed. He said he saw little chance of an opposition victory like in Myanmars November election, where Aung San Suu Kyis National League for Democracy swept to a stunning victory. No, he said, this will not be like Burma. But the contradiction underlying Hun Sens rule the gap between the needs of the system and the needs of the Cambodian people will have to be resolved. When I spoke with him, Kem Ley warned that if a politically awakened population cant get solutions from its leaders, it might be tempted to seek solutions in the street. If the party cant change to respond to the needs of the people, the people will change things for themselves, he said. Image Credit: TANG CHHIN SOTHY/AFP/Getty Images Ligand Pharmaceuticals Incorporated LGND announced that it has entered into a worldwide license agreement with Gilead Sciences, Inc. GILD, under which the latter will use the OmniRat, OmniMouse and OmniFlic technologies for the discovery of fully human mono- and bispecific antibodies. This deal will see Ligand receiving annual access payments, milestone payments and royalties for product developed under the collaboration using an OmniAb antibody. Meanwhile, Gilead will be responsible for all costs related to the programs. We remind investors that OmniAb, an antibody-generating platform, became part of Ligands technology portfolio following its Jan 2016 acquisition of OMT, Inc., a privately held company focused on genetic engineering of animals for the generation of human therapeutic antibodies. The acquisition not only diversified Ligand's business by adding OmniAb to its technology portfolio, which consisted of the Captisol, LTP and Selexis technologies, but also created a strong platform to aid the companys search for new licenses and partnerships. We note that Ligand has partnerships with several health care companies for its OmniAb platform. Earlier this year, it signed a licensing agreement with Emergent BioSolutions, Inc. EBS for the same technology. In addition, the company signed agreements with Tizona Therapeutics, Inc. and ABBA Therapeutics AG. Last month, the company announced that it has received $4 million from the expansion of two OmniAb license agreements with Wuxi. One of the extensions relates to expanding access to the OmniAb platform by adding OmniFlic to the current licensee agreement, which is presently limited to OmniRat. The second extension pertains to Wuxis sub-licensing of Chinese rights to an Investigation New Drug (IND)-ready antibody discovered through the OmniAb platform. Including these payments, revenues for the second quarter of 2016 are anticipated to come in at approximately $19 million. Ligand is a Zacks Rank #3 (Hold) stock, while Gilead carries a Zacks Rank #2 (Buy). Innoviva, Inc. INVA is a better-ranked stock in the health care sector, sporting a Zacks Rank #1(Strong Buy). Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days.Click to get this free report >> Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report GILEAD SCIENCES (GILD): Free Stock Analysis Report LIGAND PHARMA-B (LGND): Free Stock Analysis Report EMERGENT BIOSOL (EBS): Free Stock Analysis Report INNOVIVA INC (INVA): Free Stock Analysis Report To read this article on Zacks.com click here. Zacks Investment Research By PTI: Bhubaneswar, Jul 10 (PTI) Heavy rainfall coupled with gusty surface wind is likely to lash some places in Odisha due to a low pressure formed over Jharkhand and adjoining areas, even as fishermen were asked not to venture into sea in view of rough weather condition. Under the influence of upper air cyclonic circulation over Odisha and adjacent areas of Gangetic West Bengal and Northwest Bay of Bengal, a low pressure area has formed over Jharkhand & adjoining areas of North Odisha, the meteorological centre here said. advertisement Rain or thunder shower is likely to occur at many places over Odisha under its impact, while heavy rainfall is expected to take place in one or two places over interior districts, it said. Strong gusty surface wind from Southwesterly direction with speed reaching 45-55 KMPH and gusting up to 60 KMPH would prevail along and off Odisha Coast, the MeT office said. As sea condition would be rough to very rough, fishermen are advised not to venture into sea. Meanwhile, several places in the state have been receiving rainfall for last couple of days. While Koraput recorded 31.6 mm rainfall today, Bhawanipatna received 31 mm rainfall, while it was 24.2 mm in Titlagarh, 4.7 mm in Sambalpur and 4 mm in Angul, MeT office said. PTI SKN CR DIP LNS --- ENDS --- Bill Gates, Mark Zuckerberg and Steve Jobs are considered the Rockefellers, Fords and Carnegies of the Information Age. But once upon a time, there was another vision of the Internet a radical one from a little-known contender who lost the Internet wars. Even if you tweet, snap and poke, youve probably never heard of Douglas Engelbart, an engineer who died in 2013. But if youve ever clicked a mouse, video chatted or formatted a document, youre using some of his landmark inventions. He was around long before the dot.com boom-and-bust, fighting an all-out battle over the future of the Internet that left coder corpses and unicorn blood in its wake. At the time, Engelbart was on the fringe, known for so-called crackpot ideas. His mind flew on ahead, where you couldnt see. Like Icarus, he tried to fly too far, too fast, said lifelong friend and fellow computing pioneer Ted Nelson in his eulogy for Engelbart. The wings melted off. We dance around in the costume party of fonts that swept aside his ideas of structure and collaboration. Ted Nelson Before Engelbart, computers were as big as rooms and used mostly for crunching numbers. But in the late 60s, at the Stanford Research Institute, Engelbart invented almost everything your personal computer has today: a mouse, the marvels of hypertext, screen sharing and more. In the Mother of All Demos in 1968, he made real-time edits to documents nearly 40 years before Google Docs hit screens; video chatted with friends long before Skypes 2003 arrival; and resized windows years before Microsoft entered the fray in 1975. Engelbart was adding graphics, hyperlinking and sharing screens like a nerdy wizard, preaching the gospel of the motherboard all before the birth of the World Wide Web. The digital revolution is far more significant than the invention of writing or even of printing, said Engelbart, and as it turns out, he held all the right cards. Gettyimages 89869210 Douglas Engelbart, once pilloried as a crackpot, was eventually inducted into the Internet Hall of Fame. Source: Getty If hed been British, Engelbart would have been knighted, but the Portland, Oregon, native instead lived out the rest of his years as an unsung hero, trying to fry even bigger fish in Silicon Valley. His blueprint of the Internet was radically different from todays profit-driven, streamlined version. Engelbart imagined an information system built on the backbones of collaboration and education, all meant to amplify the collective human mind. He wanted a computerized network of real-time, human-wide collaboration, with the open-source spirit of Wikipedia and the purposefulness of Change.org. He thought of [these goals] as crusades and missions for the public good, says Engelbarts daughter Christina, with whom he founded the Doug Engelbart Institute. And he wasnt a fan of user-friendliness, insisting instead that we all learn to master the computer, rather than letting it control us: Engelbart wanted a stick shift machine, and everybody else decided that automatic was the way to go, says Thierry Bardini, author of Bootstrapping: Douglas Engelbart, Coevolution and the Origins of Personal Computing. By the late 70s and early 80s, Engelbart and his ideas were cast aside in favor of Johnny-come-latelies like Apple Macintosh and Microsoft Windows, along with their profit-generating vision for personal computing, and a user-friendly approach to the Internet. Engelbarts team of researchers abandoned him, and he was subordinated to a lesser position at a company called Tymshare while still wrestling with his pie-in-the-sky visions of a better world. Even worse, when Engelbarts mouse invention gained widespread use years later, he never reaped the profits it had been licensed to Apple for around $40,000, Engelbart revealed. Another blow came in 1976, when Engelbarts house burned down while he and his family watched helplessly. And if Engelbart had won? Hard to say, says Jefferson Bailey of the Internet Archive in San Francisco. The Web was bound to grow in ways its founders never intended, Bailey says. Technologies evolve unpredictably, he adds, noting his belief that the same spirit of knowledge-sharing and collaboration Engelbart tirelessly pushed for will one day become part of our fast-evolving Internet, even if a commercial layer clouds the original vision. But even so, fame is elusive; it often teases great thinkers like Galileo or Tesla, only to meet them decades after death. Granted, Engelbart was eventually inducted into the National Inventors Hall of Fame, in 1998, and into the Pioneers Circle in the Internet Hall of Fame after his death, but the heart of his dream has yet to be realized. Nelson, who declined OZYs request for comments because time is far too short, reached the emotional crescendo of his eulogy by noting that while most people have a career, Engelbart had a quest. And Engelbarts greatest frustration, Nelson added, was that we dance around in the costume party of fonts that swept aside his ideas of structure and collaboration. Related Articles By PTI: From Anisur Rahman Dhaka, Jul 10 (PTI) Bangladesh today said there was no direct involvement of the ISIS in the recent attacks, including on Hindus and others minorities, but acknowledged that homegrown Islamist outfits may be trying to establish links with the dreaded terror group through the social media. Home Minister Asaduzzaman Khan said Islamists appeared to have set "soft targets" that included Hindus, Buddhists, Christians and even Muslims to grab global attention by staging major terror attacks in the country. advertisement "I dont admit Hindus are their target alone as assault on the Sholakia Eid congregation three days ago and their past attacks on Shiite mosques and rallies indicate their motive," he told PTI in an interview. "You can see a change in the pattern of their attacks also, initially they staged a series of small attacks on individuals and now they are staging bigger attacks targeting foreigners, policemen and ordinary Muslims," he said. Asked if Bangladesh has revised its stance on possible ISIS link to the two recent Islamist attacks in the country, Khan said, "our initial investigation suggests both the attacks were carried out by homegrown Jamaatul Mujahideen Bangladesh (JMB)". Bangladesh authorities have repeatedly blamed the outlawed JMB for the recent attacks on secular writers and minorities. "But it appears that they (terrorists) are trying to establish links with IS through the social media...however, none of them came from Syria or any other country to stage the attacks," Khan said. Law minister Anusul Huq also said that no legal evidence was found so far suggesting IS involvement in the attacks in Bangladesh. "However, the terrorist may have an access to the IS media outlet to lodge the claims of their acts in the name of the Syria-based terrorist outfit," BSS news agency quoted Huq as saying. Khan said extra security was provided when the militants carried out clandestine attacks on some Hindu priests but "soon we saw they are carrying out attacks on Buddhists, Christians and even Muslims as well". "Islamist attacks have clearly appeared as a global phenomenon and everywhere they are setting soft targets to grab the world attention...they are targeting Buddhists, Christians and even Muslims," the minister said. He said law enforcement agencies were asked to keep an extra vigil for the security of Hindus in Bangladesh which is the largest minority community in Muslim-majority Bangladesh. The comments by the two ministers indicate a slight shift in the governments stance about the ISIS claims of staging a series of isolated attacks on liberal and secular writers and activists, religious minority people, moderate Sufi leaders and Shias in recent years. (more) PTI AR NSA AKJ NSA --- ENDS --- advertisement By Nate Raymond NEW YORK (Reuters) - A Macau real estate developer and his assistant will face a federal trial in January on U.S. charges that they engaged in a bribery scheme with a former United Nations General Assembly president who died last month, a judge said on Monday. U.S. District Judge Vernon Broderick in Manhattan set a Jan. 23, 2017, trial date for Ng Lap Seng, a billionaire from the Chinese city of Macau who prosecutors contend with his assistant, Jeff Yin, bribed former General Assembly President John Ashe. "We want to proceed to trial as quickly as possible," Hugh Mo, a lawyer for Ng, said outside of court. Ng, who was once linked to a campaign fundraising investigation during former president Bill Clinton's administration, is one of seven individuals charged since October in the U.N.-related probe. Ashe, a former U.N. ambassador from Antigua and Barbuda who served as General Assembly president from 2013 to 2014, died in an apparent weight-lifting accident last month while awaiting trial. Prosecutors said Ng gave Ashe over $500,000 in bribes so that the diplomat, among other things, would seek the United Nations' support for a U.N.-backed conference center in Macau that Ng's company, Sun Kian Ip Group, would develop. Ng, 68, and Yin, 30, face charges including conspiracy to pay bribes and gratuities and conspiracy to commit money laundering. Both have pleaded not guilty. The case against Ng followed earlier U.S. investigations into how foreign money might have been funneled into the Democratic National Committee prior to the 1996 elections, when it was working to re-elect Clinton. A 1998 U.S. Senate report said that from 1994-96, Ng funneled hundreds of thousands of dollars to the DNC through a restaurateur, Charlie Trie, in Little Rock, Arkansas, who had first met Clinton in the 1970s. During this period, Ng visited the White House 10 times, the report said, and was photographed with Clinton and then-first lady Hillary Clinton, now the presumptive Democratic presidential nominee. Trie later pleaded guilty. Ng, who prosecutors say stopped coming to the United States for five years during that probe, was never charged. (Reporting by Nate Raymond in New York, editing by G Crosse) A slim majority of Americans disagree with the FBIs decision not to recommend charges against Hillary Clinton for her use of a private email server during her time as Secretary of State, according to a new poll. According to a ABC News/Washington Post poll, 56% of those surveyed disapprove of the FBIs recommendation, 35 percent of those surveyed approve. The results come just a week after FBI Director James Comey delivered a detailed explanation of the bureaus decision not to bring charges. The pollsters surveyed only 519 people, a relatively miniscule sample size. The poll also finds that 57% of Americans are worried about how Clinton would govern if she were elected president in November in the wake of the Justice Departments decision not to indict her; 39% say the two are not related. Throughout the campaign, polls have found voters distrustful of Clinton, though Republicans are more likely to say they dont trust her than Democrats. And the ABC/WaPo poll also shows political affiliation is a major factor. Republicans and Independents were most likely to say the FBI was wrong and that they are worried about her potential presidency. The groups were also more likely to be among the 28% who said the decision made them less likely to vote for Clinton in the election. For 58%, however, the FBIs decision will have no impact on their vote. The poll has a margin of error of plus or minus 5 points. Https%3a%2f%2fblueprint-api-production.s3.amazonaws.com%2fuploads%2fcard%2fimage%2f140918%2fgettyimages-sb10062143p-001 On your next trip to jail, be sure to check out the reviews. A man who was being held by the West Midlands Police in Birmingham, UK, recounted his 16-hour visit in their custody cells at the Perry Barr station. The 24-year-old man being held for "criminal damage" at a property in Erdington and brought in on June 29. The officers there were kind enough to give him a pen and a paper to write his thoughts. SEE ALSO: 10 One-Star Yelp Reviews of Majestic National Parks Overall, you can tell his stay was quite nice if you ignore the whole "being held in jail and you can't leave" thing. The police officers must have been flattered because the Birmingham Police Department posted it to their Facebook page on Sunday. The reviewer was pleasantly surprised at the cleanliness of his cell and politeness of the staff. "Once in my cell I was pleasantly surprised by the cleanliness and decorneutrally decorated to please all parties but done to a high standard," the reviewer wrote. At the end of his note, he thanked the station staff for providing him a pen and paper. However, he did spot a hair on the toilet in his cell and mentioned that his neighbor kept screaming swears during his stay, so he unfortunately had to give the police station a three out of a five star review. The persnickety "guest" also mentioned that cups of tea could have been provided in a more timely manner. "We feel its a five-star facility: its designed to be safer for staff and guests and the more efficient check-in process means we can get arresting officers back out on the streets more quickly," said Chief Inspector Paul Minor, West Midlands Police Criminal Justice Manager, who was quoted in the Facebook post. "The reviewer has been very complimentary about the facility and staffbut I think hes been a little harsh by awarding it just a three-star rating," Minor added. Hosts can be so touchy. No telling whether the reviewer will be considering another stay. Matt Damon says he's "totally fine" with a younger actor taking over the role of Jason Bourne after he's done with the action franchise. Speaking on a promotional tour in Seoul, South Korea, Damon, who returns for the fifth installment titled Jason Bourne that will be released this summer, said he was "definitely going to be replaced some day by some new young Jason Bourne. That happens to everybody and they reboot these things, and that's totally fine." Damon added: "The only control I can exercise is over the ones that I'm part of. I'm the curator of them as long as I'm involved and that's why I battled so hard to make sure that we got the same creative people [including director Paul Greengrass] to get involved in this one." Fourteen years after the first film in the franchise, Damon said he was "very excited" to be playing Bourne again, a character that "had a huge impact on my life and career." See More: 'Jason Bourne' Trailer He said, however, it wasn't easy to keep up with action sequences at his age. "It's difficult when you're 45 compared to when you're 29, but you still have to run as fast as you can. That part was a challenge but to get to revisit the people was wonderful. But I said, let's smell the roses as we say and appreciate that we get to be here together and make sure we have fun [especially because it was so tense on the set for the other films]." The star emphasized that there was also something new fans can look forward to. "You'll see what you've seen before [in the upcoming film] and will recognize it as a Jason Bourne movie, but it feels new and of the world we're living in today," he said, referring to scenes such as a massive car chase that resulted in 170 vehicles getting towed in front of the Bellagio Hotel fountains on Las Vegas Boulevard. "It's where we ended Ocean's Eleven," he said. "It's bigger than anything we've done, but it has this same kind of visceral experience that these Bourne car chases have been known for. Hopefully it's the best one yet." Story continues He added that moviegoers will be able to feel the vibe of "new energy and new people between Vincent Cassel, Tommy Lee Jones and the best upcoming actress in the world, Alicia Vikander." The Oscar-winning Vikander is also on the Asia tour that kicks off in Korea. Vikander said it was incredibly "emotional" coming back to South Korea, where she had her first international film festival experience in Busan with her first feature, Pure, in 2010. "It was a whirlwind moment coming here and I hadn't been to Cannes so the red carpet was mind-blowing at [the Busan International Film Festival]. To be back here, it both feels that a lot of time has passed and that it has gone by in a second," said Vikander, who won an Oscar for best supporting actress in The Danish Girl in February. Jason Bourne will be released in Korea two days ahead of the rest of the world on July 27 via UPI Korea. Shortly after, on Aug. 18, another one of Damon's iconic films, Good Will Hunting, will be rereleased in Korean theaters in a digitally remastered format in time for its 20th anniversary. Read More: Busan Film Festival Leadership Shuffle Leaves South Korean Film Industry Divided (Exclusive) "We appeal to the mainstream political parties including National Conference and also to those who are not in the mainstream, the Hurriyat Conference. We need support of Hurriyat Conference as well," PDP leader Naeem Akhtar said. By Naseer Ganai: Facing a crisis after the killing of Hizbul Mujahideen militant commander Burhan Muzaffer Wani that claimed the lives of 18 protesters in security forces firing and five more are critical, Jammu and Kashmir government on Sunday urged police and paramilitary forces to exercise restrain while dealing with the growing dissent. In what appears to be a rare sight, the government also sought support of the separatist Hurriyat Conference and the National Conference. advertisement APPEAL FOR HELP After the Cabinet meeting chaired by CM Mehbooba Mufti, government spokesman and senior PDP leader Naeem Akhtar said the government was fully aware of its duty towards people. "Whatever steps we will have to take, we will take to restore peace. The Cabinet has decided that the security forces should exhibit restrain and endeavor that no collateral damage takes place," Akhtar, who is also Minister for Education, told reporters at the Civil Secretariat. The government has imposed restrictions and curfew in Srinagar and other districts of the Valley since Saturday as massive protests rocked Kashmir after killing of 21-year-old militant commander. "The government appeals to all political forces, who have expressed concern that lives shouldn't be lost, for support. We appeal to the mainstream political parties including National Conference and also to those who are not in the mainstream, the Hurriyat Conference. We need support of Hurriyat Conference as well," Akhtar said. A local resident of Newa Pulwama said a 14-year-old boy Irfan Sofi was killed by the forces firing on Sunday morning. "And when we started protesting against it we were directly hit with bullets by police and the CRPF and four of our youth were wounded," a local resident said. HELP FOR TOURISTS TO GET OUT OF KASHMIR Akhtar said additional flights will be arranged for tourists, who are leaving Kashmir, to move out of the restive region. He said as the situation returns to normalcy the Amarnath yatra, suspended since Saturday, will be resumed. However, Akhtar walked out of the press conference when asked whether Mehbooba Mufti will resign as she in 2010 after series of killings of youngsters by police and CRPF, sought resignation of the then CM Omar Abdullah. Also Read Hafiz Saeed and Syed Salhuddin's unholy alliance behind Kashmir unrest Kashmir violence: Death toll 21, Omar asks Mehbooba to lead from front --- ENDS --- By Huw Jones LONDON (Reuters) - Britain's banks are likely to welcome Theresa May as the next prime minister and will look to her to start negotiating for their continued access to the European Union's single market after the country exits the bloc. Banks registered in the Britain are currently granted a "passport" to offer their services across the EU from their UK base, thus saving huge amounts on meeting capital requirements and other costs by not having to set up shop in each member state. Britain has to negotiate new trading terms with Europe after it voted last month to leave the bloc, and banks like HSBC (HSBA.L) have said they would shift staff to the EU unless broad passporting rights were kept. Some of May's Conservative Party rivals in the contest to become prime minister had said Britain should not seek continued access to the single market as this would mean accepting the freedom for EU citizens to find work in the UK. Her main rival Andrea Leadsom - who dropped out of the race on Monday to leave May as the only runner - had ardently campaigned for Brexit and said at the weekend the single market was "not a term that is any longer relevant to this discussion". May, who was in the pro-Remain camp, has adopted a more conciliatory stance since the referendum result and has signalled a willingness to compromise on the trade-off between single market access and curbing EU migrants. "I want to be clear that as we conduct our negotiations, it must be a priority to allow British companies to trade with the single market in goods and services, but also to regain more control of the numbers of people who come here from Europe," she said this month. May has said she would not begin formal Brexit negotiations with the EU before the end of the year. There is no certainty over how the talks will play out. The 59-year-old is more likely to seek a "soft Brexit" from the EU which maintains access to the single market for financial services, said Daniel Vernazza, lead UK economist at UniCredit. Story continues 'SOFT BREXIT' A "soft Brexit" refers to seeking continued access to the EU's single market to an extent in return for accepting some free movement of people. A "hard Brexit" - as advocated by Leadsom - would mean curbing EU migrants as fast as possible, even at the expense of cutting off single market access. The City of London financial district has stressed the need to be able to continue recruiting finance staff from the rest of Europe. Several EU countries have said there can be no compromise on freedom of movement. City of London policy chairman, Mark Boleat, sounded a note of relief on Monday after news that May was set to become the next prime minister. "The City of London Corporation looks forward to working with her in supporting the City and financial and professional services," Boleat told Reuters. "It is crucial for the millions of people who work in these industries that we maintain our access to the single market, and UK businesses continue to have access to the global talent that will enable them to grow and remain globally competitive." May would be aware of the City of London's importance to the economy, having worked for the Bank of England and as a financial consultant before becoming a lawmaker in 1997. The pound and shares rose on news she was set to become the next prime minister, but financial lawyers said she would need to act quickly on trade talks because banks were putting some transactions on hold due to uncertainty. "People need certainty as quickly as reasonably possible, at least on the process and broad timing, which would calm people down," said Jonathan Herbst, a lawyer at Norton Rose Fulbright who advises banks. "In terms of substance, clearly the right noises on the optimal trading position would be good," he said. (Reporting by Huw Jones; Editing by Pravin Char) The legalization of medical marijuana in an increasing number of U.S. states may be linked with a lower rate of use of other prescription drugs, a new study says. Researchers found an estimated $165.2 million in savings in 2013 in Medicare spending on the prescription drugs that treat some of the same conditions that marijuana may be used to treat, according to the study. That was the same year that 17 states and the District of Columbia implemented medical marijuana laws. The savings accounted for 0.5 percent of the 2013 budget of Medicare Part D (which is the part of the Medicare program that helps people pay for prescription drugs and drug insurance premiums). The researchers said that finding shows that people are turning to medical marijuana as an alternative to other medications. [11 Odd Facts About Marijuana] "The results suggest people are really using marijuana as medicine and not just using it for recreational purposes," Ashley Bradford, a student researcher at the University of Georgia (UGA) and the lead author of the new study, said in a statement. In the study, the researchers reviewed all claims for prescriptions filed between 2010 and 2013, by people enrolled in Medicare Part D, for the nine conditions that marijuana can be used to treat: anxiety, depression, glaucoma, nausea, pain, psychosis, seizures, sleep disorders and spasticity. In particular, the researchers focused on prescriptions for treating glaucoma, they said. "It turns out that glaucoma is one of the most Googled searches linked to marijuana, right after pain," study co-author W. David Bradford, a professor of public policy at UGA, said in the statement. And so, for this condition in particular, the researchers theorized that more people would have gone to the doctor as a result of demand for marijuana following the passage of medical marijuana laws. However, when marijuana is used to treat glaucoma, the drug's effects last only an hour. And because using marijuana once an hour is unrealistic, the researchers expected that the wave of people going to the doctor for glaucoma would actually result in an increase in prescriptions for other glaucoma medications over the study period. Story continues Indeed, the study showed that prescriptions for other glaucoma medications were higher in states that had medical marijuana laws in 2013 than in states that did not have legal medical marijuana. The states where marijuana was legal had, on average, 35 more doses of other glaucoma medications than the average of about 2,550 daily doses prescribed in states without a medical marijuana law. But glaucoma medications were the exception. For the other conditions that marijuana can be used to treat, there were decreases in the number of prescriptions written for medications other than marijuana. For instance, prescriptions for drugs to treat pain were lower by 1,826 daily doses in the states where medical marijuana was legal compared with states where it was not legal (where the average was 31,810 daily doses). Based on their results, the researchers estimated that the Medicare program would have saved about $468 million on prescription medications if all states had implemented medical marijuana. In their study, the researchers noted that although their findings showed an association between the legalization of medical marijuana and Medicare savings, their study does not prove there is a cause-and-effect relationship. Ashley Bradford said the study will continue, next by looking at medical marijuana's effects on Medicaid. Original article on Live Science. Editor's Recommendations Copyright 2016 LiveScience, a Purch company. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. By Michael Nienaber BERLIN (Reuters) - German Chancellor Angela Merkel said on Monday access to the European Union's single market meant accepting the bloc's basic freedoms and rejected suggestions from London that Britain could retain full EU market access while curbing immigration. Speaking at the annual diplomatic corps reception in Meseberg, north of Berlin, Merkel said Britain should clarify quickly how it wants to shape its future relationship with the EU, adding she wanted London to remain an important partner. "But of course the EU and the remaining 27 member states also have to protect their interests," Merkel said. "For example, whoever would like to have free access to the European internal market will also have to accept all basic freedoms in return, including the free movement of people." Some British politicians have suggested Britain could have full EU market access, including for its vital financial sector, while limiting the number of EU nationals who enter the country. The EU's single market aims to guarantee the free movement of goods, capital, services and people among the EU's 28 member states. LESSONS Merkel said it was regrettable that Britain had decided in a June 23 referendum to leave the EU. "This is a water shed. But I am firmly convinced that the European Union is strong enough to absorb even this break," the center-right leader said. It is now up to Britain to officially notify the EU of its withdrawal request, Merkel said, adding negotiations between Brussels and London on their future relationship could begin only after such a step. Britain has not yet activated the Article 50 clause in the European treaty that triggers the process for its departure from the bloc. Merkel said Europe could not continue business as usual after the Brexit referendum. "We have to consider jointly what lessons we draw for the further process of European unification," she added. "We need to make Europe more competitive, strengthen research and innovation in promising economic sectors not only to avoid falling behind, but also to set European standards." "We need structural reforms, we need solid finances," Merkel said. (Reporting by Michael Nienaber; editing by Andrew Roche) Mexico City (AFP) - Mexico's president hit back at Republican presidential candidate Donald Trump's claim that if elected, he would make Mexico build a wall along its US border. The bombastic billionaire has promised to crack down on illegal immigration and insulted Mexican immigrants by calling them rapists, criminals and drug dealers. As his party's presumptive presidential candidate, Trump is now eyeing a clash in the November election with his Democratic rival Hillary Clinton. "There is no way to have Mexico pay (for) the wall. But any decisions inside (the) USA -- is a decision of its government," Pena Nieto told CNN in English, on Sunday. The Mexican president earlier had said Trump's campaign pledge was a non-starter, but he did not address the issue as specifically. Pena Nieto said US-Mexican relations were based on coordination, collaboration and cooperation on security issues. The Mexican president earlier compared Trump's rhetoric to the rise of European dictators Adolf Hitler and Benito Mussolini. (Reuters) - The gunman who opened fire in a Michigan courthouse on Monday, killing two bailiffs and injuring a civilian and a sheriff's deputy before being shot dead, was an inmate who stole a firearm from a law enforcement officer, the county Sheriff said. The shooter, who has not been identified, was able to break free from a Berrien County sheriff's deputy and a bailiff in a corridor leading to the courtroom and shot them both, Sheriff Paul Bailey said. The gunman also fatally shot another bailiff and wounded a civilian before being shot dead by responding law enforcement officers, Bailey said. The deputy and civilian were both being treated at an area hospital, he added. (Reporting by Curtis Skinner in San Francisco; Editing by James Dalgleish) Miguel tearfully addressed the past week's police violence in the United States at London's Wireless Festival on Friday night (July 8). Miguel Shares Poignant Black Lives Matter Anthem 'How Many' Two black men, Alton Sterling and Philando Castile, were fatally shot by police officers in separate incidents on July 5 and 6. On July 7, five white police officers -- Brent Thompson, Patrick Zamarripa, Michael Krol, Michael Smith and Lorne Ahrens - were shot and killed during a protest in Dallas. "We can't let shit just blow over and not take action anymore," Miguel said to the Wireless Festival crowd. "Look, our children will inherit this Earth when we're gone. What are we leaving for them? What are we doing for them now, not tomorrow? Now!" Miguel -- who shared "How Many," song dedicated to the Black Lives Matter movement earlier in the day on Friday -- said on the Wireless stage that he couldn't sleep the night before. He continued: "We cannot rest anymore. We have to do something. We have to say something. I'm sorry if I'm preaching to you. But it's true." Watch Miguel's speech in the video clip below. #Miguel breaks down on stage at #WirelessFestival addressing police brutality. pt. 2. -- via @capitalxtra A video posted by @utorlive on Jul 9, 2016 at 6:07am PDT Miley Cyruss latest tattoo of a container of the dark brown Australian food paste, Vegemite, appears to be a sign of devotion to her significant other, actor Liam Hemsworth. In a recent interview in Australian Sunday Styles, the actor praised the safe-for-vegans snack saying, after-school Milo and Vegemite on toast. I lived on it. Theres no fat, added sugar, or animal products in the spiced vegetable spread, so it probably tastes great. This new tattoo of this sexy vitamin-rich yeast extract by tattoo artist Dr. Woo says to the world that now that theyre together again, their love is deep. It also proves that those blood vial necklaces that Angelina Jolie and Billy Bob Thornton wore are totally nonsense trinkets for uncertain commitment-phobes who dont care about each other. Pledging brand loyalty to your partners favorite snack is the real thing. One thing though. People may need a written OK from Vegemite before they can use their logo. According to the Vegemete site, any use of the VEGEMITE name and logo without our permission may be infringing our intellectual property rights. Weve reached out to both Dr. Woo and Vegemite for comment. By PTI: Nairobi, July 11 (PTI) Seeking to address Kenyas concerns, Prime Minister Narendra Modi today said India will work to reduce the trade imbalance with it and assured the African nation that the effort would be to strengthen its processes and enrich its resources. Addressing the joint meeting of Indian and Kenyan business leaders here just before winding up his two-day visit here, he also pitched for enhanced cooperation in the field of solar energy, which he described as the best way to fight global warming. advertisement Emphasising that enhanced bilateral economic ties would be a "win-win" situation, he said India was now the "most preferred destination" for investments as he highlighted the changes in the business environment effected in the country over the last two years since his government took office. "India is the largest trading partner of Kenya and second largest investor. We will be happy to reduce the trade imbalance and also enhance the level of business," Modi told the India-Kenya Business Forum meeting in presence of Kenyan President Uhuru Kenyatta. "We have initiated the programme Make in India to make India the global hub of manufacturing. You too have Make in Kenya... It does not matter if you make in Kenya or make in India," he said. His comments assume significance as Kenya has been concerned about the huge imbalance of trade in favour of India. The bilateral trade stood at USD 4.2 billion in 2014-15. The major items of exports from India to Kenya are pharmaceuticals, machinery, steel products, power transmission equipment, yarn, and automobiles while Kenya exports tea, vegetables, leather, soda ash and scrap metal to India. Kenyas State House spokesperson Manoah Esipisu had said yesterday that Kenya will urge that its goods be granted preferential status by the Indian authorities to reduce the trade imbalance. "I assure Kenya that India will always work to strengthen your processes and enrich your resources," Modi said. Referring to rich mineral reserves in Kenya, he said there should be joint exploration of these. (MORE) PTI AKJ AKK AKK --- ENDS --- NEW DELHI (Reuters) - Monsoon rains will cover the whole of India in the next 48 hours, the country's weather office said on Monday, boosting hopes of a rise in farm output and incomes after two straight years of drought. The monsoon has yet to cover parts of Rajasthan and Gujarat and rains will be 107 percent of long period average in July, B.P. Yadav, head of the national weather forecasting centre of the India Meteorological Department told Reuters. Monsoon rains, the lifeblood of India's agriculture-dependent economy, arrived a week later than usual this year and are crucial for the planting of summer-sown crops such as cotton, rice, soybean and sugarcane. (Reporting by Sankalp Phartiyal; Editing by Biju Dwarakanath) The Thursday before last, 27-year-old Heather Koon appeared in an Ohio courtroom and admitted to raping four toddlers more than three years ago while employed by an Elyria daycare center. She faces 15 years to life in prison when sentenced in September. In an interview on Thursday, the mother of one of Koon's four young victims tells PEOPLE she is hoping for the latter. "I want them to give her the max," explains the mother, who spoke with PEOPLE on condition of anonymity. "The judge has the opportunity to impose life without parole and should, because I believe she deserves every single day of that sentence." After initially denying any wrongdoing, Koon ultimately pleaded guilty to four counts of rape. She also pleaded guilty to four counts of kidnapping, another four for pandering obscenity involving a minor, three counts of illegal use of a minor in nudity-oriented material and one count each of tampering with evidence and engaging in a pattern of corrupt activity. A trio of civil lawsuits filed by the families of Koon's victims is still pending. The suits also name Koon's onetime employer, ABC Kidz Child Care. ABC Kidz Child Care declined PEOPLE's request for comment. In addition to abusing the children left in her care, Koon took obscene photos of them. Koon's defense attorney Daniel Wightman tells PEOPLE his client's actions were driven by the demands of her fiancA, convicted sex offender James Osborne. During a separate court appearance, Osborne pleaded guilty to four counts of complicity to rape, complicity to kidnapping and pandering obscenity involving a minor, a Loraine County Court official confirms to PEOPLE. He also pleaded guilty to engaging in a pattern of corrupt activity, failure to register as a sex offender and illegal use of a minor in nudity-oriented material, the official confirms. Wightman says that Koon regrets her crimes but the victim's mother isn't buying it. "I feel no remorse from her and I'm glad we don't have to go through a trial," the mother says. "I'm glad she didn't drag it out with a trial, because she obviously knew it wasn't going to work out for her." Want to keep up with the latest crime coverage? Click here to get breaking crime news, ongoing trial coverage and details of intriguing unsolved cases in the True Crime Newsletter. The mom tells PEOPLE she's anxious for the sentencing so her daughter and her family "can finally put this all behind us and move on a little bit. I'm glad she won't be able to victimize anyone else." The victim's mother says she never imagined she'd be putting her daughter in harm's way by enrolling her in daycare. "To a certain degree, you completely trust these people to do the same job you are doing," the mother explains. "When working with all-female childcare givers, your expectation is that this won't happen. You don't think a woman is going to do something like this." Daughter Hasn't Been the Same Koon's victim's mother says her child hasn't been the same since the assaults. The girl's personality has been subdued since 2013, she says. "I think it's always in the back of my mind that this could have lasting effects on her, but I'm hoping she was young enough where it won't," the mother explains. "But it's always in the back of my mind that it could forever impact her. She has regressed on quite a few things that would have been easy for her, had this not happened." Before the assault, the woman claims her daughter was potty trained. "But she regressed after this happened," the mom says. "She was a very avid talker, too. Very talkative. But now, she has a stutter whenever she gets very nervous and very excited. She also doesn't like to go to different people now. She has trust issues when it comes to adults." While her daughter's friendships have grown stronger the last few years as she's excelled in school, the mother tells PEOPLE she can't help but feel somewhat guilty over what her child's been through. "I sometimes think that something was wrong, and I didnat notice it," the mom confides. "She was telling me something without words and I just wasn't listening to her. Knowing she was trying to tell me something, I feel very guilty about that. I can't help it." The mother tells PEOPLE she's looking forward to addressing Koon when she's sentenced. "I have been writing my impact statement for a couple of years now, and have been changing it, adding to it," the mom explains. "It's up to five pages right now. I have plenty to say to her. There are some things I need to say to her before I never have to see her again." But the healing can't begin for her family until Koon is sentenced. "This whole thing is still going on for us it's not finished yet," she says. "Over the years, you heal a bit here and there. But then the bandage gets ripped off whenever there's another hearing or another delay. We're ready to put this behind us and not have to worry about the next court date." July 11 (Reuters) - The following financial services industry appointments were announced on Monday. To inform us of other job changes, email moves@thomsonreuters.com. PIMCO The investment management arm of Allianz SE appointed Frank Chen senior vice-president and credit research analyst covering real estate. MUFG SECURITIES ASIA LTD MUFG Securities Asia, part of Japan's Mitsubishi UFJ Group Inc, said Haan Ti joined as head of asset-backed securities (ABS), Australia and New Zealand, on June 20. NEPTUNE INVESTMENT MANAGEMENT LTD The independent fund management company, registered in England and Wales, appointed Stewart Cowley as a fixed income consultant, effective September. RAYMOND JAMES FINANCIAL SERVICES Raymond James said it recruited four financial advisers from Foresters Financial Services, where they managed about $240 million in client assets and had annual fees and commissions of nearly $1.8 million. ING Gregory Arkus is to join ING as head of financial institutions for the UK region, the Dutch bank said. UBS UBS has appointed former London Stock Exchange chairman Chris Gibson-Smith as vice-chairman of corporate client solutions to the Europe, Middle East and Africa region. CITIGROUP Citigroup has appointed Vincent Folliot and Alessandro Amicucci as co-heads of strategic equity solutions to Europe, the Middle East and Africa. (Compiled by Manish Parashar in Bengaluru) By Milan Kendall Shah LONDON (Thomson Reuters Foundation) - Multi-national companies based in emerging nations are failing to tackle corruption with a lack of transparency allowing unscrupulous practices to continue and exacerbating poverty, a study by an anti-corruption group said on Monday. The report by Transparency International found that three quarters of the 100 fastest-growing companies based in 15 emerging market countries and active in 185 countries scored less than half marks in transparency tests. Jose Ugaz, chair of Transparency International, said customers should demand companies have high anti-corruption standards or take away their business, companies need to be more transparent, and governments must have strong anti-bribery laws. "Pathetic levels of transparency in big emerging market companies raises the question of just how much the private sector cares about stopping corruption, stopping poverty where they do business and reducing inequality," Ugaz said in a statement. The study found Chinese companies fared worst, with an average score of 1.6 out of 10 in the tests, due to having weak or non-existent anti-corruption policies and procedures. By contrast, India led the way, with all 19 of its companies in the study achieving a score of 75 percent of more in being open about their company structures and holdings which was attributed to the country's Companies Act. According to the IMF, emerging markets account for over 70 percent of global growth, with concerns that corruption will hamper growth and limit socio-economic progress. The report follows the "Panama Papers" leaks which exposed the use of shell companies and offshore tax havens, often for illegal purposes such as tax evasion and money-laundering. This put tax avoidance and corporate secrecy at the top of the global agenda. The Transparency International study took into account three different ways in which companies can address corruption. These included the reporting of anti-corruption programs such as policies to ban bribes or "facilitation payments", the disclosure of company structures and holdings, and the disclosure of key financial information in each individual country where they operate, such as tax payments. Transparency International researchers said this information was gathered from corporate websites and other publicly available sources. On average the companies scored 3.4 out of 10, which was a drop of 0.2 compared to the last similar survey in 2013. Researchers said one explanation for this worse result could be the emergence of more stringent legal requirements. But this score was also lower than a survey of 124 of the world's largest multinational companies by Transparency International in 2014 which led to an average score of 3.8. "Across emerging markets all companies need to do much more to pursue comprehensive public reporting to address corruption and provide the transparency that is the basis for robust and accountable governance," the group said in its report. (Editing by Belinda Goldsmith and Jo Griffin; Please credit the Thomson Reuters Foundation, the charitable arm of Thomson Reuters, that covers humanitarian news, women's rights, trafficking, property rights and climate change. Visit http://news.trust.org) Https%3a%2f%2fblueprint-api-production.s3.amazonaws.com%2fuploads%2fcard%2fimage%2f140647%2fcards Here's to all the parents who have to deal with unwelcome comments and advice from people who have no idea how tough raising a child can be. At least these Mum's Milestones cards get the struggle. The series of hilarious cards include special parenting moments such as "We did it for the first time," "I didn't talk about my baby's movement's all day" and "I'm now on a first name basis with the pharmacist." SEE ALSO: Woman shares the 'freaking hilarious' side of motherhood Behind it all are mothers Esti Zilber and Becky Feiner from Sydney, Australia who have two children each. The pair started the project after deciding their own discussions about dirty nappies, sleepless nights and changing body parts were going unrepresented in parenting products. MUM'S MILESTONES Image: MUM'S MILESTONES mum Image: mum's milestones "We were spending a lot of time together as new mums, thinking about how stuff we were talking about wasn't being reflected to us in the products out there, and what was being posted on social media," Zilber told Mashable Australia. "We were having a laugh at how these 'baby milestones' were being celebrated with these beautiful photos of babies, but no one was really acknowledging what mums were going through," she added. mum Image: mum's milestones mum Image: mum's milestones Mum's Milestones launched in March, with the duo selling out their first run one month later. Many of the cards were designed in the middle of the night by Feiner, an advertising art director, who welcomed a newborn in January. Each of the card themes were drawn from the duo's real life experiences. "I guess at a time when you're sleep deprived and your hormones are going a bit crazy, that kind of stuff would be either overwhelming or hilarious. It's a good opportunity for us to let other mums know that they aren't going through it alone," Zilber remarked. Story continues mums Image: mum's milestones A portion of the card's profits will go to an Australian perinatal anxiety and depression charity called PANDA a partnership the pair feels is significant. "It's important to acknowledge that these very much came out of a place that [motherhood] is not all roses, and that it's not always easy to ask for the help you need," she explained. "When you're a new mum, you're so focused on the baby that sometimes you forget to put yourself first." Above all, the cards offer an alternative to the typical glut of baby-oriented gifts for new mums. "I think it's sometimes to OK call bullshit on the baby gift and give something for mum ... and a good way to stand out from the crowd at those boring baby showers," Zilber said. Railways have decided to procure a radar-based device that will alert the drivers of any physical obstruction on railway tracks ahead and thus avert accidents. This will prove to be more useful during nights and in foggy conditions when drivers have to constantly look outside the locomotive to assess the condition. The device will prove more useful during nights and in foggy conditions when drivers have to constantly look outside the locomotive to assess the condition. By Rakesh Ranjan: Indian Railways' struggle to put in place an effective accident protection system may get over soon. The locomotives of the Indian Railways will be equipped with a 'third eye' to avert train collisions, derailment and accidents on unmanned railway crossings. Railways have decided to procure a radar-based device that will alert the drivers of any physical obstruction on railway tracks ahead and thus avert accidents. This will prove to be more useful during nights and in foggy conditions when drivers have to constantly look outside the locomotive to assess the condition. advertisement WHAT IS TRI-NETRA DEVICE The system called 'Terrain Imaging for Diesel Drivers - Infra-red Enhanced Optiocal and radar assisted' (Tri-netra) may be procured from foreign agencies. A railway ministry official said six countries have so far expressed desire to install these devices in Indian trains. Sources said these include agencies from countries like France, Japan and Switzerland. In the pilot project, these devices will be installed in 100 locomotives and gradually more devices could be procured depending on success of the system. HOW WILL TRI-NETRA DEVICE WORK According to railway officials, the tri-netra device will use infra-red to tab signals up to a distance of 2-3 km and display the information on screen fitted inside the locomotive. This will give the driver ample time to stop the train. "These devices can easily sense the presence of any uprooted tree or a boulder lying on the railway tracks. If a vehicle has broken down on the rail tracks, the device can notice them well in advance," said a railway board official involved with the project. Purchase of this device is a part of railway's efforts to achieve zero-accident rate. Railway is also planning to create a special safety fund for eliminating unmanned level crossings, track renewal, signal upgradation and installation of train collision avoidance system for reducing train accidents to near zero. Railway officials said the ministry is keen on procuring these devices as it has so far failed to implement indigenously-developed Train Collision Avoidance System (TCAS). Designed by the Research Designs and Standards Organisation (RDSO), the system has been successfully tested in Secunderabad division on 250-km-long track. Also Read Indian Railways to fully digitise its services Indian Railways introduces new rules; 5 ways they will benefit a train traveller --- ENDS --- London (AFP) - Andy Murray said Monday he was unlikely to play for defending champions Britain away to Serbia in this week's Davis Cup quarter-finals after winning his second Wimbledon title. Murray, who beat Milos Raonic in straight sets in Sunday's Wimbledon final, said he would travel to Belgrade in any case to support the team, and would discuss his participation with captain Leon Smith later Monday. "I spoke to Leon last night at the ball briefly," the world number two told a victory press conference at the All England Club. "I'll chat to him this afternoon to make a final decision. "I'd say it's looking unlikely but even if I don't play, I'm still probably going to go anyway just to be there with the team." Serbian world number one Novak Djokovic, who suffered a shock defeat to Sam Querrey in the Wimbledon third round, will not be taking part in the contest, saying he was not feeling "100 percent". The last-eight tie will be staged on clay at the Tasmajdan Stadium. The three-day contest starts Friday. Murray led Britain to their first Davis Cup success in 79 years with victory over Belgium in November and the trophy was on display at the Wimbledon Museum during the tournament. If Murray was to play against Serbia, it would mean him switching from the grass of Wimbledon to clay in Belgrade, before shifting again to hard courts for the Canadian Open and the Rio de Janeiro 2016 Games. - Olympic title defence - Murray said he was looking forward to defending the Olympic title he won at the London 2012 Games, when the Rio tournament gets under way on August 6. "The Olympics is important to me," the Scot told BBC television. "I loved the experience and winning a gold medal, you realise how special it is. "I want to try and perform well at the Olympics and then the US Open comes just a few weeks after that as well. "I need to get a few decent weeks of practice on the hard courts." Story continues The 2012 US Open is the only other Grand Slam title Murray has won, besides his 2013 and 2016 Wimbledon crowns. In Sunday's Wimbledon final, Murray brushed aside Canada's Raonic with an imperious 6-4, 7-6 (7/3), 7-6 (7/2) victory. Murray said he would like to overtake Djokovic as the world number one, but admitted it would be a tough task. "It's possible. I'd rather set the bar as high as possible and not quite achieve it than say I'd be happy finishing at five in the world," the 29-year-old said. "I'd love to get to number one but a lot of people are forgetting what Novak's done. "The last 18 months, he's been unbelievable and hardly lost any matches at all. If I want to get there, I need to try to win more matches against him." British Prime Minister David Cameron was in the Royal Box on Centre Court with his mother for Sunday's final and afterwards on court Murray said he did not fancy the premier's job. "Did I mean to embarrass Cameron? No I certainly didn't," Murray told fans in a live question and answer session on Facebook. "I appreciate he came to support yesterday and came to watch and like I said afterwards -- it's one of the hardest jobs in the world with lots and lots of responsibility. "I don't envy anyone who is in a position like that -- it's extremely difficult." By James Pomfret HONG KONG (Reuters) - Four days after the release on bail of a young Chinese activist after more than a year in detention, she still has not been seen publicly, her lawyer has been arrested and her husband doubts that she is the one behind posts on her social media. Zhao Wei, a legal assistant for the prominent detained lawyer Li Heping, was herself detained last July on subversion charges but released on bail on Thursday given what police in the city of Tianjin described as her good behavior and confessing to the charges leveled against her. Since her release, the only people who are believed to have seen Zhao are her mother and father, because Zhao posted a message about celebrating her mothers birthday with them. Neither Zhao nor her parents were reachable. But she has been active on social media, posting a series of messages that her supporters say have raised more questions than answers. In one post on her Weibo page, she thanked police for treating her well. In another, she accused her former employer, Li Heping, of having "concealed information from her" and that she was "so naive" to trust and work for him. The Tianjin police gave no immediate response when asked by Reuters to clarify Zhao's situation. Those who knew Zhao have expressed surprise at her attacks given her strong advocacy of rights causes. She also accused her lawyer, Ren Quanniu, of spreading rumors of purported sexual abuse she suffered while in detention. "I don't think it's her who posted on Weibo," Zhao's husband, You Minglei, told Reuters by telephone. "The posts are very suspicious." You said he did not believe anyone apart from her parents had seen Zhao. SWEEPING CRACKDOWN Police arrested her lawyer, Ren, in Zhengzhou on Friday, in Henan province, and charged him with "picking quarrels and provoking trouble". Authorities in Zhengzhou could not be reached for comment about Ren's detention. A group of more than 100 rights lawyers in China have since launched an online petition condemning the arrest and calling for Ren's release. "Ren was doing his job to find out the truth of Zhao's arrest but was rejected many times when requesting to see Zhao in person," You said. China's leadership has overseen a sweeping crackdown on activists since President Xi Jinping took power, including detaining dozens of rights lawyers in what the government says is the targeting of crime. Beijing has repeatedly said, in relation to the detained lawyers, that those who break the law will be punished and that people's rights are guaranteed. Asked on Monday about renewed concern from the U.S. State Department on the detained lawyers, Chinese foreign ministry spokesman Lu Kang denounced the United States, saying it would "fail in trying to use the co-called human rights to interfere in China's internal affairs". Even when released on bail, under China's Criminal Procedure law, individuals are subject to monitoring, summons, restriction of movement and other conditions. "We don't know if she is completely brainwashed, or her name is simply being used. We haven't seen her and we can't check," said another source who was once in close touch with Zhao, requesting anonymity for fear of repercussions. (Additional reporting by Ben Blanchard in Beijing; Editing by Michael Perry, Robert Birsel) Https%3a%2f%2fblueprint-api-production.s3.amazonaws.com%2fuploads%2fcard%2fimage%2f141373%2fdwarfy Scientists using a telescope in Hawaii have discovered a never-before-seen dwarf planet lurking within the ring of icy bodies beyond the orbit of Neptune. The small new world temporarily named 2015 RR245 is about 435 miles in diameter and is in a wide orbit that brings it farther away from the sun than Neptune is. The newly-spotted dwarf planet joins a cohort of other small worlds in that part of space, including Pluto. Together, dwarf planets can help scientists learn a lot about how the solar system became what we see today. SEE ALSO: 4 rad dwarf planets that aren't Pluto "The icy worlds beyond Neptune trace how the giant planets formed and then moved out from the sun," Michele Bannister, one of the researchers who helped discover the dwarf planet using the Canada-France-Hawaii Telescope on Mauna Kea, Hawaii, said in a statement. "They let us piece together the history of our solar system. But almost all of these icy worlds are painfully small and faint: it's really exciting to find one that's large and bright enough that we can study it in detail." 2015 RR245 is now on the way to its closest approach with the sun, bringing it about 3 billion miles from the star in 2096, according to the statement. Image: Alex Parker OSSOS team At its closest approach, the dwarf planet will be just outside the orbit of Haumea, another dwarf planet in Pluto's part of space, which is a region known as the Kuiper belt. A dwarf planet is defined as a world massive enough to be round but still in a part of space where other objects of similar size can cross its orbit, according to NASA. "Major" planets, such as Earth, clear their paths in orbit around the sun. 2015 RR245 is catalogued as the "18th largest" object in the Kuiper belt, according to the Minor Planet Center, the organization responsible for cataloguing these kinds of findings for the international community. Story continues But researchers still don't know much about the dwarf planet in general because of its huge distance from Earth. Scientists have studied other dwarf planets like Eris, Makemake and 2007 OR10 from Earth to try to understand what the distant worlds might be like. However, last year, NASA's New Horizons spacecraft flew by Pluto, and gathered a treasure trove of data that is still being analyzed. That marked the the first close-up observations of a world this far from the sun. Instead of seeing a cold, cratered and rocky world, New Horizons beamed back images revealing Pluto to be a surprisingly geologically active place with ice mountains and expansive, open plains. It's possible that 2015 RR245 and the other dwarf planets in the Kuiper Belt also play host to complex and unexpected geological activity waiting to be explored. New Horizons is now speeding toward another target in the Kuiper belt, a small object never studied from close range about 1 billion miles from Pluto. It was hard to miss Nick Cannon at Perry Ellis' presentation on Monday morning (July 11). The actor arrived in a steel-blue vest suit and spiky silver metallic Louboutin loafers, beating the Monday blues in the most fashionable way. Though the actor was in good spirits for creative director Michael Maccari's show, held at the Hippodrome Building, it was difficult to forget last week's shootings of Alton Sterling and Philando Castile. A day before New York Fashion Week: Men's kicked off, Cannon took to social media to write a poem expressing his frustration over recent police shootings and what #BlackLivesMatter means to him. Jay Z Posts 'Songs For Survival' Playlist Featuring Kendrick Lamar, Nina Simone, Outkast & Beyonce Part of Cannon's poetry reads: "When I say Black lives matter that don't mean yours don't/ When I say Black lives matter/ Don't mean white folks can't get on the boat/ So it's like when I say save the whales/ That don't mean the other fish can't float/ It just means the whales are endangered/ Just like my species." #SpokenSundays #BlackLivesMatter #poetry Part 1 When I say Black Lives matter That don't mean yours don't When We say Black lives matter That don't mean your kids won't They will... They gonna say it with us, because they get us. When I say Black lives matter that don't mean yours don't When I say Black lives matter Don't me white folks can't get on the boat So it's like when I say save the whales That don't mean the other fish can't float It just means the whales are endangered Just like my species discreetly It don't matter who's doing the killing As long as we end up extinct , see This Is the thesis in these secret meetings They having about me and the rest of my community They say well when they shooting each other They don't care about unity Well brutally Let me be the one to say, they right! Truthfully Story continues A video posted by Nick Cannon (@nickcannon) on Jul 10, 2016 at 9:04am PDT "It takes much more than a hashtag to make a change, and I think people focus on the hashtag too much," the America's Got Talent host told Pret-a-Reporter of the message he was hoping to send to his followers. "Let's come up with another one if it's divisive -- that's not the purpose. It's to create awareness." Cannon is among the many folks in Hollywood to respond to recent police brutalities. Latest Police Shootings Inspire Wave of Celebrity Activism "I said a lot of things like, 'I'll stop making it about race when they stop making it about race.' People were like, 'We're tired of you guys saying Black Lives Matter.' I'm tired of having to say it," Cannon said. "I don't want to sit back and debate statistics and how many people are killed. Killing is just wrong in general. Life is a life. This nation is hurt. It's broken and we've got to fix it. It takes all of us to do it." #SpokenSundays #BlackLivesMatter #poetry The End But there ain't no such thing as black on black violence It's crime against you and me! Intolerance of people Humanity See Gentrification and Genocide It's the Same thing in my eyes So we gotta reprogram these social lies And take back our streets And we gonna keep screaming, fighting, marching and cussing Until we abolish injustice And our internal royalty is realized and reached That's why I'm out here now Practicing what I preach So all you tweeting at me with all that Social chatter When they kill me Make sure they put on my tombstone Damn Right Black Lives Matter A video posted by Nick Cannon (@nickcannon) on Jul 10, 2016 at 9:10am PDT This article was originally published on The Hollywood Reporter. Niamey (AFP) - President Mahamadou Issoufou of Niger on Monday proposed setting up a multinational west African force to fight terrorism. "We must work to strengthen the mandate and troop strength of MINUSMA to allow it to mount offensives against all kinds of terrorist forces in northern Mali," Issoufou said, referring to the United Nations Multidimensional Integrated Stabilization Mission in Mali. He was speaking at a summit of the Conseil de l'Entente, a regional five-member cooperation forum set up in 1959 and currently chaired by Niger. "Failing that, to effectively fight terrorism in this brother country, we should set up a mixed multinational force modelled on the force operating in the Lake Chad region," he said. Established a year ago, that force comprises 8,500 troops from Nigeria, Niger, Chad, Benin and Cameroon. "It's now operational and for several weeks has been mounting effective operations against Boko Haram," said Issoufou. "In the face of terrorism we have no choice but to combine our forces as well as our defence and intelligence capacities," he said. Attacks such as that on Grand Bassam, a coastal town near Ivory Coast's economic capital Abidjan which left 19 dead in March "show that the theatre of (terrorist) operations know no bounds," he said. Presidents Alassane Ouattara of Ivory Coast, Roch Marc Christian Kabore of Burkina Faso, Patrice Talon of Benin, and Faure Gnassingne of Togo attended the summit. Malian President Ibrahim Boubacar Keita was there as an observer, as Mali is not a member of the grouping. Boko Haram launched its insurgency aimed at setting up an Islamic state in Nigeria seven years ago and has since become active in several other west African states. By Alexis Akwagyiram and Felix Onuah LAGOS (Reuters) - Leaders of a Nigerian trade union whose members are on strike held talks with government officials on Monday aimed at resolving the dispute, the Nigerian National Petroleum Corporation (NNPC) and a union leader said. The strike by about 10,000 Petroleum and Natural Gas Senior Staff Association of Nigeria (PENGASSAN) members, including refinery workers and office staff, began on Thursday over issues the union said were "critical to the survival of the oil and gas industry in the country". The industrial action has raised fears there could be fuel shortages in the OPEC member country which last week prompted NNPC to caution the public against panic fuel purchases. There have been no signs of fuel shortages so far and, although the strike is being enforced by PENGASSAN members, workers from other trade unions such as Nigeria Union of Petroleum and Natural Gas Workers (NUPENG) are not involved. NNPC spokesman Garba Deen Muhammad and PENGASSAN'S acting general secretary Lumumba Okugbawa said talks took place on Monday afternoon. "The meeting was fruitful," Emmanuel Ibe Kachikwu, minister of state for oil, said of the six-hour talks with union leaders at NNPC's headquarters in the capital, Abuja. PENGASSAN's president, Francis Johnson, said there had been "a very robust engagement" and added that the union's leaders would meet on Tuesday to "take some decision" on the industrial action. The union said it decided to strike over issues such as joint venture funding and cash call arrears, which it said had stalled the creation of new jobs and investment in the sector. Cash calls are the government's financial obligations to joint venture projects between NNPC and international and local oil companies. A petroleum ministry source said these issues were discussed along with pay disputes and reforms to be carried out once the Petroleum Industry Bill is passed into law. The bill, which has been in the works for a decade to overhaul the industry, will call for environmental, tax and revenue-sharing rules. The strike comes as Nigeria's energy sector contends with a spate of attacks by militants on oil and gas facilities in its southern Niger Delta region over the last few months which briefly pushed oil production this spring to 30-year lows. Earlier this year petrol shortages caused lengthy queues for motorists seeking to fill their tanks after fuel importers struggled to find dollars to pay for refined oil products due to central bank foreign exchange restrictions. (Editing by David Evans and James Dalgleish) The Night Of, HBOs summer crime drama, is based on the British series Criminal Justice. As we head into the finale, here are the big names you should remember. Spoiler alert! Dont read on if you havent yet seen the show. Naz (Riz Ahmed) Nasir Naz Khan is a shy, tentative Pakistani-American who attends college in New York, and the states only suspect in the murder around which The Night Of is oriented. He doesnt seem like the kind of guy who would wind up accused of extreme violence. Or he didnt at the start of all this mess since being accused of murdering Andrea Cornish and getting locked up at Rikers island awaiting trial, Naz has bulked up, shaved his head and has participated in several incidents of prison violence. Salim and Safar Khan (Peyman Moaadi and Poorna Jagannathan) Nazs parents are hardworking immigrants. Salim drives a taxi that Naz sometimes borrows for his own personal use. When the story opens, hes using his dads taxi to drive to a party in the city. Now the taxi is the property of the state, dad is a food delivery guy and mom is a janitor because nobody will give her better work. What they experience is a big part of what The Night Of is really about beyond the murder mystery. Andrea (Sofia Black DElia) The catalyst for the entire events of The Night Of. Thinking his taxi is for hire, the mysterious Andrea climbs in back, leading to what essentially amounts to a long first date. The pair then head back to her place. After a night of drinking, drugs and sex, Andreea ends up dead but Naz doesnt know why or how. Trevor (J.D. Williams) He and a friend ran into Naz and Andrea outside Andreas swanky house, directs some racial slurs at Naz, then continues on. The man he was with has become of particular interest. Speaking of which Duane Reade (Charlie Hudson III) this guy, who glares back at Andreas porch as she and Naz walk up the steps. Why the hard stare? Were not sure. It turns out this guy, who has the same name as a ubiquitous NYC drug store, has a rap sheet as long as his arm, which might just be important. Neighbor (Steven Randazzo) During the night, some weird things happen in and around Andreas apartment. And witnessing some of them is this guy, who just happens to peel back the curtain at opportune moments. More than 20 youngsters missing from Kerala are suspected to have joined the Islamic State and reports say at least 11 of them have reached terror camps in Syria. By India Today Web Desk: Over 20 Muslim youngsters have disappeared from Kerala and out of these, at least 11 are believed to have reached the IS terror camps in Syria. FIROZ KHAN'S ARREST Firoz Khan, a 24-year-old from Trikaripur in Kerala's Kasargod district, was arrested yesterday evening by officers of the Intelligence Bureau from Mumbai. He was nabbed from a hotel in Dongri in Mumbai. Firoz had left for Mumbai on June 22 on the pretext of going to Kerala's Kozhikode city. A phone call Firoz made to inform his family about his whereabouts is what trapped him. During the call, he said some others who went missing from his village have reached IS camps in Syria, says a Manorama report. advertisement PREGNANT WOMAN WHO SET OUT TO JOIN ISLAM Nimisha, a 24-year-old final year Dental student who hails from Kerala's Thiruvananthapuram, married Bexin, a Christian youth, and an MBA graduate from Palakkad, without informing her mother Bindu Kumar. The couple converted to Islam soon and had visited Bindu on May 16. On May 18, Nimisha called her mother to inform she is travelling to Sri Lanka. Nimisha is pregnant, and her brother is a National Security Guard (NSG) commando. Bindu said, "My children were religious and patriotic. My son wanted to be a military officer and daughter chose to be a dentist," to the Hindustan Times. FROM MERIN TO MARIAM, FROM COCHIN TO SYRIA Merin, who hails from a Christian family in Cochin, went to Mumbai after finishing her studies in Cochin. Her mother Mini told Manorama that she was influenced by her long-time classmate Yahiya, his brother Eeza and his wife Fathima, and converted to Islam. Merin who christened herself Mariam, later married Yahiya. Mini also said that the couple informed her about travelling to Sri Lanka for some prayers and that she had tried to stop her daughter. KERALA'S ISIS SYMPATHISERS AND THEIR FACEBOOK PAGE A Facebook group by the name Ansarul Khilafa (The Followers of Khalifa) was taken down by its moderators on Saturday after media started reporting about a death threat they levelled against Bangladeshi writer Taslima Nasreen. The provocative posts in the group encourages Muslim youths to flee India and join ISIS. The moderators also used to make a conscious effort in spreading fear saying the Sangh Parivar is trying to propagate its agenda of turning India into a Hindu rashtra. The Facebook page also had a short bio of Abu Bakr al-Baghdadi, the ISIS chief, and images of IS members beheading hostages, reports Manorama. If these well educated, professionals from Kerala are indeed set out to join the Islamic State, it is an issue the governments, both the central and the state, should immediately look into and curb. --- ENDS --- * Nintendo extends gains on Pokemon GO hopes * Kyushu Electric tumbles after anti-nuclear reactor advocate wins election By Ayai Tomisawa TOKYO, July 11 (Reuters) - Japan's Nikkei share average soared more than 3 percent on Monday after U.S. stocks rose and the country's ruling coalition won a landslide victory in upper house elections, boosting confidence in the market. The Nikkei jumped 3.6 percent to 15,654.82 points by mid-morning trade, the highest since July 5. Prime Minister Shinzo Abe's coalition victory is expected to bolster his grip over the conservative party that he led back to power in 2012 promising to revive the economy with hyper-easy monetary policy, fiscal spending and reforms. "Abe's victory boosted confidence in investor sentiment, and winning a two-thirds majority sends foreign investors a message that Abe's policies will progress," said Hikaru Sato, a senior technical analyst at Daiwa Securities. The benchmark S&P 500 stock index brushed against its record closing high on Friday as Wall Street rallied after a much-larger-than-expected jump in jobs growth confirmed the U.S. economy has regained speed after a first-quarter lull. All of the Topix's 33 subsectors were in positive territory. Nintendo Co soared more than 20 percent, extending last week's gains, on hopes that the popularity of its new Pokemon GO smartphone game will boost its results. Panasonic Corp jumped 6.6 percent after it said it expects strong demand for its lithium-ion batteries from Tesla Motors Inc to help more than double its annual sales of automobile-related batteries in three years. On the other hand, Kyushu Electric Power Co fell more than 7 percent after an anti-nuclear advocate won the Kagoshima gubernatorial election over the weekend. Exporters were in demand, with Toyota Motor Corp rose 3.7 percent, Honda Motor Co gained 3.0 percent and Tokyo Electron Ltd advanced 3.8 percent. The broader Topix gained 3.5 percent to 1,252.29 and the JPX-Nikkei Index 400 added 3.4 percent to 11,265.46. (Editing by Kim Coghill) TOKYO (Reuters) - Shares in Japans Nintendo Co Ltd (7974.T) soared again on Monday, bringing market-value gains to $7.5 billion in just two days as investors cheered the runaway success of Pokemon GO - its first long-awaited venture in mobile gaming. The game, which marries a classic 20-year old franchise with augmented reality, allows players to walk around real-life neighborhoods while seeking virtual Pokemon game characters on their smartphone screens - a scavenger hunt that has earned enthusiastic early reviews. In the United States, by July 8 - two days after its release - it was installed on more than 5 percent of Android devices in the country, according to web analytics firm SimilarWeb. It is now on more Android phones than dating app Tinder and its rate of daily active users was neck and neck with social network Twitter, the analytics firm said. The game is also being played an average of 43 minutes a day, more time spent than on WhatsApp or Instagram, it added. As the game took the U.S. by storm, Nintendos shares surged by a quarter in value on Monday to their highest level since November. They have gained 36 percent since Thursdays close with the initial momentum coming from the game shooting to the top of free app rankings in Apple Incs (AAPL.O) U.S. iTunes store. The game has been released in the United States, Australia and New Zealand. Launches for other countries including Japan - one of the worlds biggest gaming markets - are due soon. Pokemon GO may not prove an immediate boost to Nintendos bottom line: it is free and Nintendo is not the sole investor or creator. The game itself was created by Niantic, spun off from Google last year, and Pokemon Company. Nintendo owns a third of Pokemon Company and both have undisclosed stakes in Niantic, which had already developed a similar augmented reality, multi-player game in 2012. Some analysts have been upbeat about the money-making potential for Pokemon GO, largely from small purchases made while playing - and the positive signs for other mobile gaming launches planned by Nintendo for 2016 and 2017. Story continues If nothing else, Pokemon GO has shown that there are dormant Nintendo fans eager to trial its content for smartphones, Deutsche Bank analysts said in a note. But others cautioned that there were still large question marks over whether Pokemon GO will be able to generate the kind of sustained excitement that would significantly boost Nintendos earnings - particularly given that the company now has to contend with a sharp strengthening in the yen. Real change, those investors say, would have to come from the core console business. Now if we were talking about its next generation console becoming the core platform for gamers, then that would be something to get excited about - but at the moment, this alone is not enough, said Yoshihiro Okumura, general manager at Chibagin Asset Management. ($1 = 100.77 yen) (Reporting by Ayai Tomisawa, Makiko Yamazaki and Yoshiyasu Shida; Writing by Clara Ferreira-Marques; Editing by Kenneth Maxwell and Edwina Gibbs) (adds quotes, details) By Francesco Guarascio BRUSSELS, July 11 (Reuters) - Italy's troubled banks do not represent an acute crisis and lenders should stop asking for public money to solve their problems, the leader of euro zone finance ministers said on Monday, reacting to Rome's plans to back its banking sector with state aid. Italy is in talks with the European Commission to allow public support for its weakest lenders, including Monte dei Paschi di Siena. State aid to banks is allowed by European Union rules only in exceptional circumstances, when "a serious disturbance" emerges in the economy. But Eurogroup President Jeroen Dijsselbloem saw no "acute crisis" when speaking to reporters before a meeting of the group Eurogroup of euro zone finance ministers, which he chairs. "There are issues of non-performing loans in Italian banks, but that's not a new issue," he said, dismissing calls to address the Italian banking sector crisis as a fallout of the market turmoil caused by Britain's vote to quit the European Union on June 23. Italy's lenders have been struggling for months to unload 360 billion euros ($400 billion) of non-performing loans - about one third of the euro zone total. After the Brexit vote, Italian bank shares were the most hit in the euro zone, compounding heavy losses since the beginning of the year. European finance ministers will not formally address the banking crisis in Italy and the application of EU bank rescue rules in their regular meetings on Monday and Tuesday in Brussels. But the issue is likely to be raised informally. "If it is brought up, and I would imagine it would be, we need to give our opinion," Malta's finance minister, Edward Scicluna, told reporters before the meeting. "The Italian government is working to prepare precautionary instruments that will be used only if necessary," Italian Finance Minister Pier Carlo Padoan said. Asked about a new European financial safety net for the banking sector, Dijsselbloem said that he would oppose banks' new requests for public support. Story continues "There have always been and there will always be bankers that say that need more public money to recapitalise the banks. I would resist very strongly," he said. "Problems in the banks need to be sorted out in the banks by the banks," he added. Bank of Italy's Governor Ignazio Visco said on Friday that public money should be used to help Italy's troubled banks in a financial system that was "full of risk". After EU states injected billions of euros to rescue their banks in the aftermath of the 2007-08 global financial crisis, the EU adopted new rules to reduce public support. So-called bail-in provisions, in force since January, dictate losses on shareholders, bondholders and even depositors with more than 100,000 euros before failing banks can receive public support. Italy did not recapitalise its banks during the financial crisis, and is now trying to use the leeway allowed by the new stricter rules to back its weakest lenders. (Reporting by Francesco Guarascio, Robert-Jan Bartunek and Philip Blenkinsop Editing by Jeremy Gaunt) By Ju-min Park and Jack Kim SEOUL (Reuters) - North Korea said on Monday it had told the United States it will cut the only channel of communication between them, at the United Nations in New York, after Washington blacklisted leader Kim Jong Un last week for human rights abuses. All matters related to the United States, including the handling of U.S. citizens detained by Pyongyang, will be conducted under its "wartime law," its official KCNA news agency said. The move was the latest escalation of tension with the isolated nuclear-armed country, which earlier on Monday threatened a "physical response" after the United States and South Korea said they would deploy the THAAD missile defense system in South Korea. North Korea said last week it was planning its toughest response to what it deemed a U.S. "declaration of war" after Washington announced sanctions on Kim Jong Un. A U.S.-based North Korea monitoring project, 38 North, said on Monday that satellite images from July 7, a day after the sanctions announcement, showed a high level of activity at North Korea's nuclear test site, but it is unclear whether this was for maintenance or preparation for a fifth nuclear test. "As the United States will not accept our demand for the immediate withdrawal of the sanctions measure, we will be taking corresponding actions in steps," KCNA said on Monday. "As the first step, we have notified that the New York contact channel that has been the only existing channel of contact will be completely severed," it said. "The Republic will handle all matters arising between us and the United States from now on under our wartime laws, and the matters of Americans detained are no exception to this." U.S. State Department spokesman John Kirby declined to comment specifically on the North Korean statement but said such rhetoric "obviously is not doing anything to ease tensions." Two Americans are currently known to be detained in North Korea. Otto Warmbier, a University of Virginia student, was sentenced in March to 15 years of hard labor for trying to steal an item with a propaganda slogan and Korean-American Kim Dong Chul is serving a 10-year sentence for espionage, according to North Korean state media. Kirby repeated a call for North Korea to release the Americans from "improper and unjust detention" and stressed the need for it to adhere to its Vienna Convention commitment to allow consular access. North Korea has previously indicated that wartime laws would mean detainees will not be released on humanitarian grounds. 'BARGAINING CHIPS' This could delay release of the Americans, giving North Korea one of its last bits of leverage in negotiations with the United States, said T. Kumar, Amnesty International USA's international advocacy director. "The tension is at one of the highest levels now, and one of the areas they have control over is with the detainees," Kumar said. "They will use them as bargaining chips to get some advantages." Kumar said he did not think the prisoners would be affected in other significant ways. North Korea and the United States remain technically at war because the 1950-53 Korean War, in which Washington sided with South Korea, ended only with a truce. The so-called New York channel, via North Koreas mission to the United Nations, has been an intermittent point of contact between North Korea and the United States, which do not have diplomatic relations. It has been used in the past to exchange messages and to hold discussions, including over detainees held by North Korea. However, the release of past U.S. detainees has generally come only after visits to Pyongyang by high-profile U.S. leaders, including former Presidents Bill Clinton and Jimmy Carter and Director of National Intelligence James Clapper. Warmbier's mother, Cynthia, declined to comment when reached by telephone on Monday. Simon Park, senior minister at the Korean Central Presbyterian Church in Centreville, Virginia, who worked with Kim Dong Chul for several years, said he was concerned for him, and for his wife and their two children who are living in China. "He is currently on a hard labor sentence and whether this will change or not, we are not sure," Park said, adding that he last spoke with Kim shortly before his imprisonment. "All we can do is pray for his family," Park said. 'DECLARATION OF WAR' On Saturday, North Korea test-fired a ballistic missile from a submarine, but it appeared to have failed after launch. The United States and South Korea said on Friday the Terminal High Altitude Area Defense (THAAD) anti-missile system would be used to counter North Korea's growing nuclear and ballistic missile capabilities. The announcement was the latest move by the allies against North Korea, which conducted its fourth nuclear test this year and launched a long-range rocket, resulting in tough new U.N. sanctions. "There will be physical response measures from us as soon as the location and time that the invasionary tool for U.S. world supremacy, THAAD, will be brought into South Korea are confirmed," North Korea's military said early on Monday. "It is the unwavering will of our army to deal a ruthless retaliatory strike and turn (South Korea) into a sea of fire and a pile of ashes the moment we have an order to carry it out," it said in a statement carried by KCNA. North Korea frequently threatens to attack South Korea and U.S. interests in Asia and the Pacific. South Korean Defence Ministry spokesman Moon Sang-gyun warned North Korea not to take "rash and foolish action" or it would face "decisive and strong punishment from our military." The move to deploy THAAD also drew a swift and sharp protest from China. Chinese Foreign Minister Wang Yi said on Saturday that THAAD exceeded the Korean peninsula's security needs and suggested there was a "conspiracy behind this move." South Korean President Park Geun-hye said on Monday THAAD was purely aimed at countering the threat from North Korea. A South Korean Defence Ministry official said selection of a site for THAAD could come "within weeks," and the allies were working to have it operational by the end of 2017. (Additional reporting by James Pearson in Seoul, Laila Kearney and Angela Moon in New York and David Brunnstrom in Washington; Editing by Toni Reinhold and James Dalgleish) The prospect of a President Donald Trump is enough to make even Ruth Bader Ginsburg consider fleeing the country. In an interview with The New York Times published on Sunday, the Supreme Court justice sometimes known as the Notorious RBG broke her silence on Trump's presidential campaign, saying, "I can't imagine what the country would be with Donald Trump as our president. For the country, it could be four years. For the court, it could be I don't even want to contemplate that." Ginsburg added that if Trump won the White House, her late husband, a prominent tax lawyer who died in 2010, would have said: "Now it's time for us to move to New Zealand." It's rare for Supreme Court justices to give interviews, but during her sitdown with The Times in her chambers on Friday, Ginsburg was "characteristically forthright," candidly reflecting on a tumultuous term and criticizing the Senate for refusing to vote on President Obama's Supreme Court nominee, Judge Merrick Garland. Does Donald Trump Rattle You? "I think he is about as well qualified as any nominee to this court," she said of Garland. "Super bright and very nice, very easy to deal with. And super prepared. He would be a great colleague." Asked whether the Senate was obligated to consider Garland, Ginsburg replied, "That's their job. There's nothing in the Constitution that says the president stops being president in his last year." (Adds comment from American College of Rheumatology) By Toni Clarke July 11 (Reuters) - Novartis AG's cheaper version of Amgen Inc's blockbuster arthritis drug Enbrel is highly similar in potency and safety to the original, according to a review by Food and Drug Administration staff. The review, posted on the FDA's website on Monday, comes two days ahead of a meeting of outside advisers who will discuss the drug and recommend whether it should be approved. The FDA is not obliged to follow the recommendations of its advisory panels but typically does so. Enbrel is an injected biologic drug made from living cells. Biologics are more complex than traditional pills and cannot be copied with precision. Copies are therefore called biosimilars, not generics. Enbrel was approved in 1998 and generated more than $5 billion in U.S. sales last year. It works by blocking a protein known as tumor necrosis factor, or TNF, and is approved for a number of conditions, including rheumatoid arthritis, psoriasis and psoriatic arthritis. Novartis, through its generic drug division Sandoz, is seeking U.S. approval for the same indications. The FDA review found there were no clinically meaningful differences between the two drugs in the studied condition of psoriasis. The review found that the company had also provided an extensive data package to address scientific considerations to support biosimilarity to other conditions for which Enbrel is approved. Dr. Joan Von Feldt, president of the American College of Rheumatology, cautioned in a statement against approving biosimilars for conditions for which they have not been studied and urged the FDA to provide clinical data from biosimilars on the drug's label. It could be some time before the drug reaches the market even if approved. Amgen has filed suit against Sandoz, claiming the company is "piggybacking on the fruits" of their research efforts. Ironically, the same panel on Tuesday will discuss whether the FDA should approve Amgen's biosimilar version of AbbVie's TNF-inhibitor Humira, which generated U.S. sales last year of more than $8 billion. Story continues In FDA documents posted on Friday, staff reviewers found Amgen's version to be highly similar to Humira in treating rheumatoid arthritis, plaque psoriasis and other conditions. In this instance, Amgen is in a legal battle with Abbvie, which claims its patents protect Humira against U.S. competition until at least 2022. Novartis is the first to seek U.S. approval for a biosimilar to Enbrel. In January, Biogen Inc and Samsung Bioepis received European approval for their Enbrel biosimilar. (Reporting by Toni Clarke in Washington; Editing by Bernadette Baum and Cynthia Osterman) By Toni Clarke (Reuters) - Novartis AG's cheaper version of Amgen Inc's blockbuster arthritis drug Enbrel is highly similar in potency and safety to the original, according to a review by Food and Drug Administration staff. The review, posted on the FDA's website on Monday, comes two days ahead of a meeting of outside advisers who will discuss the drug and recommend whether it should be approved. The FDA is not obliged to follow the recommendations of its advisory panels but typically does so. Enbrel is an injected biologic drug made from living cells. Biologics are more complex than traditional pills and cannot be copied with precision. Copies are therefore called biosimilars, not generics. Enbrel was approved in 1998 and generated more than $5 billion in U.S. sales last year. It works by blocking a protein known as tumor necrosis factor, or TNF, and is approved for a number of conditions, including rheumatoid arthritis, psoriasis and psoriatic arthritis. Novartis, through its generic drug division Sandoz, is seeking U.S. approval for the same indications. The FDA review found there were no clinically meaningful differences between the two drugs in the studied condition of psoriasis. The review found that the company had also provided an extensive data package to address scientific considerations to support biosimilarity to other conditions for which Enbrel is approved. Dr. Joan Von Feldt, president of the American College of Rheumatology, cautioned in a statement against approving biosimilars for conditions for which they have not been studied and urged the FDA to provide clinical data from biosimilars on the drug's label. It could be some time before the drug reaches the market even if approved. Amgen has filed suit against Sandoz, claiming the company is "piggybacking on the fruits" of their research efforts. Ironically, the same panel on Tuesday will discuss whether the FDA should approve Amgen's biosimilar version of AbbVie's TNF-inhibitor Humira, which generated U.S. sales last year of more than $8 billion. In FDA documents posted on Friday, staff reviewers found Amgen's version to be highly similar to Humira in treating rheumatoid arthritis, plaque psoriasis and other conditions. In this instance, Amgen is in a legal battle with Abbvie, which claims its patents protect Humira against U.S. competition until at least 2022. Novartis is the first to seek U.S. approval for a biosimilar to Enbrel. In January, Biogen Inc and Samsung Bioepis received European approval for their Enbrel biosimilar. By Phil Stewart ABOARD THE USS NEW ORLEANS (Reuters) - Iran's elite Revolutionary Guard Corps on Monday dispatched five military vessels to monitor a U.S. warship hosting one of America's top generals on a day trip through the Strait of Hormuz, coming as close as 500 yards (meters). For Army General Joseph Votel, who oversees all American military forces in the Middle East, the approaches were a safe though worrying reminder of how little time American forces have to decide whether IRGC ships might pose a threat. The five Iranian vessels consisted of four speedboats, three with mounted machine guns, as well as a guided missile patrol ship. "As you've seen in a relatively compressed space here, there is great opportunity for miscalculations," Votel, head of the U.S. military's Central Command, told reporters on the bridge of the USS New Orleans, an amphibious dock ship with about 650 Marines aboard. It was also the latest sign that the IRGC appears to be sticking to a familiar posture in the Gulf that predates last year's nuclear accord between Iran and six world powers including the United States. One of the four speedboats that approached the New Orleans and its escort, a Navy guided missile destroyer, the USS Stout, cut its engines and watched as the U.S. warships passed. An hour before, a larger Iranian guided-missile patrol craft came by. U.S. officials stressed that such approaches fell within the category of professional interactions, the kind they see during 90 percent of the U.S. Navy's roughly 250 transits through the Strait of Hormuz each year. But the Navy says some 10 percent are classified as unsafe, abnormal or unprofessional. "We don't always have a lot of time to deal with those interactions. I think what we've probably learned here today is that it's measured in minutes," Votel said. For its part, Iran sees the Gulf as its backyard and believes it has a legitimate interest in expanding its influence there. It has long argued that the region should organize its own security collectively, without outside powers. Accordingly Iran uses its sea power in the Gulf to show it will not be cowed by Washingtons naval presence, analysts say. But in 2008 and 2010, in moves that prompted critics to accuse Iran of destabilizing the region, the Islamic Republic threatened to disrupt oil shipping in the Gulf by shutting the Strait of Hormuz if there were any attack on its nuclear sites. CAPTURE OF U.S. SAILORS The U.S. military's concerns about Iran's behavior in places like the Strait, one of the world's most important oil shipping channels, have persisted despite the accord under which Tehran curbed its disputed atomic program in exchange for relief from economic sanctions. "That (the nuclear deal) certainly has addressed one very important threat ... but their other activity out here has not changed," Votel said. Memories of Iran's brief capture of 10 U.S. sailors in January are also still fresh. Iranian Supreme Leader Ayatollah Ali Khamenei awarded medals to IRGC commanders after the incident. Iran took video and pictures of the American sailors surrendering after blundering into Iranian territorial waters. A U.S. Navy report also said the Iranians replaced an American flag on board with an IRGC one, ransacked the vessels, and damaged equipment. The Navy also admonished U.S. sailors for straying into Iranian waters. Karim Sadjadpour, an Iran analyst at the Carnegie Endowment for International Peace, said there were no signs of a change in the Revolutionary Guards' culture of viewing the United States as an inherent threat. "Ayatollah Khamenei, the commander in chief of the IRGC, regularly praises Iranian officials who defy the U.S. and scorns officials who advocate cooperation with the U.S.," Sadjadpour said. "I haven't seen any signs that this institutional culture has changed after the nuclear deal." Votel said Iran needed to be held accountable for its behavior and scorned its treatment of the U.S. sailors. The U.S. Navy says it has given assistance to 11 Iranian-flagged vessels in distress in the Gulf since 2012. "If we came across a ship, a small vessel in the area, we would try to assist it," Votel said. (Additional reporting by Yeganeh Torbati and William Maclean; editing by Mark Heinrich) "The whole world has seen and heard Ganapathy's dying statement before the media. Then, why has the government not registered a FIR against people named by him? This reflects poorly on Siddaramaiah's administration," Poojary told journalists in Mangaluru. By Mail Today Bureau: Former Union minister and senior Congress leader Janardhana Poojary continued his tirade against Karnataka's Chief Minister Siddaramaiah and accused him of decimating the party in the state through his poor administrative skills. "DySP M K Ganapathy's suicide has exposed the Congress' rule to the rest of the country. The whole world has seen and heard Ganapathy's dying statement before the media. Then, why has the government not registered a FIR against people named by him? This reflects poorly on Siddaramaiah's administration," Poojary told journalists in Mangaluru. advertisement NO FIR AGAINST KJ GEORGE His remarks come in the wake of the police failing to name former Home Minister K J George in the FIR. Ganapathy had alleged that George and two senior IPS officers had harassed him and that they would be responsible for his death. However, the police did not pursue that line while Siddaramaiah defended George (now Bengaluru City Development Minister). Protests have erupted across Karnataka seeking the resignation of George. "The government is trying to give an impression that it is derailing the case to protect the politician. This will badly impact the Congress in the coming days," lamented Poojary. ALSO READ: Karnataka cop suicide: CM Siddaramaiah rules out CBI probe --- ENDS --- By Colleen Jenkins (Reuters) - The black woman in the photograph stands in calm protest, her long dress fluttering in the breeze as two policemen clad in the heavy black padding and helmets of riot gear rush to remove her from a roadway in Baton Rouge, Louisiana. Officers took about 180 people into custody over the weekend in the state capital, mostly on misdemeanor charges accusing them of blocking traffic on a major thoroughfare during protests over recent police shootings of black men. But the standoff with one woman, identified by friends as Ieshia Evans and captured in a widely used image by Reuters freelance photographer Jonathan Bachman, has encapsulated for some the spirit of demonstrators across the United States protesting in the past week what they decry as unjust treatment of minorities by police. You'll be seeing this iconic photo from #BatonRouge & versions of it, for the rest of your life, a man named David Law said on Twitter on Monday. The Atlantic magazine called the image, which prompted comments on social media from around the world, "a single photo from Baton Rouge that's hard to forget." The Washington Post said it "captured a critical moment for the country," while Britain's Daily Mail website called it "an iconic arrest photo." Evans is a licensed practical nurse who lives in Pennsylvania, according to online records and a Facebook page that appears to belong to her. "This is the work of God," she wrote on Facebook after her arrest. "I am a vessel! Glory to the most high! I'm glad I'm alive and safe." Baton Rouge has become a flashpoint for protesters after Alton Sterling, 37, was shot and killed last week by city police who were responding to a call that he had threatened someone with a gun outside a convenience store where he was selling CDs. Sterling's death, followed by the fatal shooting of another black man, Philando Castile, 32, near St. Paul, Minnesota, revived a wave of protests over police treatment of minorities that has swirled for two years and given rise to a movement called Black Lives Matter. Story continues 'MAKING HER STAND' Evans, the mother of a 5-year-old boy, traveled to Baton Rouge "because she wanted to look her son in the eyes to tell him she fought for his freedom and rights," according to R. Alex Haynes, who said on Facebook he had known Evans since childhood. A jail log from the East Baton Rouge Sheriff's Office showed an Ieshia Evans, 35, was booked on a charge of simple obstruction of a highway and had been released from custody. Reuters could not reach Evans for comment on Monday. Bachman said police had cleared a group of protesters, including members of the New Black Panther Party carrying bullhorns and shotguns, from the road before Evans walked onto the highway and stood before a wall of officers. Her face bore no expression and she did not speak, he said. "To me, it seemed like she was making her stand and she was like, 'Youre going to have to come and get me,'" the photographer said in an interview. Bachman said the officers grabbed Evans and hurried her away, with the whole incident lasting only about 30 seconds. After her arrest, Evans ended another Facebook post with, "Peace, love, blk power! #blacklivesmatter." She asked friends not to give interviews on her behalf, saying she wanted to tell her own story, but said later she was not ready to speak to reporters. "I want to get home to my son," she wrote. "I've been through a lot." (Reporting by Colleen Jenkins in Winston-Salem, N.C.; Additional reporting by Melissa Fares and Amy Tennery in New York and Bryn Stole in Baton Rouge, La.; Editing by Frances Kerry and Peter Cooney) The architect firms entrusted with designing the Obama Presidential Center were recently announced as Tod Williams Billie Tsien and Interactive Design Architects, specialists in designing creative and educational buildings. We take a look at the histories of presidential libraries and of the teams involved. What is the tradition behind Presidential Libraries? It was President Franklin Roosevelt who, back in 1939, started the Presidential Library tradition by donating his personal and presidential papers and raising money for a new library in which to store them. In 1955, Congress passed the Presidential Libraries Act (PLA) which encouraged leaders to do the same when coming to the end of their term. For the current POTUS, the Obama Foundation has decided to build the presidential library on Chicago's south side. The architects for this upcoming project were recently revealed. Who are the architects? After spending a year choosing from seven of the USA's top architects, the Obama Foundation has selected two firms to work together for the project: Tod Williams Billie Tsien Architects (TWBTA) and Interactive Design Architects (IDEA). TWBTA is run by a husband and wife team who first founded the firm in 1986. In 2013, TWBTA won the Firm of the Year Award from the American Institute of Architects, and Williams and Tsien were each awarded a National Medal of Arts from President Obama. The studio works predominantly on community-minded projects such as schools, museums and non-profit institutions. The word "Interactive" in IDEA's name is fundamental to the firm's philosophy, which emphasizes communication and connections. This desire to collaborate is outlined in their mission statement. Diana Griffin acts as IDEA's President, with Charles Young and Robert Larsen as her business partners. The firm has its headquarters in Chicago. What projects are they known for? TWBTA's previous US-based projects have included the American Folk Art Museum (New York), the LeFrak Center at Lakeside (Brooklyn), the Logan Center for the Arts (University of Chicago), and Feinberg Hall (Princeton University). The firm has also traveled overseas, designing the US Embassy Complex in Mexico City, the Wunderkammer for the Venice Biennial, and the Snow Show for Finland and Italy. Story continues IDEA has also been very involved in educational, artistic and cultural projects. The firm worked on the Modern Wing, the Nichols Bridgeway, the Museum Cafe and the Ryan Education Center at the Art Institute of Chicago, the William Eckhardt Research Center (The University of Chicago) and a handful of public libraries to name but a few. What will the library be like? While official plans for the Obama Presidential Center have not yet been announced, the Obama Foundation affirms that the center will be more than just a library: the First Lady and the President "want to develop a Center that inspires people to take on big challenges." WASHINGTON (Reuters) - The Republican chairman of the House of Representatives Armed Services Committee said on Monday that President Barack Obama must ask Congress for additional funds to pay the deployment of more troops to Iraq, as Congress and the White House debate defense spending amid mandatory budget cuts. "Added to the President's Afghanistan announcement last week, the United States will now be deploying thousands more troops than we have budgeted for in the President's budget request," Representative Mac Thornberry said in a statement. "Those deployments can only be fully supported through a supplemental budget request," Thornberry said. U.S. Defense Secretary Ash Carter announced on Monday that Washington would send 560 additional troops to assist Iraqi forces in an expected push on the Islamic State stronghold of Mosul. Obama said on July 6 he would keeping 8,400 troops in Afghanistan through the end of his presidency in January. As the Republican-led Congress and the Democratic administration grapple over spending, Obama has threatened to veto a $602 billion defense policy bill because of its use of special funds for the wars in Afghanistan and Iraq to avoid mandatory spending limits. Democrats say the Department of Defense should be subject to the same spending restrictions as non-military programs, but Republicans accuse Democrats of stinting on national security in order to fund pet projects. (Reporting by Patricia Zengerle; Editing by Jonathan Oatis) By Susan Kelly (Reuters) - President Barack Obama on Monday urged Congress to reconsider offering a government-run health insurance option alongside private plans on the exchanges created as part of his national healthcare law. In an article published in the online edition of the Journal of the American Medical Association, Obama said the Affordable Care Act had made much progress toward improving access to healthcare and the quality and affordability of care. Many Republicans fiercely oppose the law saying it has raised health coverage costs for Americans and have tried repeatedly to repeal it in Congress Obama said several challenges remain. "Now, based on experience with the ACA, I think Congress should revisit a public plan to compete alongside private insurers in areas of the country where competition is limited, the president wrote. Public programs like Medicare often deliver care more cost effectively by curtailing administrative overhead and securing better prices from providers, Obama said. Republicans and some Democrats opposed the inclusion of a government-run plan similar to Medicare in the original Obamacare law, and the so-called "public option" did not make it into the final legislation. Since the ACA became law, the uninsured rate has declined to 9.1 percent in 2015 from 16 percent in 2010. Most enrollees live in counties with at least three policy issuers, which helps keep down costs, Obama said. However, 12 percent of those enrolled in plans through the exchanges live in areas with only one or two issuers. Adding a public plan in such areas would give consumers more affordable options, he said. Obama also called on Congress to increase financial assistance to purchase coverage, which he said would help middle class families who are stilling struggling with premiums. Obama said spending on prescription drugs, which rose 12 percent in 2014, remains a problem, and he urged Congress to act on his proposal to increase transparency around manufacturers' production and development costs. He said the federal government should be given the authority to negotiate prices for certain high-priced drugs. Last month, Republicans in the U.S. House of Representatives unveiled a plan to overhaul the nation's healthcare system that would keep some of Obamacare's more popular provisions, including protections for people with pre-existing conditions and allowing young adults to stay on their parents' coverage until age 26. The proposal, which is not formal legislation, is part of a broader effort by House Speaker Paul Ryan to offer a Republican agenda ahead of the Nov. 8 elections. (Reporting by Susan Kelly in Chicago; Editing by Cynthia Osterman) MADRID (Reuters) - U.S. President Barack Obama said on Sunday that the U.S. government has to improve its cyber security practices for the modern age of smart phones and other technology, saying that hackers had targeted the White House. "I am concerned about it, I don't think we have it perfect. We have to do better, we have to learn from mistakes," Obama told a news conference in Madrid. "We know that we have had hackers in the White House," he added. Concerns have been raised about the security of government information after the head of the FBI said presidential nominee Hillary Clinton's email servers may have been accessed by foreign actors when she was Secretary of State. (Reporting by Ayesha Rascoe; Writing by Angus Berwick; Editing by Maria Vega Paul) MADRID (Reuters) - U.S. President Barack Obama said on Sunday that the U.S. government has to improve its cyber security practices for the modern age of smart phones and other technology, saying that hackers had targeted the White House. "I am concerned about it, I don't think we have it perfect. We have to do better, we have to learn from mistakes," Obama told a news conference in Madrid. "We know that we have had hackers in the White House," he added. Concerns have been raised about the security of government information after the head of the FBI said presidential nominee Hillary Clinton's email servers may have been accessed by foreign actors when she was Secretary of State. (Reporting by Ayesha Rascoe; Writing by Angus Berwick; Editing by Maria Vega Paul) - Rio de Janeiro's suspended anti-doping laboratory will learn whether it has been reinstated in the next seven days, the World Anti-Doping Agency told AFP. Brazil's doping control centre was suspended on June 24, meaning samples from the Olympic Games starting on August 5 will have to be sent to other WADA-accredited labs around the world. The lab's fate hinges on the verdict of an official investigation, WADA's director general Olivier Niggli said. "A scientific audit was carried out last week and an independent disciplinary commission will meet next week. "Its recommendation will be relayed at the end of the week, or at the beginning of next week," Niggli said, stressing the proximity of the Rio Games had no bearing on the process. AFP By Marilynn Larkin (Reuters Health) - Over-the-counter (OTC) nasal strips or clips often relieve chronic nasal stuffiness, according to a new report. Consumers should try these relatively safe devices before accepting surgery, co-author Christopher Badger of the University of California Irvine School of Medicine told Reuters Health by email. Experts say millions of Americans suffer from nasal stuffiness each year. The obstruction may be related to structural abnormalities inside the nose or to swelling caused by allergies or viruses. To investigate how well nasal strips or clips work, Badger and colleagues searched for information on OTC mechanical nasal dilators on PubMed, Amazon and Google. They focused on healthy individuals whose breathing problems were not related to chronic runny noses or other long-term illnesses. As reported in JAMA Facial and Plastic Surgery, they only analyzed products available online or in production in 2015. We identified four basic product designs and 10 studies examining the efficacy of available devices, Badger said. Five studies supported the use of external nasal dilator strips such as Breathe Right and AirPlus; four studies supported the use of nasal clips such as Airmax and NoZovent; one study supported the use of the nasal stents called Max-Air Nose Cones; and no studies supported the use of so-called septal stimulators. External nasal dilator strips and nasal clips are effective devices that should be utilized before subjecting patients to the risks of surgery, Badger advised. However, he said, Although OTC nasal dilators effectively relieve nasal valve obstruction, other medical or anatomical problems may contribute to breathing problems. Therefore, patients should work with their doctors to choose the best therapy for their specific conditions. Badger's team points out that the adhesive nasal strips cost an average of $0.40 apiece. Nasal clips are "considerably more expensive," with an average cost of $19.31 per device, but because they're reusable, they cost less over time. Commenting by email, Dr. Julia S. Kimbell of the University of North Carolina, Chapel Hill, told Reuters Health by email, It is important to note that while over-the-counter nasal dilators may temporarily induce changes in nasal resistance similar to those induced by surgery, these products cannot provide the long-term treatment for nasal airway obstruction that surgery may offer. However, nasal dilator technology is rapidly advancing and may have significant potential to help manage nasal obstruction in the future, she added. The American Academy of OtolaryngologyHead and Neck Surgery advises on its website, Try lifting the tip of your nose to see if you breathe better. If so, the external adhesive nasal strips . . . may help. SOURCE: http://bit.ly/29gqS8T JAMA Facial and Plastic Surgery, online June 30, 2016. Jerusalem (AFP) - An Israeli court sentenced a Palestinian to life in prison Monday for a shooting and stabbing attack on a Jerusalem bus that was one of the bloodiest incidents in a wave of violence that began in October. Bilal Abu Ghanem was one of two Palestinians who carried out the October 13 attack that killed two Israelis and a US-Israeli dual national. The second attacker, Bahaa Allyan, was shot dead during the attack. According to the court, Abu Ghanem, a resident of the east Jerusalem Jabel Mukaber neighbourhood, opened fire on the bus with a pistol, shooting 14 rounds, while Allyan stabbed passengers. The Jerusalem district court sentenced Abu Ghanem, 22, to three life sentences plus 60 years for murder and attempted murder, among other charges. He was also ordered to pay 1.45 million shekels ($373,000/338,000 euros) in compensation to victims' families, including four people wounded and the bus driver. When leaving the court, Abu Ghanem, who was imprisoned in 2013 for membership in the militant Hamas movement, lashed out and said the attack was in part meant as revenge for what he and other Palestinians see as violations of the Al-Aqsa mosque compound in Jerusalem. The attack followed a series of clashes in September between Palestinian youths and Israeli police at the flashpoint holy site. "There are aggressions on our women and on our Al-Aqsa mosque," he said as guards sought to hurry him past journalists. "Retaliation should be like that." The bus attack was part of a wave of violence that began in October and which has killed at least 214 Palestinians, 34 Israelis, two Americans, an Eritrean and a Sudanese. Most of the Palestinians killed were carrying out knife, gun or car-ramming attacks, according to Israeli authorities. Others were shot dead during protests and clashes, while some were killed by Israeli air strikes in the Gaza Strip. The violence has steadily declined in recent months, though attacks continue to occur, including the fatal stabbing of a 13-year-old girl by a Palestinian in her home in a settlement in the occupied West Bank on June 30. Story continues A sentencing hearing in another high-profile case was postponed until September 22 on Monday. In that case, Ahmed Manasra, 14, has been convicted of the attempted murder of two Israelis in a knife attack in October. He and his 15-year-old cousin stabbed and seriously wounded a 20-year-old and a 12-year-old boy in the Jewish settlement neighbourhood of Pisgat Zeev in annexed east Jerusalem. The parents of the sniper authorities believe killed five police officers at a Black Lives Matter protest Thursday night spoke out about their son. In an interview with The Blaze, the mother and father of 25-year-old army veteran Micah Xavier Johnson said their son changed when he returned home from the military. "The military was not what Micah thought it would be," his mother, Delphine Johnson, said. "He was very disappointed, very disappointed, but it may be that the ideal that he thought of our government, of what he thought the military represented, it just didn't live up to his expectations." According to his parents, the shooter transformed from an extrovert into a "hermit" during his six years in the army, which included a stint in Afghanistan. Want to keep up with the latest crime coverage? Click here to get breaking crime news, ongoing trial coverage and details of intriguing unsolved cases in the True Crime Newsletter. With tears streaming down his face, the shooter's father, James Johnson, said, "I don't know what to say to anybody to make anything better. I didn't see it coming." He continued, "I love my son with all my heart, I hate what he did." Johnson was identified as the gunman in a press release from the Dallas police. According to the release, detectives found in his house "bomb-making materials, ballistic vests, rifles, ammunition and a personal journal of combat tactics. Detectives are in the process of analyzing the information contained in the journal." Last Thursday evening, he opened fire at what had been a peaceful Black Lives Matter protest after fatal police shootings in Minnesota and Louisiana, earlier that week. He killed five police officers and injured nine officers and two civilians. The ambush is said to be the deadliest attack on U.S. law enforcement since Sept. 11, 2001, according to the National Law Enforcement Officers Memorial Fund. More of the interview, with The Blaze's Lawrence Jones, will air this week. VANCOUVER, BC / ACCESSWIRE / July 11, 2016 / (PPC.V) Patriot Petroleum Corp. ("Patriot" or the "Company") today announced that it has entered into a letter of intent (the "Letter of Intent") for the acquisition of a 100% interest (the "Proposed Transaction") in certain unpatented mining claims situated in San Bernardino County comprising what is known as the "Mojave Property". Pursuant to the Letter of Intent with TY & Sons Exploration (Nevada), Inc. the Company may acquire the Mojave Property through the payment of gross cash consideration of $350,000 and the issuance of an aggregate of 35,000,000 common shares of the Company (the "Consideration Shares"). In connection with the Proposed Transaction, and as condition for the completion thereof, the Company intends to carry out a concurrent private placement financing for gross proceeds of $500,000 at a price of $0.05 per share (the "Concurrent Financing"). At this time, the Company is in the process of carrying out its due diligence review and negotiating a definitive agreement (the "Transaction Agreement") which will include terms and conditions customary for transactions of this nature including a condition for receipt of TSX Venture Exchange ("TSX-V") approval of the Proposed Transaction and completion of the Concurrent Financing. The Company has previously received notification from the TSX-V that it has not maintained the applicable Tier 2 continued listing requirements ("Tier 2 CLR") and that it has been placed on notice for a transfer to the NEX Board of TSX-V with a deadline of July 11, 2016 (the "NEX Transfer"). The Company has made application for an extension to the NEX Transfer (the "Extension Application") to allow time for the completion of the Proposed Transaction. The Company expects to meet Tier 2 CLR following closing of the Proposed Transaction but can provide no assurance that the TSX-V will approve the Extension Application. The Company will provide further details in respect of the Proposed Transaction, in due course, by way of news releases. Story continues On behalf of the board of PATRIOT PETROLEUM CORP. Per: "Gavin Cooper" Gavin Cooper President and Director For further information please contact Gavin Cooper at: Telephone: (604) 639-9051 The TSX Venture Exchange Inc. Has Neither Approved nor Disapproved the Contents of This Press Release. Neither The TSX Venture Exchange nor Its Regulation Services Provider (As That Term Is Defined in The Policies of The TSX Venture Exchange) Accepts Responsibility for The Adequacy or Accuracy of This Press Release. Disclaimer for Forward-Looking Information: Certain statements in this news release are forward-looking statements which reflect the expectations of management. Forward-looking statements consist of statements that are not purely historical, including any statements regarding beliefs, plans, expectations or intentions regarding the future. Such statements are subject to risks and uncertainties that may cause actual results, performance or developments to differ materially from those contained in the statements. In this release such statements included but are not limited to the Proposed Transaction including the timing therefor and effect thereof on the Company's ability to meet Tier 2 CLR, and the Extension Application. No assurance can be given that any of the events anticipated by the forward-looking statements will occur or, if they do occur, what benefits the Company will obtain from them. These forward-looking statements reflect management's current views and are based on certain expectations, estimates and assumptions which may prove to be incorrect. Contact: Patriot Petroleum Corp. 918 - 1030 West Georgia Street Vancouver, BC V6E 2Y3 SOURCE: Patriot Petroleum Corp. House Speaker Paul Ryan will deliver a 10-minute address on the need for party unity at the Republican National Convention in Cleveland next week. The speech will focus on the need for conservatives to unite around Republican candidates in advance of a critical election, and the differences between the GOP platform and the policies promoted by President Obama and presumptive Democratic nominee Hillary Clinton, according to Politicos Playbook. Speaker Ryan will also tout the House Republican agenda; a roadmap Republicans can use in tough election battles, one thats more substantive than the proposals suggested by Donald Trump. In an interview with Politico, Ryan coyly said he was not sure if the presumptive Republican nominee will cost Republicans seats in the House of Representatives come Novembers election. But the House Speaker maintained that he is focused on discussing the GOPs ideals and solutions. I want to talk about our ideas, our solutions and how our party should unitearound our common principles and how we apply those principles to problems, Ryan said. Though Ryan is a fervent critic of former Sec. of State Hillary Clinton hes also signaled some reluctance in jumping aboard the Trump train. The Republican leader endorsed the candidate in early June. In the Politico interview, Ryan suggested working with Clinton would be difficult, calling her a liberal progressive. Our party has moved right, their party has moved really left. I think the common ground, say that you had in the early 90s when I was here as a staffer is nothing like the common ground you have right now, he said. London (AFP) - French star playmaker Dimitri Payet's impressive performances at Euro 2016 will cost potential suitors at least A50million ($65m, 58m euros) David Gold the co-chairman of his Premier League club West Ham told the BBC on Monday. The 29-year-old Frenchman -- who sparkled for The Hammers last season scoring nine goals in 30 appearances -- had a memorable Euro campaign scoring some spectacular goals with his tears when he came off in the opening victory over Romania one of the standout moments. Despite ending up on the losing side in the final against Portugal -- his most notable contribution an early challenge on Cristiano Ronaldo that ended with the Portuguese superstar going off injured -- he is bound to attract offers. "Super clubs would come after a player of his ability," Gold told BBC Radio. "We wouldn't even listen to an offer less than A50m. "The club needs to keep their best players and don't welcome any offers for Payet. "He's instrumental, he's important, the team is built round him. "He's not for sale." Gold said Payet had taken to London really well since joining from Ligue 1 outfit Marseille last year and got on really well with manager Slaven Bilic. "You make him as happy as you can," said Gold when asked how to ensure he stays. "He has a great relationship with the manager. "He's settled in London, as is his family and the fans adore him. "We've done everything we possibly can." WIth Donald Trump promising to announce his choice of a vice presidential running mate this week, speculation seems to be settling on Indiana Gov. Mike Pence, which in some ways is perfectly understandable, and in others is quite puzzling. Putting a stalwart social conservative and Evangelical Christian like Pence on the ticket might help to ease concerns among Republicans about their presumptive nominees conservative bona fides. And thats no small concern for Trump right now. Related: Trump VP Picks Are Heading for the Exits Republican presidential candidates in general face a much tougher electoral map than Democrats for primarily demographic reasons. To be successful, a GOP candidate must unite the party and ensure a large voter turnout. Right now Trump is contending with a hard core of never Trump opposition within the party that extends even to an effort to overthrow the primary results at next weeks nominating convention. Naming a strong conservative like Pence to the ticket could be seen as an effort to placate members of the party who fear that Trump is either a liberal in disguise or an opportunist with no real political convictions at all. But Pence brings considerably more to the table than that. The 57-year-old attorney-turned radio talk show host-turned politician served six terms in the House of Representatives, where he was elected chair of the House Republican conference, the number three position in the GOPs House leadership at the time. Add to that his nearly four years as Indianas governor, and Pence brings 16 years of government experience to a ticket that currently boasts zero. Related: Stop Trump at the Convention? GOP Delegate Has a New Plan Those are some of the reasons why its easy to understand how Pence could be appealing to the Trump campaign. But there are at least three factors working against a Trump-Pence ticket becoming reality. First, from a purely electoral standpoint, Pence doesnt add much to the ticket. Unlike, say, adding Ohio Gov. John Kasich to the ticket -- something the former candidate for the Republican nomination has ruled out -- Pence would not put a swing state in play. Story continues Indiana has voted Republican in 9 of the last 10 presidential elections (President Obama edged Arizona Sen. John McCain there in 2008.) If Trump needed Pence to keep Indiana in play, it would be a sign that he is headed for a crushing loss in November. Second is that Pence is running for reelection in November, and recently won the Republican gubernatorial primary. If he were to join the Trump ticket, Indiana state law would force him to quit the governors race. The means that Pence would be giving up a strong chance to return to the governorship in order to play a role in a Trump campaign that is very, very far from being an assured success. And the governors race might not be the only one affected by Pences withdrawal. On Monday, Democratic former senator Evan Bayh was expected to announce that he would run for Senate again in a race for the seat being vacated by retiring Republican Dan Coats. Related: GOP Chief Calls for Unity, but Trump Shows Just How Hard that Will Be Bayh represents a real threat to win the seat in a year when the GOP is struggling not to lose its grip on the US Senate majority. With Bayh running, a decision by Pence to take himself out of the election and therefore off the top of the ticket could do serious damage to GOP hopes of retaining Coats seat. Finally, while many of the other names being thrown around as possible Trump VP picks are people with no plausible chance of winning elective office again -- think Newt Gingrich and Chris Christie -- Pence is a relatively young man with a real political future. Many had expected him to run for president in this cycle, and 2020 is almost certainly on his radar screen. For that reason, it looks like a risky choice for the Indiana governor to tie his fortunes to a Trump campaign that has already begun tearing the Republican Party apart. While House Speaker Paul Ryans status as Mitt Romneys vice presidential nominee in 2012 probably strengthened the case for him to be an eventual presidential nominee, its far from clear that linking up with Trump would have the same effect on Pence. So it will be fascinating to see, when Pence appears with Trump at a rally Indiana Tuesday night, whether he hops aboard the Trump Train, or like others before him, just waves as it rolls by. Top Reads from The Fiscal Times: By PTI: Panaji, Jul 11 (PTI) The Goa unit of Congress today criticised Defence Minister Manohar Parrikar for attending programs in his native state when Jammu and Kashmir was on the boil. "When Kashmir was burning, Indias Defence Minister was busy distributing bulbs to the people in Goa. This is shameful," Congress spokesperson Sunil Kawathankar said here. Parrikar should have been at the command centre monitoring the action in Kashmir, he said. advertisement Kashmir remained on the boil and normal life paralysed due to curfew-like restrictions and separatists-sponsored strike over the killing of young Hizbul commander Burhan Wani on Friday. A total of 23 people have been killed in the violence. The Defence Minister had yesterday distributed free LED bulbs under the Ujala Scheme at a program here. Goa government has tweaked the scheme, renaming it `Jyotirmay Goa, under which three bulbs are provided free to every consumer. Kawathankar also said that Parrikar was busy inaugurating fish stalls in his home state and Prime Minister Narendra Modi was signing Rafale deal in France. "This gives a bleak picture of BJP governments failure with regard to the defence ministry," he added. PTI RPS KRK SRY SRE --- ENDS --- Baghdad (AFP) - Pentagon chief Ashton Carter said Monday that Washington will deploy 560 additional troops to aid Iraq's fight to retake Mosul from jihadists, deepening US military involvement in the country. The announcement, which will bring the total authorised number of American military personnel in Iraq to more than 4,600, came two days after Baghdad said it had recaptured an airbase south of Mosul that is seen as key for the eventual battle for the city. Iraq's second city Mosul has been under Islamic State group control since June 2014, when the jihadists overran large parts of the country, carrying out atrocities including execution-style killings, mass kidnappings and rape. IS also holds territory in neighbouring Syria, but has lost significant ground in both countries, and Carter wanted to highlight successes, even as the jihadists have struck back with devastating attacks in Iraq and abroad. "I am pleased to report today that... we agreed for the United States to bolster Iraqi efforts to isolate and pressure Mosul by deploying 560 additional troops," Carter said at the Baghdad airport following meetings with the Iraqi premier and defence minister. "With these additional US forces we are describing today, we will bring unique capabilities to the campaign and provide critical support to Iraqi forces at a key moment in the fight," he said. - 'Springboard' to Mosul - President Barack Obama made ending America's nearly nine-year war in Iraq a centrepiece of his presidency, but Washington has been pulled back into the country by the war against IS. And while most of the US forces in Iraq are in non-combat roles, others have directly battled IS, and three American military personnel have been killed by the jihadists. "The additional troops will provide a range of support for Iraqi security forces, including infrastructure and logistical capabilities at the airfield near Qayyarah," the Pentagon said in a statement. Story continues Prime Minister Haider al-Abadi announced Saturday that Iraqi forces had recaptured the Qayyarah airbase, some 60 kilometres (35 miles) south of Mosul, which IS seized in June 2014. The facility had suffered some damage and IS fighters put up only minimal resistance. The Pentagon said the base would become a vital "springboard" for the Iraqi forces' push on Mosul. Lieutenant General Sean MacFarland, commander of the US-led operations against IS, said the "preponderance" of the 560 additional troops will be based at Qayyarah, and would start being deployed "in fairly short order". Earlier on Monday, Carter met with Abadi as well as Defence Minister Khalid al-Obeidi, offering condolences for recent IS attacks and congratulations on Iraqi advances. IS has carried out bloody attacks against civilians as it loses ground, including a bombing in Baghdad earlier this month that killed 292 people, one of the deadliest to ever hit the country, and an attack on a Shiite shrine a few days later in which 40 died. MacFarland said such counter-attacks were to be expected in the short term. "As the enemy loses control over (towns) ... they lose a base of operations, they lose finances, they lose the ability to plan, to create the fake documentation that they need to get around the world," he said. - Pushing IS back - Carter said he and Abadi discussed the next moves in the war against the jihadists, including Mosul and ways the United States could help beef up security into Baghdad and assist in detecting explosives being smuggled into the capital. The ultimate goal was "the recapture of all of Iraqi territory by the Iraqi security forces, but of course Mosul is the biggest part of that", Carter said earlier. US defence officials say the campaign's first "10 plays" have been successfully completed in the US-led counter-IS campaign in Iraq and Syria. These steps include the recapture of several important areas across the two countries, including Ramadi in Iraq and Al-Shadadi, a town in northeastern Syria previously considered a strategic IS stronghold. Carter and Obama have been criticised for the pace of the campaign, which began in autumn 2014 and started slowly, particularly in war-torn Syria, where the United States had few assets on the ground to provide targeting information. The Pentagon has announced several measures to speed up the war, including a revised mission to train anti-IS rebels in northern Syria and extra advisers for Iraqi forces. Coupled with coalition air support, the results have seen IS losing roughly half its territory in Iraq and about 20 percent of its Syria claim, the Pentagon said. By Nidhi Verma NEW DELHI (Reuters) - India's biggest gas importer Petronet LNG aims to spend up to $3 billion in the next five years to expand overseas, setting up terminals in Bangladesh and Sri Lanka among other countries, its managing director said. Falling spot LNG prices have boosted consumption of the fuel in India and triggered demand for LNG infrastructure in countries long shut out of the gas trade. "We are thinking global and we are not looking inwardly only at India ... we have potential and we should aim for 30 billion-40 billion rupees' ($445 million-$596 million) worth of projects every year for five years," Prabhat Singh told Reuters in an interview. Petronet has previously just focused on importing liquefied natural gas (LNG) for regasification at its plants at Dahej in Gujarat and at Kochi in Kerala. Singh said the company plans to invest 50 billion rupees to build a 5 million tonne a year (mtpa) terminal at Kutbdia in Bangladesh and company officials would visit Bangladesh on July 23 to take the proposal forward. "We are hopeful of a favourable response from them," he said. Last month Petronet also submitted a proposal for a 1-mtpa floating LNG terminal in Sri Lanka, which wants a gas link for its 600-megawatt power plant, Singh said. That would require 13 billion rupees in investment, if approved by the Sri Lankan government. Rising Indian demand for LNG has prompted Petronet to operate its 10 mtpa Dahej plant at 120 percent capacity, meaning it is regassifying and selling an additional 20 percent gas. However, its Kochi plant is operating at a fraction of its 5-mtpa capacity as pipelines linking the terminal to industrial clients are not ready yet. India's current LNG consumption is about 58 million cubic metres a day (mcmd), up from about 45 mcmd last year, Singh said, and Petronet is on the lookout for overseas gas deals to meet rising Indian demand. Russia last month offered Petronet and other Indian companies a stake in the second phase of its Yamal LNG project. Story continues Singh said any deal with Russia would only be possible if the price of LNG from Yamal matches the spot market, which is flooded with cheaper supplies. "India is a buyers' market and we will need lots of gas, (but) the ... price needs to be to our satisfaction," he said. Last year India renegotiated a long-term deal with Qatar's RasGas to buy LNG at cheaper rates as local demand for the fuel was slowing. ($1 = 67.1850 rupees) (Reporting by Nidhi Verma; Editing by Susan Fenton) The Philippine government's top lawyer called Monday for police to kill more suspected drug criminals, as he defended President Rodrigo Duterte's brutal war on crime against mounting criticism. Police have confirmed killing more than 110 suspects since Duterte won elections in May promising a law-and-order crackdown that would claim thousands of lives and fill funeral parlours. As the official death toll has mounted, and other bodies not confirmed killed by police have been found with placards declaring them drug traffickers, human rights lawyers and some lawmakers have expressed deep concerns about the war on crime spiralling out of control. In response to the criticism, Solicitor General Jose Calida held a press conference on Monday at national police headquarters to insist on the legality of the police killings and to encourage more deaths of people suspected of being involved in the drug trade. "To me, that is not enough," Calida said of the killings so far. "How many drug addicts or pushers are there in the Philippines? Our villages are almost saturated (with drugs)." Duterte, who took office on June 30, has repeatedly warned that drastic action is needed to stop the Philippines from becoming a narco-state. A lawyer and a former prosecutor, Duterte has urged law enforcers to kill those they believe are involved in the drug trade, as well as other criminals. In one of the deadliest single incidents, police reported killing eight "drug personalities" during a pre-dawn raid on Saturday in a small southern town. As in the other cases, police insisted they were forced to shoot after encountering resistance. One of the nation's top human rights lawyers, Jose Manuel Diokno, warned last week that Duterte had "spawned a nuclear explosion of violence that is spiralling out of control and creating a nation without judges". Former senator Rene Saguisag, a prominent human rights lawyer during the regime of dictator Ferdinand Marcos, also criticised Duterte's statements naming and shaming alleged drug lords and police officers ahead of a formal investigation. Story continues "Do we still probe and have a trial as part of due process? Useless, it seems to me," Saguisag wrote in an online column last week. Some opposition lawmakers have also called for a congressional investigation into the spate of killings. Calida, a Duterte appointee, said he would protect police from or during congressional probes, while emphasising it was up to critics to prove allegations of abuse rather than base inquiries on speculation. "I am here to encourage the (police) not to be afraid of any congressional or senate investigations. We will defend them ... I am the defender of the (police)," he said. Monday marked the start of a new era for trips to the Maryland Motor Vehicle Administration. For months, MVA officials have been preparing the public for new driver's licenses and identification cards that are part of an effort to reduce the number of times people have to go into MVA branch offices. The hope is that wait times for other services will go down if getting a new license means going online. The new licenses and identification cards have new features that officials think makes one of the most secure IDs in the country. "They (Pakistan) should worry (more) about human rights violations in Pakistan-occupied Kashmir than Jammu and Kashmir. Kashmir is an internal matter of India," said Kiren Rijiju. By PTI: Union Minister Kiren Rijiju today took a dig at Pakistan for accusing India of committing human rights violations in Kashmir, saying it should worry more about such violations in Pakistan-occupied Kashmir (PoK) than the Valley. "They (Pakistan) should worry (more) about human rights violations in Pakistan-occupied Kashmir than Jammu and Kashmir. Kashmir is an internal matter of India," he told reporters. advertisement Rijiju's comments came a day after Pakistan accused India of committing human rights violations in Kashmir following the killing of Hizbul Mujahideen leader Burhan Wani. Also read: Burhan Wani encounter: 12 civilians killed, 200 injured, 6 companies of CRPF rushed to Kashmir With Burhan's death, militant icon is born; people gather for the largest funeral of the decade --- ENDS --- The Vatican announced Monday that American journalist Greg Burke will step into one of the most visible roles for the church and replace Father Federico Lombardi as director of the Holy See press office. In the same press release, the Vatican said Spanish journalist Paloma Garcia Ovejero will fill the role of vice director of the press office that Burke vacates. It is the first time a lay woman has held that position. As the Popes top spokesperson, Burke will be one of the most visible figures in the Vatican, where prominent positions rarely held by Americans. Burke has spent over two decades in Rome as a journalist with National Catholic Register, Fox News and TIME. Both appointments of foreign journalists are notable, since Italians are often the top administrators in the Catholic Church. When asked about being the first woman to hold her position, Ovejero told Catholic News Service, I am in no way the first woman. The first woman above all in the church, in the Vatican and in the press office is the Virgin Mary. Vatican City (AFP) - Pope Francis on Monday named a former Fox News correspondent to head up the Vatican press office and appointed the tiny state's first-ever female deputy spokesperson. American Greg Burke, 56, brought in by the Vatican in 2013 to overhaul its public-relations operation, will take up the post on August 1, when outgoing chief spokesman Federico Lombardi steps down. His deputy will be Spanish journalist Paloma Garcia Overo, 40, previously the Rome and Vatican correspondent for the Spanish broadcaster COPE. Burke, who also worked as correspondent in Rome for the Catholic weekly National Catholic Reporter and Time magazine, is a "numerary" member of the influential conservative Catholic group Opus Dei, meaning he is a lay person but is celibate. Vatican watcher John Allen, writing for the Cruxnow website, said the appointments showed the pope's wisdom and strength. "He's debunked impressions of being anti-American, he's shown that competence matters, and he's signalled openness to groups seen as conservative," he wrote. "For a bonus, Francis tapped a lay woman as Burke's number two... a veteran journalist who's well-liked and well-respected in the Vatican press corps, and who brings enormous good will to the post". Jesuit Father Lombardi, who turns 74 next month, steps down after heading up the press office for 10 years, through much of Benedict XVI's papacy -- and his shock resignation -- as well as the first three years of Francis's. Burke's appointment may rile the Vatican's old guard, the Italians, but it will likely soothe those worried Francis is overly liberal. "At a time when some see Pope Francis as a liberal stacking the deck with like-minded progressives, this appointment runs counter to the stereotypes," Allen wrote. The image of a black womans act of resistance in front of law enforcement in Louisiana has become a new symbol of the Black Lives Matter movement. Read: Dallas Gunman's Parents Tearfully Break Their Silence: 'I Love my Son... I Hate What He Did' The image of the woman in a gown, identified as Ieshia Evans, 28, is reminiscent of other resistance photos like that of a lone protester standing in front of tanks in Chinas Tiananmen Square in 1989 and the 1967 photo of a man putting a flower into the barrel of a soldiers gun in front of the Pentagon. Reuters photographer Jonathan Bachman snapped the picture Saturday during a demonstration in Baton Rouge, less than a week after police were recorded shooting and killing a black man there. Bachman told The Atlantic: It happened quickly, but I could tell that she wasnt going to move, and it seemed like she was making her stand. To me it seemed like: 'Youre going to have to come and get me.' And I just thought it seemed like this was a good place to get in position and make an image, just because she was there in her dress and you have two police officers in full riot gear. It wasnt very violent. She didnt say anything. She didnt resist, and the police didnt drag her off. The photograph captures two police in riot gear running to Evans, who is standing still in the middle of the road after instructions were given to clear the roadway. Baton Rouge Police Department spokesman L'Jean McKneely told CNN: Everyone was given proper instructions and a certain amount of time to clear the roadway. If they did not, then they were arrested. According to reports, the woman was released from police custody on Sunday evening. More than 100 protesters were arrested by Baton Rouge authorities on Saturday night, including prominent Black Lives Matter organizer and activist DeRay Mckesson. He was also released Sunday after being arrested on the side of Airline Highway. Story continues He was charged with simple obstruction of highway commerce. He told reporters after he was released: I remain disappointed in the Baton Rouge police who continued to provoke protesters who were peacefully protesting. Over the weekend, protestors shut major highways in Los Angeles and Memphis. In St. Paul, Minnesota, 21 cops were injured. One officer suffered a broken vertebrae when someone dropped a concrete block on his head. Read: Dallas Sniper Was Shipped Home From Afghanistan After a Female Soldier Accused Him of Sexual Harassment Protestors also tossed fireworks and Molotov cocktails at police. On Sunday, President Obama warned that continued violence against police could smear the Black Lives Matter campaign. The president said at a news conference in Madrid, Spain: I want to say to say to everyone concerned about ... racial bias in the criminal justice system that maintaining a truthful, serious and respectful tone is going to help mobilize American society to bring about real change. He added: Whenever those of us who are concerned about failures of the criminal justice system attack police, you are doing a disservice to the cause. Watch: Sister of Dallas Gunman: 'I Keep Saying It's Not True' Related Articles: Preacher is, to put it mildly, more nutty than a thousand Westboro Baptist Churches. Garth Ennis legendary comic series is one of the most beloved and bizarre cult classics in graphic novel history, serving as a gory satirical tale of organized religion and the concept of God, told through a cast of characters that is simultaneously gross and engrossing. For those who need a quick catch-up, heres a guide to Preacher and its congregation. Obviously, some spoilers are ahead. Jesse Custer The titular preacher who starts the tale following in his strict fathers footsteps as the holy man of Annville, Texas. Jesse is a stubborn, short-tempered man who refuses to listen to the advice of others, even if his life could be on the line. Ready for it to get weird? Jesse is one day possessed by Genesis, the unholy bastard child of an angel and a demon. The first episode shows Genesis possessing several preachers of different faiths and causing them to explode when their bodies are not suitable hosts. The last person Genesis blows up before settling on Jesse is Tom Cruise. Yes, THAT Tom Cruise. With Genesis inside him, Jesse is imbued with the Word of God, which gives him the ability to override free will and force others to do his bidding. Unfortunately, his commands are interpreted far too literally, resulting in gruesome deaths for many of the people Jesse affects with his power. Jesses new powers also bring some strange visitors to his parish. Two of these visitors are Fiore and DeBlanc, a pair of bumbling angels disguised as government agents. As angels, they have the ability to instantly respawn, video-game style, whenever they are killed. Preacher has a lot of sadistic fun with this ability, as the two seraphim are shot, sliced, and run over countless times. They are on a mission to retrieve Genesis, but this mission isnt exactly a mission from God. Jesse also has to deal with a wisecracking, 119-year-old Irish vampire named Cassidy. His vampire powers include immortality, the ability to fix his body by drinking blood, and superhuman strength. So naturally, his introductory scene shows him demonstrating all these powers by killing vampire hunters on a plane, draining their blood, jumping out of the plane, and then surviving the 30,000-foot drop by eating a whole live cow while severely wounded. Story continues The final intruder in Jesses life is someone from his past: his ex-girlfriend and gun-for-hire, Tulip OHare. Unlike Jesse and Cassidy, she doesnt have any superpowers. But judging by one of her opening scenes, where she shoots down a helicopter with a bazooka made from tin cans, action figures and cutlery, she probably doesnt need them. All these people try to get the intractable Jesse to listen to them, but to no avail. The angels want Jesse to hand over Genesis to prevent a war between heaven and hell. Cassidy wants Jesse to help the angels, too. Tulip wants Jesse to help her with one more job. Jesse refuses them all, believing that his powers were given to him to do Gods will at his parish. But the people of Annville may be too messed up for salvation. The most messed up is Odin Quincannon, a twisted, greedy old man who cares about nothing but his meat plantand not even the Word of God can sway him to give up his obsession. Theres also Hugo, the bitter sheriff of Annville, who has lost his faith in humanity after so many years of arresting the dregs of society. But more than that, his jadedness stems from something far more personal Hugos son, Eugene, attempted suicide by sticking a gun in his mouth, but botched the attempt and ended up distorting his face. For reasons not immediately explained, Hugo has not allowed Eugene to come to church because of the suicide, but perhaps its because of the nickname his son now has thanks to his deformity: Arseface. Perhaps the one sane person in this series is Emily, a devoted single mom who helps Jesse out at the parish. Emily has some dirty laundry of her own, but her duty to her children and her faith are first and foremost in her mind, which makes it very weird when Jesse starts acting weird for no apparent reason. Theres one final character in Preacher that doesnt appear in every episode, but is essential to the storys mythos. In some episodes, we flashback to 1881, where a cowboy loses his family during an ill-fated journey to get medicine for his sick daughter. The show has yet to explain who this man from the past is and what he has to do with Jesse, but those who have read Garth Ennis book will know. (Spoilers ahead!) This cowboy will go on to be The Saint of Killers, an undead spirit wielding two Colt revolvers forged in Hell. The guns never run out of ammo and always hit their target. The Saint is tasked with gathering the souls of those who die through violence, and he is awakened to continue this task when Jesse merges with Genesis. With Preacher renewed for another season, expect the Saint to make a huge bang once his dark origins are fully revealed. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23. By India Today Web Desk: Union Home Minister Rajnath Singh today spoke to Congress chief Sonia Gandhi and former Jammu and Kashmir Chief Minister Omar Abdullah on the Kashmir situation. During the telephonic conversation with Gandhi and National Conference leader Omar, the Home Minister discussed with them efforts to bring back peace and normalcy in Kashmir Valley, which is witnessing violent protests after killing of militant leader Burhan Wani on Friday, official sources said. advertisement The Home Minister's discussions with Gandhi and Omar, who ruled Jammu and Kashmir between 2009 and 2015, are believed to be an attempt by the central government to take opposition leaders into confidence. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists - sponsored strike in the wake of the killing of Hizbul Mujahideen commander Burhan Wani in an encounter on Friday. TERRORISM MUST BE DEALT WITH FIRMLY: SONIA GANDHI Meanwhile, Congress supremo Sonia Gandhi said that it is a matter of great anguish that so many innocent lives have been lost these past days in parts of Kashmir Valley. The Congress president went further saying that there can be no compromise on matters relating to national security. "Terrorism must be dealt with firmly and forcefully," she added. "The political process has made many gains in Jammu and Kashmir over the last two decades particularly and these must not get lost," Sonia Gandhi said. THINGS WE KNOW SO FAR: Curfew-like restrictions continued to be in place in many parts of the Valley including parts of Srinagar city, where the first death in the clashes occurred last evening. Mobile internet services continued to remain suspended for since Saturday while normal life remained affected due to the strike called by the separatists groups. Authorities have strengthened the presence of security forces in vulnerable areas of the city and elsewhere in the Valley to contain the protests, a police official said. Public transport was completely off the roads while private cars and auto-rickshaws were seen plying at few places in the areas where there were no restrictions. Meanwhile, the Amarnath Yatra remained suspended for the third day from Jammu. The train services in the Valley have also been suspended while examinations have been postponed. Shabir Ahmad, the first victim in Srinagar, succumbed to bullet injuries late Sunday evening in a clash at the capital city's Tengpora area. The victims comprise 22 protesters and a police driver. They were killed during clashes with security forces, mostly in Anantnag, Shopian, Kulgam and Pulwama districts. All senior Kashmiri separatist leaders, including Syed Ali Geelani and Mirwaiz Umer Farooq, have been placed under detention. The state government on Sunday requested them to help normalise the situation. Kashmir erupted in anger on Saturday after security forces shot dead Wani along with two of his associates on Friday. His funeral was attended by thousands. advertisement --- ENDS --- BATON ROUGE, La. (AP) Officers made dozens of arrests in Louisianas capital on a long hot weekend of protests over the killings of black people by police, with demonstrations around the country highlighted by attempts to block some major interstates. Police in riot gear managed to keep a group of protesters late Sunday in Baton Rouge from entering a major artery, Interstate 110 in Baton Rouge, thwarting a tactic that social justice activists have increasingly tried in some major cities to protest the deaths. Tensions between black citizens and police have risen palpably since last weeks killings of Alton Sterling in the Louisiana capital, Baton Rouge, and Philando Castile in Minnesota by white officers, and a retaliatory attack on white police by a black sniper in Dallas that killed five officers and wounded several others including two civilians. More than a thousand people left a Black Lives Matter rally in Memphis, Tennessee, and occupied a key bridge over the Mississippi River on Sunday night, temporarily blocking all traffic on Interstate 40. Elsewhere, hundreds answered a call by that group to march onto I-264 in Portsmouth, Virginia, marooning motorists for hours inside the areas Downtown Tunnel. On the West Coast, hundreds of people poured into the streets of in Southern California late Sunday night, shutting down a major intersection and briefly blocking traffic on the 405 Freeway while peacefully protesting the recent fatal police shootings of black men. Authorities told the Los Angeles Times there were no arrests and no reports of violence. In recent days, demonstrators also tried but failed to block highways in Atlanta and Columbia, South Carolina, while in San Francisco, police managed to keep them off the Bay Bridge. Several hundred people blocked an area of Interstate 94 in Minneapolis-St. Paul on Saturda. Leaders in some local communities have sought to discourage the traffic-blocking tactics. Story continues While I appreciate the peaceful intent of this weekends rallies, Id ask that we not put our fellow citizens or law enforcement at risk which is exactly what attempting to block highways does, South Carolina Gov. Nikki Haley said in a statement. In Louisiana, some 2,000 people rallied peacefully Sunday outside the Capitol building, State Police Maj. Doug Cain said. They didnt have any problems out there. They seemed to be very organized and peaceful, Cain said. By Sunday night, a few hundred people aimed for an on-ramp of Interstate 110 in Baton Rouge. After a lengthy standoff, more police in full riot gear moved in, pinning some of the protesters as others fled. Some 30 to 40 people were taken into custody for trying to block a highway, sheriffs spokeswoman Casey Rayborn Hicks said. That brought arrests to more than 160 by latest count in recent days in the Louisiana capital. Louisiana Gov. John Bel Edwards said at a late weekend news conference that he was very proud of the his states law enforcement response. Flanked by officers in uniform, Edwards said he didnt believe using riot gear to push protesters away from highway ramps was overly aggressive. I can assure everyone we are hearing the protesters, the governor added at the news conference. We are listening to their voices. But Im especially gratified that our citizens here in Louisiana, to a very large degree, have decided to protest in a constructive and peaceful manner. A Baton Rouge police spokesman, Sgt. Don Coppola, had blamed the large number of arrests on outside agitators. Police also confiscated three rifles, three shotguns and two pistols during that protest, he wrote in an email. But most of those detained live in Louisiana the Baton Rouge and New Orleans areas mostly and faced a single charge of obstructing a highway, the sheriffs spokeswoman Hicks said. Some of the recent demonstrations in Baton Rouge began at the convenience store where 37-year-old Alton Sterling was killed while two police subdued him. The U.S. Justice Department has launched a civil rights investigation. ___ AP reporter Janet McConnaughey contributed from New Orleans. FARNBOROUGH, England (Reuters) - Qatar Airways is in advanced talks with Boeing to buy up to 30 narrowbody planes and will not rule out additional cancellations of delayed deliveries from rival Airbus , the airline's chief executive said on Monday. Qatar earlier this year canceled its first delivery of the narrowbody Airbus A320neo jet due after delays in delivery and has said it must source alternate planes to meet demand. "We are quite advanced" in talks with Boeing, Akbar Al Baker told journalists at the Farnborough Airshow. "It's between 25 and 30 aircraft, a combination of the two," he said, referring to the current 737NG model and the successor 737 MAX. Asked if a deal might be signed this week at the Farnborough Airshow, he said he didn't know. "We still have a few things to iron out." Al Baker said there had been no progress in the dispute with Airbus on A320s and it was not clear if there would be additional cancellations. He said he hoped to get a second A350 of 11 by the end of the month despite a delay. (Reporting by Conor Humphries, editing by David Evans) Categories Style Tips For a woman on the go, day-to-night dressing has to be quick and easy. But while we covet a glamorous look on the daily, our office attire involves more polish than pizzazz, for obvious reasons. Here, expert tips from our Editor-in-Chief Rachel Zoe for effortlessly transitioning your desk look to dinner and beyond. Whether its adding a heel, layering statement jewelry or strategically showing some skin, these quick fixes will have you surviving the eleventh hour in style. Mosaics depicting prominent Bible scenes were uncovered during annual excavations of an ancient synagogue in Israel's Lower Galilee. During the excavation in June, archaeologists found two new panels of a mosaic floor in a Late Roman (fifth-century) synagogue at Huqoq, an ancient Jewish village. One panel showed Noah's ark with pairs of animals, such as lions, leopards and bears. The other panel depicted soldiers being swallowed by large fish, surrounded by overturned chariots in the parting of the Red Sea. Such images are extremely rare for the time period, according to excavation director Jodi Magness, of the University of North Carolina at Chapel Hill, whose work was funded by the National Geographic Society. [Photos: Unusual Mosaics Decorated Ancient Synagogue in Israel] "I know of only two other scenes of the parting of the Red Sea in ancient synagogues," Magness told National Geographic. "One is in the wall paintings at Dura Europos [in Syria], which is a complete scene but different from ours no fish devouring the Egyptian soldiers," Magness said. "The other is at Wadi Hamam [in Israel], but that's very fragmentary and poorly preserved." The ark scenes are also uncommon; Magness said she knows of only two other mosaics showing such depictions. Along with a team of university scholars and students, and the Israel Antiquities Authority, Magness has excavated at Huqoq since 2012. Previous mosaic discoveries have depicted Bible scenes such as Samson and the foxes and Samson carrying the gate of Gaza on his shoulders. The Huqoq excavations revealed the first nonbiblical mosaic found in an ancient synagogue. That three-tiered mosaic included a scene showing a meeting between two important male figures, thought to be the legendary meeting between Alexander the Great and the Jewish high priest. These previous discoveries were uncovered in the synagogue's eastern aisle, and the researchers were uncertain whether the mosaics would continue into the nave, the large central area of the synagogue. However, the excavations did, indeed, reveal the Red Sea and Noah's ark mosaics. Story continues "This panel is exactly as it should be," Magness said of the ark mosaic. "It's facing north, so people could see it as they entered from the south," where the main door would have been located. Excavations at this site also uncovered coins spanning 2,300 years. "The ancient coins are critical for our knowledge of the monumental synagogue and the associated village," Nathan Elkins, a member of the research team and a professor of art history at Baylor University, said in a statement. As is the case after each excavation season, the researchers will remove the uncovered mosaics for conservation and backfill the excavated areas. Excavations are scheduled to continue in the summer of 2017. Original article on Live Science. Editor's Recommendations Copyright 2016 LiveScience, a Purch company. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. The Food and Drug Administration recently made a perhaps surprising recommendation: Don't eat raw flour. The warning issued last week came in response to an outbreak of E. coli that has sickened at least 42 people in 21 states since December. The FDA tracked the outbreak to a batch of General Mills flour sold under the brand names Gold Medal, Gold Medal Wondra and Signature Kitchens, triggering a recall. Most people who read the recommendation probably already knew they weren't supposed to eat cookie dough because of the raw eggs in it (though people don?t always do what they?re supposed to do). But the flour recommendation was flummoxing, particularly because of the FDA's explanation: Flour is a field food, the agency said in a June 28 consumer update. If pathogens get into the wheat plants while they are growing in a field via wild animal waste, for example they'll stay in through the milling process. That advice makes sense. Except that people eat lots of field foods raw. If raw flour is dangerous, what about a spinach salad or a bowl of fresh strawberries? Why hasn't the FDA declared all raw foods a no-go? [Top 7 Germs in Food That Make You Sick] Live Science spoke with an FDA expert and an outside food safety researcher who had the answers. Bottom line: Yes, salad can cause illness, and produce has been linked to many more outbreaks than flour. But the risk of illness from raw produce is better understood than the largely unquantified risk from grains. And fruits and vegetables are processed under the assumption that people will eat them raw, whereas flour isn't. New awareness The Centers for Disease Control and Prevention estimates that nearly half of foodborne illnesses are caused by produce. So why raise the red flag over flour? "We just want to provide consumers with the best information to take steps to reduce their risk," said Jenny Scott, a senior adviser in the office of food safety at the FDA Center for Food Safety and Applied Nutrition. "The same thing happens when we have a produce outbreak." Story continues But the ways that people traditionally use flour did influence both the timing and the content of the recommendation. Typically, Scott told Live Science, people don't eat raw flour in large quantities. "Because people don?t think of raw flour as being a concern, that's one of the reasons we're making the effort to get the information out," she said. The risk of illness from raw flour is low, she said, but then, so is the risk from raw produce. The current flour-related outbreak is the second of two such outbreaks in the past seven years. The earlier one was a 2009 outbreak of another strain of E. coli caused by Nestle Toll House prepackaged cookie dough, which surprise, surprise people were eating raw. Exhibiting a clear-eyed realism about human nature, Nestle opted to start heat-treating all of the flour in its raw cookie dough. Some recent changes in consumer behavior may explain the appearance of this newest flour-related outbreak, Scott said. Some pizzerias, for example, have started giving kids balls of raw dough to play with while they wait for their meals. But also, improvements in epidemiology now allow researchers to detect and track outbreaks that might have gone unnoticed in earlier years, she said. "It happens once, you think, 'Well, that's a fluke, not really an issue,'" Scott said. "It happens twice, you start thinking, 'Hmm, maybe there is something here.'" Known unknowns Food safety experts are now aware of the flour risk, but are only beginning to understand it. Outbreaks related to produce have been studied intensively for two decades, starting with a massive outbreak of infection with the parasite Cyclospora in 1996 (it eventually was traced to raspberries imported from Guatemala). By comparison, there isn't much data on the prevalence of pathogens in flour, said Ben Chapman, a professor of food safety at North Carolina State University. "Over 20 years, we have a pretty good understanding, or a better understanding, of fresh produce consumption, but when it comes to flour, we don't know," Chapman told Live Science. "It's hard to make risk-management decisions based on unknowns." No one really knows how General Mills' flour became contaminated, or if contamination is a widespread problem among other brands. E. coli can spread through animal feces, so wildlife pooping in and around fields might be the culprit. But untreated irrigation water could spread the bacteria, too, Chapman said, or there could be some sort of cross-contamination during the milling process. No one knows how long E. coli or other pathogens persist in dry foods like flour, he said. "It's still relatively new for us to be looking at this as a community," he said. As for produce, which is currently responsible for far more outbreaks than raw flour, the FDA is making strides on safety. The agency recently released a new Produce Safety rule under the FDA Food Safety Modernization Act that requires specific water quality guidelines and testing for irrigation water, rules for manure and compost use, and standards related to worker hygiene and equipment and tools. Raw sprouts, the culprit in 42 outbreaks between 1996 and 2014, get special attention under the new rule. [Top 10 Science Buzzkills: Studies that Ruin Your Fun] Grains aren't covered under the new Produce Safety rule, but both producers and regulators will likely be looking at ways to reduce the risk of contamination, Chapman and Scott said. Widespread heat-treatment of flour seems unlikely at this point due to the lack of infrastructure and technology to treat the grain supply, according to Scott. But with huge grain-consuming companies like Nestle and General Mills linked to outbreaks, producers will be examining their supply chains and processing practices, Chapman said. "It's bad business, being linked to outbreaks," he said. Original article on Live Science. Editor's Recommendations Copyright 2016 LiveScience, a Purch company. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. While curfew continues in Tral, Pulwama, Anantnag and seven police station areas of Srinagar, mobile internet services have been suspended. By India Today Web Desk: Life in Kashmir continues to remain paralysed following heightened tension over the death of 23 people, including a policeman, during widespread protests across the Valley against the killing of top Hizbul commander Burhan Wani last week. While curfew continues in Tral, Pulwama, Anantnag and seven police station areas of Srinagar, mobile internet services have been suspended. The annual Amarnath pilgrimage has also been put on hold by the authorities while pilgrims remain trapped in many areas of the Valley. advertisement HERE ARE THE LATEST DEVELOPMENTS: Clashes continue in many parts of Kashmir as young men defy prohibitory orders and protest on the streets, often indulging in stone-pelting. Four police stations, 36 civil administration offices and dozens of vehicles were destroyed by unruly mobs in clashes over the weekend. Shabir Ahmad, the first victim in Srinagar, succumbed to bullet injuries late Sunday evening in a clash at the capital city's Tengpora area. The victims comprise 22 protesters and a police driver. They were killed during clashes with security forces, mostly in Anantnag, Shopian, Kulgam and Pulwama districts. Over a 100 civilians and as many security personnel have also been injured in the bloody clashes since Friday when Wani was killed. All senior Kashmiri separatist leaders, including Syed Ali Geelani and Mirwaiz Umer Farooq, have been placed under detention. The state government on Sunday requested them to help normalise the situation. Three policemen, taken hostage by angry mobs in south Kashmir on Friday, returned back safely but their weapons were seized, police said today. Kashmir erupted in anger on Saturday after security forces shot dead Wani along with two of his associates on Friday. His funeral was attended by thousands. It is feared if things are not quickly brought under control, Kashmir could witness another round of unrest when in 2010, 112 protesters died in clashes with security forces. Meanwhile, the Amarnath Yatra remained suspended for the third day from Jammu. The train services in the Valley have also been suspended while examinations have been postponed. ALSO READ | Hafiz Saeed and Syed Salahuddin's unholy alliance behind Kashmir unrest --- ENDS --- By John Davison and Suleiman Al-Khalidi BEIRUT/AMMAN (Reuters) - Rebels attacked Syrian government positions in the historic center of Aleppo on Monday in response to an offensive that cut a road leading into the opposition-held sector of the city, monitors and insurgents said. The shelling of government-held neighborhoods and intense street fighting came days after the advance by the government side towards the Castello Road. Rebels have relied on the road for supply runs and access to Aleppo through much of Syria's civil war, and its severing effectively put opposition-held areas where some 250,000 people live under siege. Residents contacted in the city said prices of fresh vegetables, bread and fuel had on average almost doubled in the past week with no new supplies coming into Aleppo, Syria's largest city and commercial hub before the war. Aleppo has been a major battleground in the conflict, now in its sixth year. Fighting there escalated after U.N.-brokered peace talks and a ceasefire unraveled earlier this year. The Syrian military said it would extend a nationwide ceasefire for another 72 hours from Tuesday, state media reported on Monday. The Syrian army and the Russian military, which backs Syrian President Bashar al-Assad, have periodically this month announced these temporary truces but continued to step up their military campaigns in major battlefields. Aleppo's capture would be a strategic prize for Assad's government, which controls the major population centers in western Syria apart from rebel-held districts of Aleppo, and the far northern city of Idlib. Rebels hold pockets of territory elsewhere in western Syria. Kurdish forces prevail in vast swathes along the nearby Turkish border, and Islamic State militants dominate territory in the east closer to the border with Iraq. Early on Monday more than 300 shells fired by rebels hit western, government-held neighborhoods of Aleppo, killing five people and wounding dozens more, the Syrian Observatory for Human Rights said. State television said eight people had been killed and that the bombardments had brought down buildings. The assault was "a response to the (government) attempts to advance", Zakaria Malahifji of the Aleppo-based Fastaqim rebel group told Reuters. He said insurgents had already made gains, and that much of the fighting was taking place in Aleppo's ancient Old City, a renowned UNESCO World Heritage site now largely in ruins. A witness reported fierce close-range clashes near the historic citadel where rebels killed at least 20 army troops when they blew up a tunnel they dug underneath a government post, according to the monitor. MORE GOVERNMENT AIR STRIKES A Syrian state TV correspondent said there had been heavy fighting since the morning, and that the army had seen off attacking rebels, killing many. Syrian warplanes bombarded rebel districts of Aleppo, the British-based Observatory said, with at least 10 civilians killed in a strike on Hay al Maqam. A pro-Damascus TV channel said Russian warplanes, backing the government, were bombing areas north of the city, near the Castello Road. Jets believed to be Russian or Syrian also rocketed a fuel market in a town in the northwestern province of Idlib, killing at least 10 people and injuring scores, according to a local rescue worker near the site. An air strike hit a local field hospital in the town of Ahsem in Idlib province, killing three people, including a child, an international charity and an aid worker said. A statement from the Jabha Shamiya rebel group dated Sunday said the government advance near Castello Road came with the support of "allies of various nationalities, with Russian air cover and with firepower of an unprecedented intensity". Assad is supported by Moscow, which launched air strikes in September, as well as Iranian troops and Lebanese Shi'ite Hezbollah fighters. Hezbollah has said it sees Aleppo as the most important battle in Syria, equating it with the defense of the capital Damascus, and hundreds of its men have died in the conflict. Assad's allies say they are battling the al Qaeda-linked Nusra Front in Aleppo. But groups fighting under the banner of the Western-backed Free Syrian Army (FSA) say they control the rebel-held part of the city. Nusra Front said it had launched an attack in central Aleppo and made advances towards a market in a government-held area. Malahifji of the Fastaqim rebel group said there was only a small Nusra Front presence in Aleppo. The Saudi-backed main Syrian opposition body, the High Negotiations Committee (HNC), told U.N. special envoy Staffan de Mistura in Rome that it was premature to set a date for fresh peace talks he was seeking ahead of an August deadline for the warring parties to present outlines of a political deal. "There is a need to adopt a new strategy to push the peace process by adopting a tougher policy against the regime's violations against the Syrian people ... before calling for a new round of negotiations," the HNC statement said. De Mistura urged no let-up in peace efforts. "More than ever, the key is a possible deal between Russia and America, because they are the ones who ... pulled off the miracle of the two-month truce," he said, referring to the "cessation of hostilities" deal that calmed much of Syria before collapsing. (Additional reporting by Tom Perry and Crispian Balmer in Rome; Editing by Mark Heinrich and Jonathan Oatis) * Plaintiffs include relatives of Israeli-U.S. nationals * Lawsuit says Facebook gave material support to Hamas * Hamas accuses Israel of trying to blackmail Facebook * Facebook says doesn't comment on proceedings By Dan Williams JERUSALEM, July 11 (Reuters) - A group of Israelis and Americans bereaved in Palestinian attacks said on Monday they would seek $1 billion in damages from Facebook Inc. for alleged complicity, as part of a suit filed in the United States against the social media giant. The plaintiffs, relatives of four Israeli-U.S. dual nationals and one visiting U.S. citizen who died in attacks in Tel Aviv, Jerusalem or the occupied West Bank between 2014 and 2016, accused Facebook of helping Hamas militants operate. Asked to comment on the lawsuit, Facebook's Israeli PR firm said the company "does not respond on any issue currently subject to legal procedure". The private legal initiative follows censure from Israel's security minister over what he deemed the firm's reluctance to help track potential Palestinian militants and curb incitement to violence. In response, Facebook defended its regulations against online abuse. Hamas formally claimed responsibility for one of the attacks cited in the lawsuit. The plaintiffs' Israeli lawyer, Nitsana Darshan-Leitner, said they had expert assessments linking Hamas to the other attacks. The lawsuit, filed with the U.S. District Court for the Southern District of New York, argued the platform "knowingly provided material support and resources to Hamas ... facilitat(ing) this terrorist group's ability to communicate, recruit members, plan and carry out attacks, and strike fear in its enemies". Hamas is designated a terrorist organisation by the United States. The lawsuit was brought under the Anti-Terrorism Act of 1992 which prohibits American businesses from providing any material support, including services, to designated terrorist groups and their leaders. Sami Abu Zuhri, a Hamas spokesman in Gaza, called the lawsuit an Israeli attempt to blackmail Facebook and accused Israel of trying to turn the social network into a spy tool against Palestinians. Story continues He said some Israeli politicians and soldiers had "expressed pride at the killing of Palestinians" on Facebook and other social media. "The real test for the owners of Facebook is to reject this (Israeli) pressure," Abu Zuhri said. Darshan-Leitner, of the Shurat HaDin-Israel Law Center, filed a class action suit in October for an injunction against Facebook to stop carrying alleged Palestinian incitement. She said proceedings were still under way in that case. The $1 billion in damages sought in the new suit was a sum consistent with awards in comparable U.S. cases, Darshan-Leitner told Reuters. (Additional reporting by Nidal al-Mughrabi in Gaza; Editing by Jeffrey Heller and Janet Lawrence) * Plaintiffs include families of Israeli-U.S. nationals * Lawsuit says Facebook gave material support to Hamas * Hamas accuses Israel of trying to blackmail Facebook * Facebook says doesn't comment on proceedings (Adds response from Facebook) By Dan Williams JERUSALEM, July 11 (Reuters) - A group of Israelis and Americans filed a lawsuit on Monday seeking $1 billion in damages from Facebook Inc. for allegedly facilitating deadly Palestinian militant attacks on their loved ones. The plaintiffs, relatives of four Israeli-U.S. dual nationals and one visiting U.S. citizen who died in attacks in Tel Aviv, Jerusalem or the occupied West Bank between 2014 and 2016, accused Facebook of helping Hamas militants operate. The lawsuit, filed in U.S. District Court for the Southern District of New York, argued that Facebook "knowingly provided material support and resources to Hamas ... facilitat(ing) this terrorist group's ability to communicate, recruit members, plan and carry out attacks, and strike fear in its enemies". The social media giant did not respond directly to the lawsuit but said it stood by its regulations for preventing abusive content and a company representative in Israel said the company wanted "people to feel safe" when using Facebook. "There is no place for content encouraging violence, direct threats, terrorism or hate speech on Facebook. We have a set of Community Standards ... and we urge people to use our reporting tools if they find content that they believe violates our standards so we can investigate and take swift action." The private lawsuit follows censure from Israel's security minister over what he deemed Facebook's reluctance to help track potential Palestinian militants and curb incitement to violence. In response, Facebook defended its regulations against online abuse. Hamas formally claimed responsibility for one of the attacks cited in the lawsuit. The plaintiffs' Israeli lawyer, Nitsana Darshan-Leitner, said they had expert assessments linking Hamas to the other attacks. Story continues Hamas is designated a terrorist organisation by the United States. The lawsuit was brought under the 1992 Anti-Terrorism Act that prohibits American businesses from providing any material support, including services, to designated terrorist groups and their leaders. Sami Abu Zuhri, a Hamas spokesman in Gaza, called the lawsuit an Israeli attempt to blackmail Facebook and accused Israel of trying to turn the social network into a spy tool against Palestinians. He said some Israeli politicians and soldiers had "expressed pride at the killing of Palestinians" on Facebook and other social media. "The real test for the owners of Facebook is to reject this (Israeli) pressure," Abu Zuhri said. Darshan-Leitner, of the Shurat HaDin-Israel Law Center, filed a class action suit in October for an injunction against Facebook to stop carrying alleged Palestinian incitement. She said proceedings were still under way in that case. (Additional reporting by Nidal al-Mughrabi in Gaza; Editing by Jeffrey Heller and Janet Lawrence) By Dan Williams JERUSALEM (Reuters) - A group of Israelis and Americans filed a lawsuit on Monday seeking $1 billion in damages from Facebook Inc. for allegedly facilitating deadly Palestinian militant attacks on their loved ones. The plaintiffs, relatives of four Israeli-U.S. dual nationals and one visiting U.S. citizen who died in attacks in Tel Aviv, Jerusalem or the occupied West Bank between 2014 and 2016, accused Facebook of helping Hamas militants operate. The lawsuit, filed in U.S. District Court for the Southern District of New York, argued that Facebook "knowingly provided material support and resources to Hamas ... facilitat(ing) this terrorist group's ability to communicate, recruit members, plan and carry out attacks, and strike fear in its enemies". The social media giant did not respond directly to the lawsuit but said it stood by its regulations for preventing abusive content and a company representative in Israel said the company wanted "people to feel safe" when using Facebook. "There is no place for content encouraging violence, direct threats, terrorism or hate speech on Facebook. We have a set of Community Standards ... and we urge people to use our reporting tools if they find content that they believe violates our standards so we can investigate and take swift action." The private lawsuit follows censure from Israel's security minister over what he deemed Facebook's reluctance to help track potential Palestinian militants and curb incitement to violence. In response, Facebook defended its regulations against online abuse. Hamas formally claimed responsibility for one of the attacks cited in the lawsuit. The plaintiffs' Israeli lawyer, Nitsana Darshan-Leitner, said they had expert assessments linking Hamas to the other attacks. Hamas is designated a terrorist organisation by the United States. The lawsuit was brought under the 1992 Anti-Terrorism Act that prohibits American businesses from providing any material support, including services, to designated terrorist groups and their leaders. Sami Abu Zuhri, a Hamas spokesman in Gaza, called the lawsuit an Israeli attempt to blackmail Facebook and accused Israel of trying to turn the social network into a spy tool against Palestinians. He said some Israeli politicians and soldiers had "expressed pride at the killing of Palestinians" on Facebook and other social media. "The real test for the owners of Facebook is to reject this (Israeli) pressure," Abu Zuhri said. Darshan-Leitner, of the Shurat HaDin-Israel Law Centre, filed a class action suit in October for an injunction against Facebook to stop carrying alleged Palestinian incitement. She said proceedings were still under way in that case. (Additional reporting by Nidal al-Mughrabi in Gaza; Editing by Jeffrey Heller and Janet Lawrence) By Denis Dumo JUBA (Reuters) - South Sudan's president and vice president ordered their loyalists to cease hostilities on Monday after days of fighting threatened to plunge the country back into civil war and bring further instability to an impoverished region of Africa. Fighting erupted four days ago in the capital Juba between followers of President Salva Kiir and Riek Machar, the former rebel leader who became vice president under a deal to end a two-year civil war. The violence, which has killed hundreds of people, broke out as the world's newest nation prepared to mark five years of independence from Sudan on July 9. Presidential spokesman Ateny Wek Ateny said Kiir and Machar had spoken by phone on Monday, a day which saw tanks and helicopters involved in some of the fiercest clashes yet. "All the commanders of (Kiir's) forces are directed to cease any hostility and abide by the order and control their forces," Ateny told Reuters. "President Salva Kiir is determined to carry on his partnership with Riek Machar." Machar responded by ordering his troops to stand down. "The president has declared a unilateral ceasefire, I want to reciprocate the declaration of unilateral ceasefire," he told the independent Eye Radio. Much is unclear, however, about the latest violence in Juba, including what the objective of either side has been and how much control Kiir and Machar have over their forces. The U.S. State Department said it was carrying out an "ordered departure" of its staff from South Sudan. The fighting has raised fears of a return to the civil war that erupted in late 2013 and broadly ran along ethnic lines, pitting Kiir, an ethnic Dinka, against Machar, a Nuer. The conflict killed thousands of people, forced more than 2.5 million people from their homes and left almost half the population of 11 million people struggling to find food. Oil production, by far the biggest source of government revenue, has plummeted. A new flare-up risks driving yet more people to refugee camps in neighboring countries in the central African region, which is already plagued by myriad woes. Central African Republic is riven by conflict, the eastern region of the Democratic Republic of Congo is contending with a patchwork of militias and rebels groups, and Burundi is embroiled in a violent political crisis. The U.N. Security Council on Sunday demanded Kiir and Machar rein in their forces and end the fighting. The U.N. mission in South Sudan, UNMISS, expressed its "outrage" after its bases in Juba were caught in the crossfire between the two sides and two Chinese peacekeepers were killed. The United States condemned the violence and said it would hold those who commit atrocities or impede efforts to stop the fighting "fully accountable." "We call on those fighting to return to their barracks. This senseless and inexcusable violence, undertaken by those who yet again are putting self-interest above the well-being of their country and people, puts at risk everything the South Sudanese people have aspired to over the past five years," White House national security adviser Susan Rice said in a statement. WRANGLING Kiir and Machar have long been rivals, both in politics and on the battlefield. Civil war broke out in 2013 a few months after Kiir sacked Machar as his deputy. Fighting has often erupted outside Juba since the two men signed a peace deal in August last year. But this was the first time it had flared in Juba since Machar finally returned in April after months of wrangling about terms of the pact. Clemence Pinaud, an assistant professor at Indiana University and an expert on South Sudan, said that tensions increased in Juba during the past month. "We most likely witnessed an acceleration ... into a full-on war in Juba between the two parties," Pinaud said. Experts say the failure to swiftly implement important elements of the deal, such as integrating and demobilizing their forces, has allowed tension to fester and risked igniting a new conflict. U.N. Secretary-General Ban Ki-moon on Monday urged the Security Council to impose an arms embargo on South Sudan, sanction leaders and commanders who blocked the implementation of a peace deal and fortify the UNMISS mission. There has been no official death toll from the recent flare up but at least five soldiers died on Thursday and a Health Ministry source said 272 people, including 33 civilians, were killed on Friday. Sunday and Monday's fighting was more fierce. UNMISS said gunfire had erupted on Monday around the U.N. headquarters in the Jebel area of Juba and also around a base near the airport. It said U.N. sites had been hit in exchanges of fire, killing eight and injuring 67. Highlighting lawlessness on Juba's streets, one resident said he saw police officers trying to loot a shop in his neighborhood by shooting off the padlocks and firing in the air to scare away people, echoing similar witness reports on Sunday. An army spokesman said that any soldier found stealing civilian property or looting would be arrested, and shot at if they resisted. The African regional grouping IGAD echoed the U.N. Secretary-General's call to beef up UNMISS's mandate, calling for it be given an enforcement role similar to a U.N.-backed intervention brigade working in eastern Congo. Through the civil war, however, world powers and regional states struggled to find leverage over the warring factions, despite U.S. and European sanctions on some military leaders and African threats of punitive actions. (Additional reporting by Ben Blanchard in Beijing, Michelle Nichols in New York, George Obulutsa in Nairobi and Isma'il Kushkush, Mohammad Zargham and Eric Beech in Washington; Writing by Edmund Blair; Editing by Pravin Char, Grant McCool, Toni Reinhold) The state government today asked Amarnath pilgrims to refrain from taking Baltal and Phalgam routes in the wake of the unrest after the killing of Hizbul commander Burhan Wani. By Ashwini Kumar: In view of the ongoing turmoil in Kashmir Valley after the killing of Hizbul Commander Burhan Wani, Amarnath Yatra has been suspended for the third consecutive day. The state government today announced that yatra will not be allowed from Baltal and Phalgam routes. "We cannot take the risk of allowing pilgrims to take the route from Jammu to Baltal and Phalgam," said Inspector General of Police Jammu, Danish Rana. advertisement ABOUT 8,000 PILGRIMS STRANDED IN JAMMU Rana added that the yatra will be resumed after the situation improves. According to him, about 8,000 pilgrims were stranded in Jammu. Thousands of pilgrims were stranded at different places of valley and are unable to reach there native places due to curfew restrictions and protests in different areas of Valley. They reached Srinagar from Baltal on Sunday night. Sources said that most of the pilgrims are desperate as they have ran out of money and other essentials. "We are in constant touch with the Kashmir police and thousands of Amarnath pilgrims who are stranded in Baltal and Phalgam areas of Kashmir valley. All of them are safe and we believe the situation will be normal soon. They will be shifted to Jammu under protection," said Rana. "We are returning as our budget is going to finish within next two days. The train reservation for the return journey is on July 10," said Ramesh Kumar a pilgrim from Kanpur. SECURITY MEASURES TAKEN FOR SAFETY OF PILGRIMS The police said that adequate security measures are being taken to ensure safety of the pilgrims. Strict action will be taken against those indulging in rumour mongering. The IG even appealed to people not to heed to rumours. Owing to the unrest in the Valley, Amarnath Yatra remained suspended for the two consecutive days from Jammu. Officials assured that the yatra will resume when there is confidence about security of the pilgrims. Also read: Kashmir violence: Death toll 21, Omar asks Mehbooba to lead from front --- ENDS --- Photo: Getty Images/iStockphoto Republican delegates unanimously adopted an amendment to their draft platform Monday morning that called pornography a public health crisis and a public menace that is destroying lives. The language went further in its condemnation of porn than the 2012 GOP platform, which condemned child pornography and encouraged the enforcement of obscenity and pornography laws. Pornography, with his harmful effects, especially on children, has become a public health crisis that is destroying the life of millions. We encourage states to continue to fight this public menace and pledge our commitment to childrens safety and wellbeing, the amendment stated. Mary Forrester, a delegate from North Carolina, offered the amendment during the Republican National Convention subcommittee meeting on healthcare, education and crime. The full body votes on the platform changes Monday and Tuesday. Before the amendment, the platforms section on porn read that the Internet must not become a safe haven for sex offenders. The section encouraged the energetic prosecution of child pornography. In an interview with Yahoo News after the meeting, Forrester said she worked on the amendment with the conservative Christian group Concerned Women for America. She said she was worried young people became addicted to porn. Its such an insidious epidemic and there are no rules for our children, Forrester said. It seems to be for young people, they do not have the discernment and so they become addicted before they have the maturity to understand the consequences. The condemnation of porn was just one of the ways the GOP platform became more socially conservative during the subcommittee meeting. The draft platform blasted the dangerous Obama administration directive to schools to allow transgender students to use restrooms and locker rooms that correspond to their gender identity. It also called on the Supreme Court to overturn its decision last year finding a constitutional right to same-sex marriage. The platform also declared that marriage is between a man and a woman. A group of delegates, led by GOP donor Paul Singer adviser Annie Dickerson, fought to temper the platforms language on LGBT rights, but failed. Donald Trump has maintained distance from the LGBT rights battle going on in his own party, and has showed little interest in getting embroiled in the social conservative issues that have dominated the GOP in the past. Nevertheless, Trump has also reportedly signaled that hell accept the GOP party platform, which about a hundred delegates are amending Monday and Tuesday in several conference rooms in Cleveland ahead of next weeks full convention. Rudy Giuliani sparked national outrage with comments he made about the Black Lives Matter movement Sunday. Speaking on CBS's "Face the Nation," the former New York City mayor referred to the anti-police brutality movement as "inherently racist" and went on to suggest its black Americans who don't think their own lives matter, not the police. "When you say black lives matter, that's inherently racist," said Giuliani, who made tough policing a hallmark of his time as mayor. "Black lives matter. White lives matter. Asian lives matter. Hispanic lives matter. That's anti-American and it's racist." Giuliani appeared to side wholly with police in the wake of two recent high-profile, suspicious police-involved shootings and the subsequent sniper killing of five Dallas cops. Read: Dallas Gunman's Parents Tearfully Break Their Silence: 'I Love my Son... I Hate What He Did' However, he did so by blaming "black kids" for their own deaths, which has been criticized as extremely insensitive. "The real danger to them 99 out of 100 times... are other black kids who are going to kill them. That's the way they're going to die," Giuliani said. During a Monday appearance "Fox & Friends," Giuliani doubled down on his criticism of Black Lives Matter. I saved more black lives than anyone in the history of the city, Giuliani claimed. "I took over the city with 1,924 murders; I gave it to Mayor Bloomberg with 500-plus murders. 75 percent of the people saved during that time were African-American. "I believe I saved a lot more black lives than Black Lives Matter. I dont see what Black Lives Matter is doing for blacks other than isolating them. All it cares about is the police shooting of blacks. It doesnt care about the 90 percent of blacks that are killed by the blacks. That is a simple fact." Story continues Read: Dallas Sniper Was Shipped Home From Afghanistan After a Female Soldier Accused Him of Sexual Harassment Among the figures who've thus far chastised Giuliani is civil rights leader Al Sharpton, who wrote in a New York Daily News opinion piece that the presidential also-ran revealed "an appalling lack of understanding" of the issue despite having once governed millions of black New Yorkers. The New York Daily News' Monday cover featured the face of Philando Castile's fiancee's 4-year-old daughter, who witnessed the Minnesota's man's shooting at the hands of a police officer. "Is she likely to kill?" the cover asks. Actress Mia Farrow also tweeted about the controversy: "White ppl aren't qualified to criticize # BlackLivesMatter movement, we are without first hand experience. # Giuliani" Watch: Hero Mom Wounded While Shielding Her Sons From Gunfire During Dallas Sniper Attack Related Articles: (Adds detail) By Vladimir Soldatkin MOSCOW, July 11 (Reuters) - Russia's largest oil producer Rosneft may consider taking part in the privatisation of smaller rival Bashneft once the government defines the rules of the sale, a Rosneft spokesman told Reuters on Monday. "There is a question of conditions - when the conditions are defined. And once the conditions foresee equal treatment of all the market participants... we will consider it," said the spokesman, Mikhail Leontiev. Leontiev also said that Rosneft "has experience of integrating large assets". Rosneft became the world's largest listed oil producer by output in 2013 when it acquired Anglo-Russian oil company TNK-BP for $55 billion. The government plans to sell 50 percent plus one share in Bashneft as part of a wider privatisation of state assets. Earlier on Monday, Russian First Deputy Prime Minister Igor Shuvalov said that Rosneft could not be ruled out from the list of contenders for Bashneft. Russia's No.2 oil producer Lukoil has already said it wanted to buy all of Bashneft, whose market capitalisation stands at 567.8 billion roubles ($8.9 billion). The privatisation is designed to bring in extra revenue to plug holes in the state budget. However, if state-owned Rosneft is the successful buyer, that would mean the state is essentially transferring money from one pocket to another. In addition, Rosneft itself is slated for a partial privatisation, with 19.5 percent of the company on offer. Rosneft's Leontiev said the firm in this case should not be viewed as a state entity. "This is a publicly-listed company, which is operating in a competitive environment," he said. Russia will press on with major privatisations this year, senior government officials said on Monday, after the sale of 10.9 percent stake in diamond company Alrosa raised 52.2 billion roubles ($813 million). ($1 = 64.0637 roubles) (Reporting by Vladimir Soldatkin; Editing by Christian Lowe) Supreme Court Justice Ruth Bader Ginsburg. (Photo: Cliff Owen/AP) Supreme Court Justice Ruth Bader Ginsburg recently offered a surprisingly blunt assessment of Donald Trump. In an interview with the New York Times published Sunday, Ginsburg implied that the presumptive GOP nominee would do lasting harm to the Supreme Court if elected. I cant imagine what this place would be I cant imagine what the country would be with Donald Trump as our president, she said. For the country, it could be four years. For the court, it could be I dont even want to contemplate that. The left-leaning jurist also joked that if Trump were elected, it would be time for her to move to New Zealand. Ginsburgs interview with the Times Adam Liptak, conducted last Friday, is striking because Supreme Court justices rarely opine publicly on campaign politics. When justices give interviews to news outlets, its typically to promote a book. But Ginsburg apparently feels no such constraints. She told Liptak that she would remain on the Supreme Court as long as I can do it full steam, but also said the next president could leave a significant imprint on the makeup of the court. Ginsburg, 83, noted the ages of fellow Justices Anthony Kennedy and Stephen Breyer. Kennedy is about to turn 80, she said, Breyer is going to turn 78. Ginsburg also weighed in on the vacant seat on the Supreme Court. Justice Antonin Scalia died in February, and President Obama named Judge Merrick Garland to replace him but the Republican-controlled Senate has said it wont allow a vote to fill Scalias until after the election. Ginsburg said it was the Senates job to consider Garland. Theres nothing in the Constitution that says the president stops being president in his last year, she argued. She further said that Garland is about as well-qualified as any nominee to this court. If confirmed, she said, Garland would be a great colleague. Click here to read the Times full writeup of Ginsburgs remarks. Justice Ruth Bader Ginsburg has long been far more outspoken than her colleagues on the Supreme Court, and she is mincing no words when it comes to a certain presumptive Republican nominee for President. I cant imagine what this place would be I cant imagine what the country would be with Donald Trump as our President, Ginsburg said in an interview with the New York Times on Sunday. She says her late husband Martin Ginsburg, who died in 2010, would have declared: Now its time for us to move to New Zealand. Unsurprisingly, she hinted at whom she would be voting for in another interview with the Associated Press two days ago. Its likely that the next President, whoever she will be, will have a few appointments to make, she told the AP. Ginsburg, one of TIMEs 100 Most Influential People last year, also offered a ringing endorsement of Merrick Garland, President Obamas nominee to the top court following Justice Antonin Scalias death earlier this year. She described him as a superbright and very nice superprepared and qualified individual who would make a great colleague. She lamented the Republican-majority Senates continued blocking of Garland from consideration, and its insistence that the next President, to be elected in November, should be the one to appoint a new Supreme Court Justice. Thats their job, Ginsburg said, when asked whether the Senate should give the 63-year-old judge a fair hearing. Theres nothing in the Constitution that says the President stops being President in his last year. In the meantime, Ginsburg said the court deadlocked between four liberal justices and four conservative ones couldnt have done better than it did. She hailed, in particular, landmark decisions on affirmative action and abortion the latter of which she filed a widely acclaimed concurrent brief on. I wanted to highlight the point that it was perverse to portray this [the Texas law struck down by the court] as protecting womens health, she told the Times. Desperate women then would be driven to unsafe abortions. Read the full interview here. Washington (AFP) - Bernie Sanders will join one-time rival Hillary Clinton for a campaign rally Tuesday in New Hampshire as the presumptive Democratic nominee faces Donald Trump, who has proclaimed himself "the law and order candidate" amid rising gun violence. With Sanders expected to endorse the former secretary of state's bid to become the nation's first female leader, Clinton's Republican opponent Donald Trump will campaign in Indiana. His scheduled appearance with Governor Mike Pence is raising speculation that Trump could pick the state's chief executive as his running mate. The campaigns of Sanders and Clinton both said Monday that the two will join together at a high school in the city of Portsmouth "to discuss their commitment to building an America that is stronger together and an economy that works for everyone, not just those at the top." Sanders has yet to endorse his former rival for the Democratic nomination, but he has taken incremental steps over the past month toward embracing her campaign. The New York Times reported last week that Sanders's anticipated pledge of support is the result of weeks of talks between the two campaigns aimed at unifying the party. Clinton clinched enough delegates to secure the nomination in early June, after a yearlong battle with Sanders, a US senator from Vermont. But the feisty self-described democratic socialist nevertheless has refused to concede defeat to his more moderate rival, although he has said he will vote for Clinton and will do anything to help defeat Trump. Sanders has been negotiating with the Clinton campaign to ensure that his ideas are part of the party platform presented at the Democratic National Convention later this month, when Clinton is formally nominated. Party officials met over the weekend in Orlando, Florida to finalize the Democratic platform, which they described as the most ambitious and progressive yet in history. Story continues The party reached agreement on language concerning climate change, health care and raising the minimum wage in America to $15 per hour. But they reportedly failed to reach common ground on the Trans-Pacific Partnership trade accord. - Pence for VP? - Trump meanwhile was campaigning Monday with New Jersey Governor Chris Christie in Virginia, where Trump proclaimed himself the only candidate who will be able to keep Americans safe. "I am the law and order candidate," the presumptive Republican nominee said in Virginia Beach. The declaration, reminiscent of remarks made by former president Richard Nixon in his 1968 campaign, came as Trump highlighted recent killings including the horrific shooting deaths of five Dallas officers in a gunman's sniper-like assault. "The attack on our Dallas police is an attack on our country. Our whole nation is in mourning," Trump said, as he pledged to "fight" for law enforcement personnel and ensure they have Washington's full backing. "Without safety we have nothing." Trump and Clinton both halted their campaigning for a day after Thursday's Dallas police murders. But on Monday Trump ripped into Clinton as "weak, ineffective" and hobbled by the criticism about her use of private email during her tenure as top diplomat. "Crooked Hillary is the secretary of the status quo, and wherever Hillary Clinton goes, corruption and scandal follow," Trump said. Christie, a potential vice presidential pick, joined Trump as reports swirled that the billionaire real estate tycoon will choose a running mate in the coming days. Christie's experience running a populous state could be seen as critical for a candidate who has acknowledged his own lack of political and government expertise. Indiana's Pence would bring executive experience as well, along with a perceived steady hand at the tiller that could help counter the narrative that Trump is too incendiary and quick to provoke. Former Trump advisor Michael Caputo expressed confidence late Sunday that Trump will announce Pence as his pick during campaigning in Indiana. "I put all my chips on Pence/VP announcement at this event," Caputo tweeted. "Right guy. Right timing. Right place. #TrumpPence2016." Pence, who made a tepid endorsement of Senator Ted Cruz but switched to Trump when Cruz dropped out, told reporters Tuesday he believes Americans need strong and "clear-minded leadership." "I'm prepared to make that case anywhere across Indiana and anywhere across this country that Donald Trump would want me to," he said. By PTI: will be found New Delhi, July 11 (PTI) With unrest continuing in Kashmir, National Security Adviser Ajit Doval returned home today, cutting short his visit to Kenya where he was with Prime Minister Narendra Modi and expressed confidence that solutions would be found to the situation in the Valley. Doval, who has been sent home 24 hours early by the Prime Minister in view of the situation in Kashmir, is regularly taking stock of the developments in the valley with officials. advertisement "If there are problems, there are solutions. We are quite confident & competent of finding solutions," he told PTI when asked to comment on the situation in Kashmir. Doval was accompanying the Prime Minister on his four- nation tour of African countries -- Mozambique, South Africa, Tanzania and Kenya. They were to return home tomorrow but the NSA came early. The NSA did not elaborate but official sources expressed confidence the situation would be under control in 72 hours. "People of Kashmir are law-abiding and solidly against terrorism. They believe in peace, prosperity and development," said a government official. At the same time, government sources asserted that those holding the gun and targeting civilians or security forces would be dealt with sternly. Kashmir has been on the boil ever since the killing of Hizbul Mujahideen commander Burhan Wani, who was the poster boy militant, on Friday last in an encounter with security forces in Anantnag. PTI AKK AKK --- ENDS --- Saving for retirement is especially difficult when you are earning a small salary. But tucking away even a small amount might qualify you for tax breaks, an employer match and will get compound interest working on your behalf. Here's how to begin building wealth for retirement when you have a low income. Get help from your employer. A 401(k) match or other type of employer contribution is likely to be the fastest way to build wealth. If your company will provide 50 cents for each dollar you save in the 401(k) plan, that's a 50 percent return on your investment. A dollar-for-dollar 401(k) match will double your money. "That's actually part of your salary, so you should absolutely be taking advantage of that," says Denise Downey, a certified financial planner for Financial Trex in Spokane, Washington. "If it isn't automatically taken out of your paychecks, it's easy to come up with a reason not to save every month." Find out how much you need to save to get the maximum possible 401(k) match and make every effort to deposit that amount in your retirement account. [See: How to Reduce Your Tax Bill by Saving for Retirement.] Qualify for tax breaks. You can defer paying income tax on the amount you contribute to a 401(k) or IRA. If you are in the 15 percent tax bracket and contribute $1,000 to an IRA, you will save $150 on your current tax bill. Income tax will not be due on that money until you withdraw it from the account. Claim the saver's credit. In addition to the tax deduction for saving in a 401(k) or IRA, low and moderate income workers might qualify for the saver's credit. Employees whose adjusted gross income is below $30,750 for individuals and $61,500 for couples in 2016 are eligible to claim the credit, which is worth between 10 and 50 percent of retirement account contributions up to $2,000 for individuals and $4,000 for couples. For example, a worker who earns $30,000 and manages to put $1,000 in a 401(k) could get a tax credit worth $100. Story continues [Read: Retirement Planning Decisions You Might Later Regret.] Consider a Roth account. A relatively low income usually means you pay a lower income tax rate than people who earn more. If you save in an after-tax Roth IRA or Roth 401(k), you can lock in your current low tax rate and set yourself up for tax-free income in retirement. A Roth IRA can be especially beneficial if you expect to be in a higher tax bracket in retirement. For example, let's say you save $1,000 in a Roth IRA and pay 15 percent tax, or $150, on the contribution. After age 59 1/2, you will be able to withdraw that money and the investment earnings without having to pay income tax on it. If you saved that same $1,000 in a traditional IRA, you wouldn't have to pay the $150 in taxes on it upfront. However, if your Social Security income and retirement savings put you in the 25 percent tax bracket in retirement, you would have to pay $250 for income tax when that $1,000 comes out of the retirement account. "If you are in a low tax bracket now, typically you are going to be in a higher tax bracket as you get older," says Steve Taylor, a certified financial planner and president of Colt Financial in Franklin, Massachusetts. "You would pay less tax now, so it would make sense to be contributing to a Roth that gives you that ability to be tax-free when you are in a bigger tax bracket." Check out the myRA. America's newest retirement account, the myRA, has only one investment option: a U.S. Treasury retirement savings bond that is guaranteed never to decline in value. The account is aimed at those who don't have a 401(k) account at work, but it is open to most workers with earned income. The myRA accepts small contributions and doesn't have any low-balance fees. You can contribute via payroll deduction, direct deposit from a checking or savings account or redirect your tax refund to the account. "It's very simple to set up and convenient," says C. Bradley Bond, a certified financial planner for C. B. Bond Financial Planning in Export, Pennsylvania. "It invests in U.S. Treasury securities, and it's paying about 2 percent now." However, you aren't allowed to accumulate a large nest egg in this starter retirement account. Once your balance hits $15,000 or the account turns 30 years old, you will be required to transfer your savings to a Roth IRA. [See: 10 Retirement Planning Moves to Make in Your 20s.] Have the money withheld from your paycheck. If you know you won't stay motivated to save for retirement every month, have the money withheld from your paycheck before you ever get a chance to spend it. This usually happens automatically when you sign up for a 401(k) plan, but you can also elect to have part of your paychecks directly deposited into an IRA or myRA. Alternatively, you could set up recurring transfers from your checking account to a savings or retirement account. "Saving $20 per month sets a healthy habit in place, and you are living below your means," says Julie Ford, a certified financial planner for Ford Financial Solutions in New York. "If you are putting money into the market and investing for the long term, you are going to see that amount of money slowly grow over time, and that is really encouraging."  Emily Brandon is the author of "Pensionless: The 10-Step Solution for a Stress-Free Retirement." Paris (AFP) - Calling the AIDS epidemic "the most important global health challenge in modern history," more than 50 top scientists pressed their case Monday for a drive to stop the killer disease in its tracks. Anchored by Nobel Medicine laureate Francoise Barre-Sinoussi, the group unveiled an aggressive research strategy for an outright cure -- an objective once seen as unrealistic and out of reach. "Not long ago, few considered the possibility that a cure for HIV infection could some day be possible," said Barre-Sinoussi, who in 1983 helped identify the mysterious virus that causes AIDS. Today, "the search for a cure has become a top priority in HIV research," she said in a statement, hailing a "new optimism" among experts. The blueprint is published in the journal Nature Medicine ahead of a meeting of specialists on July 18-22 at the 21st International AIDS Conference in Durban, South Africa. The scientists take stock of many recent gains in treating the human immunodeficiency virus (HIV), but argue that the solution for ending the pandemic lies in a cure. In 2004, AIDS deaths peaked at more than two million. Last year, the toll was around 1.2 million lives -- a decline attributed in large part to the success of anti-retroviral (ART) drugs, which reduce the symptoms of people carrying the virus. - 'Daunting' situation- But less than half of an estimated 37 million people worldwide living with HIV receive therapy. Helping them to grasp that lifeline will require a huge, lifelong commitment. On top of that, the powerful drugs can have toxic side-effects. Those problems are "daunting" and the economic costs "might be unsustainable," the strategy warned. As a result, preventing and destroying the virus should be top priorities. On the prevention scoreboard, experimental vaccines show promise, but are probably years away. As for eradication, scientists understand far better now how HIV remains barricaded in tissues -- such as the lymph nodes and the gut -- after being beaten back by ART therapy, the standard drug cocktail given to HIV patients. Story continues Research has found starting ART therapy early limits the ability of the virus to establish a redoubt. The scientists also outline an array of tactics for identifying how the virus tucks itself away inside the human body, and methods for flushing it out and killing it. But, they say, "the challenges... remain substantial," and there are still many pieces missing in the puzzle. One prime target is so-called CD4-plus T cells. These play a central role in the body's immune system, which defends against viral and microbial intruders. HIV finds secret hideaways in long-lived "memory" versions of these cells, but how the virus does this is not fully understood. Another objective is to strengthen the immune system. Foot-soldiers known as "broadly neutralising antibodies" may be able to recognise these latently-infected cells, and then call on specialised "killer" cells to destroy them. Better lab tools are needed, the scientists added, for measuring HIV persistence. A common idea is that HIV only needs a few CD4-plus T cells to hideout. But sequencing of viral genomes suggest the disease's reservoir could be much larger. Barre-Sinoussi launched the cure initiative in 2010 among a small group of scientists, some of whom were doubtful that eradicating HIV -- as opposed to holding it in check -- was feasible. Two years later, the group developed its first long-term strategy, which is now being updated. Global investment in finding a cure has risen from $88 million (80 million euros) in 2012 to $202 million (183 million euros) in 2015, most of which came from the US government. By Rushil Dutta July 11 (Reuters) - Southeast Asian stock markets rose on Monday, in line with Asian shares, as upbeat U.S. jobs data mitigated concerns about the health of the world's largest economy. Indonesian stocks led the gains, climbing to their highest since June 2015. The U.S. economy added 287,000 jobs last month, the highest total in eight months, smashing the consensus forecast of 175,000. "A stronger jobs report more or less eschews heightened concerns about the U.S. economy coming to a screeching halt," said Vishnu Varathan, a senior economist with Mizuho Corporate Bank in Singapore. "There is therefore a common understanding that the Fed will not rush into rate hikes and will watch quite a few data sets." The Jakarta Composite Index gained 2.1 percent, led by telecoms and consumer staples. "After a long Eid holiday, the JCI is expected to trade moderately higher today, following positive sentiment from both the United States and Japan," said Dang Maulida, an analyst with Jakarta-based Daewoo Securities. "The JCI is expected to trade within 4,950-5,010 today." Telekomunikasi Indonesia and cigarette manufacturer Gudang Garam were among the biggest gainers, rising 5.8 percent and 6.6 percent, respectively. Philippine shares were 1.1 percent higher, led by property developers and consumer cyclicals. SM Prime Holdings and Ayala Land gained 1.6 percent and 2.2 percent, respectively. Singapore's Straits Times index rose to its highest in over two months, with consumer services and financials leading the gains. Thailand and Malaysia gained 0.9 percent and 0.6 percent respectively, while Vietnam remained flat. MSCI's broadest index of Asia-Pacific shares outside Japan jumped 1.9 percent to a one-month top. For Asian Companies click; SOUTHEAST ASIAN STOCK MARKETS STOCK MARKETS Change at 0353 GMT Market Current Previous Pct Move Close Singapore 2873.33 2847.04 0.92 Bangkok 1468.71 1455.65 0.90 Manila 7856.07 7771.52 1.09 Jakarta 5069.322 4971.581 1.97 Kuala Lumpur 1654.36 1644.54 0.60 Story continues Ho Chi Minh 658.54 658.68 -0.02 Change on year Market Current End 2015 Pct Move Singapore 2873.33 2882.73 -0.33 Bangkok 1468.71 1288.02 14.03 Manila 7856.07 6952.08 13.00 Jakarta 5069.322 4593.008 10.37 Kuala Lumpur 1654.36 1692.51 -2.25 Ho Chi Minh 658.54 579.03 13.73 (Reporting by Rushil Dutta; Editing by Subhranshu Sahu) NEW YORK, NY / ACCESSWIRE / July 11, 2016 / Levi & Korsinsky announces it has commenced an investigation of GigPeak, Inc. (NYSE MKT: GIG) concerning possible breaches of fiduciary duty by the board of directors of the company. To obtain additional information, go to: http://zlk.9nl.com/gigpeak-gig or contact Joseph E. Levi, Esq. either via email at jlevi@zlk.com or by telephone at (212) 363-7500, toll-free: (877) 363-5972. Levi & Korsinsky is a national firm with offices in New York, New Jersey, California, Connecticut and Washington D.C. The firm's attorneys have extensive expertise in prosecuting securities litigation involving financial fraud, representing investors throughout the nation in securities and shareholder lawsuits. Attorney advertising. Prior results do not guarantee similar outcomes. CONTACT: Levi & Korsinsky, LLP Eduard Korsinsky, Esq. 30 Broad Street - 24th Floor New York, NY 10004 Tel: (212) 363-7500 Toll Free: (877) 363-5972 Fax: (212) 363-7171 www.zlk.com SOURCE: Levi & Korsinsky, LLP HOUSTON (Reuters) - Anglo-Dutch oil company Royal Dutch Shell (RDSa.L) was awarded a tender last week to sell 1 million barrels of Nigeria's Bonny Light crude to a group of refining firms in Argentina, traders said on Monday. Firms buying the cargo include Oil Combustibles, Axion Energy, Petrobras Argentina (PER.BA) and Shell. The crude must be delivered on August 1-10 and it will be processed at several domestic refineries. It was not immediately possible to know the price agreed for the cargo. Shell has a policy not to comment on such commercial issues. Argentina has imported two 1-million-barrel cargoes of African crudes so far this year, but the new government of Mauricio Macri is trying to reduce purchases by securing a larger supply of domestic oil to domestic refineries. (Reporting by Marianna Parraga; Editing by Andrew Hay) When an Italian network cut a steamy same-sex scene from the pilot of How to Get Away with Murder, Shonda Rhimes, star Jack Falahee and series creator Pete Nowalk had one response: We object! Falahee, who plays openly gay law student Connor Walsh on the ABC series, heard from fans this weekend that Italian network Rai Due had edited out a scene in which Connor seduces his eventual boyfriend Oliver ( Conrad Ricamora) to gain information for the defense. Retweeting a side-by-side cut of the two scenes that a viewer had made, he drew attention to the discrepancy, writing, "Damn, this is crazy." From there, he continued to speak out against the censorship, urging viewers to sign a petition against the network and asking one follower, "Do you really believe that audiences in Italia aren't 'ready' for real life? Even Vatican has begun to open its eyes." Do you really believe that audiences in Italia aren't "ready" for real life? Even Vatican has begun to open its eyes https://t.co/SoF6pA2h7l a Jack Falahee (@RestingPlatypus) July 9, 2016 Falahee's campaign caught the attention of HTGAWM producer Rhimes, who retweeted several of her star's posts while issuing her own series of tweets: "Censorship of any love is inexcusable. ... Question #shondalandfamily: have u noticed LGBTQ scenes censored on our shows in other countries before?" Question #shondalandfamily: have u noticed LGBTQ scenes censored on our shows in other countries before? Because... https://t.co/Z6EXi5W4qY a shonda rhimes (@shondarhimes) July 9, 2016 Nowalk soon joined the conversation, too, writing, "I haven't heard of this until now. Shocked and disappointed." Story continues He added, "Fans, let me know when the show is edited in your country. #LoveIsLoveIsLove." I didn't know! Fans, let me know when the show is edited in your country. #LoveIsLoveIsLove https://t.co/qAgGE9HaSi a Pete Nowalk (@petenowalk) July 9, 2016 After the social media uproar, Rai Due issued an apology (though Falahee initially considered them to be "dodging the issue at hand" and "assigning [blame]"); the network also ultimately aired the original cut of the episode. Good news, thanks to all the fans for making this happen. #HTGAWM #LoveIsLoveIsLove https://t.co/jMYweh5Y7h a Pete Nowalk (@petenowalk) July 9, 2016 WE ended censorship in this case. YOU ALL inspire me. Thank you for your voices! #loveislove https://t.co/Fc2ktX6yBP a Jack Falahee (@RestingPlatypus) July 10, 2016 Both Nowalk and Falahee credited the fans for their part in the reversal, with Nowalk writing, "Good news, thanks to all the fans for making this happen," and Falahee affirming, "WE ended censorship in this case. YOU ALL inspire me. Thank you for your voices!" How to Get Away with Murder returns to ABC on Sept. 22. VANCOUVER, BC / ACCESSWIRE / July 11, 2016 / Sienna Resources Inc (SIE.V) (HRJ1.F) (HBNRF) wishes to announce that Sienna has engaged GeoXplor Corp. of Anthem Arizona to oversee Sienna's work program on its 100 percent owned "Clayton Valley Deep Basin Lithium Brine Project." GeoXplor have been influential in the development of the lithium brines in the Clayton Valley since 2008. GeoXplor currently are operators on Pure Energy Minerals' Clayton Valley South project, where they were instrumental in helping discover and identify an Inferred Resource of 816,000 tonnes of Lithium Carbonate equivalent (July 2015 NI 43-101) and also is operational manager for Lithium X Energy Corp's operations in Clayton Valley. Sienna's "Clayton Valley Deep Basin Lithium Brine Project" is located directly between and bordering Pure Energy Minerals Limited (TSXV:PE) and Lithium X Energy Corp (TSXV:LIX). The "Clayton Valley Deep Basin Lithium Brine Project" is located in parts of the deepest sections (refer to the map) of the only lithium brine basin with a producing operation in North America (Albemarle's (NYSE:ALB) Silver Peak Mine). Clayton Valley Depth of Basin Map To view an enhanced version of this image, please visit: http://orders.newsfilecorp.com/files/854/21512_sienna2.jpg Jason Gigliotti, President of Sienna Resources Inc. stated, "We are very fortunate to be able to engage GeoXplor who have the most intimate knowledge of Clayton Valley. The GeoXplor team have been instrumental with the development and discovery regarding the lithium brine deposit that Pure Energy Minerals Limited has, and we look forward to utilizing their experience and expertise to develop our "Clayton Valley Deep Basin Lithium Brine Project." GeoXplor has proven to be the preeminent Clayton Valley lithium brine discovery team. We are very excited to start up our program as Sienna is located in the deepest sections of the basin that holds the only lithium development in Nevada." Story continues John Rud M.Sc. Geologist and a Principal of GeoXplor Corp states that "We look forward to working with the Sienna team as their project is located in the deepest sections of the Clayton Valley Basin, the only producing lithium brine basin in North America, with no company to date, testing these deeper sections. Their (Sienna's) efforts in this regard is something we are very excited about." Sienna has also granted 550,000 incentive stock options to directors, officers and consultants at an exercise price of 23 cents per share for six months. The options have been granted in accordance with the company's stock option plan. If you would like to be added to Sienna's email list please send an email to info@siennaresources.com or our twitter account at @SiennaResources Contact Information Tel: 1.604.646.6900 Fax: 1.604.689.1733 www.siennaresources.com info@siennaresources.com "Jason Gigliotti" President, Director Sienna Resources Inc. Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this press release. SOURCE: Sienna Resources Inc. Its banking on improving energy efficiency. Singapore is aiming high in its goals to go green, as the National Climate Change Secretariat (NCCS) has unveiled the Singapore Climate Action Plan. According to the NCCS media release, Singapore is looking to slash greenhouse gas emissions intensity by 36% compared to 2005 levels by 2030. Also, the city-state is striving to stabilise its emissions with the aim of peaking around 2030. Improving energy efficiency will continue to be Singapores key strategy to reduce greenhouse gas emissions, and plans have been made to expand the scope of current initiatives across all sectors, namely the power generation, industry, buildings, transport, household, waste and water sectors, said the release. For the industrial sector, a study commissioned by NCCS projected that up to 20% of energy saving could be achieved by 2030 compared to business-as-usual levels. NCCS reported that there were significant opportunities in the petroleum, petrochemical, and semiconductor sub-sectors. NCCS further shared that Singapore will also invest in low carbon technologies, as well as scale up low carbon solutions for deployment in Singapore. Our plan is to raise the adoption of solar energy to 350 MWp by 2020, compared to 60 MWp today, revealed NCCS. More From Singapore Business Review By Patrick Markey SIRTE, Libya (Reuters) - When Western officials cajoled Libya's warring factions to support a unity government this year, they said it was a chance for the rival armed brigades to unite against the common enemy, Islamic State. Libyan forces may be close to winning the battle for the militant group's stronghold in Sirte, but divisions may deepen if one of the most powerful brigades, led by commanders from Misrata, takes control of the prize city. For Western powers, keen to stabilize the North African country in chaos since its 2011 revolution, the fragile Tripoli government of Prime Minister Fayaz Seraj is the only way to bring together factions that were at war just two years ago. How the battle for Sirte ends -- Misrata commanders cannot say how long city will hold out -- may determine the fate of Seraj, Libya's alliances and its future oil exports. The Misrata's brigades, at the front of the fight against Islamic State in Sirte, are backing Seraj but, after losses in battle there, are in no mood for compromise with rivals and are growing frustrated with Tripoli. "We get nothing from the unity government. This is supposed to be a fight for Libya, but it's been 70 percent led by Misrata," said Misrata fighter Mohamed Yousef, on the Zafran frontline in Sirte. "If nothing changes, Seraj's time will come. Maybe he will do well, but if nothing changes?" With no national army, brigades of former rebel fighters, who battled to oust Muammar Gaddafi in 2011 before turning against one another, are powerbrokers who form a patchwork of quasi-fiefdoms across the OPEC country. Brigade loyalties are often to city, region and tribe over nation. Smaller brigades may ally with Misrata because their interests are threatened by Islamic State. But to the east, Gen Khalifa Haftar's rival forces, long antagonistic to Misrata, have little interest in cooperation. Two months after they began a campaign to liberate Sirte, Misrata commanders say they are close to finishing a fight that may give them an advantage over Haftar, who along with other eastern hardliners has rejected Seraj's government. "We are monitoring Sirte," said Col. Ahmad Messmari, spokesman for Haftar forces. "Regarding operations there, we dont have any connection with them." Caught in between Misrata and Haftar's forces and with his own base close to Sirte is Ibrahim Jathran, the former rebel whose Petroleum Facilities Guards brigades control key oil ports Ras Lanuf and Es Sider, vital for restarting oil exports. He has allied with Seraj's government for now, and clashed with Haftar. He says he is working to reopen the ports. But his relations with Misrata are tense. His troops battled Misrata in 2014 over the oil ports. Brigades from Misrata, the western town of Zintan and other regions already claim competing legitimacy because of their role ousting Gaddafi. They have in the past used military force against political rivals in the absence of strong government. Mattia Toaldo, Libya expert at the European Council on Foreign Relations, said the fall of Sirte to Misrata may now embolden them: Haftar to push while Misrata is recovering from Sirte, and for Misrata to resist pressure to compromise. "The victorious end of Misrata's offensive on Sirte could be the harbinger of more infighting between different factions," he said. "Ultimately, the current relative absence of violence in Libya is very fragile in many areas and could crumble under these multiple pressures." MAIN BASE Misrata forces are surrounding the remains of Islamic State fighters holding out in the centre of Sirte in what commanders hope are the final stages of a battle to liberate the city. Commanders say after taking control of residential areas near the centre, as few as 300 militants may be left in a small area that includes the Ouagadougou conference hall complex, a city hospital and a university. Fighting eased during the Eid al-Fitr festivities that marked the end of holy month of Ramadan last week but has started to pick up with shelling of the centre. "They might have someone important still inside, they are acting like a mad dog in a corner, trying to get out," said Abnulsana, a brigade representative at a Sirte command centre. The loss of Sirte would take away Islamic State's main base in Libya, one it promoted as beachhead in North Africa. Earlier this year, U.S. officials estimated 6,000 fighters were in Islamic State in Libya. Some commanders and fighters are likely to have escaped to the south along smuggling routes using ties between IS and Nigeria-based Boko Haram, Misrata intelligence chief Brigadier Mohamed Gnaidy said. But officials worry that if Sirte falls, Libya may face a campaign of bombing, just as Islamic State's loss of territory and the city of Falluja in Iraq led to attacks in Baghdad. "Some of their leaders may have moved south to create a pocket of resistance," Gnaidy told Reuters. "After Sirte we can expect explosions in cities and checkpoints." Whatever the outcome of Sirte's battle it has already strengthened Misrata commanders' belief that they deserve a larger say, especially over Haftar who they see as illegitimate. "We want what we deserve. The international community should put their hands with those who really want to build Libya," Gnaidy said. "There will be no place for Haftar in a Libyan army, not in 100 years." Haftar, a former Gaddafi ally who returned from exile to help fight in the 2011 war, is despised by many in western Libya who suspect he wants to install a new military dictatorship. But the general is backed by many in the east who say he has taken on Islamist militants there. His hardline supporters have so far refused to accept authority of Seraj's U.N.-backed government in Tripoli. (Editing by Anna Willard) Pakistan Prime Minister Nawaz Sharif has called for a plebiscite in "occupied" Jammu and Kashmir to let its people decide if they want to be with India or align with Pakistan. Hafiz Saeed accompanied by some of the key members of JuD's cyber cell held extensive meetings with Hizb-ul-Mujahideen chief Sayeed Salahuddin and his close associates in Muzaffarabad, on Saturday. By India Today Web Desk: As Kashmir remained on the edge with 23 dead and normal life crippled for the third day, the government of Pakistan and the terror groups operating from its soil made their respective provocations, forcing a strong rebuttal from India. While Prime Minister Nawaz Sharif called for a plebiscite in "occupied" Jammu and Kashmir to let its people decide if they want to be with India or align with Pakistan, JuD chief Hafiz Saeed and Hizbul Mujahideen's Sayeed Salahuddin met at a public event in Pakistan-occupied Kashmir. advertisement PM SHARIF CONDEMNS WANI'S KILLING BY SECURITY FORCES In a statement, Sharif voiced "concern and deep sorrow" over the killing of Hizbul commander Burhan Wani on Friday by security forces that has triggered widespread violence in the Kashmir Valley. Pakistan Prime Minister Nawaz Sharif said, Radio Pakistan quoted Sharif as saying in a statement that the "massacre of citizens by Indian forces and use of brutal force against Kashmir is regrettable". He urged India to respect the human rights in "occupied Kashmir" -- a Pakistani euphemism for Jammu and Kashmir. HOLD A PLEBISCITE IN JAMMU AND KASHMIR: SHARIF TO INDIA Sharif said "unarmed Kashmiris cannot be deterred from their rightful struggle for self determination at gunpoint" and that the right to self determination was justified by United Nations resolutions. He urged India to fulfil its commitment to hold a plebiscite in Jammu and Kashmir "to ascertain the will of the people for the future alignment with either Pakistan or India". Sharif also expressed concern over the continued house arrest of Kashmiri separatist leaders. Most of the separatists, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. The statement by Pakistan government evoked a strong rebuttal from India. "Whatever is happening in Jammu and Kashmir is an internal matter of the India. If Pakistan wants to do something, it should stop human rights violations in the areas of Kashmir it has illegally captured," Minister of State for Home Kiren Rijiju said. The death toll in the clashes between protesters and security forces in Kashmir mounted to 30 as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of Wani's killing. ALSO READ: Hafiz Saeed and Syed Salahuddin's unholy alliance behind Kashmir unrest Kashmir violence: We need support of Hurriyat Conference, says PDP leader Naeem Akhtar --- ENDS --- Base camp. American forces in Iraq might soon set up camp at an old U.S. base just south of Mosul, using it to support the Iraqi armys long-awaited assault on the Islamic State-held city. U.S. and Iraqi officials told reporters traveling with Defense Secretary Ash Carter in Baghdad on Monday that the Qayyarah Air Base also known as Q-West during the U.S. occupation could serve as a main logistics hub in the coming push for the city. Iraqi forces kicked ISIS fighters out of the base over the weekend in a major assault featuring dozens of tanks and hundreds of troops. One anonymous U.S. official compared Qayyarah to al Taqqadum, a base in Anbar province that played a key role in the liberation of Ramadi in December and Fallujah earlier this year. American forces have already visited the site and are planning to create a logistics hub there, so there will be U.S. logistics support, Carter told reporters. The airfield will allow Iraqi security forces, accompanied and advised by us as needed, to complete the southernmost envelopment of Mosul, he added. General rule. Carter was also briefed by Lt. Gen. Sean MacFarland, commander of U.S. troops in Iraq. As MacFarlands tour in the country winds down, word is XVIII Airborne commander Lt. Gen. Stephen Townsend will take over for him later this year. Now, the work. The NATO summit in Warsaw, Poland is over. Promises were made, agreements signed, dinners held and glasses clinked. Now comes the time to actually move out. To Moscows annoyance, the alliance has pledged to deploy four battalions to its eastern flank, with the U.K. shipping troops to Estonia, Germany in Lithuania, Canada in Latvia and the U.S. in Poland. Rent to own. The United Kingdom continues to play a key role in the NATO alliance, even as London starts laying the groundwork for leaving the European Union. One side-effect of the impending departure may be that the U.K.s nuclear submarine fleet might end up homeless, and no one is quite sure where they might find mooring if Scotland votes to remain in the EU, and kicks the Brits out of their only sub base in Faslane. Story continues FPs Dan De Luce reports that a 2012 parliamentary report concluded that it could take up to 20 years and cost 3.5 billion pounds to build alternative port facilities to accommodate the submarines south of the Scottish border. Thats a serious problem for London and NATO, as Britains sub force plays a crucial role guarding the so-called GIUK gap, the stretch of the North Atlantic from Greenland and Iceland to the United Kingdom itself which is the Russian Navys potential entry point into the Atlantic Ocean. Experts say the subs could dock at U.S. Navy bases in Virginia or Georgia on a temporary basis. Next for China. On Tuesday and an international court in the Hague is expected to hand down its ruling China and the Philippines maritime claims, and nobodys quite sure how Beijing will react if things dont go its way. Experts are warning that China could announce the creation of an air defense identification zone over the entirety of South China Sea or even outright seize the disputed Scarborough Shoal if the Permanent Court of Arbitration rules in the Philippines favor. Manila brought the case to the panel in 2013 and China has been issuing prebuttals of the court ever since, saying its not bound to respect the panels ultimate decision. FPs Dan De Luce has been all over the issue, so expect lots more this week. A huge shoutout to David Francis and Adam Rawnsley for holding down the SitRep fort while I was visiting family in a revitalized Buffalo, NY. (Its all happening, folks.) They did a predictably awesome job in a what turned out to be a grim, and news-heavy, week. As always, if you have any thoughts, announcements, tips, or national security-related events to share, please pass them along to SitRep HQ. Best way is to send them to: paul.mcleary@foreignpolicy.com or on Twitter: @paulmcleary or @arawnsley Ukraine The war in eastern Ukraine between government forces and Russian backed separatists and Russian troops grinds on, though the low-level conflict has mostly fallen from the headlines. U.S. News & World Reports Paul D. Shinkman recently headed to the country, moving from Kiev to the front lines in the countrys disputed east, and found that most Ukrainians dont favor much more in terms of U.S. military support. In a visit to KIev on Monday, Canadian Prime Minister Justin Trudeau said that Russia has not been a positive partner in keeping its obligations under the Minsk ceasefire agreement to end a Russian-backed separatist conflict in eastern Ukraine. Trudeau also announced $13 million in new humanitarian aid for Ukraine and an increase in the number of Canadian observers for the Organization for Security and Co-operation in Europe in the eastern Donbass. North Korea North Korea is plenty mad at the U.S. these days and its issuing a series of threats, leaving outsiders to wonder if its part of Pyongyangs usually dyspeptic propaganda or a sign of something more serious to come. North Koreas military says that the recent U.S.-South Korean agreement to deploy a battery of Terminal High Altitude Air Defense (THAAD) missiles to South Korea will merit a physical response measures from us that would turn South Korea into a sea of fire and a pile of ashes. Nor is the North the only country upset at the THAAD deployment. China has harshly criticized the decision to send the missile system to South Korea, saying it could be used to target Chinese equipment instead of North Korean missiles. South Sudan Intense fighting erupted once again in South Sudans capital, Juba, on Sunday, after days of similarly heavy clashes left more than 250 soldiers and former rebels dead, imperiling an already faltering peace deal and threatening to plunge the country back into all-out civil war. FPs Ty McCormick and contributor Jason Patinkin report that thousands of civilians are fleeing the fighting. Russia Two Russian pilots were killed when their Mi-35 attack helicopter was shot down near Palmyra, Syria. The Islamic State-affiliated Amaq propaganda outlet released a claim of responsibility for the downing complete with footage purporting to show the chopper being hit by unidentified munitions. Not everyone is convinced that the jihadist group is responsible for the incident, however. The open source investigators from the Conflict Intelligence Team blog, however, says that the helicopter may have been hit by friendly rocket fire from a second Mi-35 seen nearby at the time. Heres a protip: if youve just finished spy school, dont pose for a class photo on graduation day while you and your buddies swill champagne after swerving through traffic in a loud, honking motorcade. The Sunday Times reports that a group of 50 officers from Russias domestic intelligence agency, the Federal Security Service (FSB), are in deep trouble after blitzing through traffic in Moscow, horns blazing and selfies snapping, after graduating from the KGB successors training class. Photos of the raucous officers, bottles in hand and faces clearly visible, have surfaced online and the would-be FSB personnel are garnering harsh criticism from Russian intelligence veterans. Syria The family of slain war reporter Marie Colvin have filed a lawsuit against the government of Syria alleging that her death in a 2012 artillery barrage in Homs was a targeted assassination by the Assad regimes intelligence services. The Washington Post reports that lawyers for the Colvin family sifted through captured Syrian documents and discovered that the Assad regimes intelligence services tracked Colvin from Lebanon into Syria in order to kill her and her colleague, photographer Paul Conroy, as part of a campaign to kill journalists reporting on the conflict. The suit seeks unspecified damages and Syrian officials deny its charges. The Islamic State U.S. officials tell the AP that the famously-social media friendly Islamic State has seen its Twitter traffic plunge 45 percent in the past two years. Data seen by the wire service shows the average Islamic State fanboy Twitter account has seen its follower count plunge to just a fifth of what it was in 2014. State Department counter-messaging officials have been rebutting the groups message online with propaganda highlight the jihadist groups harsh treatment of women and children. Afghanistan After months of beatings by Afghan forces and U.S. airstrikes, ISIS in Afghanistan has been kept pretty well bottled up in Nangarhar province, Afghan and American military officials tell the Wall Street Journals Gordon Lubold sand Jessica Donati. Known as the Islamic State of Iraq and the Levant-Khorasan Province, the group is hardly done yet, however, launching a recent attack on the leader of a militia backed by the Afghan intelligence agency. Elsewhere, Afghan government officials estimate that the Taliban continues to rake in about $50,000 a day running drugs, smuggling precious minerals, and other gang-like activities, Tolo News reports. The estimate comes 15 years after the Americans stormed in to knock the group out of power. Money The Departments of Defense and State are feuding once again over which agency will control security aid to foreign countries. According to the Washington Post, the Obama administration held a cabinet meeting last month to discuss whether State would lose its traditional lead in doling out the cash, which last year amounted to around $20 billion. The Defense Department has been assuming increasingly greater control over security assistance since 9/11, aided in large part by a Congress willing to hand it more authority. Photo Credit: U.S. Army This piece is part of an ongoing series on the unsung women of history. Read more here. When she died at the age of 30, in 1916, Inez Milholland was a celebrity whose fame was one part movie star Mary Pickford and one part anarchist Emma Goldman. Though her activism was almost overshadowed by her beauty and her time as a society girl, she was most famous as the leader of the huge 1913 suffrage parade in Washington D.C.a moment during which she was able to put her looks to use, proving that suffragists werent all dour, middle-axed battleaxes. In replacing that stereotype, the glamorous Vassar girl turned lawyer turned icon epitomized the free-loving, free-thinking New Woman. Milholland was raised with both money and firm progressive principles. Her father John was a thwarted politician who made his fortune manufacturing pneumatic tubeswhich, in the late 19th century, was not unlike inventing Twitter. By the time Inez was a teenager, her family had a country estate and homes in New York and in London. There was never a question as to whether Inez and her sister would attend college, only which one. She matriculated at Vassar in 1905 and set out immediately to make herself queen of the campus. During a trip to London before her junior year, Milholland met and marched with Emmeline Pankhurst, the militant, aristocratic head of Britains Womens Social and Political Union, whose members embraced the pejorative label suffragette. Fired up, the nascent activist wrote an essay for her Vassar classmates about her time with the Pankhursts, lamenting that the suffrage movement in the United States was by comparison so lacking in energy and leadership. Vassars president, James Monroe Taylor, was a Baptist minister who had held office since the year Inez was born. He was implacably opposed to any suffrage activism on campus, which he considered propaganda, and soon butted heads with Milholland, who saw the colleges commitment to educating women while banning student activism to be utter hypocrisy. She invited Harriot Stanton Blatch, Elizabeth Cady Stantons daughter, to the first meeting of the Vassar Votes for Women club, which was held in a cemetery just off campus. Story continues Get your history fix in one place: sign up for the weekly TIME History newsletter By the time she graduated in 1909, Milholland was on her way to being nationally famous. She was already notorious for breaking up a campaign parade for President Taft, distracting the male onlookers by yelling Votes for Women! through a megaphone from an upper-story window. And, after being rejected by several Ivy League schools on the grounds of sex, Milholland had been admitted to New York University to study law. She joined a New York firm as a clerk after her 1912 graduation, and the next year took on the case of 23-year-old labor leader Elizabeth Gurley Flynn, who had been arrested during a textile strike. That same year, Milholland made her most celebrated public appearance, mounted on a white horse and leading the Woman Suffrage parade in Washington, D.C., the day before President Wilsons inauguration. Wearing a crown and long white cape, Milholland made an imposing figure, and when drunken men disrupted the parade she reportedly turned her horse into the rampaging crowd and subdued them. After her 1913 marriage to Dutch coffee importer Eugen Boissevainto whom she proposed, and with whom she maintained an open marriage committed to free loveshe still had work to do, despite the assumptions of the time that a married woman belonged at home. Stopping the world war became Milhollands new passion, and she worked briefly as a reporter in Europe, although she couldnt sustain a pretense of journalistic impartiality, and the Italian government soon kicked her out of the country. Back in the United States in 1916, she joined a tour of the states led by activists from the National Womens Party, drawing crowds wherever she went. But already an untreated infection of her tonsils had begun to poison her, and she collapsed during an event in Los Angeles. She never made it out of hospital, dying on November 25 at the age of 30. Her martyrdom was almost as useful to the cause as her magnetic presence, although she never lived to see womens suffrage become law the following year in New York. Seven years after her death, TIME reported that one of her fellow activists was traveling to colleges around the country to start Inez Milholland memorial organizations, but the magazine already had to remind readers who she was, an ardent suffragist, and an agitator for organized labor. Her husband, the article also noted, had just married the poet Edna St. Vincent Millay. Impossible as it must have seemed to her Vassar classmates, her crowds of admirers, or the men of Greenwich Village, the beautiful and charismatic Milholland was already being forgotten. VANCOUVER, BC / ACCESSWIRE / July 11, 2016 / Solar Alliance Energy, Inc. ('Solar Alliance') or (the 'Company') (TSX-V: SAN, OTCQB: SAENF) is pleased to announce it has signed an agreement with the Marine Corps Community Services (MCCS) at Camp Pendleton in San Diego to market residential solar systems to active duty, retired and civilian employees. The contract allows Solar Alliance to market to more than 70,000 daily visitors to Camp Pendleton, which is the largest Marine Corps base in the world. "We are extremely proud to be working with the Marine Corps Community Services at Camp Pendleton in order to provide access to affordable, professionally installed residential solar systems to active duty, retired and civilian employees," said Solar Alliance Chairman and CEO Jason Bak. "We understand the value provided to service men and women at the MCCS Camp Pendleton and we are committed to providing access to the highest quality solar systems at the most affordable price. We have also committed to hiring family members of service men and women so we can ensure the benefits of this relationship are shared by the broader Marine Corps community." The agreement with MCCS at Camp Pendleton is part of a larger program at Solar Alliance to increase our reach across Southern California and expand our sales and marketing outside of the San Diego area. This will include office expansion into other markets in Southern California and ultimately, outside of the state of California. Jason Bak Chairman and CEO For more information: Solar Alliance Myke Clark Chief Marketing Officer +1 (604) 288-9051 info@solaralliance.com About Solar Alliance Energy Inc. (www.solaralliance.com) Solar Alliance is a solar sales and marketing firm focused on residential solar installations. Our mission is to encourage the transition to an independent, distributed solar market through a strong management team that combines technical, sales, marketing and financial expertise. Solar Alliance is committed to an exceptional customer experience, effective marketing campaigns and superior lead generation in order to drive sales and generate value for shareholders. Since its inception in 2003, Solar Alliance has developed over 360 megawatts of renewable energy projects and subsequently sold them to utilities or large independent power producers, and has installed more than 2,000 residential solar systems in southern California. Solar Alliance is located in Vancouver, British Columbia and San Diego, California. Story continues Statements in this news release, other than purely historical information, including statements relating to the Company's future plans and objectives or expected results, constitute Forward-looking statements. The words "would", "will", "expected" and "estimated" or other similar words and phrases are intended to identify forward-looking information. Forward-looking information is subject to known and unknown risks, uncertainties and other factors that may cause the Companys actual results, level of activity, performance or achievements to be materially different than those expressed or implied by such forward-looking information. Such factors include, but are not limited to: uncertainties related to the ability to raise sufficient capital, changes in economic conditions or financial markets, litigation, legislative or other judicial, regulatory and political competitive developments and technological or operational difficulties. Consequently, actual results may vary materially from those described in the forward-looking statements. "Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release." SOURCE: Solar Alliance Energy, Inc. Seville (Spain) (AFP) - The Solar Impulse 2 left southern Spain on Monday on its way to Egypt for the penultimate leg of the solar-powered airplane's landmark round-the-world journey. The experimental aircraft took off from Seville at 6:20 am (0420 GMT) for a flight that should last about 50 hours and will take it over the Mediterranean Sea. Swiss national Andre Borschberg was at the controls for the journey that will pass through through Algerian, Tunisian, Italian, Maltese and Greek airspace. Solar Impulse is to land in Cairo on Wednesday. The plane, which is no heavier than a car but has the wingspan of a Boeing 747, will then set out for Abu Dhabi on the final leg of its voyage. It took off from the United Arab Emirates capital on March 9, 2015 with the aim of promoting clean, renewable energy. Solar Impulse is being flown on its 35,400-kilometre (22,000-mile) trip around the world in stages, with Borschberg and his Swiss compatriot Bertrand Piccard taking turns at the controls of the single-seat plane. Applause broke out on June 23 when the aircraft touched down in Seville after its pilot, Piccard, made the first solo transatlantic crossing of a plane with only solar power. The exhilarated 58-year-old told AFP at the time he had thought a lot about aviation pioneer Charles Lindbergh, the first man to fly solo across the Atlantic, during the 6,765-kilometre flight. "I met him when I was 11, we were both at the Apollo 12 take-off, and for me Lindbergh is one of these heroes who did what no one thought was possible," Piccard said by phone. Borschberg piloted a 8,924-kilometre flight between Japan and Hawaii that lasted 118 hours, smashing the previous record for the longest uninterrupted journey in aviation history. Solar Impulse typically travels at a mere 48 kilometres (36 miles) an hour, although its flight speed can double when exposed to full sunlight. MOGADISHU (Reuters) - Al Shabaab Islamist militants rammed a car packed with explosives into a Somali army base southwest of the capital on Monday and stormed inside, killing at least 10 soldiers, the group and a military officer said. The assault on a base 50 km (30 miles) outside Mogadishu was part of the group's campaign to try to topple the Western-backed government and impose its own strict interpretation of Islam. "Heavy exchange of gunfire continued for hours," Major Ahmed Farah told Reuters from the nearby town of Afgooye. At least 12 al Shabaab fighters were killed, he added. Al Shabaab spokesman Abdiasis Abu Musab said 30 soldiers had been killed in the raid that lasted several hours before his group's withdrew. He did not mention al Shabaab casualties. The group often cites a higher death toll than officials. On Sunday, the presidents office said in a statement the government destroyed an al Shabaab base used to store military supplies in a region south of Mogadishu without resistance. (Reporting by Feisal Omar and Abdi Sheikh; Writing by George Obulutsa; Editing by Edmund Blair) By Pete Vernon JOHANNESBURG (Reuters) - South Africa's telecoms regulator ordered the public broadcaster on Monday to reverse its decision to stop airing footage of violent protests, a policy which critics said amounted to censorship. Opposition parties have accused the South African Broadcasting Corporation of pro-government bias before local elections on Aug. 3, set to be the sternest test for the ruling African National Congress (ANC) since it came to power in 1994. SABC said its decision, taken in May, was aimed at avoiding glamorizing violence at protests, which are common over issues such as the poor provision of water or roads, but which have taken on added political significance ahead of the elections. On Monday, the Independent Communications Authority of South Africa (ICASA), directed SABC "to withdraw its resolution as published in its statement of 26 May 2016, which states that the SABC will no longer broadcast footage of destruction of public property during protests." SABC Chief Operating Officer Hlaudi Motsoeneng said he would challenge ICASA's decision through the legal system. "No one is going to tell us what to do! We at SABC are not apologetic on the issues we believe in," Motsoeneng told a news conference. Motsoeneng is seen as close to President Jacob Zuma whose popularity has sagged amid record unemployment and looming recession. The main opposition party, the Democratic Alliance (DA), said: "Todays ruling by ICASA is the beginning in fixing the mess created by ANC-appointed Hlaudi Motsoeneng who has been unrelenting in his crusade to turn the SABC into an output station for the ANCs sunshine news." Criticism of the broadcaster has even come from within the ANC, with the party's chief whip, Jackson Mthembu, accusing the SABC of censorship. (Editing by James Macharia and Robin Pomeroy) JOHANNESBURG (Reuters) - South African twins arrested over the weekend were planning attacks on the U.S. Embassy in the capital, Pretoria, as well as on buildings owned by Jewish people, police said on Monday. Four South Africans, including the twins, Brandon-Lee and Tony-Lee Thulsie, faced charges in court ranging from conspiracy to firearms offences, the spokesman for the elite police unit Hawks, Brigadier Hangwani Mulaudzi, said. The four, arrested in Johannesburg on Sunday, will be detained in custody until July 19, when their case will be heard, Mulaudzi said. Quoting the charge sheet, the News24 news organization said the twins had been attempting to fly to Syria. Security officials say there are no known militant groups operating in South Africa, but Britain and the United States warned in June of a high threat of attacks against foreigners in the country's shopping malls. Mulaudzi named the other two siblings as Fatima and Ibrahim Mohammed Patel. "The indictment does talk to issues of terror plots that they were planning against the U.S. Embassy as well as Jewish Buildings in the country," he said, referring to the twins. "The twins have been charged with conspiracy," Mulaudzi added. "The Patel siblings have been charged with the violation of the Firearms Control Act for now." The twins' preliminary charge sheet states that their conspiracy occurred between October 2015 and July 8 this year, local newspaper the Times said on its online service. In Washington, State Department spokesman John Kirby said at a daily news briefing that the United States applauded Hawks for making the arrests and had "full confidence in the South African judicial system to handle this case according to internationally accepted best practices". (Reporting by Nqobile Dludla, additional reporting by Mohammad Zargham in Washington, writing by James Macharia; editing by Ralph Boulton and Cynthia Osterman) The Centre has decided to send 8 more companies of CRPF to violence-hit Kashmir to bring situation under control. CRPF personnel patrol a deserted road during a curfew in Srinagar on July 11, 2016. (Photo: Reuters/Danish Ismail) By Ashraf Wani: The Kashmir Valley has been on an edge since Friday evening when top Hizbul Mujahideen commander Burhan Wani was killed by security forces. In another incident, a 13-year-old boy injured in police firing died in a hospital in Srinagar today taking the toll to 30 in the unrest . Meanwhile, the Centre has decided to send 8 more companies of CRPF to violence-hit Kashmir. Here are the updates: There have been fresh clashes between police and protesters in Shopian, Pulwama, Anantnag and Kulgam districts of Kashmir. Protesters set police post on fire in Achan village in Pulwama district of south Kashmir. To bring situation under control, police fired shots on unruly mob in Shopian in which two people were injured. The injured were shifted for treatment to Srinagar. One army jawan has been killed in Pakistani firing on LoC as Pakistani Rangers violated ceasefire and fired on Indian post. Army says Pakistani troops were providing cover fire to infiltrators trying to cross into India. Curfew continues in 11 police stations in Srinagar and in Kulgam, Pulwama and Shopian districts. Internet services have been suspended for the fourth consecutive day in Valley. The Jammu-Srinagar National Highway has also been closed due to violence. Shortage of essentials and food items is being felt in Valley. According to reports, 500 Amarnath Yatris have been stranded in Srinagar while 16,000 pilgrims have been send to Jammu. Number of injured in clashes touches 300, including 150 security and police personal. advertisement ALSO READ: Kashmir crisis: Rajnath talks to Sonia, Omar Abdullah How Kashmiri Muslim couple defied a curfew and walked miles to feed Pandit friend's starving family --- ENDS --- NAIROBI (Reuters) - South Sudan's vice president said on Monday his loyalists had been bombarded by forces of President Salva Kiir, showing he was "not interested in peace", but the former rebel also called for restraint and said he had not lost hope for the future. "I urge calm and restraint throughout these skirmishes. I'm safe. No one should take laws in their own hands to destabilize this country," Vice President Riek Machar wrote on his official Twitter account, adding South Sudan "needs all of us." (Reporting by George Obulutsa and Ben Makori; Writing by Edmund Blair; Editing by Catherine Evans) JUBA (Reuters) - South Sudan's president ordered a ceasefire by his SPLA army on Monday and said he remained ready to work with his rival Vice President Riek Machar, after heavy fighting in the capital that has threatened to plunge the nation back into civil war. "The situation is actually calm as from now. All of the commanders of the SPLA were ordered by the president ... on this declaration of ceasefire," presidential spokesman Ateny Wek Ateny told Reuters by telephone, adding that any member of Machar's SPLA-IO force who surrendered must be protected. (Writing by Edmund Blair; editing by Andrew Roche) JUBA (Reuters) - South Sudan's Vice President Riek Machar ordered a ceasefire by his forces on Monday, reciprocating a move made his rival President Salva Kiir who had earlier ordered his SPLA army forces to halt fighting, he told the independent Eye Radio. "The president has declared a unilateral ceasefire, I want to reciprocate the declaration of unilateral ceasefire," he told the radio, saying he had ordered it to come into effect at 8 p.m. (1 p.m. EDT), two hours after the deadline Kiir had set. Monday had witnessed some of the heaviest fighting between the two sides, after clashes erupted on Thursday. (Writing by Edmund Blair; editing by Andrew Roche) From Popular Mechanics As space missions have increased in length, astronauts began to notice something troubling: their time in space seemed to be causing their eyesight to deteriorate. This wasn't a minor effect. John Phillips, who spent more than six months on the International Space Station, found his eyesight had gone from 20/20 to 20/100 after his mission. Phillips wasn't the only one. It's now believed that 80% of astronauts suffer from what scientists are calling visual impairment intracranial pressure syndrome (VIIP). The syndrome name describes one of the theories on how the damage takes place, but it's far from being proven. When Phillips landed he was subjected to a battery of tests including MRIs and retinal scans. These found that while in space, the back of his eyes were flattened and his retinas pushed forward. His optic nerve was also inflamed and his eyes showed choroidal folds, which are essentially stretch marks. Researchers thought this pointed to a build up of pressure in the brain during space flight, which may be due to the low levels of gravity in space. But they have yet to find an effective way to study this theory. There's a few reasons for this. There's nothing on Earth that can accurately simulate the microgravity of space, so finding a way to measure cranial pressure in space will be essential for figuring out how VIIP is caused. Meanwhile, there are only two ways to test the level of pressure inside a human brain: spinal taps or drilling a hole in the skull. Either of those would be very difficult and dangerous to perform in space. "There's the risk for infection and just doing the procedure, quite frankly, in space is difficult," J.D. Polk, a senior flight surgeon at NASA, told the Washington Post. "Having to anchor somebody and do a spinal tap in space is not something we would relish." Researchers are trying everything they can to understand the syndrome here on Earth, using methods like tilting bodies downwards to simulate the pressure on the eyes and putting people in parabolic flight, which simulates zero gravity for a few seconds. None of these methods have yet yielded much helpful information. Story continues VIIP is a major roadblock in NASA's plans to send humans to Mars by 2030. That trip would take six to nine months and NASA can't afford to have astronaut's losing their sight halfway through the trip. But there is some hope. Ross Ethier, a biomedical engineer at Georgia Tech, is designing a device that would draw bodily fluid down into the legs during flight. It's unclear how large and clunky the device would be and how long astronauts would have to wear it each day. There's also the potential that technology like ultrasounds or even a cranial implant could help scientists study the pressure in the brain during space flights without performing risky in-flight surgery. As of now, our understanding of the effects of microgravity on the human body is still minimal. VIIP is probably the first of many conditions we'll discover as people stay in space for longer periods of time. Source: Washington Post Madrid (AFP) - Spain on Monday summoned Britain's ambassador following what it said were "reckless" moves by a Gibraltar police patrol boat, the latest in a long line of diplomatic spats over the disputed territory. The foreign ministry said a Royal Gibraltar Police (RGP) patrol boat "went on a collision course" on Friday with a Spanish police boat heading to a beach in La Linea, a Spanish town bordering Gibraltar. "The RGP patrol boat, which was sailing at a high speed, diverted course just a few metres (yards) from the Spanish boat, causing a big wave that unsettled the Spanish police vessel," it said in a statement. "Its irresponsible and reckless manoeuvre created a significant, clear and sudden risk for the safety of both official boats and their crew." Spain's secretary of state for foreign affairs, Ignacio Ybanez, asked Britain's ambassador to ensure Britain takes "appropriate measures so these types of behaviours are not repeated". The foreign ministry said the incident happened one mile (about two kilometres) to the east of Gibraltar, which is strategically located on the southernmost tip of the Iberian peninsula at the mouth of the Mediterranean. The RGP patrol boat made no effort to get in touch with the Spanish police vessel, it added. The Royal Gibraltar Police denied this account, saying its vessel "maintained a safe distance at all times whilst attempting to make contact with the crew (of the Spanish boat) to establish their intentions". The encounter between the two ships happened just roughly 150 metres off Catalan Bay on the eastern side of Gibraltar, it added in a statement. A spokesman for Britain's Foreign Office said incursions by Spanish state vessels into British Gibraltar territorial waters "are an unacceptable violation of British sovereignty". "We will continue to use a range of proportionate diplomatic and naval responses to incidents," he added. Story continues Spain ceded Gibraltar to Britain in perpetuity in 1713 but has long argued that it should be returned to Spanish sovereignty, and the territory remains a source of diplomatic tensions. In one particularly belligerent row over the disputed waters around Gibraltar, Spanish authorities upped border checks in 2013, creating hours-long logjams and forcing the European Commission to intervene in the crisis. Spain proposed sharing sovereignty with Gibraltar after Britain voted to leave the European Union last month, saying this could be a prelude to bringing the British overseas territory back under its control. By Maja Zuvela SREBRENICA, Bosnia (Reuters) - Thousands of Muslim Bosniaks paid respect to 127 victims of the 1995 Srebrenica massacre buried in individual graves on Monday in a ceremony avoided by Serbs after survivors said they were not welcome because they denied genocide had occurred. Nationalist Bosnian Serb forces led by General Ratko Mladic executed 8,000 Bosniak men and boys after overrunning Srebrenica near the end of Bosnia's war 21 years ago and dumped their bodies in pits - Europe's worst atrocity since World War Two. Serb forces subsequently dug up the bodies and scattered them in a systematic effort to conceal the crime. U.N. war crimes investigators later excavated the mass graves, but over 1,000 bodies are still missing. Most Serbs, both in Bosnia and Serbia whose 1990s leadership armed and funded Bosnian Serb forces, strongly deny that the massacre was genocide as judged by the U.N. war crimes tribunal for former Yugoslavia. They dispute the death toll and the official account of what happened, reflecting conflicting narratives about how and why Yugoslavia broke up in bloodshed. That divide continues to hinder reconciliation and stifle Bosnia's progress toward integration with Western Europe. The Balkan country today is split into autonomous Serb and Bosniak-Croat entities. For Muslim Bosniaks, Srebrenica has become a symbol of collective suffering and July burials of victims an annual ritual. July 11, the start of the five-day massacre, was made a national day of mourning by Bosnia's weak post-war central government comprised of Bosniaks, Serbs and Croats. Some Serb officials attended previous burial ceremonies but this year was the first time none came after families said that those who deny genocide happened in Srebrenica were not welcome. "How can anyone say this was not a genocide?" said Nura Suljic, 57, pointing at endless rows of white marble tombstones in the flower-shaped Potocari memorial cemetery near Srebrenica, where more than 6,300 victims are now interred. Suljic buried her brother after his bones were found in three different mass graves. Bakir Izetbegovic, the Bosniak chairman of Bosnia's three-person inter-ethnic presidency and son of its late wartime president, urged Serbs to face up to historical facts. "Acceptance and recognition of the truth is the first step toward genuine trust," he said. Last year's 20th anniversary was marred when an angry crowd at the ceremony chased away Serbian Prime Minister Aleksandar Vucic, who had enlisted ally Russia to veto a U.N. resolution that would have condemned the denial of Srebrenica as genocide. Survivors did not want a possible repeat of any such incidents that would distract attention away from the victims. Serbian and Bosnian Serb officials bristled at the condition for attendance. "That was not genocide and Serbs will never accept that word," Bosnian Serb President Milorad Dodik said. Thousands of grieving families stood by green-draped coffins in sweltering mid-summer heat, some kneeling, crying and hugging the caskets before they were lowered into freshly-dug graves. "All I have been left with are these three cold stones I can hug instead of my two sons and husband, and a grief I will carry in my heart until I die," said 67-year-old Nezira Memic. (Writing by Daria Sito-Sucic; editing by Mark Heinrich) By Ranga Sirilal COLOMBO (Reuters) - Sri Lankan police arrested the eldest son of former president Mahinda Rajapaksa on Monday for misappropriation of funds in an apartment project, his lawyer said, the third member of the once-powerful family to be detained. Namal Rajapaksa, who is also a member of parliament, had been questioned by financial police investigating the $650-million high-end project in the capital Colombo. He appeared before a court on Monday and was remanded in police custody for a week, his lawyer Premanath C. Dolawatta said. Namal has denied any wrongdoing and said the government was on a witchhunt of its political rivals instead of delivering on its promise of good governance. Since he swept to power in January 2015, President Maithripala Sirisena has launched a series of investigations into deals that were cleared by his predecessor Rajapaksa and his family members, some of whom were in the cabinet. Namal's uncle Basil Rajapaksa, who headed the economic development ministry, was arrested over the misuse of an anti-poverty government fund and under anti-money-laundering charges. Another son of Mahinda, Yoshitha, who led the national rugby team, was arrested under the money-laundering law in February. The former president's wife is also facing investigation for financial impropriety, while another brother, Gotabaya Rajapaksa, is accused of running a "private military" while he led the defense department. The Rajapaksas have denied the allegations. "This is the good governance," Namal told reporters as he was taken to court. The former president, in a Facebook post after the arrest, said: "I will be in politics for the people of this country even if my entire family is jailed." (Writing by Shihar Aneez; Editing by Sanjeev Miglani and Janet Lawrence) U.K. studio facilities operator Pinewood Group, best known as the British studio home of the James Bond franchise, on Monday reported a higher profit for its latest fiscal year, which once again saw high-profile Hollywood productions take over its stages. Boosting results were such productions as Lucasfilm's Star Wars: Episode VII and Star Wars spinoff Rogue One. For the 12 months ended March 31, the company recorded operating profit of 13.6 million ($17.6 million) compared with 5.8 million for the previous year. Normalized profit after tax and earnings per share also rose. Revenue at Europe's largest provider of stage and studio space rose 11 percent, from 75.0 million for the previous fiscal year to 83.2 million, or $107.8 million. Read More: Pinewood Exploring Strategic Alternatives, Including Sale CEO Ivan Dunleavy said about the recent Brexit decision in a U.K. referendum: "In the context of our business, the decline in the pound sterling exchange rate is undoubtedly positive for our international customers. We will continue to monitor sentiment around the issue going forward." Overall, he said the company's new financial year "has started strongly with good visibility for the balance of 2016." Pinewood also said Monday that it is continuing a review of strategic options, which could lead to a sale or other outcomes. "The strategic review is ongoing and we will, of course, update shareholders on its progress when there is further information to share," the company said. In announcing the review earlier this year, it said it would "evaluate alternative opportunities to maximize value for the company's shareholders and to build on Pinewood's successes to date. The board believes that the company, as the world leading studio and production services operator, has significant future growth potential." During the latest fiscal year, Pinewood was home to Disney/Lucasfilm's Star Wars: The Force Awakens and along with Shepperton Studios hosted seven of the top 25 grossing films of the year. "Other major productions, which were based at Pinewood and Shepperton during the year, included the 24th Bond film, Spectre (Eon), Rogue One: A Star Wars Story (Lucasfilm) Assassin's Creed (New Regency), Bridget Jones's Baby (Working Title/Universal) and The Huntsman (Universal)," the firm said. Story continues "The demand for the company's facilities throughout the year has been strong, as reflected in stage occupancy of 90 percent (year ended March 31, 2015: 80 percent)," Pinewood said. "However this ongoing strong film demand has limited television's access to our film stages." TV revenue of 5.2 million ($6.7 million) was down in the year from 5.8 million. The company also confirmed that the first phase of an expansion became fully operational on June 30, adding five stages and "significant capacity." Said Pinewood: "The company is delighted that the first production to utilize the new facilities is Film Stars Don't Die In Liverpool produced by Barbara Broccoli who has a long association with the Pinewood Group through the Bond franchise. We have, as expected, already signed a contract for an additional major film production which will fully utilize these new facilities from August 2016." The company added that it would "consider the timing of the future phases based on demand, the utilization of phase one and availability of appropriate financing." Read More: 'Star Wars: Episode VII' Helps Pinewood to Higher Annual Profit, Record Revenue Sister of Manipur, woman who was subjected to racist jibes by an immigration officer at the Delhi airport, has condemned the incident and has asked authorities to take immediate action. By India Today Web Desk: Hours after Manipur woman Monika Khangembam accused an immigration office at Delhi airport of racism, her sister has lashed out at the immigration officer. Her sister said that such type of a treatment is unacceptable and that concerned authorities must look into it. "It is unfortunate that despite carrying an Indian passport, my sister was asked by the immigration officer about her nationality," she said. advertisement The woman said that she wants the authorities to take necessary action. Monika too added that she was planning to write to the Ministry of External Affairs about the incident. SUSHMA SWARAJ APOLOGISES Meanwhile, External Affairs Minister Sushma Swaraj who took a note of the incident, apologised saying that she will ask Home Minister Rajnath Singh to look into the matter. In her tweets she said that she was sorry to know about the incident and that she will speak to senior colleague Rajnath Singh to sensitise immigration officials at airports. Monika Khangembam, a corporate communications professional who was travelling to South Korea for a conference, was asked questions like, "How many states are there in India?" MANY LIKE MONICA FACE RACISM Following the incident, Monika took to social media to express her displeasure over the racist behavior of the immigration official. Several other natives of Manipur also shared their ordeal on her post and condemned such racist slur. Monika is planning to file a complaint once she returns from Seoul after 15 days. The police have said that they will take action prescribed by law once a formal complaint is filed. A top official of the Bureau of Immigration said that an inquiry will be set up if the passenger lodges a formal complaint. Also read: Manipur woman alleges racial harassment at Delhi airport, social media outraged --- ENDS --- Steven Tyler tweeted his support for his Aerosmith bandmate Joe Perry after the 65-year-old guitarist's health scare onstage Sunday night. Joe Perry 'Stable' After Health Scare During Hollywood Vampires Concert "Feel the millions of prayers coming your way," Tyler tweeted in all-caps. I LOVE YOU @JOEPERRY...FEEL THE MILLIONS OF PRAYERS COMING YOUR WAY...WE ALL LOVE YOU...SENDING WHITE LIGHT AND ALL THAT I GOT YOUR WAY...ST - Steven Tyler (@IamStevenT) July 11, 2016 While performing at the Coney Island Amphitheater in Brooklyn with the Hollywood Vampires, Perry looked noticeably ill during the band's cover of Spirit's "I Got a Line on You." Perry was forced offstage for medical attention as the show continued on without him. Other supporters of Perry included Hollywood Vampires frontman Alice Cooper, former The Metal Show host Eddie Trunk, fellow guitarist Tom Morello and many other rockers sending hopeful messages Perry's way. Joe Perry Dishes on Groupies, Steven Tyler and the Guitar Slash Wouldn't Give Back in His New Memoir Tyler's tweet comes during an uncertain time for Aerosmith, given the rocker's dedication to his solo country career. In November, Aerosmith guitarist Brad Whitford told Billboard of a possible band tour: "Steven doesn't want to do it. It's unfortunate. We kind of feel a little bit abandoned by him." Perry told Billboard last week that he would like to do another Aerosmith tour, although he was hesitant to call it the last. "Frankly I can see starting a tour that's called the final tour, but do I think there's going to be a last Aerosmith gig? That's a little tough for me to wrap my head around," Perry said. "We all know age is creeping up on us, but we've followed the lead of the original blues guys and early rockers and learned so much from them and how they just kept playing. But I think we'd like to do a tour where we play every place that we've ever played and maybe some places that we've never played and just do that on the premise that it's [a final] tour. That's the kind of stuff we've been talking about. I have a feeling that there'll be a tour that we'll call the final tour, but when will it end? That I can't say." Perry is said to be in stable condition, and the Hollywood Vampires announced Monday that they will continue their tour dates beginning with their next performance in Kettering, Ohio, on Tuesday night. By Ernest Scheyder DALLAS (Reuters) - A black surgeon who saved the lives of some of the Dallas police officers shot in a racially motivated ambush last week said on Monday he supported law enforcement but also understood the anger driving recent protests across the United States. "This killing, it has to stop. Black men dying, it has to stop," Brian Williams, staff surgeon at Parkland Hospital, which received the five officers killed and nine wounded in Thursday night's attack. "We have to come together and end all this." A former U.S. Army Reservist opened fire on police officers at the end of a march protesting last week's killings of black men by police officers in Baton Rouge, Louisiana, and outside St. Paul, Minnesota, in an attack that he told police negotiators was intended to kill "white people," especially police. [L1N19X03O] The attack injected a new note of fear into two years of largely peaceful protests across the United States over the high-profile police killings of black men in cities including Ferguson, Missouri, and Chicago. "It's much more complicated for me personally because it's not just about that one night," Williams told a news conference on Monday. "It's about the racial undertones that affect all of this, so it began for me long before those cops came in the door that evening." Williams said he tended to pay for police officers' meals when he saw them dining in Dallas-area restaurants, in part to show his children he respects law enforcement. But he added that as a black man: "I also personally feel and understand that angst that comes when you cross the path of an officer in uniform and fear for your safety." The St. Paul killing occurred during a traffic stop allegedly over a broken headlight on the car of Philando Castile, 32. He was shot while reaching for his driver's license after telling an officer he was legally carrying a firearm, according to his girlfriend, Diamond Reynolds. She broadcast the shooting's bloody aftermath on the internet. Story continues 'WE DON'T CARE WHAT COLOR YOU ARE' Minnesota Governor Mark Dayton said last week the shooting appeared to have a racial component. "Would this have happened if the driver and the passengers were white? I don't think it would have," Dayton told reporters on Thursday. "This kind of racism exists and it's incumbent on all of us to vow and ensure that it doesn't continue to happen." An attorney for Officer Jeronimo Yanez, who shot Castile, denied on Saturday that race was a factor in the shooting. Captain Dan Birbeck of the Dallas City Hospital District Police praised Williams' actions. "When those three police officers came through the door, those initial ones, not for a second did he think about anything that was going on or did it compromise him caring for them," Birbeck told the same news conference. "That to me was very reassuring." The response of doctors went beyond race, said a white colleague of Williams, Dr. Todd Minshall, the chief of surgical critical care at Parkland, best known as the hospital where President John F. Kennedy was pronounced dead on Nov. 22, 1963, after he was shot in a Dallas motorcade. "We don't care what color you are, what race you are, what creed you are," Minshall said. "When you come here, we'll treat you." (Reporting by Ernest Scheyder; Writing by Scott Malone; Editing by Peter Cooney) In the wake of days of protest across the United States, one image has captured Americas attention: a photograph from Baton Rouge, La., of a serene-looking female protester standing opposite police officers in riot gear. The photographer, Jonathan Bachman, told BuzzFeed that he knew right away that it would be an important photo. The woman was later identified as Ieshia Evans, who wrote on Facebook that, while she appreciates the well-wishes she has received since the photo went viral, she considered herself a mere vessel for Gods work. Thats a feeling that Jan Rose Kasmir can appreciate: the universe using me for my picture, as she put it on Monday. She was a Washington-area 17-year-old when photographer Marc Riboud snapped a picture of her holding a flower in the face of bayonets during a 1967 protest against the Vietnam War. A similar image of another person using flower power against the military police (seen at left) ran in TIME that week. Like the more recent image of the protester and the police, the 1967 image of Kasmir juxtaposes one serene woman against the full, nameless force of authority. As put by a 1999 special edition of LIFE about the great photos of the 20th century, the image became an enduring icon of the antiwar movement. You just cant get confused about being the object of attention and what your lifes about, Kasmir, now a 66-year-old massage therapist in Hilton Head Island, S.C., tells TIME when asked if she had any advice for the woman in Baton Rouge. You can take it as a sign that what you do counts, but that fleeting bit of attention let me tell you, when I first started getting attention and then I was dropped on my head back to my normal life, it was painful. That attention came decades after the picture was taken, as it took years for Kasmir in the pre-Internet years to even discover that she was the subject of a famous photo. I gave up a lot for what I believed in, she says, and for me [the photos fame] was karmic payback. Story continues But, says Kasmir, if her experience is any indication, an image of calm in the storm can be more complicated than it may seem. In fact, recalling that day in 1967, she says that serenity is not the right word to describe what was going through her mind. I went through all different kinds of emotions [that day], but prior to that moment I was up against the rhetoric, the war machine, the baby killers. At that moment I looked into their eyes and I thought, Oh my God, these are just young boys,' the lifelong activist recalls. If you look at my face, Im extremely sad. They were just as much victims of the war as we were. Still, she understands why images that appear to capture a peak of nonviolence are likely to capture attention. Its very Gandhi-esque and more enlightened than the horror thats around it. I think thats why people are really drawn to it, she says. People do resonate with peace and do resonate with goodness and hope. Thats the attraction. Everybody is completely blown away right now by whats going on; everybody is quietly frantic. Some people have taken time out of their lives to express that energy in protest, but theres a sense of quiet desperation in all of us. Get your history fix in one place: sign up for the weekly TIME History newsletter And even though Kasmir recalls feeling like her fame was fleeting, she still plans to make what use of it she can. With retirement on the horizon, she hopes to visit college campuses in the fall to encourage support of the people she calls the mensches in the trenches and speak about unity, something she feels is missing from todays protest movements. The takeaway for Kasmir from the recognition earned by the 1967 photo of herself, and from this weekends image from Baton Rouge, is a hopeful one. To me, she says, this speaks to how much more powerful peace is. A trial judge won't give Manuela Herzer another shot in an attempt to restore her position as Sumner Redstone's health care agent. On Monday, a bid for a new trial was denied. The decision follows a couple months of fury in the ongoing legal intrigue surrounding the 93-year-old media mogul, who owns a substantial portion of Viacom and CBS. Herzer, a longtime companion of Redstone, filed a petition after being thrown out of his Beverly Hills home. In May, in the midst of a trial, L.A. Superior Court Judge David J. Cowan dismissed with prejudice the petition challenging Redstone's competency. Since that ruling, a legal war has erupted between Viacom CEO Philippe Dauman and Sumner Redstone's daughter Shari Redstone over moves related to the company. Herzer's lawyers attempted to use some of the allegations of mental incompetency and undue influence to argue that newly discovered evidence and the opportunity to present a full case necessitated another trial. Cowan now rules there are "no grounds" for one, which Herzer's attorney Pierce O'Donnnell promises will lead to an appeal. In the meantime, Redstone's camp is cheering. His attorney Rob Klieger made a statement on his behalf: "We are pleased with the ruling and appreciate the extraordinary time and attention that Judge Cowan has devoted to this matter." Quinton Tellis the man accused in the 2014 burning death of 19-year-old Mississippi woman Jessica Chambers was charged last Thursday in the death of another woman, PEOPLE confirms. According to affidavits obtained by PEOPLE, Tellis allegedly tortured and stabbed Taiwanese exchange student Meing Chen-Hsiao over 30 times before she gave him the PIN number to her debit card. Investigators believe Hsiao was killed July 29, 2015; it took nearly two weeks for police to find her, police said. In February, Tellis was indicted in the Mississippi homicide as he sat in a Louisiana jail. The arrest warrant for the Louisiana homicide was filed as he sat in jail in Mississippi. Detectives connected Tellis to Hsiao after securing security footage allegedly showing the two together, according to the documents. One of Hsiao's neighbors provided police with a license plate number of a man who gave her a "creepy feeling," the documents state, later identifying him as Tellis. Want to keep up with the latest crime coverage? Click here to get breaking crime news, ongoing trial coverage and details of intriguing unsolved cases in the True Crime Newsletter. "After gaining possession of the debit card, he brutally murdered her by repeatedly stabbing her and letting her body rot and decompose until being discovered," the investigator's statement, which was included in the Louisiana arrest warrant, reads. Tellis, 27, previously pleaded guilty in Louisiana to using the debit card. He was sentenced to 10 years before he was extradited to Mississippi to face charges in Chambers's death. Court documents state police tracked phone and bank records, allegedly showing that Tellis called Hsiao's bank the day she died. GPS records allegedly revealed Tellis "more than likely was inside (Hsiao's) apartment," according to affidavits. Tellis's lawyer could not be reached Monday for comment. Tellis will be arraigned in Mississippi in the Chambers case on July 15. Chambers, a 19-year-old former cheerleader, was found engulfed in flames near her car on a rural road in Courtland, the hometown she shares with Tellis, on Dec. 6, 2014. She was flown to Regional Medical Center in Memphis with burns over 98 percent of her body, and died in the hospital. Investigators said she had been doused with an accelerant at the scene and left to die. By PTI: New Delhi, Jul 11 (PTI) Information and Broadcasting Minister M Venkaiah Naidu today suggested media focus on developmental news and inspiring success stories as he rued "sensationalisation" is becoming the news these days and "disorder the order". "The news is only good news if it is connected with development. This has to be understood by all. Unfortunately, sensationalisation is becoming the news. Disorder is becoming the order. Obstruction is order of the day. This is unfortunate for the country (like India)," Naidu said. advertisement Naidu, who took charge of the ministry last week, made the remarks at an event where he felicitated leaders and officers of municipalities of Alappuzha (Kerala), Panaji (Goa) and Mysuru (Karnataka) with Clean City Awards given by environmental NGO Centre for Science and Environment (CSE). Naidu made the comments while referring to success stories carried by an English daily relating to initiatives taken by people in Karnataka in constructing toilets under the Centres Swachh Bharat Mission. "There was a story about Beti Bachao, Beti Padhao, there was a story also about drugs (in newspapers). This is the way the media should focus?the media should focus its attention on Alleppey, Panjim and Mysuru and making an all-India story out of it so that people understand?will be inspired by such success stories," he said. Naidu maintained people have the right to criticise the government whenever required, but hoped success stories are published in all Indian languages. During the event, Naidu also launched CSEs book titled Not in My Backyard which deals with solid waste management in Indian cities. The event was addressed also by CSE Director General Sunita Narain. PTI ENM PAL --- ENDS --- Shirts off! Upon entry, the models are asked to take off their shirts. (Photo: Zak Krevitt and Thomas McCarty for Yahoo Style) Designer duo Ronen Jehezkel and Parke Lutter of the New York-based brand Parke & Ronen consider themselves the Victorias Secret of menswear: Each season, they employ the hottest male models to strut down the runway in next-to-nothing fashion (tight bikinis often paired with trendy footwear, naturally). And for their spring/summer 2017 collection debuting Thursday, the designers were inspired by Ivy League school boys. Were looking for all-American boys, Jehezkel told Yahoo Style at the daylong model casting last week, where over 150 models auditioned for an exclusive spot in the fashion show. (Theyll only cast around 20 models in total). But since all-American, Ivy League prep boys seemed like a thematically non-diverse demographic, Jehezkel was quick to correct himself: Well, like, all-American meets United Nations, he said. Nice save. And, so, a relatively eclectic group of models showed up to the casting in groups of four or five and a range of sizes well, kinda. As I sat with them and their trusted casting director in the form of a judges panel (like youd see on Americas Next Top Model), I saw tall, taller, skinny, and buff guys, but no one with a BMI over 20. The judges were furthermore entertained by the 18- to 24-year-old men displaying themselves sexually overtly readjusting their underwear or bikinis to make themselves look bigger, fuller. One model, Spencer Zender, openly told me that he hadnt hit the gym in preparation but had rather groomed himself in case hed have to try on a skimpy bathing suit (which he did). After a while, I got an understanding of what the designers were looking for: the bigger the better, like model Benjamin Benedek, who is 6 foot 2 and 175 pounds all muscle. Oh, his proportions! the designers gasped in unison. The 19-year-old Benedek has walked in Parke & Ronens show before and with chiseled abs, slicked-back blond hair, and an indistinct accent, hes the perfect candidate. Story continues Despite Benedeks recent absence from the gym, which was because hes been feeling sick, the designers cast him. Though, hell have to work on his legs a bit, Jehezkel chimed in. Click through our exclusive photos to see the process of how, exactly, the Parke & Ronen designers cast the perfect models for their upcoming spring/summer 2017 fashion show. Follow us on Facebook, Instagram, and Pinterest for nonstop inspiration delivered fresh to your feed, every day. Aleppo (Syria) (AFP) - Rebel fighters launched a major assault on government-held districts of Syria's long-divided Aleppo on Monday, after the regime severed their only remaining supply route into the battleground city. Once Syria's economic powerhouse, Aleppo has been ravaged by the war that began with anti-government protests in 2011 and which has since killed more than 280,000 people. Rebels launched the offensive at dawn to reopen the Castello Road, their last lifeline into the northern city, an AFP correspondent said. The Britain-based Syrian Observatory for Human Rights said 19 regime forces were killed Monday when rebels blew up a tunnel in the Old City. Observatory chief Rami Abdel Rahman said: "The opposition has not advanced because of the heavy aerial bombardment the regime is carrying out on the areas where fighting is underway." Air raids on the rebel-held parts of Aleppo killed 13 civilians Monday, the Observatory said, most of them in the Bab al-Maqam neighbourhood near the front line. An AFP journalist saw rescue workers help a stocky, shirtless man out of the rubble in Bab al-Maqam, his face and thick beard caked in dust. The unidentified man entered a field hospital and embraced the limp bodies of two young boys. "He was martyred. He's gone," he said crying over the body of one them. Several rounds of UN-brokered talks to end the conflict have failed, but the UN's special envoy Staffan de Mistura said Monday that a "crucial moment" had been reached in efforts to secure a political settlement. But despite the diplomatic flurry, fighting has intensified in Aleppo, divided between government forces in the west and rebels in the east since mid-2012. - Barrage of shells - Rebels fired a barrage of at least 300 shells into western Aleppo, killing nine civilians, the Observatory said. Ahmed, a resident of the government-controlled west of the city, said his home in the Syriaq quarter was completely destroyed. Story continues "The shells have rained down on the western neighbourhoods since 4:30 am," he told AFP. Residents lifted debris in the Syriaq quarter and helped neighbours gather their belongings so they could leave in search of shelter elsewhere. Mahmud Abu Malak, a spokesman for the Nureddin al-Zanki rebel group, described fierce fighting. "All kinds of heavy artillery and machine-guns are being used in the assault, which is intended to ease the pressure on the Mallah and Handarat fronts," he said, referring to areas near the rebel supply route into Aleppo. The Castello Road route was effectively severed last Thursday when government forces seized a hilltop within firing range. The advance leaves the opposition-held east of the city cut off, and raises the prospect of total siege. On Sunday, at least 29 opposition fighters were killed when rebels launched a fruitless assault to push government forces back from the road and reopen the route. - Meagre food stocks - The severing of the Castello Road has already created shortages of food and fuel in the east of Aleppo, with local market stalls sparsely stocked. "There are very few vegetables today because the Castello Road is closed," said Abu Mohamed, a vendor in the Bustan al-Qasr neighbourhood. "If we hadn't planted eggplant and zucchini inside the city, we wouldn't have had any vegetables at all," he said, pointing to his meagre stock of vegetables. Residents also described searching in vain for fuel, whether for vehicles or home use. The UN says nearly 600,000 Syrians live in besieged areas of the country, most surrounded by government forces, although rebels also use the tactic. The latest violence comes despite an extension until early Tuesday of a nationwide truce declared last week by the government to mark the Muslim holiday of Eid al-Fitr. In northwest Idlib province, 17 people including two children were killed in air strikes which the Observatory said were carried out by either regime or allied Russian warplanes. US Secretary of State John Kerry will travel to Moscow this week to seek common ground on how to deal with the ongoing bloodshed, it was announced Monday. The current truce does not cover jihadists from the Islamic State group or the Al-Qaeda-linked Al-Nusra Front. Also Monday, IS jihadists entered the historic city of Palmyra in central Syria for the first time since being defeated there by regime forces in March. But they were killed and the attack was now over, according to the Observatory. IS fighters also claimed a suicide attack on a rival rebel group in the town of Dumeir, east of Damascus, on Sunday that killed 16 people. The Syrian opposition launched a major offensive against the regime in Aleppo city on July 11. The Free Syrian Army (FSA) reported violent clashes between Mujahideen and Syrian army in several parts of old Aleppo, Jaloum, Bustan Qaser and Saif Dawla. The UK-based Syrian Observatory for Human Rights said that nine civilians were killed during the heavy clashes in Aleppo city. Opposition forces targeted residential areas in Aleppo city killed eight people and injured more than 80, according to the state news agency. Pro-regime sources from Aleppo said that the Syrian Army repelled the opposition attack and denied reports on the opposition advance. Credit: YouTube/Step News Agency Ta-ku may have been keeping busy between announcing new creative ventures and releasing music, but it looks like hes ready to take the next step. Announced today, the Australian producer will be heading on his very first headling tour across North America with frequent collaborator, Wafia. This will be in support of their upcoming joint EP, (m)edian. The tour, which kicks off in Los Angeles on September 21 and wraps up in Miami on October 8, will feature Wafia and Ta-kus elaborate new set, with a full band and original visuals by Sam Price. Tickets go on sale this Friday, July 15 at 10am via Ta-kus site. Earlier this year, Ta-ku and Wafia shared the first listen into their collaborative EP with Meet in the Middle. (m)edian is described as a collection of beautifully-crafted and highly vulnerable songs detailing the extraordinary and sometimes thorny relationships one has with their father. The EP will be released on August 5 via Future Classic. Pre-order it here. Take a look at Ta-ku and Wafias tour dates below, and watch a teaser trailer for the tour above. Related: The Main Way to Connect Tour dates: 9/21 Los Angeles @ Novo 9/24 Oakdale @ Symbiosis Gathering 9/26 Vancouver @ Vogue 9/27 Seattle @ Showbox 10/1 San Diego @ CRSSD 10/2 Chicago @ Thalia Hall 10/4 Toronto @ Opera House 10/5 Montreal @ Berri 10/6 New York @ Brooklyn Masonic Lodge 10/8 Miami @ III Points More from Pigeons & Planes By Lyana Khairuddin I recently had the opportunity to attend the screening of Spotlight, and must agree that the movie deserves the Oscars for Best Picture. While the movie itself was able to grip my attention for two solid hours without the need for any fast-paced action or sex scene, the story that made the movie was what stayed with me after the screening. Spotlight is based on the true story of how a group of investigative journalists, i.e. team Spotlight, from The Boston Globe uncovered the systemic scandal involving Roman Catholic priests sexually abusing children in the Boston area. Coincidentally, at the time of me watching Spotlight, the case of Richard Huckle incited public wrath in Malaysia. The week before Huckle was sentenced, Syed Azmi, a non-governmental individual (NGI), exposed a pedophilia rink on the messenger app, Telegram. The backlash in that first week from Syed Azmis expose was mixed. A portion of the Malaysian public openly denied that such cases could happen in their community, almost foolishly choosing ignorance as bliss, denying anything such as paedophilia in their families or neighbourhood. On the other hand, there are a number of individuals who felt the urgent need to share their own sex abuse stories. Syed Azmi then conceded to share these stories on his Facebook wall, keeping the individuals anonymity as he did so, but done to clearly create awareness that we Malaysians have kept this topic a taboo for too long. The worst backlash were personal attacks on Syed Azmi himself, for publicly acknowledging that we Malaysians have failed in not only protecting our children, but also failed in educating them about sex. Following that, a number of children centred NGOs have came forward with their demands for a sex offender registry. This is deja vu, as over a year ago, Nur Fitri Azmeer Nordin, a Malaysian scholar at Imperial College London was arrested by London police for possessing more than 30,000 child abuse images. Story continues Most of his collection was categorised under Category A: the most extreme forms of child abuse. As of latest update, he only served a few months in jail and apparently is back in Malaysia as a free man. How lackadaisical our lawmakers seem, to be taking such child abuse cases lightly. Recently, a group of NGIs led by Thency Gunasekaran and Shakirah Rahman have formed the collective movement called Projek Layang-Layang to educate the public and raise awareness on this issue. Yet, I humbly think that this issue, like so many other important issues in Malaysia, will be swept under the carpet once this maelstrom is over. Malaysians, as we are, forget easily. We seem to be an outraged society when incited by media, but then we feign ignorance and move on to the next subject that enrages us. I do not want to discount the efforts by the public, NGIs and NGOs in Malaysia surrounding this issue, but as I sat glued to my chair in the hall as the film credits for Spotlight scrolled up the screen, I think we need to do a lot more. It takes a village to raise a child, it also takes a village to abuse a child; this line from Spotlight rings true. The awareness campaign on paedophilia and child sex abuse needs to be helmed by mainstream media. As Syed Azmi rightly pointed out, some local media are too timid to take this on and even accused him of being racist for simply sharing stories by the survivors of child sexual abuse. The story uncovered by the Spotlight team took months to expose, and followed up with over 600 articles throughout the year stories by victims, follow-ups on lawsuits, further exposes in other states in the United states, as well as response and action by the Catholic Church. This is the kind of civil activism we need in order to bring and keep this issue in the spotlight locally (pun intended). Education and awareness needs to be two-pronged, targeting both adults and children alike. We need a comprehensive sex education; we need to keep having conversations around this issue. Open and honest conversations between parents and children should be encouraged, as do the need to improve on our standards for counseling and mental health support. The trauma from something like this that happened during ones childhood would require a lot of support and compassion from the society for any individual to overcome. We need to keep pushing our lawmakers to strengthen and effectively implement our Child Protection Act and push for a sex offender registry. We must no longer focus on blaming the victims and pushing them under the radar. We must put a stop to child marriage, as it allows a loophole for such sexual abuse on children. Paedophiles who have not acted on their urges should undergo counseling and also given support as to prevent them from hurting others, too. It is time for us to keep this particular conversation going. Ignorance in this case is not bliss it causes lifetime trauma for the survivors and in some cases, a cycle of abuse. Let us no longer let Malaysian children down. Lyana Khairuddin is a scientist who works in the field of HIV & HPV, and remains a naive optimist in Malaysia. BANGKOK (Reuters) - A Thai court on Monday released on bail four people detained for campaigning against a military-backed draft charter, their lawyer said. The arrests on Sunday were the latest by authorities in the lead-up to a referendum next month. The Aug. 7 referendum will be the first time Thais go to the polls since the military seized power in a bloodless coup in May 2014. The ruling junta has said the referendum will pave the way for an election next year. Critics, including major political parties, say the constitution will enshrine a political role for the military and weaken civilian governments, worsening the turmoil that has hit Thai politics over the last decade. The four were detained in Thailand's western Ratchaburi province on Sunday, said police, after their cars were searched and copies of booklets providing information on the constitution were found. The group had violated a law that carries a 10-year jail term for campaigning in connection with the referendum. "They violated the Referendum Act," said Police Captain Poom Klaklaew, a police investigator for the case. A court in Ratchaburi released the four on bail of 140,000 baht ($3,986) each on Monday, Pawinee Chumsri, a lawyer for the group, told Reuters. With less than a month to go before the referendum, the junta has taken what rights groups say is a hardline stance on any opposition to its plans and has banned all public discussion of the constitution. ONE REPORTER, THREE OTHERS Among those detained was a reporter from Thai online publication Prachatai, Taweesak Kerdpoka, who joined three activities to report on their activities. "Police found a few copies of the booklet in his bag," said Kornkritch Somjittranukit, who works with Taweesak at Prachatai. "He told them it was material for his news report, but they arrested him anyway." Colonel Winthai Suvaree, a junta spokesman, said the arrests were a police matter. "The police probably didn't know who was who when they detained the group," Winthai told Reuters. "They weren't out to target the journalist." The latest arrests followed the release last week by a military court of seven activists detained in June for campaigning against the charter. (Reporting by Amy Sawitta Lefevre, Panarat Thepgumpanat and Patpicha Tanakasempipat; Editing by Richard Borsuk) The PM met the council of ministers a few days before the major reshuffle in the Cabinet in which report cards of all the ministries and government departments were assessed in detail. Sources said that some ministers informed that a few projects have got delayed due to not-so proactive approach of the concerned departments and its officials. The likely reshuffle at the senior-level bureaucracy in the coming days follows a major rejig in the ministries. By Kumar Vikram: Following a major reshuffle in the ministries of the Narendra Modi government, a similar exercise is expected in the bureaucracy at the Centre. Sources said that a rejig in the senior-level bureaucracy may take place in the coming days as the government is concerned about the lack of progress on some of its flagship schemes. The PM met the council of ministers a few days before the major reshuffle in the cabinet in which report cards of all the ministries and government departments were assessed in detail. Sources said that some ministers informed that a few projects have got delayed due to not-so proactive approach of the concerned departments and its officials. advertisement The Union Cabinet witnessed many unexpected changes following the meeting in which some senior ministers were downgraded while some elevations also took place. The changes are being seen as an attempt by the Modi government, which was elected on a business-friendly ticket, to push on with key reforms and make a tangled, stratified administrative system more efficient. CENTRAL BUREAUCRACY MIGHT SEE A MAJOR RESHUFFLE Like the ministers, progress reports of government departments and senior officials associated with them are also being prepared. There is a buzz that assessment of the performances of the senior officials will be done and the central bureaucracy might see a major reshuffle before Parliament's monsoon session this month. It has been said that progress in some projects of ministries like water and sanitation, health, HRD and others are not as per the PM's expectations. According to recent data, about a third of more than 1,000 projects valued at 14 trillion rupees are delayed as of January, down from 42 per cent a year ago. The data says that cost overruns have come down from 19.8 per cent to 18.4 per cent in that time. A senior official said any such exercise will only show the government's commitment to closely watch the performance of senior civil servants and to increase efficiency of bureaucracy. THIS WON'T BE THE FIRST TIME Modi government has carried out such a reshuffle at the senior level of bureaucracy many times in the last two years, showing its commitment towards progress in schemes and projects. Only recently, ministries were given letters by the government to fix the responsibilities of concerned officials in those big projects in which works have got delayed leading to cost escalation. The government has also made it clear that it can't tolerate bureaucratic deadlock and inefficient decision-making to be hurdles in completing its ambitious projects and in attracting foreign investments. The government carried out a bureaucratic reshuffle at the end of January to bring in new officers to head crucial departments such as agriculture, telecom and information technology and moved out others who were unable to match expectations. Before this, the government appointed as many as 14 new joint secretaries (JS) in different central ministries in December last year. Two IPS officers and one officer each from Indian Forest Service and Indian Postal Service were also appointed as JS in the rejig. It was aimed at speeding up implementation of the government's ambitious programmes like Smart Cities project and focus on key sectors like mines, information technology and road transport. advertisement Before this, 15 new secretaries were appointed at different crucial departments during August last year. Departments like economic affairs, revenue and others had witnessed changes at the top level. Sources said that some top bureaucrats might be brought in at the Centre from states including those ruled by BJP governments. Also read: Decoding Modi Cabinet: Who got what portfolio --- ENDS --- David Cameron has announced he will stand down as U.K. prime minister on Wednesday this week. He will be succeeded as prime minister by Theresa May. Cameron will hold Prime Minister's Question Time for the last time on Wednesday at 7 a.m. ET. He will then go to Buckingham Palace to offer his resignation to the Queen. Theresa May will then go to the palace to "kiss hands" with the Queen. She will become the U.K.'s second female prime minister after Margaret Thatcher. The Conservative Party Conservative has confirmed as the winner of the party's leadership contest, according to Reuters. The news seemed to have assured markets of some modicum of stability ahead for the U.K. Sterling (Exchange: GBP=) reached a session high above $1.30 afterwards. This came after U.K. lawmaker Andrea Leadsom announced she was pulling out of the race to become the new leader of Britain's ruling Conservative Party. The announcement left Interior Minister May as the sole candidate for the job. Speaking earlier on Monday, May said there would be no second referendum or backdoor attempts to rejoin the European Union and pledged to set out economic plans to deal with the current instability. While there was some speculation May would have to be challenged by a secondary candidate in the final Conservative leadership vote, the chairman of the relevant Conservative party committee said there was no need to re-run the contest, according to Reuters. The chairman added that members would understand the importance of moving forward in the national interest. It was initially expected that the leadership race would end with the new British prime minister being confirmed at the start of September. Addressing reporters after pulling out of the race, Leadsom said: "There is no greater privilege than to lead the Conservative Party in government and I would have been deeply honored to do it." "I have however concluded that the interests of our country, are best served by the immediate appointment by a strong and well supported prime minister. I am therefore withdrawing from the leadership election," Leadsom said. Story continues Dominic Bryant, head of U.K. economics at BNP Paribas, said this decision had likely been made after intensive discussions within the party with the aim of avoiding a two-month period of uncertainty during which the government would have been "largely rudderless, with potential negative spillover effects on the economy." More From CNBC After two weeks of political turmoil following the Brexit vote, the U.K. government looks set for a bit of stability. Theresa May, the current home secretary who set herself up on a strong anti-immigration platform at last year's Conservative party conference, is to be named prime minister on Wednesday, taking over from David Cameron who resigned after the June 23 EU referendum. Cameron confirmed on Monday that he would step down after Prime Ministers' Questions on July 13, handing the keys to 10 Downing Street to his colleague. May emerged as a leading candidate for the job after ex-London mayor Boris Johnson pulled out of the leadership race, followed a week later by justice secretary Michael Gove being voted out of the contest. On Monday, Andrea Leadsom, the only other remaining candidate, announced that she was withdrawing, paving the way for May. Leadsom had come under fire over the weekend for an article in The Times in which is was implied she thought she was a better candidate because she was a mother, unlike May. UPDATED July 11, 8:45 a.m. to include Cameron's announcement that he would step down on Wednesday. Read More: Christoph Waltz Calls Brexit "Abysmal Stupidity" By Jessica Toonkel (Reuters) - Thomson Reuters Corp on Monday said it agreed to sell its intellectual property and science business to private equity firms Onex Corp and Baring Private Equity Asia for $3.55 billion in cash. The business, which has 3,200 employees, provides intellectual property and scientific information and associated tools and services to governments, universities and companies. "This divestiture marks an important step in sharpening our focus on the intersection of global commerce and regulation," wrote Chief Executive Officer Jim Smith, in an e-mail to employees Monday morning. "We expect to use the proceeds from the transaction to buy back shares and the balance to pay down debt and reinvest in the business." The news and data provider said in November it was exploring strategic options for the unit, which had revenue of about $1 billion in 2014. Analysts have estimated that the business would be valued at more than $3 billion, or more than 10 times EBITDA (earnings before interest, tax, depreciation and amortization). The division contributed about 8 percent of Thomson Reuters' total revenue of $12.2 billion in 2015. Reuters had earlier reported that the company was discussing selling the units in parts to facilitate a divestiture that could raise more than $3 billion. Thomson Reuters is the parent company of Reuters News. Guggenheim Securities and J.P. Morgan Securities were financial advisers to Thomson Reuters. Allen & Overy LLP was legal counsel. (Reporting by Arathy S Nair and Narottam Medhora in Bengaluru; Editing by Sriraj Kalluvila and Nick Zieminski) By Prak Chan Thul PHNOM PENH (Reuters) - Thousands of people gathered for the funeral of prominent government critic and activist Kem Ley in Cambodia's capital on Monday, a day after he was shot dead in broad daylight inside a convenience store in Phnom Penh. Some mourners said Kem Ley's death was a "political killing", while Prime Minister Hun Sen called for calm. Kem Ley's killing comes amid rising political tension in the capital between Hun Sen and an opposition hoping to challenge his grip on power at local elections in 2017 and national elections in 2018. Members of the opposition and activists have been jailed on charges they say were trumped up by the government as part of a crackdown to mute critics ahead of the vote. Mourners burned incense and offered lotus flowers on Monday in respect to Kem Ley, 46, who was gunned down on Sunday. His body, covered with the Cambodian flag and jasmine flowers, will be laid out for 10 days for those wishing to pay their last respects at the Wat Chas pagoda in Phnom Penh. A 38-year old suspect, Chuop Somlap, was arrested on Sunday after the shooting and admitted to killing Kem Ley in a dispute over money, the Interior Ministry said in a statement. Some mourners were skeptical of the reason Chuop Somlap gave for killing Kem Ley. "His killing is political," Sampoy Chansophea, a 24-year old supporter from Ratanakkiri province, said at the funeral. "Political killing has happened and it will continue to happen. Anyone who dares to speak out is facing death." A court said on Monday that Chuop Somlap, whose name means "meet to kill", has not been yet charged. Hun Sen condemned the attack on Monday and urged people to be calm during the investigation. He said his government would be discredited for failing to guarantee the safety of citizens, but that people should refrain from making the killing a political issue. "Politicians should not use this to instigate things that would lead the country into unrest," Hun Sen said. Kem Ley was a frequent critic of Prime Minister Hun Sen, whose more than 30 years grip on power has been challenged by the rise of the opposition Cambodia Nation Rescue Party (CNRP). Kem Ley's most recent critique of Hun Sen's administration was a commentary on a report by anti-corruption pressure group Global Witness, which accused the prime minister and his family of having amassed $200 million in business interests. On Monday, people huddled under tents and in the shade of trees holding pictures of the popular commentator. "I was shocked when I heard about the shooting," said Kem Ley's son, Kem Veasna Ranuch, adding that his father had never mentioned either the debt or Chuop Somlap. Kem Ley is survived by four sons and a pregnant wife. Mourners gave donations to Kem Ley's family. The United States was "deeply saddened" by Kem Ley's killing, said State Department spokesman John Kirby, urging a "thorough and impartial" investigation. (Reporting by Prak Chan Thul; Editing by Simon Webb and Michael Perry) By Mica Rosenberg NEW YORK (Reuters) - Senior U.S. Department of Justice officials overruled internal recommendations to prosecute global bank HSBC Holdings Plc (HSBA.L) for money-laundering violations because of concerns about the stability of the financial system, according to a congressional report released on Monday. In 2013, the Financial Services Committee of the U.S. House of Representatives, led by Jeb Hensarling, a Republican of Texas, began investigating the Justice Department's November 2012 decision to enter into a $1.92 billion settlement agreement with HSBC. The report, which relies on internal records from the Department of the Treasury, said the U.S. attorney general at the time, Eric Holder, "misled" Congress about the Justice Department's reasoning for declining to prosecute. Holder and other top officials decided against criminal charges for London-based HSBC over the recommendations of prosecutors because they had concerns about financial stability, the report said. Politicians and others have criticized the Justice Department for not sufficiently cracking down on big banks following the 2008 financial crisis. The report said it sought to shed light on the department's decision-making and did not outline specific recommendations. The 2012 settlement detailed how Mexico's Sinaloa drug cartel and Colombia's Norte del Valle cartel laundered $881 million through HSBC and a Mexican unit and how the bank violated U.S. sanctions laws by doing business with customers in Iran, Libya, Sudan, Burma and Cuba. No HSBC executives or employees were prosecuted for the violations, the report said. HSBC declined to comment on the report. The Treasury Department also declined to comment. Justice Department spokesman Peter Carr said a series of factors are weighed when determining how to resolve a case, including "adverse consequences for innocent third parties, such as employees, customers, investors, pension holders and the public." He said U.S. District Judge John Gleeson approved the agreement. Story continues Internal emails cited in the report show the Justice Department's Asset Forfeiture and Money Laundering Section, represented by then-chief Jennifer Shasky Calvery, was "considering seeking a guilty plea from HSBC" as early as September 2012. Shasky Calvery earlier this year joined HSBC in a senior global financial crime-fighting role, a source familiar with her plans told Reuters in April. Senior leadership at the Justice Department, including Holder, ultimately overruled criminally charging the bank, even though Holder had testified in front of Congress that "banks are not too big to jail," the report said. A spokesman for the law firm where Holder now works said he declined to comment. The report also claims that the involvement of the United Kingdom's Financial Services Authority influenced the Justice Department's decision to settle the case. "George Osborne, Chancellor of the Exchequer, the UK's chief financial minister, intervened in the HSBC matter by sending a letter to Federal Reserve Chairman Ben Bernanke ... to express the U.K.'s concerns regarding U.S. enforcement actions against British banks," the report said. Carr said the Justice Department routinely seeks input on the impact of prosecutions from subject-matter experts, "such as domestic and foreign regulators." He said final decisions are based on the unique facts of each case. Britain's finance ministry did not immediately respond to a request for comment. The Congressional report said both the Justice Department and Treasury did not comply with the committee's request for documents, forcing them to issue subpoenas. As part of HSBC's agreement with the U.S. government, the bank installed an outside monitor, former prosecutor Michael Cherkasky, to improve its anti-money laundering controls. A court heard in April that despite progress, HSBC was still not doing enough to thwart money laundering. By Mica Rosenberg NEW YORK (Reuters) - Senior U.S. Department of Justice officials overruled internal recommendations to prosecute global bank HSBC Holdings Plc for money-laundering violations because of concerns about the stability of the financial system, according to a congressional report released on Monday. In 2013, the Financial Services Committee of the U.S. House of Representatives, led by Jeb Hensarling, a Republican of Texas, began investigating the Justice Department's November 2012 decision to enter into a $1.92 billion settlement agreement with HSBC. The report, which relies on internal records from the Department of the Treasury, said the U.S. attorney general at the time, Eric Holder, "misled" Congress about the Justice Department's reasoning for declining to prosecute. Holder and other top officials decided against criminal charges for London-based HSBC over the recommendations of prosecutors because they had concerns about financial stability, the report said. Politicians and others have criticized the Justice Department for not sufficiently cracking down on big banks following the 2008 financial crisis. The report said it sought to shed light on the department's decision-making and did not outline specific recommendations. The 2012 settlement detailed how Mexico's Sinaloa drug cartel and Colombia's Norte del Valle cartel laundered $881 million through HSBC and a Mexican unit and how the bank violated U.S. sanctions laws by doing business with customers in Iran, Libya, Sudan, Burma and Cuba. No HSBC executives or employees were prosecuted for the violations, the report said. HSBC declined to comment on the report. The Treasury Department also declined to comment. Justice Department spokesman Peter Carr said a series of factors are weighed when determining how to resolve a case, including "adverse consequences for innocent third parties, such as employees, customers, investors, pension holders and the public." He said U.S. District Judge John Gleeson approved the agreement. Internal emails cited in the report show the Justice Department's Asset Forfeiture and Money Laundering Section, represented by then-chief Jennifer Shasky Calvery, was "considering seeking a guilty plea from HSBC" as early as September 2012. Shasky Calvery earlier this year joined HSBC in a senior global financial crime-fighting role, a source familiar with her plans told Reuters in April. Senior leadership at the Justice Department, including Holder, ultimately overruled criminally charging the bank, even though Holder had testified in front of Congress that "banks are not too big to jail," the report said. A spokesman for the law firm where Holder now works said he declined to comment. The report also claims that the involvement of the United Kingdom's Financial Services Authority influenced the Justice Department's decision to settle the case. "George Osborne, Chancellor of the Exchequer, the UK's chief financial minister, intervened in the HSBC matter by sending a letter to Federal Reserve Chairman Ben Bernanke ... to express the UK's concerns regarding U.S. enforcement actions against British banks," the report said. Carr said the Justice Department routinely seeks input on the impact of prosecutions from subject-matter experts, "such as domestic and foreign regulators." He said final decisions are based on the unique facts of each case. Britain's finance ministry declined to comment. The Congressional report said both the Justice Department and Treasury did not comply with the committee's request for documents, forcing them to issue subpoenas. As part of HSBC's agreement with the U.S. government, the bank installed an outside monitor, former prosecutor Michael Cherkasky, to improve its anti-money laundering controls. A court heard in April that despite progress, HSBC was still not doing enough to thwart money laundering. (Reporting by Mica Rosenberg; Editing by Noeleen Walder and Leslie Adler) By Mica Rosenberg NEW YORK, July 11 (Reuters) - Senior U.S. Department of Justice officials overruled internal recommendations to prosecute global bank HSBC Holdings Plc for money laundering violations because of concerns about the stability of the financial system, a congressional report released on Monday said. In 2013, the Financial Services Committee of the U.S. House of Representatives, led by Republican Congressman Jeb Hensarling of Texas, began investigating the Justice Departments November 2012 decision to enter into a $1.92 billion settlement agreement with HSBC. The report, which relies on internal records from the Department of the U.S Treasury, said then-U.S. Attorney General Eric Holder misled Congress about the Justice Departments reasoning for declining to prosecute. He and other top officials decided against criminal charges for London-based HSBC over the recommendations of prosecutors as they had concerns about financial stability, the report said. Politicians and others have criticized the Justice Department for not charging high level executives following the 2008 financial crisis. The report said it sought to shed light on the departments decision-making behind the scenes and did not outline specific recommendations. The 2012 settlement detailed how Mexicos Sinaloa drug cartel and Colombias Norte del Valle cartel laundered $881 million through HSBC and a Mexican unit and how the bank violated U.S. sanctions laws by doing business with customers in Iran, Libya, Sudan, Burma and Cuba. No HSBC executives or employees were prosecuted for the violations, the report said. HSBC declined to comment Monday on the report. Holder also declined to comment. The Justice Department did not immediately respond to a request for comment. The U.S. Department of the Treasury declined to comment. The report said both the Justice Department and Treasury did not comply with the committees request for documents, forcing them to issue subpoenas. Story continues Internal emails in the report show the Justice Departments Asset Forfeiture and Money Laundering Section, represented by then-chief Jennifer Shasky Calvery was considering seeking a guilty plea from HSBC as early as September 2012. Senior leadership at the Justice Department, including Holder, ultimately overruled prosecuting the bank even though Holder had testified in front of Congress that banks are not too big to jail, the report said. Shasky Calvery later joined HSBC in a senior global financial crime fighting role, according to a source familiar with her plans. As part of HSBCs agreement with the U.S. government, the bank installed an outside monitor, former prosecutor Michael Cherkasky to improve its anti-money laundering controls. A court heard in April that despite progress, HSBC was still not doing enough to thwart money laundering. (Reporting by Mica Rosenberg; Editing by Noeleen Walder and Grant McCool) Tori Spelling and husband Dean McDermott are caught in another financial scandal. According to court papers obtained by ET, the state of California has placed a tax lien for $259,108.23 on the couples property stemming from state taxes that allegedly went unpaid in 2014. This means the state can go after any assets they currently own or will own in the future until the debt is paid off. WATCH: Tori Spelling Being Sued by American Express for Unpaid $38k Bill Both actors earned money in 2014 McDermott made a guest appearance on CSI while Spelling starred on the series Mystery Girls with her former Beverly Hills, 90210 co-star, Jennie Garth. The tax lien follows Spellings court battle with American Express. In January, the credit card company sued her, claiming she had a balance of $37,981.97 on her AMEX card and hadnt made a payment since June 26, 2015. In 2013, Spelling admitted to ET that she and her husband struggled with their bills. Just because Im on TV doesnt mean that I dont make financial choices that arent good, she explained. They were really bad ones. WATCH: Tori Spelling Sues Benihana Over Burns Sustained After Falling on Grill Despite their money issues and McDermotts admitted infidelity, the couple celebrated their 10-year anniversary this year and show no signs of slowing down. They gave us six months from when we first met, McDermott told ET in January. Well show them all. Related Articles Washington (AFP) - Donald Trump proclaimed himself the "law and order candidate" Monday, emphasizing increased threats to police and declaring only he can keep Americans safe in a nation reeling from gun violence. "I am the law and order candidate," the Republican party's presumptive presidential nominee said in a speech in Virginia Beach, Virginia. The declaration, reminiscent of remarks made by former president Richard Nixon, came as Trump highlighted recent killings including the horrific shooting deaths of five Dallas officers in a gunman's sniper-like assault. "The attack on our Dallas police is an attack on our country. Our whole nation is in mourning," Trump said, as he pledged to "fight" for law enforcement personnel and ensure they have Washington's full backing. "Without safety we have nothing." Trump, who veered from his speech on veterans issues to discuss the violence, also stressed that he is the candidate of compassion. "But you can't have true compassion without providing safety for the citizens of our country," Trump said. The billionaire political neophyte's reaction to the latest US events has been closely scrutinized in recent days. Often quick to lash out on Twitter or offer scathing critiques, Trump has largely steered clear of aggressive criticism after the deaths of two black men last week at the hands of police officers. He halted his campaigning for a day after Thursday's Dallas police murders, as did his Democratic rival Hillary Clinton. But on Monday Trump insisted he was uniquely prepared to back the nation's police forces, and hammered Clinton as "weak, ineffective" and pandering. "America's police and law enforcement personnel are what separates civilization from total chaos and the destruction of our country as we know it," Trump said. New Jersey Governor Chris Christie, a former 2016 presidential candidate and a potential Trump running mate, was on board with Trump's message. Story continues "We need a president who once again puts law and order at the top of the priority of the presidency of this country," Christie told the crowd shortly before Trump spoke. Trump's declaration echoed the pronouncements of former president Richard Nixon, who as a candidate declared he would be tough on crime. "I pledge to you, we shall have order in the United States," Nixon said in a 1968 campaign ad. "Modi and President Magufuli first held restricted talks before holding delegation level parleys,. PM @narendramodi and President @MagufuliJP in restricted talks following the welcome," Vikas Swarup tweeted. By Press Trust of India: Prime Minister Narendra Modi on Sunday held talks with Tanzanian President John Pombe Joseph Magufuli on a wide range of issues aimed at boosting ties in the African continent, particularly in the economic sphere. The Prime Minister was accorded a ceremonial welcome when he arrived at the State House. "A ceremonial welcome, the East African way. PM's Tanzania engagements begin at the State House in Dar es Salaam," External Affairs Ministry Spokesperson Vikas Swarup tweeted. advertisement "The Prime Minister, who arrived here late last night on the third leg of his four-nation Africa tour, also played the drum along with the Tanzanian President for nearly one minute. New beats to the #IndiaAfrica rhythm! PM @narendramodi and President @MagufuliJP play the drum," Swarup said. "Modi and President Magufuli first held restricted talks before holding delegation level parleys,. PM @narendramodi and President @MagufuliJP in restricted talks following the welcome," Swarup tweeted. Also read Africa is vital for India, says PM Modi in Nairobi PM Narendra Modi relives Mahatma's journey in Africa --- ENDS --- By Steve Holland WASHINGTON (Reuters) - Republicans Donald Trump and Chris Christie teamed up on Monday to assail Democratic President Barack Obama and Hillary Clinton as weak on domestic security, making the kind of one-two punch possible if Trump picks Christie as his running mate. At a rally in Virginia Beach, Virginia, Trump, the presumptive Republican presidential nominee, and Christie, the tough-talking New Jersey governor, seized on the Dallas police shootings as examples of why Americans need a "law and order" candidate like Trump. Much of the debate about security in the presidential campaign has been about threats abroad. The shooting deaths of five Dallas police officers last week and violence in other cities have shifted the debate back home for now. Trump, a wealthy real estate developer, presented himself as "the law and order candidate" and called Clinton weak. He said she has grown out of touch with the plight of ordinary Americans and cited her making paid speeches to corporate interests as a cause. "Perhaps it is easy for politicians to lose touch with reality when they are being paid millions of dollars to read speeches to Wall Street executives, instead of spending time with real people in real pain," he said. "The disconnect in America is deep. There are two Americas: the ruling class, and the groups it favors, and then everyone else," said Trump. In the final days of his search for a vice presidential running mate, Trump was introduced at the event by Christie, who is one of Trump's top potential picks to be his vice presidential running mate. Christie, a former rival of Trump for the presidential nomination, showed himself capable of assuming the role of political attack dog, a job the vice presidential nominee usually assumes. He suggested Obama has taken sides against police in the country's debate over race and police brutality. "We need a president who once again will put law and order at the top of the priority of the presidency of this country," Christie said. "Our police officers ... need to understand that the president of the United States and his administration will give them the benefit of the doubt, not always believe that what they have done is somehow wrong." Trump has been test-driving his vice presidential possibilities. He campaigned last week with former House of Representatives Speaker Newt Gingrich, and is to appear with a third No. 2 possibility, Indiana Governor Mike Pence, in Indiana on Tuesday. The New York businessman has appeared most comfortable publicly with Gingrich. Both Gingrich and Christie have been advisers for Trump behind the scenes. Trump is also considering retired Lieutenant General Michael Flynn for the job, but told The Washington Post in an interview published on Monday that he is leaning toward a conventional politician. "I dont need two anti-establishment people," Trump said. "Someone respected by the establishment and liked by the establishment would be good for unification. I do like unification of the Republican Party." Trump said he would decide on his vice presidential pick in the next three or four days. The Republican National Convention, at which he is to be nominated as the party's candidate, opens in Cleveland next Monday. (This version of the story was refiled to fix typographical error in 4th paragraph to make it "estate" instead of "state") (Reporting by Steve Holland; Editing by Jonathan Oatis) By Ece Toksabay ANKARA (Reuters) - Turkey's government is blocking access to independent investigations into alleged mass abuses against civilians in southeast Turkey, where security forces are fighting Kurdish militants, Human Rights Watch said on Monday. Thousands of civilians have been caught up in fighting between the Kurdistan Workers Party (PKK) militants and security forces that flared in July after a two-year-old ceasefire collapsed. U.S.-based Human Rights Watch said in a report that alleged abuses in the conflict included unlawful killings of civilians, mass forced civilian displacement, and widespread unlawful destruction of private property. It said at least 338 civilians had died after being caught up in clashes. In a release on its website, Human Rights Watch called on the government to promptly grant the United Nations Office of the High Commissioner for Human Rights permission to enter the area and investigate in accordance with its standards. The Turkish government denies targeting civilians in the operations. A presidency official described the HRW report as inaccurate and misleading. Turkey, the United States and the European Union all classify the PKK as a terrorist organization. The PKK says it is fighting for autonomy for Turkey's Kurdish minority. According to the Turkish army, more than 600 militants were killed in security operations in Cizre, a town of more than 100,000 people that was sealed off and under curfew for 78 days until March 2. It denies accusations it has killed large numbers of civilians. "The Turkish government's effective blockade of areas of the southeast fuels concerns of a major cover-up," said Emma Sinclair-Webb, senior Turkey researcher at Human Rights Watch. "The Turkish government should give the UN and non governmental groups immediate access to the area to document what's going on there." More than 40,000 people have been killed in the conflict since the PKK began its insurgency in 1984. (Additional reporting by Gulsen Solaker, editing by Dasha Afanasieva and Ralph Boulton) ISTANBUL (Reuters) - A Turkish court has jailed seven suspects pending trial on terrorism charges over last month's triple suicide bombing at Istanbul's main airport, bringing the number in custody to 37, the state-run Anadolu Agency reported. The attack at Ataturk Airport killed 45 people and wounded hundreds, the deadliest in a series of bombings this year in Turkey. The seven suspects were detained on charges of "membership of an armed terrorist group" and being accomplices to murder, Anadolu said. The private Dogan news agency said all seven were foreign nationals. One government official has said the attackers were Russian, Uzbek and Kyrgyz nationals, and President Tayyip Erdogan has said Islamic State militants from the former Soviet Union were behind the attack. Media reports have said at least 11 of those detained were Russian. The Istanbul bombing was followed by major attacks in Bangladesh, Iraq and Saudi Arabia, all apparently timed for the run-up to Eid al-Fitr, the holiday marking the end of the Ramadan holy fasting month. In the June 28 airport attack, three bombers opened fire to create panic outside the airport before two of them got inside and blew themselves up. The third militant detonated his explosives outside at the entrance to the international arrivals terminal. Moscow says thousands of Russian citizens and citizens of other former Soviet states have joined Islamic State, traveling through Turkey to reach Syria. Russia fought two wars against Chechen separatists in the North Caucasus in the 1990s, and more recently has fought Islamist insurgents in Dagestan. Last week a Turkish newspaper said authorities were seeking two suspected Islamic State militants thought to be linked to the attack and believed to be in hiding near the border with Syria. (Writing by Daren Butler; Editing by David Dolan and John Stonestreet) By Keith Coffman DENVER (Reuters) - Two men from Alabama have been arrested in Colorado on arson charges accusing them of leaving a campfire unattended, igniting a blaze that destroyed five homes and forced the evacuation of nearly 2,000 residents, authorities said on Monday. Andrew Suggs, 28, and Zackary Ryan Kuykendall, 26, set the "ad hoc" campfire over the weekend on private property outside the town of Nederland, Colorado, about 35 miles northwest of Denver, the Boulder County Sheriffs Office said in a statement. The men did not ensure that the fire was properly extinguished by dousing it with water, or making sure the ashes were cool to the touch before leaving the site, the statement said, adding that winds blew embers through the mountain canyon, sparking the blaze. The so-called Cold Springs fire has blackened 600 acres, torched five houses and several outbuildings was continuing to burn unchecked as of Monday morning, Boulder County spokeswoman Gabi Boerkircher said. The two men, both from the northern Alabama community of Vinemont, were charged with felony arson because lives were endangered as a result of the fire, police said, though no injuries have been reported. Both men were due to make their initial court appearance on Monday. The blaze near Denver was one of several firefighters have struggled to contain across Colorado amid hot, dry, windy weather gripping much of the state. A 5,100-acre wildfire raging through two national forests in south-central Colorado has forced the evacuation of two campgrounds, while residents of nearby communities were placed on standby to leave their homes if winds blow flames in their direction, the U.S. Forest Service said on its website. A separate fire burning since last month through remote forests farther north has grown to nearly 19,000 acres, creeping across the state line into Wyoming, said Lynn Barclay, a spokeswoman for the fire management team of that blaze. Story continues That blaze, just 5 percent contained, was roaring through large stands of beetle-killed trees, and may continue to burn until late October, she said. Farther west, the U.S. Bureau of Land Management said two of its firefighters were killed and a third injured on Sunday in a single-vehicle accident outside Winnemucca, Nevada, while they were scouting for possible fires from lightning strikes. (Editing by Steve Gorman and Jeffrey Hodgson) The Pentagon crossed a critical threshold Monday when Defense Secretary Ashton Carter said he was ordering 560 more U.S. troops to Iraq for the express purpose of taking back the two major cities held by ISIS. Its an important moment, because only when Mosul, ISISs biggest prize in Iraq, and Raqqa, the capital of its self-declared caliphate in Syria, are retaken will the world view the two-year-old Islamic State as being finally on the ropes. You will now embark on the next major steps of our campaignto collapse [ISIS] control over Mosul here in Iraq and Raqqa in Syria, Carter told U.S. troops during an unannounced visit to Baghdad. Most of the reinforcements will head toward the Qayyarah air base 40 miles north of Baghdad, which Iraqi fighters seized from ISIS forces on Saturday. They boost the total U.S. troop presence in Iraq to about 6,000 and represent a 10% increase of U.S. troops in the country. The growing number of U.S. troops at Qayyarah are expected to serve as a launching pad for the long-delayed key fight for Mosul, which ISIS has occupied since June 2014 following the collapse of its Iraqi defenders. The coalition believes that Mosul is the prize, Army Colonrel Christopher Garver said last week. Continuing to break the caliphate and continuing to break the will of [ISIS] inside is the right course of action. Pentagon officials said the U.S. troops will focus largely on logistics and communication that will increase the combat power of the Iraqi forces. Nothing very sexy in any of that, but all very necessary to keep the campaign moving forward, Army Lieut. General Sean MacFarland, commander of the U.S. anti-ISIS campaign, said. A former top commander in the region believes Carter has lined up allies to join in the fight. Reading between the lines, I suspect that he has some major commitments from the Iraqis, Kurds, and Syrian opposition to go for the gold, says retired Marine general Anthony Zinni, referring to ISISs two prized cities. Iraqi troops, aided by Kurdish fighters from northern Iraq and U.S.-led airstrikes, have slowly but steadily been tightening a noose around Mosul. I also suspect that Carter is convinced that Mosul and Raqqa are isolated, adds Zinni, who commanded all U.S. forces in the region as chief of U.S. Central Command from 1997 to 2000. It sounds like he will use this to pressure regional allies to step up their contributions. Story continues The continued existence of Mosul and Raqqa as ISIS-held cities is a rebuke to the civilized world, Pentagon officials say. So long as ISIS controls them, would-be jihadists will be enticed to launch attacks in the name of the existing Islamic State caliphate. Crushing ISIS is absolutely necessary because its important to destroy the idea that there can be a state based upon the ideology represented by [ISIS], Carter said. Once the cities fall, U.S. thinking goes, ISISs attraction to young Muslims will fade. This is just the next step in a long-term U.S. campaign that is evolving with other U.S. partners in the Middle East to slowly grind down ISIS and roll it back from its well-publicized advances in Iraq and Syria, says David Barno, a retired three-star Army general who commanded U.S. and allied troops in Afghanistan from 2003 to 2005. Secretary Carter has just acknowledged the reality that the U.S. is playing the long game hereand that we will continue to quietly ramp up U.S. troop strength one step at a time to achieve whatever it takes to defeat ISIS across the region. Targeting the cities and the state they represent has indeed been a long game. Originally, the U.S. military expressed hope that it could train sufficient Iraqi troops for an offensive to retake Mosul by spring of 2015. But that timetable evaporated as it became clear that the $25 billion the U.S. had spent training Iraqi troops between 2003 and 2011 had been squandered. Pentagon officials have recently suggested that the fight for Mosul has slid until early 2017, but Iraqs recent battlefield successes in retaking Fallujah, Ramadi and Tikrit have led to talk that the Mosul fight could begin before the end of this year. Maybe [Carter] is under pressure himself to get some big wins before November, says Zinni, which would let President Obama deliver a nice gift to his successor in January. The time allowed the Islamic State to functionnow going on two yearsis one of the principal causes of the atrocities the Islamic State has instigated against innocent civilians, retired three-star Air Force general David Deptula recently said. The elimination of the Islamic State as a functioning organization should be the primary focus of our strategy. U.S. military officers are betting a retooled Iraqi military will do a better job at taking Mosul than they did at losing it. I was there when Mosul fell, Air Force Lieut. General Bill Bender told TIME last week. He was serving in Baghdad as the No. 2 U.S. military officer at the time, trying to bolster Iraqi security. Some hundreds of thousands of Iraqi troops melted into the local environment and simply put down their guns and took off their uniforms and leftthey werent committed to the fight. Political infighting among the Shiite-dominated government in control and its cashiering of Sunni commanders crippled morale of the Iraqi forces. The U.S. should have a keener understanding of the ethnic issues plaguing the Iraqi military now, and work to reduce them, he added, before trying to take back Mosul. WASHINGTON (Reuters) - The U.S. State Department said on Monday that a U.S. citizen and a U.S. legal resident, reported to have been indicted in Iran, were "unjustly detained" and should be released. Iran's judiciary indicted three detained Iranian dual-nationals and a Lebanese citizen also held in the Islamic Republic, the judiciary's official news website Mizan said on Monday. The website quoted Tehran prosecutor Abbas Jafari Dolatabadi as saying that after the issuance of indictments, the cases against Nazanin Zaghari-Ratcliffe, Siamak Namazi, Homa Hoodfar and Nizar Zekka had been referred to court "for processing". (Reporting by Mohammad Zargham; Writing by Yara Bayoumy) WASHINGTON (Reuters) - The United States on Monday condemned the violence in South Sudan and said it would hold those who commit atrocities or impede efforts to stop the fighting "fully accountable," the White House's national security adviser said. "We call on those fighting to return to their barracks. This senseless and inexcusable violence undertaken by those who yet again are putting self-interest above the well-being of their country and people puts at risk everything the South Sudanese people have aspired to over the past five years," national security adviser Susan Rice said in a statement. (Reporting by Eric Beech; Editing by Eric Walsh) "Indian toh nahi lagti ho", an immigration officer allegedly told a woman from Manipur at Delhi airport. And this is not even the first time! By Sanjana Agnihotri: "Indian toh nahi lagti ho", an immigration officer allegedly told a woman from Manipur at Delhi airport. "Pakka Indian ho?","see... you yourself need to know your Indianness. How many states are there in India?", "so tell me how many states Manipur shares borders with", these were some of the questions that the immigration officer asked Monica Khangembam, a corporate communications professional who was travelling to South Korea. advertisement Monika described her experience through a Facebook post that went viral and has reached the External Affairs Minister, Sushma Swaraj, who has taken note of this incident. Monika expressed anger over the alleged racist behaviour of the official is planning to lodge a formal complaint once she is back from her 15 days trip. Also read: Manipur woman alleges racial harassment at Delhi airport, social media outraged It is not the first that a north-easterner has become the victim of racial prejudice and discrimination. Quit Delhi Movement According to a study conducted by Jamia Millia Islamia in 2014, 81% of north-east women staying in the capital have faced harassment. In 2014 there was a spree of racist attacks. In a span of 15 days, 6 cases came to light. From molestation, rape, trafficking to even death, north-eastern people faced atrocities over which the Delhi government expressed its helplessness before the Delhi High Court. "As far as policing is concerned, it is with the Centre and hence, we cannot do much," the government counsel told a bench headed by Chief Justice NV Ramana. On January 29, 2014, 19-year-old Nido Tania from Arunachal Pradesh was assaulted and killed by few shopkeepers in South Delhi's Lajpat Nagar. The case of a 20-year-old girl from Assam trafficked to New Delhi on the pretext of providing a job came to light on February 4, 2014. She was sold off to three people instead. Three people were arrested in connection to this case. On February 7, 2014 a minor girl from Manipur was raped by her landlord's son in South Delhi's Munirka. The accused was arrested later. On February 9, two Manipuri boys were beaten to death by a group of five men who subjected racist taunts on them. One person has been arrested in this case. The concerned families of citizens from the seven sisters states asked them to return to their home states in the wake of violent racist attacks, reported Mail Today. Also read: Sikh woman's outstanding slam poetry on racism stunned the judges of a talent show advertisement "Feeling of being the other" "Where do I owe my allegiance when I am not wanted even in my nation?", writes Ningreikhan Wungkhai in the article "I Am Not Wanted Even In My Nation": A North East Indian Calls Out Our Rampant Racism. "The person who you call Chinese in obnoxious tones is no less Indian than you are," writes Wungkhai while pointing out the Indianness of the north-easterners. While Indians face racism in many countries across the world, we do too form opinions or pass snide remarks on north-easterners making them feel unwanted in their own nation. Such violence and discrimination goes against our fundamental rights laid in the constitution as well. Article 14, 15, 16 of the Indian constitution talks about equality in the eyes of law, bars discrimination on the grounds of race and confers equality in terms of public employment, respectively. Also read: The reason why this woman was called 'Batman' is extremely racist! And it is not just north-easterners, people from other countries are subjected to racial atrocities. In May 2016, a 29-year-old Congolese national was murdered in Delhi. Masonda Ketada Olivier, a French language teacher at a private institute in south Delhi's South Extension area, was beaten to death by three men who were under the influence of alcohol. advertisement Olivier's friends also claimed that the entire community is stereotyped and labelled as criminals or drug peddlers. Being called 'Negros' or 'hapsi' is a routinely affair for them. "The Other Indians" To highlight this issue of racism, YouTube channel 'Old Delhi Films' came up with a video called "The Other Indians". Watch the video to know how students from North-East, studying in Delhi University tackle their day-to-day experience of racism. Also read: Let's give this ad the 'most racist commercial of 2016' award already! --- ENDS --- By Yeganeh Torbati and Stephen Kalin BAGHDAD (Reuters) - The United States is stepping up its military campaign against Islamic State (IS) by sending hundreds more troops to assist Iraqi forces in an expected push on Mosul, the militants' largest stronghold, later this year. U.S. Defense Secretary Ash Carter made the announcement on Monday during a visit to Baghdad where he met U.S. commanders as well as Iraqi Prime Minister Haider al-Abadi and Defence Minister Khaled al-Obeidi. Most of the 560 troop reinforcements will work out of Qayara air base, which Iraqi forces recaptured from Islamic State and plan to use as a staging ground for an offensive to retake Mosul, Iraq's second biggest city. Government forces said on Saturday they had recovered the air base, about 60 km (40 miles) from the northern city, with air support from the U.S.-led military coalition. "With these additional U.S. forces I'm describing today, we'll bring unique capability to the campaign and provide critical support to the Iraqi forces at a key moment in the fight," Carter told a gathering of U.S. troops in Baghdad. The latest force increase came less than three months after Washington announced it would dispatch about 200 more soldiers to accompany Iraqi troops advancing towards Mosul. Carter told reporters ahead of Monday's trip that the United States would now help turn Qayara into a logistics hub. The airfield is "one of the hubs from which ... Iraqi security forces, accompanied and advised by us as needed, will complete the southernmost envelopment of Mosul," he said. The recapture of Mosul, Islamic State's de facto Iraqi capital from which its leader declared a modern-day caliphate in 2014, would be a major boost for Abadi and U.S. plans to weaken IS, which has staged attacks in the West and inspired others. Two years since Islamic State seized wide swathes of Iraq and neighbouring Syria in a lightning offensive, the tide has begun to turn as an array of forces lined up against the jihadists have made inroads into their once sprawling territory. IS has increasingly resorted to ad hoc attacks including a bombing in the Iraqi capital last week that left nearly 300 people dead - the most lethal bombing of its kind since the 2003 U.S.-led invasion that ousted Saddam Hussein. U.S. and Iraqi officials have touted such bombings as proof that battlefield setbacks are weakening Islamic State, but critics say a global uptick in suicide attacks attributed to the group suggests the opposite. "In fact, it demonstrates (Islamic State's) strength and long-term survival skills," terrorism expert Hassan Hassan wrote in a recent article. "The threat is not going away." REPAIRS NEEDED A senior U.S. defence official said Qayara air base would be "an important location for our advisers, for our fire support, working closely with the Iraqis and being closer to the fight." Carter compared its strategic importance to that of a base near Makhmour, a hub for Iraqi forces on the opposite side of the Tigris river that is also used by U.S. troops. A U.S. Marine was killed in Makhmour in March when it was shelled by IS. U.S. forces had already visited Qayara to check on its condition and advisers can offer specialised engineering support in Mosul, where Islamic State has blown up bridges across the Tigris, U.S. officials said. Iraqi forces were already improving the base's perimeter in case of a counterattack from the nearby town of Qayara which IS still holds, another U.S. official in Baghdad said. Islamic State has suffered a number of territorial losses in recent months including the Syrian town of al-Shadadi, taken by U.S.-backed Syrian forces in February, and the Iraqi recapture of Ramadi in December and Falluja last month. Abadi has pledged to retake Mosul by the end of the year. (Editing by Mark Heinrich) The U.S. State Department issued a travel advisory warning citizens traveling to Bangladesh of the real and credible terrorist threat in the country following recent terror attacks. EThe U.S. Embassy in Dhaka will remain open and operating, but family members of government personnel posted to the embassy are permitted to voluntarily leave, according to a statement from the State Department. The U.S. government assesses that the terrorist threat is real and credible, the statement said. Terrorism in Bangladesh has been in the spotlight this month, after more than 20 people, all of them foreigners, were taken hostage and killed at a popular Dhaka restaurant on July 1. Other attacks targeted religious minorities, bloggers, publishers and security forces throughout the country, the statement said. ISIS and Al Qaeda have publicly claimed responsibility for the attacks. U.S. government officials and their families are currently not allowed to visit public establishments or places in Bangladesh, travel on foot, bicycle, or by other uncovered means on public thoroughfares and sidewalks, or attend large gatherings in the country, according to the statement. U.S. citizens should take stringent security measures, remain vigilant, and be alert to local security developments, the statement said. WASHINGTON (Reuters) - The U.S. State Department on Monday warned Americans to consider carefully whether they need to travel to Bangladesh following a series of attacks claimed by Islamist militants. "The U.S. government assesses that the terrorist threat is real and credible," the department said in a statement. On July 1, at least five Bangladeshi men stormed the Holey Artisan Bakery, a cafe popular with foreigners, businessmen and diplomats, and killed 20 customers, including Italians, Japanese, Indians and a U.S. citizen. Islamic State said it was responsible for the attack. The department said it also authorized the voluntary departure of family members of U.S. government personnel posted to the U.S. Embassy in Dhaka. The embassy remains open, the statement said. Islamic State and al Qaeda have made competing claims for a series of killings of liberals and members of religious minorities in Bangladesh in the past year. The government has dismissed those claims and insists that the violence is homegrown. (Reporting by Mohammad Zargham; Editing by Lisa Von Ahn) By Michelle Nichols UNITED NATIONS (Reuters) - U.N. Secretary-General Ban Ki-moon urged the Security Council on Monday to impose an arms embargo on South Sudan, sanction leaders and commanders who are blocking the implementation of a peace deal and fortify a U.N. peacekeeping mission. Heavy fighting involving tanks and helicopters raged in South Sudan on Monday between troops loyal to President Salva Kiir and those backing Vice President Riek Machar. The violence, which erupted in the capital Juba four days ago, risks a return to civil war in the world's newest nation. "This is the time to massively reinforce U.N. action," Ban told reporters, adding that fighting had spread to areas outside of Juba in Central Equatoria state. "When a government cannot or will not protect its people, and when warring parties seem more intent on enriching and empowering themselves at the expense of their people, the international community has a responsibility to act," he said. Two Chinese U.N. peacekeepers and one local U.N. staff member have been killed in the fighting, Ban said. U.N. peacekeepeers have been deployed in South Sudan since the country gained independence from Sudan in 2011. "We desperately need attack helicopters and other material to fulfill our mandate to protect civilians," Ban said. "I also urge all countries contributing to (the U.N. mission) UNMISS to stand their ground. Any withdrawals would send precisely the wrong signal, in South Sudan and across the world." Kiir and Machar have long been rivals in politics and on the battlefield. A civil war that began in December 2013 came a few months after Kiir dismissed Machar as his deputy. The pair signed a peace deal in August 2015, but implementation has been slow. "Yet again, the leaders of South Sudan have failed their people," Ban said. "What kind of leadership is it that resorts to deadly weapons and identity politics, time and again? Failed leadership." In a rare move, Ban called for an immediate arms embargo on South Sudan and targeted sanctions on leaders and commanders blocking implementation of the peace deal. The United States, Britain, France, Angola and other members of the Security Council pushed for an arms embargo on South Sudan earlier this year. But veto power Russia said it was opposed to such a move because it did not believe it would be helpful to implementation of the peace deal. Ban said he would meet with the 15-member Security Council on Tuesday to discuss the situation in South Sudan. (Reporting by Michelle Nichols; Editing by Jonathan Oatis) The U.S. on Sunday said it was deeply saddened and concerned by the murder of Kem Ley, a prominent Cambodian political commentator and activist, in the capital, Phnom Penh, hours earlier. We are following developments in this case closely, noting the Cambodian governments call for an investigation, U.S. State Department spokesman John Kirby said in a statement, calling on Cambodian authorities to make sure the probe would be thorough and impartial. The countrys Prime Minister Hun Sen expressed his condolences for Kem Leys death in a Facebook post and called it a savage act, according to a translation by the Cambodia Daily newspaper. Kem Ley, founder of the Southeast Asian nations Grassroots Democracy Party and one of the foremost critics of Hun Sen, was killed at a gas station Sunday morning. He was shot once behind his left ear and once under his left arm, the Cambodia Daily reported, with police subsequently arresting a suspect who said he killed the activist over unpaid debts. Read More: Strongman Hun Sen Has Cambodias Economy Sewn Up, Says Report However, many of the thousands of people who gathered at the gas station to accompany his body to a local pagoda expressed suspicions that the assassination was politically motivated. Just two days earlier, a report published by U.K. watchdog Global Witness alleged that the Premier and his family have established an economic stranglehold on the country in order to preserve his political power. Kem Ley had commented on the report to Radio Free Asia on Friday. The 46-year-olds death comes at a time of heightened political tension in Cambodia, with elections set to take place next year. Cambodia has been a parliamentary democracy since 1993, but many accuse Hun Sen of running an increasingly authoritarian government. (Getty Images) UFC 200 came and went with much to discuss. Among those topics includes Daniel Cormiers performance against Anderson Silva. Many fans were not happy with Cormier opting to put his wrestling to work despite holding a significant size advantage and the fact that Silva stepped up to face the UFC light heavyweight champion on two days notice after Jon Jones was pulled due to a failed USADA drug test. Cormier won a clear unanimous decision but it became the topic of debate between Donald Cerrone and CM Punk during a special Q&A at the UFC Fan Expo that was titled UFC 200 Aftermath. The two UFC fighters talked about how the UFC 200 fights went and things took a turn when the topic of worst fight was brought into the conversation. Your boy, DC. Terrible! Cerrone said to Punk. After Punk inquired about what made Cormiers performance terrible, Cerrone fired back a response tainted with an anti-gay slur. Terrible, Cerrone said. How are you going to give up weight and then fight like a [anti-gay slur], man? The surrounding crowd applauded Cerrones assessment and wasnt putting much stock in Cerrones use of the slur, which has had him in hot water before. Cerrone once used the same word when describing Jamie Varner in a 2010 interview with Tapout Radio while also suggesting that he hoped his fight with Varner would result in the first death in MMA. Cerrone apologized, but only for the death threat. According to MMA Junkie, a senior UFC official commented that the organization was incredibly disappointed by Cerrones comments. It certainly doesnt help matters that this comes after the UFC celebrated its first openly gay champion as Amanda Nunes won the UFC womens bantamweight title from Miesha Tate in the main event of UFC 200. The UFC also recently launched a We Are All Fighters initiative in support of the LGBTQ community. Cerrone later took to his Instagram to apologize for his comments while wearing one of the rainbow colored We Are All Fighters shirts. Story continues Earlier today, I said something that was offensive & Im truly sorry, Cerrone said in the post, which was later deleted. Ive got so many friends and fans in the LGBTQ community who I let down today. I can and will be better because I respect the community and equality matters!#WeAreAllFighters LONDON (Reuters) - British opposition Labour lawmaker Angela Eagle launched her bid to take over the leadership of the party on Monday, saying its current leader Jeremy Corbyn was unable to defeat the ruling Conservative Party. "Today I am announcing my decision to stand for the leadership of the Labour Party," Eagle said in a speech to kick off her campaign. "Jeremy Corbyn is unable to provide the leadership that this party needs I believe I can. I am not a Blairite, I am not a Brownite and I am not a Corbynista, I am my own woman," she said. Corbyn was chosen as Labour leader last year on a wave of support from the party's most left-wing activists. But he has clashed with its lawmakers who overwhelmingly passed a no-confidence motion in his leadership. Many have accused him of not campaigning strongly enough to keep Britain in the European Union in last month's referendum. (Reporting by Estelle Shirbon, Ana Nicolaci da Costa and Karin Strohecker, writing by William Schomberg; editing by Stephen Addison) By Elizabeth Piper and Mark Trevelyan LONDON (Reuters) - Interior minister Theresa May will become Britain's prime minister on Wednesday, with the task of steering its withdrawal from the European Union, after rival Andrea Leadsom abruptly terminated her disastrous leadership campaign. May, 59, will succeed David Cameron, who announced he was stepping down after Britons unexpectedly voted last month to quit the EU. Britain's planned withdrawal has weakened the 28-nation bloc, created huge uncertainty over trade and investment, and shaken financial markets. May and Leadsom had been due to contest a ballot of grassroots Conservative party members, with the result to be declared by Sept. 9. But Leadsom unexpectedly quit on Monday after a campaign dogged by ill-judged comments about her rival's lack of children and questions about whether she had exaggerated her CV. "I am honoured and humbled to have been chosen by the Conservative Party to become its leader," said May, who favoured remaining in the EU but has made clear there is no going back on the result of the June 23 referendum. "Brexit means Brexit, and we're going to make a success of it." Earlier, Cameron told reporters in front of his 10 Downing Street residence that he expected to chair his last cabinet meeting on Tuesday and take questions in parliament on Wednesday before tendering his resignation to Queen Elizabeth. "So we will have a new prime minister in that building behind me by Wednesday evening," he said. May will become Britain's second female prime minister, after Margaret Thatcher. Her victory means that the complex process of extricating Britain from the EU will be led by someone from the losing side of the acrimonious referendum campaign. She has said Britain needs time to work out its negotiating strategy and should not initiate formal divorce proceedings before the end of the year. In a speech earlier on Monday in the city of Birmingham, May said there could be no second referendum and no attempt to rejoin the EU by the back door. "As prime minister, I will make sure that we leave the European Union," she said. The White House said U.S. President Barack Obama was confident that the "special relationship" between the United States and Britain would continue after May becomes prime minister. RELATIVE UNKNOWN Leadsom, 53, is a junior energy minister who has never served in cabinet and was barely known to the British public until she emerged as a prominent voice in the successful Leave campaign. She had been strongly criticised over a newspaper interview in which she appeared to suggest that being a mother meant she had more of a stake in the country's future than May, who has no children. Some Conservatives said they were disgusted by the remarks, for which Leadsom later apologised, while others said they showed naivety and a lack of judgment. Leadsom told reporters she was pulling out of the race to avoid nine weeks of campaign uncertainty at a time when strong leadership was needed. She acknowledged that May had secured much greater backing in a vote of Conservative members of parliament last week. "I have ... concluded that the interests of our country are best served by the immediate appointment of a strong and well supported prime minister," she said. "I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success." Graham Brady, head of the Conservative party committee in charge of the leadership contest, confirmed that May had been elected with immediate effect. The pound, which has hit 31-year lows since the referendum on concern about potential damage to the British economy, bounced slightly on the news that the Conservative leadership question would be resolved much sooner than expected. It was trading around $1.2970, up 0.1 percent on the day but still down around 13 percent since the day of the vote. The FTSE 250 index of mid-sized companies rose 3.27 percent. "Welcome news we have 1 candidate with overwhelming support to be next PM. Theresa May has strength, integrity & determination to do the job," finance minister George Osborne tweeted. FORGING NEW ROLE The 52-to-48 percent vote to quit the EU after 43 years of membership was a stunning rebuke to Britain's political leaders and especially Cameron, who had argued that breaking away would bring economic disaster. Britons ignored his warnings, swayed by the arguments of the Leave campaign that 'Brexit' would enable them to regain 'independence' from Brussels and clamp down on high immigration, something hard to achieve under EU rules allowing people to live and work anywhere in the bloc. May's leadership hopes had appeared at risk of being damaged by her failure, in six years as interior minister, to bring immigration down, and the fact she found herself on the losing side of the referendum campaign. But her two best-known rivals on the Leave side were felled by political back-stabbing when Justice Secretary Michael Gove brought down former London mayor Boris Johnson and was then punished for his perceived treachery by being eliminated from a ballot of Conservative MPs. In her speech in Birmingham on Monday, May set out her vision for the economy, calling for "a country that works for everyone, not just the privileged few". In a pitch for the political centre, she said she would prioritise more house-building, a crackdown on tax evasion by individuals and companies, lower energy costs and a narrowing of the 'unhealthy' gap between the pay of employees and corporate bosses. "Under my leadership, the Conservative Party will put itself completely, absolutely, unequivocally, at the service of ordinary working people ... we will make Britain a country that works for everyone," she said. LABOUR DISARRAY May's biggest challenge will be to map out the course of Britain's withdrawal, a process still clouded in uncertainty, and to sort out new terms of trade with the other 27 EU nations. "We will have difficult negotiations with Britain, it will not be easy," said German Chancellor Angela Merkel who insisted that Britain would not be able to get free access to the EU's single market without accepting free movement of people. European Economics Commissioner Pierre Moscovici said: "We should open the negotiations as quickly as possible in order to limit uncertainty." More than 1,000 British lawyers said in a letter to Cameron that members of parliament should decide whether Britain leaves the EU because the referendum was not legally binding. Opposition members of parliament, responding to the impending appointment of May, demanded a general election. "It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected prime minister," Labour party election co-ordinator Jon Trickett said. Labour too has been thrown into upheaval by the referendum, with leader Jeremy Corbyn widely criticised for failing to make a sufficiently passionate case in favour of staying in the EU. Minutes before Leadsom's announcement, Labour lawmaker Angela Eagle launched a leadership challenge to Corbyn. "Jeremy Corbyn is unable to provide the leadership that this party needs -- I believe I can," Eagle said. Corbyn was elected last year with overwhelming support from grassroots Labour activists. He has ignored a vote of no confidence from the party's lawmakers, saying he has a responsibility to carry out that mandate. (Editing by Anna Willard and Robin Pomeroy) With Conservative leadership candidate Andrea Leadsom bowing out of the race for prime minister, the United Kingdoms departure from the European Union may become reality. Home Secretary Theresa May, the only remaining candidate to replace resigning Prime Minister David Cameron, says she wont go against the will of her people and turn away from Brexit. "I couldnt be clearer. Brexit means Brexit, May said during a recent speech in Birmingham. And were going to make a success of it. Unfortunately for her and all of Europe, its not that simple. Related: The Upside of Brexit 9 Ways You Can Save Money As with everything that has touched these divorce proceedings, there was also confusion over May being named Tory leader and prime minister, which is expected as early as today. Some said Conservative rules would require the party's 1922 Committee to seek another contender, in addition to May, for a planned party vote. Graham Brady, the chairman of the committee, however, confirmed that May is the only remaining candidate, and there would be no need to rerun the election. As far as the process is concerned, Brady, along with the board of the Conservative Party, must formally confirm May as the new leader of the Tories. Brady would not comment on the timing of her confirmation, but noted that they will be in the position to move forward quite quickly. If May were to try to reverse the Brexit referendum, she could spark intense populist backlash. More than 30 million people voted in the referendum a few weeks ago to decide whether the U.K. should part ways or remain as a member in the EU. Many leaders have stepped aside since then, including Cameron, who announced he would resign immediately after the vote was tallied. Related: Pushed Around and Left Out the Frightening US Parallels to Brexit Cameron said Monday he would resign on Wednesday and clear the way for May to enter office then. Whats not so clear for the Brits is whether the prime minister has the legal authority to trigger Article 50 of the Lisbon treaty on European union, which begins the formal and legal process of a member state leaving the EU. There are some legal scholars who have said withdrawal from the EU "rests with the representatives of the people under the UK constitution, meaning parliament must first debate and vote on the measure. Government lawyers have disagreed with this analysis of the statute. Story continues More recently, more than 1,000 lawyers signed onto a letter addressed to David Cameron claiming the EU referendum result is not legally binding. They suggest in their statement that the decision to leave was "only advisory," and call for the establishment of a "Royal Commission or an equivalent independent body to receive evidence and report, within a short, fixed timescale, on the benefits, costs and risks of triggering Article 50 to the UK as a whole, and to all of its constituent populations." Related: Brexit Who's Really to Blame for Europe's Mess When May is formally confirmed as the UKs next prime minister, her first day on the job will be one focused on unity and certainty, which is what the UK, Europe and the rest of the world is looking for right now. Top Reads from The Fiscal Times: Its envisioned as Singapores second CBD. The Urban Redevelopment Authority (URA) is ramping up its efforts to develop the Jurong Lake District. URA has launched a Request for Proposal (RFP), inviting multi-disciplinary teams to develop master plan proposals. According to the URAs media release, the RFP is the districts maiden step in its transformation into a District of the Future, as well as Singapores second Central Business District (CBD). A key focus of the master planning will focus on developing proposals for Lakeside Gateway, the Districts third precinct. The first two precincts include a commercial hub, Jurong Gateway, and a leisure precinct, Lakeside. Lakeside Gateway will serve as a mixed-use business precinct and a home to the future Kuala Lumpur-Singapore High-Speed Rail terminus. URA reveals that Lakeside Gateway is a 112 ha site, and has a gross floor area of between 4-5m sqm. The team that submits the best plan will be appointed in February 2017. It will collaborate with URA and partner agencies to draw up the Draft Master Plan for the District. URA will then publicly exhibit the Draft Master Plan around 3Q17. Thereafter, the appointed team will work with URA to refine the plans. Photo credit: Urban Redevelopment Authority More From Singapore Business Review Washington (AFP) - The United States demanded an immediate end to renewed fighting in the capital of South Sudan, ordering all non-essential personnel out of the troubled country. "The United States strongly condemns the latest outbreak of fighting in Juba today between forces aligned with President Salva Kiir Mayardit and those aligned with First Vice President Riek Machar Teny, including reports we have that civilian sites may have been attacked," State Department spokesman John Kirby said in a statement released on Sunday. "In response to ongoing violence in South Sudan, the Department of State ordered today the departure of non-emergency personnel from the US Embassy in Juba." Urging Americans in the country to take security precautions, he warned that "the embassy's ability to provide emergency services to US citizens in Juba is extremely limited." The battles are the first between the army and ex-rebels in Juba since rebel leader Machar returned to take up the post of vice president in a unity government in April, under an accord to end a bloody civil war. Washington is pressing "both leaders and their political allies and commanders to immediately restrain their forces from further fighting, return them to barracks and prevent additional violence and bloodshed," Kirby said. "The United States is determined to ensure appropriate measures are taken to hold accountable those responsible for continuing fighting and violations of international humanitarian law, including attacks on the UN Mission in South Sudan (UNMISS) and targeting of civilians." Kirby said the United States was in touch with senior African Union and regional leaders. Villagers said no one alerted them. Authorities say that it is always difficult to convince villagers to abandon the hills when there's peril ahead. By Parbina Purkayastha: 5-year-old Piyush Bhat is still waiting for his mother's return. His older brother Aman has begun to accept that his parents might not be coming home. Their 70-year-old grandfather, a retired armyman, uses a grim military metaphor to illustrate his situation. He once fought for the country, and now he will fight to make a living for his grandchildren. advertisement It was in the early hours of 1 July when a series of cloudbursts in Pithoragarh's Bastedi village arrived as a nightmare for its people. Aman and Piyush's parents managed to save their children but in an attempt to save fellow villagers, they were swept away. Two consecutive cloudbursts had washed away virtually the entire village. It wasn't just Bastedi village. Several villages in Uttarakhand's Pithoragarh and Chamoli districts lost people and homes. RESCUE OPERATION CHALLENGING With the death toll touching almost 40 and untold numbers still buried under the debris of the deluge, Uttarakhand has had the worst deja vu imaginable. Authorities assembled teams from the NDRF, SDRF, SSB, ITBP, DMMC and local police to carry out rescue operations under the supervision of District Magistrates and SPs in the affected districts. But rescue operations have had their own set of challenges too. Key roads were blocked because of heavy landslides, which delayed several rescue missions. Bulldozers and earth moving equipment couldn't reach several villages. Almost everything had to be done manually. As rescue teams continued to look for people who went missing, hopes of finding survivors gradually diminished. With just the first showers of this year's monsoon, several of Uttarakhand's villages were washed away in seconds. With a fresh alert issued by the Met Department, there remains one question: are the authorities prepared at least now? ALERT Even though authorities assure that the situation is now under control, the agony of villagers has really only just begun. They need a roof to live under, to start life all over again. Senior citizens, parents, toddlers, the newly-married, nature's fury has spared none. Villagers said no one alerted them. Authorities say that it is always difficult to convince villagers to abandon the hills when there's peril ahead. Officials also say the villagers staunchly believed that it takes 100 years for a disaster to hit the same area in Uttarakhand. Rescue agencies fished out dead bodies from layers of slush and debris and evacuated people stranded in far-fetched and remote sections. Many of them needed to be convinced to leave. advertisement In 2013, Uttarakhand faced the wrath of Mother Nature as cloudbursts over Kedarnath and Rambada brought in flash floods and land slides causing destruction in unimaginable proportions. It swept away towns and villages. Roads, bridges, and lines of communication broke down and innumerable lives were lost. Those still alive, were in peril once again this time, reliving the fear and agony. LAND OF GODS? The state of Uttarakhand, also known as the "Land of Gods," is home to multiple temples and pilgrimage sites for people of different faiths. Scenic beauty, the majestic lower Himalayan mountain ranges, forests, and the glacial origin of two rivers that feed northern India, the Ganga and Yamuna, also lie in this state. But those suffering wonder if those Gods are listening. Though familiar with heavy downpours, the state was least prepared when the second calamity struck. As has often been the norm with both the central and the state government, the netas tried to attribute the cause of this havoc to the 'fury of Mother Nature', with people there almost convinced that they were poorly defending their inaction. The country's leadership needs to be accountable and step up, say the villagers here. The only choice for India to handle climatic disasters is to practice sustainable growth and regulated development. Or face a future with the recurrent fury of Mother Nature that nobody will see coming. advertisement It took three days for the Chief Minister to visit a devastated village. For Piyush and Aman, the wait for their parents will be much longer. ALSO READ: See images of unprecedented devastation in Uttarakhand --- ENDS --- DailyFX.com - Talking Points: Yen drops as risk appetite firms on US jobs report, Japan election outcome Australian, NZ Dollars correct lower having outperformed in Fridays trade US Dollar may be pressured as sentiment improves across financial markets The Australian and New Zealand Dollars corrected lower after Fridays having outperformed on Friday following better-than-expected US payrolls data. The anti-risk Japanese Yen traded lower against a backdrop of improving sentiment as Asian bourses had their opportunity to react to the upbeat result. Traders cheered signs of strength in the worlds largest economy on hopes that it will counter weakness in Europe following the UK Brexit referendum. The results of an upper house election in Japan held over the weekend offered an additional boost to sentiment. The ruling coalition led by the LDP party of Prime Minister Shinzo Abe won a supermajority in the chamber, which markets expected to give the government a platform to launch fiscal stimulus measures. Mr. Abe delivered accordingly, saying he will order the preparation of a pro-growth package immediately. As to the details of what is to come, the Prime Minister said the aim will be to make the most of the zero-interest-rate environment to develop infrastructure including high-speed train lines and tourist facilities. Abe also pointed to social programs including support for child-rearing and assorted labor-market reforms, including a cut to the number of years workers must pay in to be eligible for pensions. Looking ahead, a muted data docket puts Fed-speak in the spotlight, with comments from Kansas City Fed President Esther George due to cross the wires. Ms. George stands out as the most hawkish member of the FOMC, so markets are unlikely to extrapolate what she says as the consensus on the rate-setting committee if she sticks to a familiar script favoring continued rate hikes. Still, she may offer some insight on the way that policymakers are approaching stimulus withdrawal plans in the post-Brexit vote environment. Story continues Comments cosigning the Feds wait-and-see posture may prove most market-moving. Newfound reluctance on the hawkish end on the spectrum of FOMC officials may encourage hopes that tightening has been taken off the near-term agenda, boosting risk appetite. The Aussie and Kiwi Dollars may find renewed support in this environment while the Yen may be joined by the US Dollar on the defensive. Losing money trading in the FX market? This might be why. Asia Session GMT CCY EVENT ACT EXP PREV 01:30 CNY CPI (YoY) (JUN) 1.9% 1.8% 2.0% 01:30 CNY PPI (YoY) (JUN) -2.6% -2.5% -2.8% 22:45 NZD Card Spending Retail (MoM) (JUN) 1.2% 0.5% -0.3% 22:45 NZD Card Spending Total (MoM) (JUN) 1.0% -0.6% 23:50 JPY Machine Orders (MoM) (MAY) -1.4% 3.2% -11.0% 23:50 JPY Machine Orders (YoY) (MAY) -11.7% -8.7% -8.2% 23:50 JPY Money Stock M2 (YoY) (JUN) 3.4% 3.4% 3.4% 23:50 JPY Money Stock M3 (YoY) (JUN) 2.9% 2.8% 2.8% 01:30 AUD Home Loans (MoM) (MAY) -1.0% -2.0% 1.4% 01:30 AUD Investment Lending (MAY) 3.9% - -4.3% 01:30 AUD Owner-Occupier Loan Value (MoM) (MAY) -0.6% - -0.2% 06:00 JPY Machine Tool Orders (YoY) (JUN P) -19.9% - -24.7% European Session GMT CCY EVENT EXP PREV IMPACT 8:00 EUR Italian Industrial Production (MoM) (MAY) 0.1% 0.5% Low 8:00 EUR Italian Industrial Production WDA (YoY) (MAY) 1.1% 1.8% Low 8:00 EUR Italian Industrial Production NSA (YoY) (MAY) - -0.3% Low 8:00 CHF Total Sight Deposits - 507.5b Low 8:00 CHF Domestic Sight Deposits - 430.3b Low Critical Levels CCY Supp 3 Supp 2 Supp 1 Pivot Point Res 1 Res 2 Res 3 EUR/USD 1..0822 1.0940 1.0995 1.1058 1.1113 1.1176 1.1294 GBP/USD 1.2676 1.2814 1.2884 1.2952 1.3022 1.3090 1.3228 --- Written by Ilya Spivak, Currency Strategist for DailyFX.com To receive Ilya's analysis directly via email, please SIGN UP HERE Contact and follow Ilya on Twitter: @IlyaSpivak original source DailyFX provides forex news and technical analysis on the trends that influence the global currency markets. Learn forex trading with a free practice account and trading charts from FXCM. Washington (AFP) - US Secretary of State John Kerry will make his latest trip to Moscow this week to seek common ground on how to deal with the ongoing bloodshed in Syria. The State Department said Kerry would speak with senior Russian officials about Syria and Ukraine, as well as tensions between Armenia and Azerbaijan over the Nagorny-Karabakh region. Spokesman John Kirby played down reports that Washington and Moscow may agree on coordinated US-Russian military action against jihadist groups Al-Nusra and the Islamic State in Syria. "But, as we've said before, we continue to explore options, alternatives and proposals with respect to the fight against Nusra and Daesh in Syria," he said. "And... to the degree to which the Russian military is willing to be committed to the fight against those two groups, and exclusively those two groups, that's a conversation that we're willing to have," Kirby added. "But there's no military coordination going on now." There was no word as to who Kerry will meet in Moscow on Thursday and Friday, but on previous such trips, he has met with Foreign Minister Sergei Lavrov before heading to the Kremlin to see President Vladimir Putin. Russian forces are in Syria to support President Bashar al-Assad's regime in its five-year-old civil war against a variety of rebel forces, whereas a US-led coalition is focused on fighting the Islamic State group. Washington has repeatedly urged Moscow to pressure its ally into agreeing on a ceasefire with so-called "moderate" guerrillas and to enter peace talks to end the civil war, but fierce fighting has continued. Kerry's trip to Moscow come as part of a six-day, five-nation itinerary that will see him celebrate Bastille Day in France on July 14 before heading to Russia, Luxembourg and Belgium. In London on July 18, he is slated to attend multilateral talks on the crises in Yemen and Syria but he will also be the first senior US official to visit after the new prime minister, Theresa May, is sworn in this week. Brussels (AFP) - The United States and the European Union on Monday resumed talks to clinch a trade deal this year, despite rising opposition and Britain's shock vote to exit the bloc. Negotiators met in Brussels for the 14th round of negotiations on the proposed trade pact that would create the world's largest free trade area. But it is facing increasing headwinds from major EU powers Germany and France, where negative attitudes to globalisation and free trade are on the rise. Officials are publically pushing to finalise negotiations on Transatlantic Trade and Investment Partnership (TTIP) before the end of the Obama administration at the end of the year. But behind the scenes top diplomats are increasingly wary this will be achieved, believing that a suspension of talks until after major elections in France and Germany in 2017 will be required. "I think a deal in 2016 is impossible and everyone knows it, including those who say otherwise," France's junior minister for trade Matthias Fekl said last week, although he does not participate actively in the talks. France is especially frustrated at the lack of progress on key issues, such as agriculture and access to public contracts in the United States by European companies. Environmentalists and anti-globalisation activists have opposed TTIP since negotiations began in 2013, believing it will provide unfair benefits to big business and allow multinationals to escape regulation through the backdoor. In Brussels, between 50 and 100 activists dressed in animal suits attempted to enter the EU building hosting the talks, but were turned away by security, Belga news agency reported. The costumed activists also stormed the headquarters of the EU's trade division, Belga said. The EU's top trade official Cecelia Malmstroem said last week that her teams were still pushing for a deal by the end of the year. On a visit to Washington, Malmstroem also insisted that TTIP talks would survive the Brexit vote, despite Britain being the EU's strongest backer of freer trade. "The rationale of TTIP remains as strong today as it was" before the vote, Malmstroem said. Andorra la Vella (Andorra) (AFP) - Chris Froome's team manager said on Monday it would be "stupid" to try to use a motorised bike in the Tour de France and the reigning champion and race leader is being tested more than anyone else. Froome came under intense scrutiny last year after a scintillating attack on the first mountain stage of the Tour gave him a decisive advantage over his rivals. He went on to claim his second title in three years. The debate around the use of tiny electronic motors in bikes raged since and authorities are now using a thermal camera and magnetic resonance testing to check for motors. Team Sky manager Dave Brailsford said the testing should close the debate as it would be foolhardy to even try to cheat in such a way. "To find an engine in a bike is a pretty simple thing to do in this day and age," said the Sky manager on Monday's Tour rest day in Andorra. "The technology that you (journalists) have got to beam these pictures up to a satellite and back is way more complex and difficult -- that you use on a day to day basis -- than finding a motor in a bike. It's not a difficult task. "You just need the right technology to find it -- you've either got an engine in your bike or you haven't." Brailsford insisted Froome's equipment had come in for more scrutiny than anyone else's on the Tour. "His bike's been tested more than anyone else's, we're getting tested every day. "We actually got an email from the UCI the other day saying thank you for being the most co-operative team out of everybody in the bike checks and all the mechanical checking. "So if someone was stupid enough to have the idea of coming here with a bike (motor) for sure they'd get caught." - 'Tight race' - He added: "The whole discussion about bikes and motors, given the level of testing, is something that we need to reconsider." Story continues Froome has not managed to stamp his authority on the Tour this year as he did in his previous two successful yellow jersey tilts. Although he is the race leader, he has only a 16 second gap on young British countryman Adam Yates. "It's going to be a tight race. It's what I thought before and I've seen nothing yet to change my opinion," added Brailsford. Behind 23-year-old Yates is Ireland's Dan Martin at 19sec. Yates' previous best Tour performance was 33rd in 2013, although a year later the British-born 29-year-old finished seventh in the Vuelta a Espana. Yates, whose twin brother Simon is also a professional cyclist, was 50th at the Tour last year. Only nine of the 21 Tour stages have been completed but Froome believes both rivals are capable of maintaining a push for the yellow jersey. "I think they already have shown themselves as contenders. If they can do what they've done in the first half again, then they will be on the podium come Paris," said the 31-year-old Froome. "There's no reason they shouldn't be up there, but three weeks is a long time. It is different between riding a week-long race and a Grand Tour. "But so far they've shown no weaknesses in that respect." Venezuelan chef Maria Fernanda Di Giacobbe has been crowned the winner of the Basque Culinary World Prize, an award for chefs around the world whose projects have improved society through gastronomy. Di Giacobbe, who was selected for her work with Venezuelan chocolate through social projects such as "Kakao" and "Cacao de Origen," beat out a total of 110 chefs from over 30 countries worldwide to score the accolade, with her work described as "an inspiring project that exemplifies the great reach of gastronomy" by Joan Roca, Chair of the Prize Jury. Chosen from a shortlist of 20 finalists by a panel comprised of some of the world's most respected chefs, and announced at the Museo Balenciaga in the Basque village of Guetaria, Di Giacobbe will now be awarded 100,000 to devote to a project or institution of her choice that demonstrates the wider role of gastronomy in society. Commenting on her win, Di Giacobbe said: "From now our work will take on a new dimension. We will bring the transforming power of trade to many more women chocolate entrepreneurs'. This award is a reflection of hundreds of entrepreneurs, producers and chocolatiers and their learning, enthusiasm and hard work. It allows us to set new goals and open up new ways to connect with the world. We in Venezuela are tremendously grateful that the Basque Culinary Prize has placed this trust in us." The Basque Culinary World Prize was created in 2016 and is organized and promoted by the Basque Culinary Center (BCC), a world-leading academic institution in gastronomy, and the Basque Government under the Euskadi-Basque Country Strategy. Academy Award-winning director Paolo Sorrentino's first TV series, The Young Pope, will get its world premiere Sept. 3 during the 73rd Venice International Film Festival, with the first two episodes to screen out of competition on the Lido. The fest is set to run Aug. 31-Sept.10. Jude Law stars as the first American pope, described as conservative, melancholy and ruthless, and an expert at playing Vatican politics. Diane Keaton, Silvio Orlando, Scott Shepherd, Cecile de France, Javier Camara, Ludivie Sagnier, Tony Bertorelli and James Cromwell also star. "It is a great pleasure, as well as a privilege, to present at the Venice Film Festival the world premiere of the first two episodes of Paolo Sorrentino's much-awaited television series," festival director Alberto Barbera said Saturday in a statement. "He is a filmmaker who dares to take risks, who - fearlessly and with his customary creative and innovative spirit - tackles the language of television series, the new expressive frontier which many filmmakers, primarily foreign, have already tried their hand at. The result will not fail to kindle sincere emotion and, above all, invigorating and boundless surprise." Although Sorrentino is now a Cannes mainstay, he launched his career in Venice with his 2001 film One Man Up, starring Toni Servillo and Andrea Renzi. Read more: Jude Law Becomes First American Pontiff in Teaser Trailer for 'The Young Pope' "It is an honor for me to return to Venice. I was here with my first film and now I'm returning with my first television series," said Sorrentino of the announcement. "Since I don't believe in coincidences, I prefer to think that, today like back then, the festival is daring to choose. Fifteen years ago, it did so by selecting a young director's first movie; this year by reaffirming its open-mindedness toward television, recognizing the role that series play in the evolution of visual communication." Story continues This is not the first time Venice has launched a TV series with a prestigious pedigree. HBO series Olive Kitteridge and Mildred Pierce also premiered on the Lido. The Young Pope was produced by Sky, HBO and Canal+ and will debut in October across Sky Atlantic in five countries (Italy, the U.K., Germany, Ireland and Austria) and in France on Canal+. The series will air on HBO in the U.S., but a premiere date has not yet been announced. Read more: Jude Law's 'The Young Pope': "I Look Great, But Im Very Uncomfortable" Dubai (AFP) - A Bahraini court will hand down its verdict next week in the government's bid to dissolve the main opposition group Al-Wefaq, accused of harbouring "terrorism," a judicial source said Monday. The date of July 17 was set as the court convened in the absence of the defence team which walked out last month in protest at the government's push to accelerate the process. The United States has called on Bahrain to reconsider the move to dissolve Al-Wefaq, which it has called "alarming". Al-Wefaq was the largest bloc in parliament before its lawmakers resigned in protest at the crushing of 2011 protests calling for an elected government in the Sunni-ruled kingdom. The justice ministry has accused the Shiite bloc of providing a haven for "terrorism, radicalisation and violence" and opening the way for "foreign interference" in the kingdom's affairs. That was an allusion to Iran, which Bahrain accuses of fomenting unrest among its Shiite majority. Tiny but strategic Bahrain lies just across the Gulf from Iran and is the home base of the US Fifth Fleet. In May, an appeals court more than doubled a four-year prison sentence handed down against Al-Wefaq leader Ali Salman on charges of inciting violence. Meanwhile, authorities on Monday freed Sunni opposition leader Ibrahim Sharif after he served a one-year jail term for anti-regime incitement, opposition activists said. Sharif, who headed the secular Waed party, already served four years of a five-year sentence over the 2011 protests before being released under a royal amnesty in June last year. But he was re-arrested the following month after he addressed a memorial service for one of those killed during the suppression of the Arab Spring-inspired demonstrations in February-March 2011. Thirteen years ago, the U.S. coalition invaded Iraq and set in motion a series of unexpected events that changed the Middle East. During that time, between 160,412 and 179,327 civilian Iraqis were killed, 4,497 Americans lost their lives, and 32,222 were wounded. The financial cost to the U.S. now totals more than $2 trillion and could climb to $6 trillion over the next four decades. The ostensible reason for the invasion was the Bush administrations assertion that Iraq had weapons of mass destruction (WMDs) and was prepared to use them on U.S. allies in the region. Saddam Hussein had already killed thousands of Kurds in March 1988 with a gas attack in Halabja, the Kurdistan region of Iraq. But no nuclear or biological weapons were ever found. Related: After Retaking Ramadi, Is Iraq Ready to Fight for Mosul? Following Saddam Husseins removal as Iraqs leader there were three temporary governments until Nouri al-Maliki, a Shiite, was elected in 2006 and ruled for eight years. Under his watch, a deeper wedge between Sunnis and Shiites was created making room for radical Islamists to gain traction with the Sunni minority. When the al-Qaeda leader in Iraq, Abu Musab al-Zarqawi was killed in June 2006 by an American airstrike, AQI merged with other insurgent groups, forming the nascent Islamic State, now known as ISIS. Securing Iraq as a nation that accepts different forms of Islam (the country is 60 percent Shiite and 40 percent Sunni) as well as other religious sects would be a defeat for ISIS and a triumph for the region. Thats why the recent Iraqi victory in Fallujah against ISIS is so important. Heres what happened. The Battle of Fallujah This is the third time in twelve years the city of Fallujah has been the scene of a major battle against ISIS. Fallujah is located in Anbar province to the west of Baghdad, where 1335 U.S. military were killed during the Iraq war. This time, ISIS controlled the city for twenty-nine months. The group turned it into a major hub of bomb making, propaganda production, terror training and recruitment, and supplies. ISISs control of the city threatened Baghdads security and the Iraqi governments operations in Anbar. Story continues Related: U.S.-Led Strikes Pound Islamic State in Iraq, Kill 250 Fighters The Iraqi government began preparations for retaking Fallujah after liberating Ramadi, the capital of Anbar province, last February. For three months, Fallujah and its surrounding areas were placed under siege. Two thirds of the citys 270,000 residents were already out of the city when the battle started in May. Falluja As the battle for Fallujah unfolded, ISIS used the citys residents as human shields for about two weeks. Anyone who tried to escape from the city risked being killed by the terror group. In mid-June, ISIS allowed civilians to flee the embattled city. Within three days, 60,000 people left Fallujah. The exodus created a humanitarian crisis that the Iraqi government and international aid organizations were unprepared to handle. About 86,000 people ended up in ten refugee camps that lacked basic services. Most had to walk for miles under the blistering Iraqi summer sun to reach safety. For days, the civilians had nowhere to sleep other than the desert and had nothing or very little to eat or drink. The people in a nearby town along with social media activists who led aid campaigns to help the displaced of Fallujah were more effective in meeting their needs than the Iraqi government. The Iraqi government forces launched a two-phase operation to seize the city. First, clearing all the towns and villages around Fallujah, and second, breaking into the city from the south, west and east to disrupt ISISs control and opening paths for civilians to leave the city. Related: Thousands Flee Fallujah Using First Safe Exit Route Secured By Iraqi Army The Iraqi army, police Special Forces, the terrorism combating force, and two Sunni tribal battalions entered the city in the last days of May -- a total of 13,000 soldiers and fighters, including Shiite militias. U.S.-led coalition and Iraqi air forces hammered ISIS positions in and around the city, paralyzing its leadership. ISISs Fallujah governor was killed in a U.S. air raid. The already weak ISIS forces lost seven ISIS commanders among the estimated 1,800 who were killed. About 2,200 men were arrested after being identified by the people of Fallujah as ISIS members disguised as civilians. If the current counter terrorism law were to be enforced, all of them would be executed. Iraqi government forces lost several hundred soldiers and fighters during the battle. About 100 civilians were also killed. In Fallujah, 90 percent of the city buildings and civilian infrastructure survived the battle unharmed. Of the original residents, only 90,000 remained at the time the battle began. Nearly 86,000 civilians left the city, with 16,000 men checked and cleared for possible ISIS affiliations. ISIS suffered such a heavy loss because the group did not believe that the Iraqi government was serious about retaking the city. If ISIS had taken the threat seriously, they would have used the common tactic of setting booby traps in the citys buildings. What the Iraqi government forces found instead was that ISIS had a network of tunnels that were used in hiding, maneuver and storage. Eight of these tunnels were destroyed by the Iraqi government forces. The forces flooded some of them with water, so they would be unusable by ISIS. Related: Orlando Shooting: ISIS Could Lose the Mideast and Win in the West At least eight storage locations and 23 bomb and artillery factories were destroyed. These factories produced 80 percent of the car bombs that hit the surrounding areas of Baghdad and three other provinces to the north, south and west of the capital. Seven ISIS prisons were discovered in the city. The Demonization of Fallujah Fallujah is a small city with no historic, cultural or commercial value, nor any real military strategic importance. Despite the 40-mile distance from Baghdad, Fallujah could be encircled without actually launching a major battle to control the city. Its numerous mosques were built under Saddam Hussein as a way to benefit from government-subsidized construction projects. The mosques were used by Saddam and by the post-2003 insurgency as military control centers, weapons storage and bomb-making labs. In the pro-ISIS or anti-Shiite media, Fallujahs importance has been exaggerated. The myths circulating among Sunnis are at times fantastic, like tales of gigantic animals that attacked the U.S. Marines and drove them out of the city. For Sunnis, Fallujah is a sacred city with more than a hundred mosques that Allah intervened personally to protect from the Americans and the pro-Iranian Shiites. Related: Islamic State Forces Syria Rebels to Retreat From Border Area The pro-Iranian opposing media have portrayed Fallujah as the source of all terrorism in Iraq. Defeating and humiliating Fallujah would finally end the Sunni insurgency. ISIS has used Fallujah brilliantly in its propaganda to inflame Iraqs sectarian strife. In a series of propaganda videos and images, ISIS turned the city into a crucible of hate for both Sunnis and Shiites. In one video dating back to January 2014, four Iraqi soldiers were captured after being overrun by ISIS fighters. They were forced to denounce themselves and agree that their punishment was death. Then, the camera showed them kneeling as a masked ISIS fighter shot them in the head from the behind. In another video from the same period, six Iraqi soldiers are shown on a truck with signs of torture on their faces and bodies. A caravan of ISIS vehicles tours Fallujah, while dozens of the citys men, cheering for ISIS, shoot videos of the episode with their cell phones. Then, they are murdered. ISIS released a photo collection in May 2015 showing an Iraqi soldier on an ISIS truck touring Fallujahs streets. The soldier was later taken to a bridge where he was hanged. This time, ISIS propaganda turned that soldier into a national hero in Iraq. Finally, a video was released in June 2015 showing an Iraqi soldier confessing crimes. Then he is shown standing between ISIS fighters on a truck that is touring Fallujah. Finally, he is slaughtered by a masked ISIS fighter after another propaganda speech. Related: Iraqi Army Closes in on Islamic State Militants Near Fallujah In every one of these videos and photo collections, the killers were shown as Sunnis and the victims were Shiites. The overall effect was clear. On social media, when this recent battle started, the city was called the whore whose shame must be removed. The Key to Defeating ISIS Even before Fallujah was fully cleared, the Iraqi government resumed the campaign to retake Mosul. Encouraged by the Fallujah victory, two army divisions launched coordinated attacks against ISIS to the north of Baiji in northern Iraq. ISIS has shown little resistance, which allowed the Iraqi army to advance 30 miles from Baiji. The Iraqi government will most likely reach Mosul to start the battle in August. With the loss of Fallujah and possibly Mosul, ISIS defeats in Iraq are no longer a matter of years, but months. The terror group has two options for its future: withdraw totally from Iraq to Syria, where ISIS faces similar circumstances, yet at a slower pace, or seek a desert refuge as a last resort. This is what ISIS did after the U.S. military surge in 2007. The strategic depth for the caliphate lies in the deserts spanning Iraq and Syria. The jihadists fight as if they were pirates, with the desert being their sea, says Nibras Kazimi, a fellow at the Hudson Institute, specializing in jihadi groups. Jihadists swarmed in from the desert when they took Fallujah, Mosul, Ramadi and Palmyra. They mistrust urban and rural populations. If this depth is not shredded, then the jihadists will remain in the fight and hence, their cause will remain legitimate in the eyes of their core constituency, adds Kazimi. Following this recent battle of Fallujah, the Iraqi and U.S. air forces destroyed an ISIS caravan of hundreds of vehicles fleeing to the desert. In order to defeat ISIS absolutely, two major goals must be achieved. First, a responsible Sunni Iraqi leadership needs to emerge to convince Iraqs Sunnis that there is no way they could restore power and keep it in Iraq the way Saddam Hussein did before the U.S. invasion of 2003. The current Iraqi Shiite led governments have been accused of marginalizing Sunnis for sectarian reasons. Iraqs post 2003 governments are corrupt rather than sectarian. If their interests were truly only sectarian, they would have provided services and development to the Shiite neighborhoods in Baghdad that are much poorer than Sunni ones. Regardless of motive, Sunni leaders promote the rift between Shiites, and Sunni extremists have even been receptive to joining ISIS. The speaker of the Iraqi parliament is Sunni. The Iraqi cabinet is divided between Shiites, Sunnis and Kurds based on reconciliation and quota rather than the results of election or the democratic process, says Luay Jawad Al-Khateeb, a fellow at School of International and Public Affairs at Columbia University. In a country where Shiites make up more than 60 percent of the population, no decision is reached at the Parliament and the cabinet without reconciliation. Iraqs Sunnis enjoy the revenues of Iraqs oil, which is produced in the Shiite provinces, but they dont contribute to the federal budget with even a dollar. Yet, their leaders tell the world that Sunnis are marginalized and prosecuted, adds Al-Khateeb. The results of the reckless Sunni leadership in Iraq is the loss of tens of thousands of Sunni lives, the destruction of Sunni cities, and the displacement of more than half of the Sunni population in Iraq. As the Iraqi government forces liberate their cities from ISIS, many Sunni leaders are still living in the safety of Kurdistan, Jordan and Turkey, trying to make deals to secure their political future or business opportunities. Without an able Sunni leader who can convince Iraqs Sunnis that they will never rule Iraq by force again, ISIS or al-Qaeda will always find an audience among Iraqs Sunnis. Related: Iraqi Commander Declares Defeat of Islamic State in Fallujah The second required task to defeat ISIS is to put an end to the Assad dictatorship in Syria, which has killed an estimated 400,000. Unfortunately, with the Russian support of that regime, this is not feasible in the near term. As long as this dictatorship remains in power, Syrias Sunni majority will look for armed groups to defend them. Even as ISIS suffers its demise in Iraq and continues to be degraded in Syria, the terror group will continue to mastermind major attacks elsewhere like the recent attacks in Iraq, Saudi Arabia, Bangladesh, Lebanon and Istanbul. Theyll also inspire lone wolves to conduct other attacks in the U.S. like the Orlando shooting. A side effect of destroying ISIS would be the emergence of al-Qaeda as the main hub for attracting extremists from all over the world. While ISIS looks worse than al-Qaeda to many Western observers, al-Qaeda is as determined as ISIS is to attack the West and destabilize the Middle East and South Asia. The victory in Fallujah has also given the Iraqi Prime Minister, Haider al-Abadi, a breather after several very challenging months of popular protests against corruption. Some observers consider victory in Fallujah a political boost for al-Abadi, and a greenlight for Iraq to reclaim the city of Mosul from ISIS. ISIS was always defeatable, if tackled by Iraqs counter-terrorism forces with air support from the U.S. But that victory might not save al-Abadi. The Iraqi supreme court has nullified the new technocrat government that al-Abadi formed. The anti-corruption protests will resume with a planned million people demonstration in mid-July that could demand the resignation of al-Abadi for failure to prevent the recent Baghdad bombings that killed about 300 civilians. Top Reads from The Fiscal Times: New-found evidence of Neanderthal Cannibalism has baffled researchers. The bones uncovered in a Belgian cave show definitive signs that our ancestors devoured horses, reindeer and other humans. By India Today Web Desk: The discovery of Neanderthal bone fragments in a Belgian cave has intrigued and left scientists dumbfounded all at the same time. The bones are a definitive proof that the practice of cannibalism existed among our ancestors. Evidence beyond doubt The hammer-like markings and cuts left by makeshift knives to remove the flesh in the prehistoric remains are a strong evidence of a cannibalism. According to an article published in the journal Scientific Reports, radiocarbon dating determine the remains to be between 40,000 and 45,000 years old. The marks on the bones show signs of intentional butchering, including skinning, fractures on the bones to extract the marrow. advertisement The largest haul of cannibalized remains The study states, "this is the first unambiguous evidence of Neanderthal cannibalism in Northern Europe". Cannibalised remains have been found in Spain, France, and other parts of southern Europe too. When the paleoanthropologists pieced together the scattered pile of bones mixed with other animal remains, they formed five ancient humans, four adults and one child. via GIPHY Multi-purpose meals The 'Neanderthal Cannibals' didn't just end scrumptious meaty meal with a good sleep, they used the remaining bones as tools for shaping stone implements. via GIPHY So, why did the Neanderthals eat each other? Some researchers assume that the practice was a part of a ritual, some of the burial discovery revealed an emotional quotient that existed among the ancient humans. One such discovery which made scientists believe in the emotional factor was the 1970 discovery of a Neanderthal dubbed "Shanidar IV" who was found buried with flowers. However, recent studies refute the theory saying that the flowers may have been left by animals. Was desperation a cause for their unnatural behaviour? Many scientists hold the view that desperation is a possible reason for their cannibalistic instincts. Lack of food my have instigated them to kill and feed on each other. However, cannibalism was definitely not a go-to option for many of our ancestors. The scattered discovery of Neanderthal remains reveal contradicting patterns of eating habits. The Gotye cave discovery holds the strongest evidence of Neanderthal cannibalism so far. Neanderthals went extinct roughly 40,000 years ago but they did interbreed. This brings us to another worrying question, could they have left a tiny bit of the cannibalistic instincts in some of us? via GIPHY --- ENDS --- On the Horn of Africa, tucked between quarrelsome neighbors who receive the lions share of the regional spotlight, lies the nondescript and mostly forgotten Republic of Djibouti. The country rarely makes its way into international headlines and this is exactly what the government and its allies, namely the United States, prefer. Washington has been content to keep its close collaboration with the government in Djibouti City under the radar, thereby avoiding the need to publicly defend its alliance with a highly repressive regime. The United States investment in the country which amounts to over $70 million per year, including economic aid has everything to do with its strategic location on the Gulf of Aden. Indeed, what Djibouti lacks in size (it is about the size of Massachusetts and has a population of about 900,000) it more than makes up for with its status as a geographical goldmine. The government is a key contributor of troops to the African Union force in Somalia, which combats Al Shabaab and other armed opposition groups. It is also an important staging area for attacks against suspected terrorists, especially through its role as host of a base for U.S. drones that operate in the region. In March 2014, President Obama announced plans for a Binational Forum in which senior officials from both countries committed to building a vibrant 21st century strategic partnership grounded in friendship, mutual trust, and common security. It is for these reasons that Djiboutis president, Ismail Omar Guelleh, has been a regular guest in the White House. They also explain why his government has managed to dodge criticism of its dismal record on human rights, democratic governance, and the rule of law. Guelleh has quietly ruled Djibouti since 1999 (his uncle, who had reigned since 1977, personally anointed him) and he stands today as one of Sub-Saharan Africas longest-serving leaders. Like some of his fellow autocrats, Guelleh appears to have no qualms about openly and violently rigging his countrys so-called democratic elections in his favor, often winning absurdly unrealistic majorities. This April, Guelleh and his ruling coalition, the Union for the Presidential Majority, reportedly won 87 percent of all votes cast. This impressive showing surpassed the 80 percent he won in 2011 after the countrys National Assembly amended the constitution to allow him to run for a third term in office. According to many international observers, Guelleh will leave office only when he feels like it. His government has repeatedly been accused of myriad human rights abuses, including documented cases of torture and arbitrary detention of opposition supporters, as well as the denial of fair public trials, severe restrictions on freedom of the press, deliberate targeting of human rights activists, and high levels of corruption. Most recently, during the lead-up to the presidential election in April, authorities used deadly force to break up public demonstrations, including an incident in December 2015 during which 19 people were reportedly killed after police opened fire. To its credit, the United States condemned the disproportionate and deadly use of force, and also called for the release of opposition leaders who were unjustly detained in the country. In what has become a routine defense of the indefensible, authorities justified the killings by blaming the victims, claiming the peaceful protesters had tried to destabilize our nation. The rationale for gunning down citizens in broad daylight was also premised on combating armed individuals from abroad (subtext: terrorists). Of course, this designation is no accident. It is meant to placate the international community and particularly the United States, which since 2009 has headquartered its East African Terrorism Task Force at Camp Lemonnier on the outskirts of the capital, Djibouti City. The base is so crucial to U.S. military operations in the region that, in 2014, the Pentagon signed an agreement to secure its lease through 2044. Since 9/11, the base has grown in almost every conceivable fashion, with more than $600 million allocated or already awarded for related projects. All told, Djibouti is a classic case of how a fundamentally undemocratic and abusive government can appeal to the so-called war on terror to justify its repression and secure its legitimacy. As recently as May 2014, President Obama praised the Guelleh regime and expressed his strong support for its leadership in the Horn of Africa. By turning a blind eye to Guellehs attempts to seal off avenues of democratic participation, the United States is raising the prospects of future unrest in Djibouti the very outcome that it and other shareholders in the country, and region writ large, are ostensibly working to prevent. Indeed, the Fund for Peace, which publishes the annual Fragile States Index, already registers Djibouti as having a very high warning risk of state collapse. The U.S. government should reject the notion that allying with brutal regimes in the short-term somehow protects our long-term national interests. Blank checks to repressive governments who abuse their own citizens, often under the guise of anti-terror, often backfire (see: Egypt, Ethiopia, Kenya, and Uganda just for starters). This flawed strategy fails to take into account the resentment that will ultimately boil over when a peoples legitimate grievances are not addressed. The antidotes to these problems genuine democratic governance, the rule of law, free and fair elections, and support to civil society and human rights activists should be key planks of U.S. foreign policy in the 21st century. Only investments in these key sectors can counter the heightened repression, undue consolidation of political power, and manipulation of the courts that breed extremism. To be sure, the United States must tread carefully as it calibrates its relationships with strategically important allies, including Djibouti, that have poor records on governance and human rights. But its long past time to stop shaking hands with retrograde strongmen and rolling out the red carpet for the likes of Ismail Omar Guelleh, and other African leaders, whose time has come and gone. In the photo, Djiboutis president, Ismael Guelleh, inspects a guard of honor during his inauguration on May 8. Photo credit: HOUSSEIN HERSI/AFP/Getty Images By PTI: From K J M Varma Beijing, Jul 11 (PTI) Efforts by China and Nepal to step up border trade to reduce reliance on supplies from India to the Himalayan country suffered a setback as floods and landslides damaged the border point between the two countries. Opening of Zhangmu-Tatopani border point between China and Nepal could be further delayed due to swollen Bhotekoshi River and landslides triggered by rainfalls, state-run Xinhua news agency quoted Nepali officials as saying. advertisement The border point, located on a main trade route between the two countries, has remained closed since the deadly earthquake last year. As the two countries have been making efforts to reopen the border point at an early date, the swollen river and several landslides damaged the road and other infrastructure facilities in the border area. This could dash any hope of early reopening of the border point, Nepali officials said. The area around the border point has been very risky as there were several landslides and the Bhotekoshi River has been eroding nearby soil severely, the officials said. The flooded Bhotekoshi has already swept away 45 houses in the area and 150 others are at high risk, they added. China has stepped up efforts to establish rail and road links with Nepal to supply commodities and petroleum products to reduce landlocked Kathmandus dependence on supplies from India. PTI KJV KUN --- ENDS --- After his hard fought win over Mark Hunt at UFC 200, an emotional Brock Lesnar gave his support to the police forces of America and made a plea for unity across the country. America! Shoutout to the men in uniform that protect and serve this country! Lesnar yelled during his post-fight interview with Joe Rogan. From sea to shining sea. From one white boy to all nationalities, weve got to stand together, people! The comments were clearly a reference to the recent tragedy in Dallas, Texas where five officers were killed by Micah Xavier Johnson in the deadliest attack on our countrys police forces since 9/11. Lesnar won his fight against the heavy hitting Hunt by unanimous decision, with all three judges scoring the fight 29-27. That means one round was so decisive for Lesnar that it earned him a 10-8 score as opposed to the standard 10-9. Afterwards, Brock seemed in good spirits at his own post-event press conference. While he refused to commit to more fights in the UFC, he did speculate on how hed do against other UFC heavyweights and if he could win the heavyweight belt again. I believe any man can do whatever he wants if he puts his mind to it, Lesnar told Kevin Iole of Yahoo Sports. I was out of this game for five years and I stepped back into the cage and trained for six weeks and I think I put a good beating on Mark Hunt tonight. I think anything is possible, aint it? Hopefully Lesnars call for unity following the events in Dallas are also possible. To show how the world is indeed more about unity than divisiveness, heres 10 minutes of people hugging Dallas police officers. On Tuesday, the Permanent Court of Arbitration in The Hague is expected to deliver its decision in a case brought by the Philippines to settle contested island claims with China. The case comes as China has taken increasingly bold actions in recent years to assert maritime claims in the South China Sea disputed by Southeast Asian nationsactions including the construction of island bases for military purposes, and confronting foreign ships and aircraft that travel in the region. Chinas claims reach deep into the South China Sea. On maps of the area, Beijing has demarcated whats known as the nine-dash line (pictured in red in the below map), a boundary that brushes up near the Vietnamese, Philippine, Bruneian, and Malaysian coasts. China hasnt specified exactly what privileges its entitled to under the nine-dash line, but asserts historic rights over the area. This position has encountered stern opposition from rival claimants and the United States for violating freedom-of-navigation tenets outlined under the United Nations Convention on the Law of the Sea (UNCLOS), to which China and and rival claimants (but not the United States) are signatories. China has expressed that it will not abide by the outcome of the Hague arbitration case. Recommended: Whats the Right Way to Think About Religion and ISIS? In 2014, BBC journalist Bill Hayton, formerly based in Southeast Asia, published the book The South China Sea: The Struggle for Power in Asia, which gives historical and contemporary context to the disputes in the region. In an interview, Hayton discussed the thinking behind Chinas claims, and how Beijing might be rethinking part of its strategy in enforcing them. Eric Fish: Why does China care so much about these tiny uninhabited islands in the South China Sea? More From Asia Society Asia Story continues Bill Hayton: I think there are different parts of the Chinese state and Communist Party that have different motives, but they all sort of work together toward the same end. The state-owned oil companies are interested in the oil and the fishing companies and coastal provinces are interested in maximizing their fish catch. Then there are various strategic imperatives. I think they are concerned about the security of the coastal cities and would like a kind of buffer zone around them. Theyre concerned about the safety of supply routes. And I think another very important factor is the likelihood that the Chinese nuclear submarines might want to hide in the South China Sea, so their Navy wants a bastion to keep out potential adversaries and their anti-submarine warfare equipment. But that's not the whole story. Recommended: The Eclipse of White Christian America I think everything is predicated on a sense of ownershipthat since the 1930s really, Chinas elite have convinced themselves and the population that they are the only rightful owners of the features in the sea. Along the way, thats gotten twisted into an idea that they are, to some extent, the rightful owners of the waters within the nine-dash line. What Ive tried to do in my research is show that this is not some ancient claim, but was the response to things that happened in the 20th century. No Chinese official ever went to the Spratly Islands [a disputed chain in the South China Sea, parts of which have also been claimed by Vietnam, the Philippines, Malaysia, Taiwan, and Brunei] before December 12, 1946, as far as we can tell. They were in the [also disputed] Paracel Islands as early as 1907, and then stuck a flag in at least some of the islands in 1909. But the Spratlysthere was no interest by any Chinese officials in administering or occupying those islands until they got there in the 1940s. The nine-dash line was drawn back in 1947 and it was clearly a cartographic convenienceit didnt have any historical meaning whatsoever, but its now sort of become an article of faith. In terms of a claim to historic rights in the waters within the nine-dash line, I would say that probably only appeared in the mid-to-late 1990s. So these are not ancient claims by any means; they're relatively modern. Reuters Fish: A survey conducted in 2013 found that 83 percent of people in China see South China Sea disputes as a continuation of the Century of Humiliation (1840-1949), even though none of the South China Sea countries contesting Chinas claims were transgressors during that period. Why do you think that is? Recommended: An A.I. Says There Are Six Main Kinds of Stories Hayton: There is a sense that emerges out of the chaos of early 20th-century China that the country was stripped of its rights and lands by foreign powers. Theres this whole genre of maps of national humiliation that were published in the 1920s and 1930s to show the population how much land had been stolen by Japan, France, Britain, and other countries. Some of these maps included great lines that went huge distancesas far as Iran and Afghanistan and the whole of Southeast Asia. My thought is that during the rest of the 20th century, with land boundaries, there were powers that pushed back, so China was obliged to make agreements with those countries and settle the land disputes. But on the sea boundaries, there was no pressure to reach a deal and no one pushing back constantly. The dream that these little islands are rightfully Chinas was never challenged. Theres a narrative that the Century of Humiliation wont be completecertainly until Taiwan [over which China also claims sovereignty] is returned to the motherlandand the problem is that these little tiny specks could be put into the same category as Taiwan. China has already regained control of Hong Kong and Macau, and if one starts to see it put the Spratlys in the same category as Taiwan, then we have a problem, because theres a real mismatch between the Chinese sense of entitlement and the historical evidence of a shared sea. Its never been an exclusively Chinese sea or exclusively anybodys sea. Its always been a shared sea, and thats really what Ive tried to argue. When you look at the history as neutrally as possible, its the shared history thats the most significant feature, and thats what it should be again. Fish: Chinas actions in the South China Sea appear to be pushing a lot of its neighbors further into the arms of the United States. For instance, the U.S. recently lifted an arms embargo on Vietnam thats been in place since the end of the Vietnam War. Do you think this is making China reassess its strategy at all? Hayton: China has said it isnt going to withdraw from any of the bases that it has built, but there has been a dialing down of confrontation in general in the past two years. Two years ago, we had Vietnamese and Chinese coast guard vessels ramming into one another out at sea, and weve seen nothing like that since. Another thing to point out is that China hasnt attempted to drill for oil in any disputed areas. Theyve kept their actions very much on their side of any kind of notional medium lines. Despite the rhetoric, one is seeing a slight moderation in Chinas behavior. With the exception of recent fishing incidents, and of course the enormous island building, there has been very little for other countries to actually complain about in terms of new moves pushing people back. This may simply be the calm before the storm. Maybe once they finish the bases on these artificial islands, China may make some dramatic move. But it might also be that its gotten to a point where theyve understood that their actions are provoking a reaction, and now theyre reining themselves in. I have no inside knowledge of this, but theres a recent article by Zack Cooper and Jake Douglas that argues that it did look as if China was preparing to build on Scarborough Shoal [another disputed territory off the coast of the Philippines] but was deterred by the U.S. deploying A-10 aircraft to the Philippines and giving some fairly strong diplomatic warnings. Thats not to say they wont try again in the future, or that they are going to give up their claims to Scarborough Shoal. But maybe there is a sort of retrenchment or rethink on the Chinese side. Its in no ones interests to actually stir up a fight. The consequences would be so awful. Fish: Recently at the Shangri-La Dialogue in Singapore, Chinese Admiral Sun Jianguo said in reference to South China Sea disputes: We do not make trouble, but we have no fear of trouble. Do you think that second part is true? Is China really prepared to engage in armed conflict over these islands? Hayton: I see these rather hawkish statements as a return to that kind of public diplomacy that we saw two to three years ago when you had pundits on TV and uniformed political commissars from the army saying blood-curdling things about killing the chicken to scare the monkey or when those oil fields are towers of flame, who will be sorry? and the South China Sea will resound to the sound of cannon shots. All of these bellicose statements were coming out, but they seem to be clearly intended to intimidate and give the impression that China is prepared to use force, when I dont think it was ever intending to actually do that. It was a way of trying to scare people. I would put the admirals comments in the same categoryhes trying to indicate resolve to the U.S. and hes trying to suggest that China can impose costs on the U.S. Its the classic phrase: To win without fighting. But I dont think its going to be taken seriously because I imagine the U.S. Navy still thinks it can impose severe costs on the Chinese Navy if it ever came to something. But its in no ones interests to actually stir up a fight. The consequences would be so awful. Fish: Is there anything you think is commonly misunderstood about the South China Sea disputes? Hayton: A lot of people dont realize that of the seven islands on which China has recently built, only the construction is new: China has actually occupied the reefs since 1988, or in one case 1994. So although the bases are new, China hasnt actually occupied any new territory since 1994. People would say Well, what about Scarborough Shoal? But I would say that they havent actually physically occupied it, theyve blocked access to it. Maybe they would like to build on it, but they havent. And I think people often think of this as a rational fight over resources, but I think one has to insert the whole Chinese view of history in there. If their view of history is that this is all ours, then UNCLOS is no longer a neutral tool to arbitrate disputes, but is a political weapon wielded against China, and thats clearly how theyre approaching the Hague tribunal arbitration at the moment in terms of what theyre saying about it. So I think everyone has to understand the Chinese perspective, but at the same time critique it from a position of evidence and assert over and over again that China has never been the exclusive owner of the South China Sea, regardless of what it says in Chinese textbooks. Its always been a shared space. This post appears courtesy of Asia Society . Read more from The Atlantic: This article was originally published on The Atlantic. It was the kind of feud the Twitterati live for. When renowned venture capitalist Stewart Alsop took to Medium to scold Elon Musk for showing up late to a Tesla launch event, the CEO decided to teach Alsop a lesson and personally cancelled the former tech pundits Model X order. The Twitterverse instantly erupted with No Tesla for you! quips, a throwback to Seinfelds infamous Soup Nazi. Indeed, youd think a serial entrepreneur who runs two companies and chairs a third would have better things to do than to act so petty and vindictive. Apparently not. Many have called Elon Musk the next Steve Jobs. Maybe in a pejorative sense, but while Musk is a genuine risk-taker who has accomplished a great deal, I think he has some serious issues to work out before proving himself worthy of such comparisons. Musks fans may see him as a clean energy pioneer, SpaceX rocket man and Hyperloop visionary who makes big bets to benefit mankind. But not me. Under the veil of entrepreneurial do-gooder, I see a master manipulator who pushes the limits of media hype and financial engineering to get what he wants. While the native South African has proven remarkably tenacious in bringing his visions to life, when it comes to time and capital, he seems to have a serious blind spot that leads to chronic overpromising and under-delivering. He has admitted to being overly optimistic, but I think the problem is far more systemic and concerning than just that. In August 2006 two years before the first Tesla Roadster rolled off the production line Musk detailed a long-term Master Plan to deliver a sporty four door family car, a low cost family car and solar panels from SolarCity to help transition us from hydrocarbons to solar electric power. Prescient as that vision was, it also came with a clever scheme for bootstrapping each new product off the previous one. And therein lies the rub. That scheme turned out to be a pipe dream that drastically underestimated the time and capital it takes to develop, manufacture and scale electric cars. Theres far more overlap in Teslas product pipeline than Musk originally predicted. And that, in turn, has added significant complexity, not to mention funding and delivery challenges, to an already difficult undertaking. Story continues As a result, Tesla is typically about two years behind schedule and has never been profitable. In addition, Musk has been forced to employ ever more creative financial vehicles to fund each successive phase, including government loans, energy tax credits, stock offerings and customer down payments. And hes not above using one company to bail out another, as evidenced by Teslas recent proposal to acquire SolarCity, which is chaired by Musk and run by two of his first cousins. Meanwhile, the Model X notably absent from Musks original plan has been plagued by a myriad of quality and delivery problems. Production quantities came up short last quarter. And a recent fatal Model S collision is raising concerns that the advanced autopilot feature was rushed to market without proper testing and customer education. Which brings us to another less-admirable aspect of Musks personality that rears its ugly head from time to time: a penchant for petty self-promotion. While Musk has been a prolific venture investor and entrepreneur, I agree with those who argue his claims that he is a co-founder of PayPal or Tesla are false. Ive been around the tech industry for more years than I care to admit, and the only definition of founder Im aware of is someone who was literally part of a companys creation. That is, until Musk came along. Strange as it sounds, Musk thinks he deserves the right to call himself a co-founder, regardless of whether he was actually there from the beginning or not. In 2007, he wrote a 2,256 word justification of co-founder status at PayPal to Gawker Media, even though the PayPal service was launched at Confinity by founders Max Levchin, Peter Thiel and two others long before it merged with Elon Musks company, X.com. According to TechCrunch, Tesla was actually founded in 2003 by Martin Eberhard and Marc Tarpenning. Musk led the companys Series A round of funding and became chairman, but he was not actively engaged with Tesla from the start. Eberhard sued Musk over this, but eventually settled and granted him the rights to the title. Gawker says Musk has a founder fetish. That sounds about right. While odd compulsions are not necessarily concerning, obsessing over minutiae can be a real problem for someone with extreme micromanaging tendencies and as many gigs as Musk has going on. Simply put, he does not have the time to waste on trivial matters. Iconic tech pioneers like Jobs, Bill Gates, Jeff Bezos and Larry Ellison all had notable dark sides, but they overcame their issues and built enormously successful growth companies whose products changed our lives. And they created company cultures capable of sustaining that legacy for decades to come. To join that elite group, Musk must do the same. He has his work cut out for him. Related Articles Delegates to the Republican national convention would do best to leave their guns at home. The Secret Service and the Quicken Loans Arena hosting the convention next week are both barring firearms within the convention, though state law allowing open carry will still apply to unsecured areas within the conventions event zone. The arena has long banned firearms under an Ohio law that allows private properties to do so. In accordance with the Ohios concealed carry law and the right for private entities to ban handguns on their premises, firearms and other weapons of any kind are strictly forbidden on the premises of Quicken Loans Arena, states the Qs policies and procedures webpage. Federal law 18 U.S. Code 3056 gives the Secret Service power to restrict firearms. What it does is give us the right to secure an area for our protection purposes, Nicole Mainor, spokesperson for the Secret Service, told TIME. Anyone going into the zone secured by the Secret Service will need to go through screening to enter, Mainor said. But, outside of Secret Service jurisdiction, the city of Cleveland will follow state law regarding weapons when it comes to the event zone, which means someone could go into the part of the event zone not secured by the Secret Service with a firearm, confirmed Dan Williams, media relations director with the city. Dean Rieck, executive director of the Buckeye Firearms Association, told TIME that in this situation it may not be wise to bring firearms along. He added that no serious gun rights group is advocating for that. A tip line to report suspicious activity was announced by the City of Cleveland on Friday, following the attack on police officers in Dallas last week. Stating the police division is on a heightened state of awareness for domestic attacks like law enforcement is throughout much of the rest of the nation, the press release says the city of Cleveland has been heavily involved in the planning process for the RNC but reminds the public to do its part. Mainor told TIME those types of attacks were exactly the type of thing taken into account when planning security for the event. The tip line is (800) 225-5324. Japan is one of the U.S.s most interesting economic cousins. It counts itself among the small club of developed nations and, years after its heyday in the 1980s, remains one of the largest economies in the world. Which means it faces #firstworldproblems, just like the U.S. Among those: questions about the modern workplace and labor force, and what womens place should be in both. Maternity leave? Japanese women get about 26 weeks. Discrimination in the office? One in six women was harassed during pregnancy in Japan, according to a 2015 survey. Representation in the workforce? About 63 percent of Japanese women work, according to the 2013 Global Gender Gap Report, compared to 67 percent of American women. But after having kids, far more Japanese women stay home. Women japan Mari Miura Source: Sanjena Sathian/OZY The countrys leader, Prime Minister Shinzo Abe, known for his plan to restart the country on his program of Abenomics, has made much hay of the need for women to join the workforce (cleverly titling this Womenomics). Hes argued it can help the country with its impending hairy demographic problem; as the nation ages and the workforce dries up, well, it might be time to tap the neglected gender, right? Which might make for an ideal Sandbergesque moment. Not quite, says Mari Miura, professor of law and political science at Sophia University in Tokyo. Miura is one of the earliest members of the so-called Angry Womens Club, a leaderless collective reminiscent of American 1970s consciousness-raising groups. A mother herself, Berkeley-educated Miura talked with OZY about how the new push for women to work collides with the Japanese anthem of childbearing as a national duty and about whether theres a future for feminism on these erstwhile imperial shores. This interview has been edited and condensed for clarity. OZY: What are you focusing on these days? Mari Miura: Ive been working on gender politics for a while, especially gender quotas. Japan ranks 157th out of 191 countries as far as representation only 9.5 percent of women in the lower house. One of the instruments for introducing women representatives is a quota. Story continues A multipartisan caucus on introducing gender quotas was set up, and Ive been an academic adviser to this team. They made a proposal last August, but they were not able to reach a consensus. What we have doesnt contain any strong enforcement mechanism; it just promotes the ideal that all parties must field candidates based on the idea of 50-50 representation. Ruling parties didnt like the idea of parity, which is a strong word, so they proposed balance instead. The Japanese parliament session is very short, and now its over and weve got an upper house election ahead. So, we have to wait till the next session starts sometime in the fall. OZY: Its your view that a quota is a strong vehicle for societal change? MM: Yes. First, people have to realize that they need women in politics, and we havent reached that consensus yet. OZY: Theres a quip you hear here that politicians dont matter in Japan that theyre uncharismatic, and real work gets done by bureaucrats. Why does representation matter if thats the case? What policies do you want changed? MM: Here is one huge problem: a shortage of daycare centers. Only about one out of two mothers gets into them, and 15 to 18 babies die every year because of that low-quality, poor management. There is no supervision of the government toward unqualified or unauthorized daycare centers, but many women have to use those because authorized ones are very limited. Dohoshi Mari Miura holding an Ikareru Joshikai button. Source: Sanjena Sathian/OZY Also, we need more comprehensive laws toward violence against women. There is a law to ban domestic violence, but that is only one category of violence against women. Theres also the issue of separate family names: Japan is a rare country where married couples have to have only one married name. The supreme court ruled that is constitutional now the assembly has to decide what sort of legal structure theyre going to bring. Authorities strongly oppose separate names because they think its bad for family bonding. OZY: Conversations about workplace and gender issues are going on globally, from Silicon Valley to Scandinavia. What parallels do you see between Japan and these other countries, and what is uniquely Japanese? MM: Patriarchy is pretty much everywhere! But the uniqueness in Japan is that we still see few feminist movements in Japan. There are feminists, but theyre not well-networked. Japanese civil society, not only in feminist movements but all movements, is not good at making organizations. People start to fight over many small issues, and then they split. We have many small bosses, but we are not able to get united. And here, feminist sounds so radical or hysterical or crazy. Young generations dont want to use that. People use gender or gender equality without using feminism or feminist. OZY: Tell me about Ikareru Joshikai, the group youre a member of. It translates to Angry Womens Club, right? MM: Joshikai means a sort of spontaneous gathering or meeting drinking together or just getting together and talking. You would only use it for womens gatherings. Joshi means women and kai means meeting womens gathering. Its commonly used in the last 5 or 10 years by women, or in womens magazines, especially women in their 30s and 40s. Its a kind of girlish term, but then we say angry, so it has some power for people who are already angry about politics. We needed a space, because in Asia its hard to talk politics with close friends. If your close friends cannot share political views, that becomes awkward its better to go to anonymous situations so you can actually say your opinion, and if you dont get along it doesnt matter, because they are not your friends. You might actually make friends later. Related Articles Direct-to-consumer genetic testing is understandably alluring. It offers an opportunity to peer into your building blocks and maybe learn something about your future health risks in a manner that's easy and affordable. However, it's often not identical to clinical genetic testing with a physician or a genetic counselor. In addition, depending on the indication and your personal and family history, genetic testing may not even be recommended. Analyzing Your DNA at Home DTC genetic testing has emerged as advances in genetic testing allow for faster, cheaper analysis using small samples of DNA. For a few hundred dollars, a DTC genetic testing company will provide data on your risks of carrying certain diseases and your ancestry. By comparison, it often costs $1,000 or more for genetic testing in a clinical setting -- a price patients may pay out of pocket if they haven't yet met their deductible or don't have insurance coverage. Not surprisingly, the popularity of DTC genetic testing is surging, with the global market valued at more than $70 million last year, according to one report; that's up from roughly $50 million in 2014. One company, 23andMe, has even gained Food and Drug Administration approval to provide information regarding whether you're a genetic carrier for some disorders, including sickle cell anemia. [See: Do's and Don'ts of Home Medical Devices.] To submit a test sample, consumers typically spit into a tube, secure it and mail it to the company for processing. Some companies send test results back to consumers without any analysis; trying to understand what your raw data means is complicated. Other companies offer to connect customers with genetic counselors for feedback, but sometimes at additional costs. Unless you opt for DTC genetic testing following a genetic testing recommendation from a physician or genetic counselor, chances are you do not need a DTC test. Yet DTC companies offer testing to anyone willing to buy a kit and submit a sample. By contrast, patients must receive a written order from a physician or another licensed health practitioner for blood tests, prescription drugs and other medical services. Story continues If you get a DTC test, geneticists or counselors will often recommend no additional testing or action when they review results. They may not have recommended testing in the first place because of a low expected disease risk, if they know testing will not yield definitive conclusions or because no intervention is available to prevent that disease anyway. Even when there is a strong rationale to get tested, using a DTC service may trigger more questions than answers. Because DTC test results may be incomplete or insufficient compared to clinical testing, your medical provider may advise more testing. DTC testing rarely includes the comprehensive analysis of disease-related genes that's provided in clinical settings, which accounts for an individual patient's family history. We aim to do very specific testing for targeted disorders or groups of disorders, allowing us to decide who should have further testing. Genetic counseling helps us decide on the right care at the right time. Some DTC companies provide information based on single nucleotide polymorphisms, or SNPs, common genetic variations that can act as biological markers for disease. But this should not be taken as a complete analysis of genetic risk, particularly in individuals with a personal or family history of inherited disorders. Analysis of multiple SNPs with results implying increased or decreased risk for certain disorders does not always provide a complete picture. That's because it cannot be compared to full gene sequencing -- which most DTC testing companies do not offer. Individuals with a high risk for a heritable disorder will probably be better served with genetic counseling and gene sequencing. [See: 16 Health Screenings All Women Need.] DTC tests also often fail to provide usable information to your doctor. We may get lists of hundreds of SNPs that are not practical to analyze in the clinic. Health providers who lack the necessary training to interpret genetic testing results, and sometimes even clinical geneticists and genetic counselors, are unable to provide useful feedback based on the results. Often overlooked is how your testing data may be used. Life, disability and long-term care insurance companies "can (and do) ask for genetic testing results to make decisions about your coverage," with the exception of a few states, as a VICE Media report details. (Health insurance companies and employers are forbidden from using your genetic data to discriminate against you.) Don't be surprised if that DTC test you bought puts you in a position to report that you are predisposed to some disease, negatively impacting your application for an insurance policy. In addition, the DTC company may use your data, applying information related to your genes (albeit not linked to your personal identity) in research, or sell it to drug companies. Understanding Your Family History If you want to assess your risk of developing hereditary cancers or other illnesses, instead of jumping into DTC testing, getting a family history is a good first step. You can input your family health history data into the My Family Health Portrait online tool from the Surgeon General to learn about your risk for certain health conditions. A detailed family history can elicit a wealth of information regarding disease risks -- regardless of what your gene tests say. This also helps because any test results need to be analyzed in the context of one's personal and family history. Next, consult a certified genetic counselor about whether to get tested at all and, if so, what specific test is appropriate. Counselors can inform you about testing options, risks and limitations in how conclusive results can be. Because identified carriers of genetic disorders may have an increased risk of having an affected child if their partner is also a carrier, the counselor can also review risks associated with getting pregnant and provide options for testing during or after pregnancy. Some may still want to purchase a DTC test after an appropriate medical consultation, whether to save money, because they're simply curious or since comprehensive testing is becoming more available. If that is the path you take, do plan to consult a genetic counselor to break down and explain what your results mean -- specifically in the context of your personal and family history. [See: Which Practitioner Do I See, and When?] Speaking of history, DTC genetic testing companies are well-suited to query about your ancestry, information that is interesting and comprehensible. But as for being able to decipher your own test results -- and what those results might mean for you or your children -- that's not something you can easily do at home. Pim Suwannarat, M.D., is a board-certified clinical geneticist with the Mid-Atlantic Permanente Medical Group, based in the Washington area. She leads the clinical genetics service for Kaiser Permanente members in Virginia, Maryland and Washington. She specializes in clinical genetics and biochemical genetics. She also works closely with genetic counselors related to prenatal and cancer genetic counseling. Two new menus - Pluck's Modern Indian selection and the Qla debut of a much-travelled 28-year-old - show the effort invested by chefs to get their plating picture perfect without undercutting authenticity. By Sourish Bhattacharyya: An acclaimed chef of the yesteryear, Arvind Saraswat, used to keep repeating the private comment by Alain Ducasse, the industry legend with a culinary empire spanning restaurants with 21 Michelin stars, that Indian food doesn't look as good as it tastes. Being one of the flag-bearers of the cuisine nouvelle movement, Ducasse could not countenance the fact that Indian food did not appeal to the eye. It's your eye, after all, that has to first love the food on the table to be able to send signals to your brain to make you dig it. Yet, plating wasn't traditionally very big among Indian chefs, whether they made 'Continental' food or Indian. A delectable reinvention of the safed murgh korma - pulled tandoori chicken cannelloni served with cumin cheese sauce and crispy skin. advertisement Ducasse's challenge did not fall upon deaf ears. The idea that how you serve food is as important as how well you cook it gained quick acceptance among chefs who wished to break free from the oldfashioned straitjacket. So, when pioneers such as Vineet Bhatia and Atul Kochhar re-wrote the rules of plating desi food in the more welcoming climes of London, there was no stopping what was then a new generation of genre-redefining chefs. From Hemant Oberoi to Manish Mehrotra, from Bakshish Dean at Agni to Gaggan Anand in Bangkok, Himanshu Saini in Dubai and Zorawar Kalra/Saurabh Udinia at Masala Library, chefs started spending their free time poring over international cookbooks for ideas (about a year ago, Mehrotra, who has turned Indian Accent into the high temple of Modern Indian Cuisine, had 800 of them!), investing in gizmos to be able to harness molecular gastronomy techniques, and sourcing crockery in a way that plates became a part of the new culinary narrative. Also read: These tiny plates of food will make you drool (it's a pity you can't eat them) Believe it or not, it's a rasmalai but packed in Greek custard with boondi streusel (crumbly topping), candied beetroot and red velvet sponge. The movement has also changed the way young chefs now approach different cuisines, so we have Vikramjit Roy who has turned our idea of Chinese food, as we know it, on its head, and then there's Sujan Sarkar, who approaches Modern European cuisine at Olive Bar & Kitchen, Mehrauli, with the eye of an artist, but that doesn't necessarily mean these gents have played around with taste. And we have new restaurants and chefs joining this extraordinary league of Plating Picassos, so the excitement continues - and the diner is the winner. The new kid on the block is the muchtattooed Priyam Chatterjee, a 28-year-old from Kolkata who had been written off as a kalanka (black spot) by his school principal. A keen drummer and a motormouth with a whacky sense of humour, Chatterjee has turned the commonplace into the extraodinary at Qla in Mehrauli. His carpaccio of pickled beetroot and potatoes served with double-beaten sour cream will set us free from the beetroot and goat cheese salad that was once the favourite of every restaurant. Chatterjee excels in being different without being so just for the sake of it. His tartare of confit tomatoes, prawn mousse, raw prawns, basil cream, saffron cream and balsamic caviar combines the drama of presentation with simplicity of ingredients to produce a little explosion of textures and tastes. These carpaccio, thinly sliced and perfectly round, are of pickled beetroot and potatoes, but they look like multi-hued lotus leaves floating. These carpaccio, thinly sliced and perfectly round, are of pickled beetroot and potatoes, but they look like multi-hued lotus leaves floating. advertisement Even his mango salad, which consists of 37 'petals' of Alphonso scooped out in equal proportions in nine minutes and 12 seceonds, and an accompanying mango mousse, is a visual representation of this philosophy of the 'grandeur of simplicity'. Unsurprisingly, my favourite from the new menu - panseared trout with four soil textures: smoked oyster, olive tapenade, basil pesto and sun-dried tomato, with coconut cream - balanced the very basic style of cooking the fish with the richness of the hues of the tapestry surrounding it. Also read: India on plates: Nobody does luxury crockery better than Villeroy & Boch At Pullman New Delhi Aerocity, the Modern Indian Culinary lab at Pluck, steered by the talented trio of Ajay Anand, Ashish Dhar and Nitin Bajaj, is working overtime to raise the bar for our cuisine. Whether it is the old-fashioned shakarkandi chaat given a contemporary look or the deconstructed mulligatawny soup, or the Bhavnagri paneer chilli tart with textures of onions and the pulled tandoori chicken cannelloni with cumin cheese sauce and crispy skin, which leaves you gobsmacked by the flavours and aromas it packs in. And even if the tandoori chicken is left as it is, though it's a substantial breast piece whose rich flavours pair seamlessly with the accompanying jalapeno potato mash, which is the surprise of the package. The tartare of confit tomatoes, prawn mouse, diced prawns, basil cream, saffron cream and balsamic caviar brings back memories of the Prawn Cocktail one has had at Amber, Kolkata. advertisement Looking fab, tasting better. It's easy to write these four words, but not so easy to make them come alive on the plate. The young chefs at Qla and Pluck are pushing the creative envelope very hard and not saying no to the next best idea on the plate. --- ENDS --- It is a most noble work in every way and more a poem than a home. This was Pre-Raphaelite artist Dante Gabriel Rossettis lyrical way of describing a family house built to be medieval in spirit. Red House, finished in 1860 for William Morris and his wife, Jane, serves as a vivid reminder of the owner and his friends drive to surround themselves with beauty and medieval design with some four-legged marsupials thrown in for good measure. In addition to embracing Arts and Crafts style, complete with etched glass, repetitively stunning floral wallpapers and opulent painted murals, visitors to this lovely red-brick abode in Bexleyheath, southeast of London, can appreciate an artistic obsession with wombats. Oh how the family affections combat Within this heart, and each hour flings a bomb at My burning soul! Neither from owl nor from bat Can peace be gained until I clasp my wombat. Rossettis poem hints at his love for the creatures from Down Under, but cartoons, comics, sketches and inclusions in paintings by him and the other late-era Pre-Raphaelites reveal his obsession. The artists friend Thomas Woolner visited Australia in the 1850s and brought back this idea of the wombat, says Megan Tanner, Smaller London Properties Manager for the National Trust, noting how Edward Burne-Jones would draw endless sketches and cartoons and depictions in all sorts of comical situations of the creatures. But Rossetti went beyond that. Known for frequenting the London Zoo to see the rotund, spirited critters and their antics, Rossetti went so far as to purchase a wombat, Tanner says. Seeing a likeness to his feisty, slightly overweight friend Morris, Rossetti named his pet Top, also his nickname for Red Houses owner. The Wombat is a Joy, a Triumph, a Delight, a Madness! Rossetti once wrote. Over the years, layers of wallpaper and paint have been piled atop those left in the home by Morris and Co., but renovations have helped shed light on what once was. One treasured find was a mural of Genesis biblical scenes found under paint and behind a giant piece of furniture in Morris bedroom, which experts believe includes paintings by Lizzie Siddal Rossettis wife and others, as well as a sense for the multitude of patterns and rich colors that were employed to decorate the home. In restoring the wall murals in Red Houses drawing room, Tanner says, we discovered a little furry creature sitting under the wedding scene painted by Burne-Jones to depict the wedding of William and Jane. We believe [it] is a Pre-Raphaelite wombat, she adds. Story continues wombat mural 37087 The Tale of Sir Degrevaunt: The Wedding Feast by Sir Edward Burne-Jones, featuring the wombat at Red House. Source: National Trust Images/John Hammond The Wombat is a Joy, a Triumph, a Delight, a Madness! Rossetti once wrote. At one point he even drew Jane Morris, his longtime muse, holding a leash, at the end of which sat a wombat. The tamed pet is clearly meant to represent William a point that seems less comical when you consider that Rossetti and Jane had a longtime affair. But most of the wombat depictions are cheerful, and the artistic clan even included them in their famed Oxford Union murals, which predate Red House. Taking inspiration from their furry find on the drawing room wall, the National Trust has ensured that its 25,000 annual visitors can now hunt for stuffed wombats throughout the tour and even take one home from the gift shop (entry is $11.50). Sporting names in tribute to the artists involved with the house, like Pip for architect Philip Webb and Top for Morris himself, the Red House wombat trail is a marketing ploy with some serious claws. Related Articles Https%3a%2f%2fblueprint-api-production.s3.amazonaws.com%2fuploads%2fcard%2fimage%2f140791%2fa90d5b5a464b4cde9f4fec3cc766306e Too far is not far enough when it comes to Pokemon Go. Ever since the augmented reality mobile game launched in Australia, New Zealand and the U.S. last week, players have been dealing with the consequences of avid Pokemon fandom: Some have apparently gotten fired, while others are kayaking into bodies of water in the middle of winter to capture gyms for Team Blue. SEE ALSO: How the gurus behind Google Earth created 'Pokemon Go' Pokemon Go requires players to explore their surroundings, searching for rare Pokemon and battling for control of gyms. As it turns out, those gyms can be located in some hard to reach places. On Sunday local time, a Twitter user in Wellington, New Zealand, who goes by the handle Pokefan Libby noticed a pretty inaccessible gym located by a fountain in Wellington Harbour. It wasn't too far for two intrepid individuals, however, who had decided to kayak out to the fountain to do battle. That pair was Kelsey Thomson and Lizzy Eden, both 24, who describe themselves as "longtime Pokemon fans." They spotted the hard-to-get to gym, then held by Team Yellow, in Wellington Harbour during a Pokemon hunt Thursday evening. "We didn't get home till about 11:30 and we both work full time," Thomson told Mashable Australia. "We saw the gym in Wellington Harbour and were like 'we have to get this.'" To make the half-hour paddling journey one way, they hired a kayak. "The guy that hired the kayaks out to us was actually on Team Yellow ... so he was a bit like 'maybe I won't hire them out to you,'" she laughed. Thomson and Eden had no idea someone was live tweeting their exploits, and were shocked when Thomson's tweet about the victory later blew up. "We've been laughing all day at the ridiculous response," she said. Thomson said she planned to continue mixing Pokemon Go with adventure, as she's training to hike Mount Kilimanjaro in November. "I'm sure I'll be doing plenty of simultaneous Pokemon-hunting and training trips," she added. Tragically, Team Yellow has now retaken control of the gym. "Team Yellow has a boat. One of them owns a boat, so that's not fair," Thomson said. Honestly, has a mobile game ever made this many people go outside at once? (Photo by Getty Images) The 26-year-old man found dead in Yishun on Saturday (9 July) had been the subject of four complaints for about a year, the police said on Monday (11 July). The police reports were filed between April 2015 and March 2016, three of which had been filed by the mother of the suspect, the police said in a statement. The victim was said to have died outside a HDB unit at Blk 279 at Yishun Street 22. A 20-year-old male suspect had been arrested in relation to the death, earlier media reports said. Police described the victim as a Singaporean male, but media reports have identified him as Shawn Ignatius Rodrigues. The victim had allegedly been stalking the suspect for two years and attended the same church, the reports said. According to the statement, three police reports involved complaints of nuisance after the deceased allegedly turned up uninvited at the suspects house. The fourth report, filed on 27 March 2016, was classified by police as a molestation case. The statement did not specify who had filed the fourth report. However, the police had interviewed the suspects mother and that they had sent a letter to her dated 8 April 2016, acknowledging an appeal made by them (the suspects family) through the MP, Mr K Shanmugam, and confirming the matter was under investigation. Police say the the suspects family had also filed a Magistrates Complaint against the deceased, and had made several 999 calls whenever the deceased turned up at their house. The deceased had also filed a police report on 30 June 2015, alleging that after he turned up in the vicinity of the Yishun block on 23 June 2015, he was assaulted by the suspect. However, he did not pursue the matter further, police said. For Immediate Release Chicago, IL July 11, 2016 Zacks.com announces the list of stocks featured in the Analyst Blog. Every day the Zacks Equity Research analysts discuss the latest news and events impacting stocks and the financial markets. Stocks recently featured in the blog include Bank of America Corp. (BAC), Wells Fargo & Company (WFC), JPMorgan Chase & Co. ( JPM), Citigroup Inc. (C) and PNC Financial Services Group Inc. (PNC). Today, Zacks is promoting its ''Buy'' stock recommendations. Get #1Stock of the Day pick for free. Here are highlights from Fridays Analyst Blog: Bank Stock Roundup: Brexit Fears Persist Over the last four trading days, major banks showed a bearish trend. Though investors confidence received a boost with most banks getting the 2016 capital plan approval, concerns over Brexit continued to weigh on the stocks. Moreover, concerns related to global macro issues remained an overhang. Further, the Brexit aftermath has rattled the stock market and led to a further decline in mortgage rates this week, hitting a new low of 3.41%. In spite of the plunge, investors are apprehensive about parking funds in the housing market, thanks to the volatility in the financial world. However, homeowners seeking lower rates for refinancing are definitely big-time gainers. Notably, low rates are expected to spur real estate activity and lending as experienced in 2012. Therefore, for mortgage lenders including Bank of America Corp. (BAC) and Wells Fargo & Company ( WFC), low rates could benefit their consumer and home loan businesses. Headlines also consisted of banks' strategies to boost profitability through restructuring. Against the tough industry backdrop, second-quarter earnings season is knocking at the door. Banks will start reporting next week. BANKS-MAJOR REGIONAL Industry Price Index (Read: Bank Stock Roundup for the week ending Jul 1, 2016 ) Important Developments of the Week 1. While most foreign banks are constricting their operations in India due to regulatory concerns, JPMorgan Chase & Co. (JPM) is all set to open three more branches in the country. It has already won the Reserve Bank of Indias approval for this expansion. The branches one each in New Delhi, Bengaluru and Chennai are expected to be operational in the next few months. All of JPMorgans existing products and services, including cash management, trade finance, foreign-currency payments and lending services, are expected to be available in the new branches (read more: JPMorgan Goes Against the Herd to Expand in India ). 2. Citigroup Inc. (C) continued with its strategy of divesting parts of its consumer and investment banking operations to free up capital, reduce expenses and in turn increase profits. The firm is planning to sell its fixed income analytics business named Yield Book. Though financial terms of the transaction remain undisclosed, Yield Book accounts for around $100 million in revenues annually. Yield Book relates to books about bond data which started getting publishing in the 1960s by Wall Street firm Salomon Brothers (read more: Is Citigroup Divesting Analytics Business 'Yield Book'? ). 3. In line with continued efforts to streamline operations, Citigroup is reportedly merging its consumer banking unit in Europe, Middle East and Africa (EMEA) with Asia. The latest move will not impact headcounts in EMEA or Asia, and will combine consumer banking revenues in Bahrain, the UAE, Russia, Poland and the U.K. with Asia. Asia, which remains a major region for profit for the business after North America and accounted for a fifth of Citigroups global consumer banking (GCB) profit last year, will manage the companys business across 17 countries. 4. The PNC Financial Services Group Inc. (PNC) enhanced its quarterly common stock dividend by 8% to 55 cents per share. The dividend will be paid on Aug 5, 2016 to shareholders of record as of Jul 18, 2016. This reflects the companys commitment to return value to shareholders with its strong cash generation capabilities. The dividend increase is part of PNC Financials 2016 Capital Plan. 5. Companies fall under the purview of increased regulatory scrutiny of the Federal Reserve if ownership stake crosses the level of 10% in a bank. The regulators concern arises from the fact that investors large stakes in a bank may result in the investor influencing or controlling the operations and management of the bank. Therefore, legendary investor Warren Buffetts Berkshire Hathaway Inc. has sought permission from the Fed to increase its stake in Wells Fargo after hitting the level of 10% in March. Notably, Berkshires holdings include 479.7 million shares of Wells Fargo and Warren Buffett has 2 million in his private account (read more: Buffett Seeks Fed Approval for Increased Stake in Wells Fargo ). Story continues Want the latest recommendations from Zacks Investment Research? Today, you can download7 Best Stocks for the Next 30 Days. Click to get this free report >> Today, Zacks is promoting its ''Buy'' stock recommendations. Get #1Stock of the Day pick for free. About Zacks Equity Research Zacks Equity Research provides the best of quantitative and qualitative analysis to help investors know what stocks to buy and which to sell for the long-term. Continuous coverage is provided for a universe of 1,150 publicly traded stocks. Our analysts are organized by industry which gives them keen insights to developments that affect company profits and stock performance. Recommendations and target prices are six-month time horizons. Zacks "Profit from the Pros" e-mail newsletter provides highlights of the latest analysis from Zacks Equity Research. Subscribe to this free newsletter today. About Zacks Zacks.com is a property of Zacks Investment Research, Inc., which was formed in 1978. The later formation of the Zacks Rank, a proprietary stock picking system; continues to outperform the market by nearly a 3 to 1 margin. The best way to unlock the profitable stock recommendations and market insights of Zacks Investment Research is through our free daily email newsletter; Profit from the Pros. In short, it's your steady flow of Profitable ideas GUARANTEED to be worth your time! Register for your free subscription to Profit from the Pros. Follow us on Twitter: https://twitter.com/zacksresearch Join us on Facebook: https://www.facebook.com/home.php#/pages/Zacks-Investment-Research/57553657748?ref=ts Zacks Investment Research is under common control with affiliated entities (including a broker-dealer and an investment adviser), which may engage in transactions involving the foregoing securities for the clients of such affiliates. Media Contact Zacks Investment Research 800-767-3771 ext. 9339 support@zacks.com https://www.zacks.com Past performance is no guarantee of future results. Inherent in any investment is the potential for loss. This material is being provided for informational purposes only and nothing herein constitutes investment, legal, accounting or tax advice, or a recommendation to buy, sell or hold a security. No recommendation or advice is being given as to whether any investment is suitable for a particular investor. It should not be assumed that any investments in securities, companies, sectors or markets identified and described were or will be profitable. All information is current as of the date of herein and is subject to change without notice. Any views or opinions expressed may not reflect those of the firm as a whole. Zacks Investment Research does not engage in investment banking, market making or asset management activities of any securities. These returns are from hypothetical portfolios consisting of stocks with Zacks Rank = 1 that were rebalanced monthly with zero transaction costs. These are not the returns of actual portfolios of stocks. The S&P 500 is an unmanaged index. Visit https://www.zacks.com/performance for information about the performance numbers displayed in this press release. Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report BANK OF AMER CP (BAC): Free Stock Analysis Report WELLS FARGO-NEW (WFC): Free Stock Analysis Report PNC FINL SVC CP (PNC): Free Stock Analysis Report JPMORGAN CHASE (JPM): Free Stock Analysis Report CITIGROUP INC (C): Free Stock Analysis Report To read this article on Zacks.com click here. Are promises made to be broken? Well, not Sundar Pichais promises. Today, Alphabet Inc. GOOGL-owned search engine giant Google announced the launch of its much-awaited Android Skilling Program for India, which Pichai had promised in the Google for India Event in 2015. Under the program, Google intends to train nearly 2 million Android developers in India over the next three years. The announcement comes in a bid to compete with Apple Inc. AAPL to tap the countrys rich pool of talented mobile app developers. Android Skilling Program Through a specially designed, in-person program, the Android Skilling program will be made available for free, across various public and private universities, and training schools. The National Skills Development Corporation of India will also make the program available. The company is looking to train about 4000 faculty members in 2000 universities in India. It aims to make more than 250,000 students adept in the Android platform. Googles free program is intended to sweeten its relationship with the government of India, while also helping the proliferation of the Android platform in the country. Associate Android Developer Certification Students aspiring to build a career in the app development industry can take the job-oriented Associate Android Developer Certification exam after finishing the course by logging on to the Google Developer training website. The exam comes for a sizeable sum of Rs. 6,500. This certification would enable the students to land an entry-level job as an Android app developer. India at an Advantage It is estimated that India will have 4 million developers by 2018 the largest developer population in the world, surpassing the number in the U.S. Currently, only a quarter of that population is engaged in mobile app development. Moreover, with the gradual invasion of the Internet into Indian people's lives, India is poised to innovate and shape up the Internet experience of billions of users worldwide. Story continues The Competition Apart from Google, Apple has also been focused on Indian talent for app development in recent years. During Tim Cooks visit in May 2016, it was announced that a development accelerator would be opened in Bangalore in a bid to provide specialized support to iOS app developers. Within these facilities, the developers would gain access to tools to create innovative apps for the worlds populace. At present, Google carries a Zacks Rank #4 (Sell). Stocks to Consider A couple of better-ranked stocks in the broader technology sector include Blucora, Inc. BCOR and Blue Calypso, Inc. BCYP, each carrying a Zacks Rank #2 (Buy). Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report >> Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report APPLE INC (AAPL): Free Stock Analysis Report BLUE CALYPSO (BCYP): Free Stock Analysis Report BLUCORA INC (BCOR): Free Stock Analysis Report ALPHABET INC-A (GOOGL): Free Stock Analysis Report To read this article on Zacks.com click here. Zacks Investment Research Kayla Itsines changed the fitness world with her Bikini Body Guides, but the Instagram star admitted that she does "regret" the name. Itsines, who has the guides available for purchase on her website, along with a paid workout app, is concerned now that the name implies that there's only one correct type of bikini body. "Do I regret calling my guides Bikini Body? My answer is yes," the 23-year-old tells Bloomberg News. "That's why when I released the app, I called it Sweat With Kayla. Sweat is so empowering, I love that." Words cannot describe this .... #sweattour2016 #rosebowl www.kaylaitsines.com/app #sweatwithkayla A video posted by Kayla Itsines (@kayla_itsines) on Jun 26, 2016 at 10:57pm PDT Bloomberg also notes that Itsines has a "tightly controlled" image, and never posts her own before pictures. She typically turns down magazine photo shoots because she wouldn't have as much of a say in how she's depicted. "They'll say, 'We'll put you in tiny, tight booty shorts, make you look really fitnessy!' No," Itsines says. stopppppp'SHE' is beautiful and SHE is not affecting your life with what she looks like AT ALL. SHE is confident and SHE loves HER BODY. You need to do you... and let her be who she wants to be www.kaylaitsines.com/app A photo posted by Kayla Itsines (@kayla_itsines) on Jun 29, 2016 at 2:59am PDT Instead, Itsines sticks to posting photos on Instagram to her 5.3 million followers, called the BBG Community. She shares empowering messages and her trademark before-and-after photos of people who successfully followed her program. RELATED VIDEO: What Does Hollywood's Hottest Fitness Guru Eat in a Typical Day? Her Sweat With Kayla app, which costs $20 per month, is a huge success according to Bloomberg, and is the highest-grossing fitness app so far this year. But Itsines, who got her start as a fitness instructor in Adelaide, Australia at age 18, says she isn't focused on growing her program. "I don't think of this as a business," she says. "I don't have big plans for this and that." Japan-based messaging app Line said Monday it could raise more than $1.0 billion in one of the years biggest share sales, as it makes its market debut this week. The company, looking to expand on booming popularity among smartphone users in Asia, priced its shares at a top-of-the-range 3,300 yen ($32), suggesting strong demand for the sale. Based on that price, the initial public offering will raise 115.5 billion ($1.14 billion) through the sale of 35 million shares. An option to sell more shares could put the IPO on course to raise a total of $1.3 billion. Line, owned by South Koreas Naver, starts trading in New York on Thursday and in Tokyo Friday. The sale, valuing the firm at about $6.9 billion, is the biggest technology IPO this year, Bloomberg News reported, and the largest so far in Tokyo. The popular app lets users make free calls, send instant messages, and post photos or short videos, along with a host of other paid services. It combines attributes from Facebook, Skype and WhatsApp, with games and a mobile payment service also on offer. Lines messaging service launched in 2011 after the quake-tsunami tragedy damaged Japans telecoms infrastructure nationwide, forcing people to use online resources to communicate. It is best known for letting users send each other cute cartoon stickers, and is hugely popular in Japan, particularly among teenagers. The app has a strong presence in Asian markets such as Thailand, Taiwan and Indonesia, as well as some Spanish-speaking nations, including Spain and Mexico. Line said it would use proceeds from the stock offering to help it expand in Asia, and tap the US and European markets where its not a major player. The sale comes two years after the firm initially announced plans to list that were later shelved, reportedly because of disagreements over the sale between Line and its South Korean owner. Lines offering would be the biggest in Tokyo since Japan Post made its long-awaited trading debut in November with a share sale that topped $11.5 billion. National Green Tribunal today asked the Delhi government to clarify its stand on banning old cars. It also asked the government why environmental compensation are being levied from drivers. By Poonam Sharma: The National Green Tribunal (NGT) today gave the Delhi government two weeks to clarify whether it is in favour of cancelling the registration of diesel cars which are 10 years old and petrol cars which are 15 years old. During the course of the hearing the NGT asked the Delhi government as to how many cars older than 10 years (diesel cars) and 15-years-old (petrol cars) it had impounded in the last one year. advertisement TRANSPORT DEPT IMPOUNDED 3000 CARS Replying to this, the transport department of the Delhi government informed the NGT that in all, 3,000 cars had been impounded in the last one year. However, the transport department also informed the NGT that the impounded vehicles had been released on orders from the court, thereby putting them back on the Delhi roads. The NGT understandably took offence at this development. NO ENVIRONMENT COMPENSATION LEVIED The Green Tribunal also asked the state government as to why, despite the NGT's orders environment compensation was not being levied from vehicles. It said that till date all the challans were under the motor vehicle act in which the fine ranges from Rs 100 to Rs 1000 only. The NGT will now hear the case again on July 18. Also read: NGT bans diesel vehicles over 10 years old in Kerala --- ENDS --- File photo: Yahoo SIngapore The government has a duty to protect the data that it possesses, particularly when personal information of citizens is concerned, Minister for Communications and Information Yaacob Ibrahim said on Monday (11 July). He was defending in Parliament the governments announcement last month to restrict access to the Internet for all public servants, who will require a separate device to access the web by May 2017. IDAs (Infocomm Development Authority) decision may not be popular, but it is ultimately the right decision, Yaacob said, responding to questions asked by Marsiling-Yew GRC Member-of-Parliament Alex Yam and Non-Constituency MP Daniel Goh. Yaacob said that the government had considered other options before making the decision to limit internet access. He added that the one-year transition period to implement the policy will give agencies enough time to adjust their processes. There is no reason to believe the effectiveness of agencies will be adversely affected, Yaacob said. On whether the restriction would impact Singapores Smart Nation goal, the minister said it was far from affecting the initiative, as a strong cybersecurity provides a strong foundation. In fact, other countries have expressed interest in learning from our experience, Yaacob said. The minister also clarified that this move would not resolve all the cybersecurity threats faced by the government. As a policy, the government has adopted this as the best way forward for us to ensure that we do not have any more attacks. At the same time, you and I know I cannot promise that Attackers are becoming more sophisticated, new tools are being developed from the dark side Its always very difficult for us to keep ahead. UPDATE, 9:36 AM: We will appeal, said the lead lawyer for Sumner Redstones former companion today after a judge denied Manuela Herzer a new trial over the 93-year olds heath care directive. Greenberg Glusker Fields Claman & Machtinges Pierce ODonnell said after the L.A. Superior Court hearing on Monday that the appeal would likely be filed in the next day or so and that Herzers team would then seek to have the matter expedited with the 2nd District Court of Appeal, which could still take several months. Calling Shari Redstones role as her often estranged fathers health care agent, a role Herzer held until last fall, and seemingly increasing influence over his media empire an illegal goal, ODonnell noted that the battle lost today was now part of a larger war taking place in various courtrooms around the nation. For instance, Greenberg Glusker Fields Claman & Machtinge are representing Redstones granddaughter Keryn in Massachusetts on the matter of the moguls competency. I dont think anyone believes that me taking Sumner Redstones deposition fro 15 minutes decided his competency, ODonnell said after todays L.A. hearing, referring to his meeting with the mogul at his Beverly Hills home earlier this spring for the first dismissed Herzer trial. In that depo, a sometimes incoherent and struggling Redstone made it very clear he wanted Herzer out of his life and Shari to take over as his health care agent. The lawyer noted that he saw the National Amusements boss eventually having to sit for an examination, legal and medical in the on-going corporate civil war hat has been spawned since Herzer first filed her case last November. PREVIOUSLY, 8:36 AM: Sumner Redstones former companion Manuela Herzer today was shut down in her attempt to restart her perviously dismissed lawsuit over who controls the moguls health care. Even if there was a right to bring a motion for a new trial in this type of proceeding, there are no grounds for a new trial under the facts presented, said a tentative ruling by Judge David Cowan Monday morning. For these reasons, the motion is denied, the L.A Superior Court judge added, citing the Probate Code which governs advance health care directives like the one at issue in this case. Story continues The hearing Monday morning on Herzers June 13 petition claiming new evidence in the matter comes as legal warfare over Redstones $40 billion media empire has been erupting all over the country. Since the Greenberg Glusker Fields Claman & Machtinge represented Herzer saw her fall 2015 filed case tossed out on May 9 after just a one day trial, the issue of Redstones competency has become a focal point in boardrooms and courtrooms in Delaware and Massachusetts as well as California. Herzers chief lawyer Pierce ODonnell also filed a $100 million civil suit against the moguls sometimes estranged daughter and current health care agent Shari Redstone and seven members of her fathers household medical staff the same day Herzers suit was dismissed. Redstones lawyers have basically termed the new petition as a desperate attempt and oppose any re-opening of the case. A stance Judge Cowan agreed with, as his 21-page ruling today made very clear. This case is not theatre and this Court is not in the business of providing a spectacle; this is about protecting the health of a man in his twilight years in the privacy of his home and his right to decide who should be caring for him if he is unable to do so himself, the Judge wrote with a nod to the Shakespearean overtones of the June 13 petition. Cowan called any new trial, which Redstone was not in a position to even safely attend from a medical standpoint, a cruel twist indeed. Evidence is the mothers milk of justice,ODonnell told the court this morning, citing that he believes Shari Redstone is unfit to serve as her fathers health care agent. ODonnell also noted that the court did not rule on the merits of the matter of Redstones capacity and the various other legal cases now in contention in other jurisdictions. We will agree to disagree, ODonnell added. On another motion, Judge Cowan said that with the other cases in process, he would deny unsealing a report on Redstones health and capacity by Herzers medical expert Dr. Read, which contains some sensitive stuff he noted. RelatedViacom Asks Delaware Court For Redstone Exam To Clear Way For Possible Paramount Deal Philippe Dauman Shari Redstone After a hearing on June 30, Massachusetts Probate and Family Court Judge George Phelan has still not determined key issues over whether the 93-year old Redstone should undergo an independent examination on his mental capacity or even if the state is the right place to be making such decisions. Stripped of his place in the Redstone family trust and other positions, Viacom Chair and CEO Philippe Daman is fighting to prove that Shari Redstone is actually pulling the strings now and manipulating her father to negate his once protege and take over the 805 of Viacom and CBS voting stock that Redstones National Amusements rules. With perhaps unintended irony, Judge Cowan today referred to Dauman as a long time trusted advisor to the elder Redstone. Judge Cowan dismissed out the first Herzer trial after a often halting and incoherent video deposition by Redstone making explicitedly clear that he wanted Herzer out of his life and Shari to be in charge of his care. In depositions and statements leading up to the trial, Dauman remained adamant that the elder Redstone was in charge of his faculties and corporate empire an attitude Dauman has harshly shifted away from as his own power has been increasingly stripped from him in Sumners name. The first dismissal of Herzers case came over 6-months after she first filed her legal challenge to then being replaced last October as Redstones health care agent by Dauman. Though talks to avoid a trial fell apart in the spring, Shari Redstone took over that health care role from Dauman in April a move the CEO surely has regretted since. The Redstone civil war spilled over of sort to other CEOs with both Shari and Dauman both invited to Allen & Companys Sun Valley retreat this year. The younger Redstone was in attendance and seen in the company of CBS boss Les Moonves while Dauman, citing company business, did not return to the big wig retreat. Related stories Viacom Asks Delaware Court For Redstone Exam To Clear Way For Possible Paramount Deal Philippe Dauman Will Skip Sun Valley Meet As Moguls Question Viacom's Fate Sumner Redstone's Granddaughter Defends Mass. For Competence Case By PTI: Mumbai, Jul 11 (PTI) Domestic detergents major Nirma, which today agreed to buy cement business of French major Lafarge for USD 1.4 billion, will be hitting the market with a Rs 4,000-crore bond issue to part fund the acquisition. "Nirma will be raising Rs 4,000 crore from the market to part fund the Lafarge cement acquisition. When completed this will be largest rupee bond issue to fund an acquisition in the country. The issue will hit the market shortly," two merchant banking sources told PTI here. advertisement They said the Ahmedabad-based company has appointed Barclays, Credit Suisse and IDFC to manage the issue. The company, the maker of soaps and chemicals, could not be contacted immediately for confirmation. Earlier in the day, Swiss cement giant LafargeHolcim announced it has entered into an agreement to sell its assets in Lafarge India to Nirma for USD 1.4 billion, or about Rs 9,400 crore. "We have entered into a letter of agreement with Nirma, subject to approval by the Competition Commission for the divestment of its interest in Lafarge India for an enterprise value of approximately USD 1.4 billion," LafargeHolcim said in a statement. The diversified group has presence in soaps, detergents, salt, soda ash, caustic soda, cement and packaging among others. It has 12 manufacturing facilities in the country and the US, and has a turnover of over Rs 7,300 crore. The agreement, part of the building material majors 3.5 billion Swiss franc (about USD 3.6 billion) divestment plan, is key to get approval of the fair trade regulator CCI for the multi-billion dollar global merger between Lafarge and Holcim that was announced last year. Lafarge India operates three plants and two grinding stations with a total capacity of around 11 million tonnes per annum. PTI BEN RSY ABK SRE --- ENDS --- That's how you do it, guys. The world needs more of you people! By India Today Web Desk: Whatever logic they may apply to it, it's no secret that a few men out there prefer sexual intercourse sans a condom, as a result of which, post-sex mornings often end up being a pressure-filled affair for girls. More than breakfast, getting their hands on 'the pill' tends to become the girl's priority, with a fair amount of prodding from the guy too, of course. advertisement Also read: Supercondom is here to combat HIV and to enhance sexual pleasure Anyone who's done any basic research on the pill knows that it wreaks havoc on a woman's hormones. However, as big and bad the world might seem, after seeing what a group of guys did to a fellow man for not wearing a condom gives us hope for humanity. In the following conversation, a guys seems to be messaging a girl named 'Lucy', frantically at that, asking her to take the pill because he doesn't want her to get pregnant. While the girl seems to be giving him attitude, what happens in the end will make you go crazy with laughter! Screenshots from the conversation. Picture courtesy: www.imgur.com Screenshots from the conversation. Picture courtesy: www.imgur.com Screenshots from the conversation. Picture courtesy: www.imgur.com Screenshots from the conversation. Picture courtesy: www.imgur.com You go, guys! --- ENDS --- "There will be physical response measures from us as soon as the location and time that the invasionary tool for US world supremacy, THAAD, will be brought into South Korea are confirmed," the North's military said in a statement. By Reuters: North Korea's military said on Monday it will make a "physical response" to moves by the United States and South Korea to deploy the advanced THAAD missile defence system on the Korean peninsula. The United States and South Korea said on Friday that the Terminal High Altitude Area Defense (THAAD) anti-missile system will be used to counter North Korea's growing nuclear and ballistic missile capabilities. advertisement The announcement was the latest move by the allies against the North, which conducted its fourth nuclear test this year and launched a long-range rocket, resulting in tough new UN sanctions. 'THAAD' EVOKES RESPONSE "There will be physical response measures from us as soon as the location and time that the invasionary tool for US world supremacy, THAAD, will be brought into South Korea are confirmed," the North's military said in a statement. "It is the unwavering will of our army to deal a ruthless retaliatory strike and turn (the South) into a sea of fire and a pile of ashes the moment we have an order to carry it out," the statement carried by the official KCNA news agency said. The North frequently threatens to attack the South and US interests in Asia and the Pacific. The move to deploy the THAAD system, which drew a swift and sharp protest from China, came a day after the US Treasury Department blacklisted leader North Korean leader Kim Jong Un for human rights abuses. North Korea called the blacklisting "a declaration of war" and vowed a tough response. South Korean President Park Geun-hye said on Monday the THAAD system was not intended to target any third country but was purely aimed at countering the threat from the North, in an apparent message to Beijing. PEACEFUL USE "I'm certain the international community knows full well that we have no intention whatsoever to target any other country or threaten them," Park said at a meeting with her senior advisers, according to the Blue House. Chinese Foreign Minister Wang Yi said on Saturday that THAAD exceeded the security needs of the Korean peninsula, and suggested there was a "conspiracy behind this move." South Korean Finance Minister Yoo Il-ho downplayed the possibility that China, Seoul's biggest trading partner, would retaliate economically over the THAAD decision. "(China) is expected to separate politics and economics," he told lawmakers on Monday in response to a question during a parliamentary session. A South Korean Defence Ministry official said selection of a site for THAAD could come "within weeks," and the allies were working to have it operational by the end of 2017. advertisement It will be used by U.S. Forces Korea "to protect alliance military forces," the South and the United States said on Friday. The United States maintains 28,500 troops in South Korea, a legacy of the 1950-53 Korean war. The system will be focused solely on North Korean nuclear and missile threats and would not be directed towards any other nation, the two countries said last week. ALSO READ: North Korea fires missile from submarine but it appears to have failed: South Korea --- ENDS --- Pakistani journalist's image which shows him reporting notable humanitarian Abdul Sattar Edhi's funeral from inside a grave sparked outrage on social media. By India Today Web Desk: The word creepy is an understatement for what this Pakistani journalist did. He dug up a grave for his career after he inappropriately reported notable philanthropist Abdul Sattar Edhi's funeral ceremony, literally holding a mic and lying down inside his grave. According to a tweet that went viral, the reporter stepped right into the grave which Edhi himself dug up some 25 years ago in a village in Karachi. Express news reporter reporting live from Edhi's grave. Sick Pakistani media. pic.twitter.com/Ql0gNbJgC1 Sheharyar Goraya (@gorayaism) July 9, 2016 advertisement Abdul Sattar Edhi, who passed away on July 8 at the age of 88, was a well known Pakistani philanthropist and humanitarian. He dedicated his entire life for the welfare of the downtrodden. His charitable foundation ran the world's largest private ambulance network. Over 35,000 people gathered in Karachi for the funeral. The 'shameful' act of the Pakistani journalist faced the wrath of Twitterati and many expressed disappointment over the mindless reportage. I am NOT putting the TV on today. I just respect #Edhi too much to see him being desecrated by rabid media afia salam (@afiasalam) July 9, 2016 3/4 The clip violated all social & journalistic ethics & should not have gone on air. We are taking action against those responsible Fahd Husain (@Fahdhusain) July 9, 2016 U shdn't have shared it.Our TV will have reporters "live" on funeral pyres. Can't let Pakistanis be stupider than us https://t.co/m5U7mAMmsD Shekhar Gupta (@ShekharGupta) July 9, 2016 --- ENDS --- By PTI: Nairobi, July 11 (PTI) Prime Minister Narendra Modi today offered Indias experiences and expertise to Kenya to help it fulfill its developmental priorities, in the sectors it chooses and the speed it prefers. "We (India and Kenya) have a thriving economic and commercial relationship. But, it is not a temporary or transactional relationship. It is a time-tested bond, built on the foundation of shared values and shared experiences," he said addressing a banquet hosted in his honour by Kenyan President Uhuru Kenyatta. advertisement "Our experiences and expertise are available for Kenyas benefit," he added. Modi said India is ready to join hands with Kenya in fulfilling its development priorities "in sectors of your choosing and at a speed that you prefer". He said the sectors could be agriculture or health care; needs of education, vocational education or training; development of small businesses; renewable energy or power transmission; or building of institutional strengths. Modi noted that bith India and Kenya have young populations and both cultures value education. "Now is the time for skill development," he said, quoting a Swahili proverb "Elimubilaamali, kamantabilaasali" which means "Knowledge without practice is like wax without honey". Observing that Kenya and India have always worked for world peace, Modi said, "We can also unite our efforts with other developing countries, not just for the well-being of the weak and the poor. But, also to help preserve our Mother Earth." He said India and Kenya can learn from each other in the crucial area of conservation of natural assets. PTI AKK AKK --- ENDS --- By PTI: Lucknow, Jul 11 (PTI) Indian and British scientists are working on a project together under the National Monsoon Mission to provide precise information about monsoon to farmers up to the block-level by 2019. "Under the National Monsoon Mission, precise information about the monsoon will be provided to farmers up to the block level by 2019," Union Minister for Science and Technology Harshvarshan said. advertisement The Minister, who also holds the portofolio of Earth Sciences, said till now only 1.13 crore farmers out of 9.30 get information about monsoon which helps them in taking necessary steps to save their crop from rains. Through this mission, crops worth over Rs 42,000 crore have been saved and now the aim is to extend the benefits to all the farmers in times to come, he said. Under the mission, a British-make atmospheric research aircraft with sophisticated scientific instruments is being used. It is capable of flying at 500 feet and is equipped to assess all intricacies of weather, the minister said, adding India will manufacture such an aircraft in next two years. The mission was started on June 8 and it will continue till the end of this month, he said. Harshvardhan said the capability India has attained in predicting Tsunami is of international standards and it shares such information with countries surrounded by seas or having vast coastline. Indian agriculture is mostly dependent on rains which deliver nearly 70 per cent of water needed for farms, reservoirs and aquifers. Good monsoon and high agriculture growth are crucial to accelerate Indias GDP growth rate. Economic Affairs Secretary Shaktikanta Das has said the countrys growth rate could climb to 8 per cent in the current financial year on the back of above normal monsoon. Farmlands across the country that are without any irrigation cover depend on the annual rains to grow a number of crops. Planting and growing summer crops like paddy rice, soya beans, cotton and pulses depends largely on the rainfall received. PTI SMI SMI KJ RG KJ --- ENDS --- By PTI: New Delhi, Jul 11 (PTI) President Pranab Mukherjee will be on three-day visit to Darjeeling beginning tomorrow where he will attend various functions. The government of West Bengal will host a state reception in honour of the President at Chowrasta (The Mall), tomorrow, a release issued today by Rashtrapati Bhavan said. On Wednesday, Mukherjee will attend the birth anniversary celebrations of Shri Bhanubhakta Acharya, which will also be held at the Chowrasta. On the same day, the government of West Bengal will host a dinner in his honour, it said. The President will address the annual general meeting of Darjeeling Tea Association on July 14, the release said. PTI AKV RG --- ENDS --- advertisement By India Today Web Desk: Pulkit Samrat and Shweta Rohira have parted ways several months ago, but stories about their split haven't stopped dominating gossip columns. While both Pulkit and Shweta have taken turns to lambast the other over the last few weeks, the estranged couple had to deal with a pretty awkward situation recently. ALSO READ: Pulkit Samrat began dating Yami Gautam after wife Shweta's miscarriage? advertisement ALSO READ: Was this fight between Pulkit Samrat's wife Shweta and Yami Gautam the beginning of the mess? Eid (July 7) this year at Salman Khan's Galaxy Apartments saw many B-Towners in attendance. Including Shweta Rohira, Salman's rakhi sister. Pulkit too was at Salman's place that night, says a report in Mid-Day. The tabloid quoted a source as saying, "Late that night, Pulkit landed up at Galaxy Apartments to wish Salman. After exchanging pleasantries with him, he struck a conversation with Randeep Hooda, who was also present there. Pulkit was taken aback to see Shweta among the other guests at Salman's house, but preferred not to react. Shweta, too, looked through him. Since there were several people around, they kept to different corners of the house. Salman realised that the two were there, but felt it was not the right time or day to say anything." The source went on to add, "Pulkit is keen on proving that his relationship with Salman remains unaffected despite his differences with Shweta." It hasn't even been a week that certain 'sources' told a tabloid how Pulkit got close to his Sanam Re co-star Yami Gautam while wife Shweta was recuperating from a miscarriage. Following the allegations, Pulkit bared his heart out to Hindustan Times, saying how he was shocked to see his personal life splashed all across the pages of tabloids. "I was shocked to read news articles about the miscarriage. I was like, "This is such a personal thing for any couple." It was a tragic time for both of us. To put it out in public is not right. What shook my faith further was the fact that it was about a pious thing like motherhood, and the person, with whom I have spent so many years, would go to the extent of falsifying facts to malign my image and put it out in the public to gain sympathy. I was like, "Ab tak main chup raha hoon (I have been quiet till now), but this is a big blow to the entire issue. The miscarriage happened four years ago; it was tragic for both of us. I wonder, "Itni personal cheez bahar kaise aa gayi (how can such a personal fact become public)," said Pulkit. advertisement "Reading that article truly ended my relationship (with Shweta), because it was ethically unacceptable. I had no option but to dig deep. The moment I got to know that it had come from the 'horse's mouth', and that they had the hospital bills, totally fabricated, totally false evidence, it shook me. There were fabricated stories in the past, but I don't have any words to react to this," added the Fukrey actor. For the uninitiated, Pulkit and Shweta tied the knot in November 2014, and parted ways after less than a year of marriage. While Pulkit's proximity to Yami Gautam has been pointed out as the reason behind Pulkit and Shweta's marriage hitting choppy waters, Samrat has always maintained that it was a case of growing apart. --- ENDS --- Interacting with the people of Dirba assembly segment, Captain Amarinder Singh appealed to the farmers to 'bear with him' and wait for six months more after which they will not face any harassment on account of their debts. By Manjeet Sehgal: Banking on Akali Dal-BJP coalition government's failure to compensate Punjab's debt ridden farmers, former chief minister Captain Amarinder Singh on Monday promised to settle their loans in case the Congress forms the government in the state. Addressing the farmers in Sangrur, the state Congress chief told them that his government would enact a law to save their (debt-ridden farmers') land and other property from being auctioned. He also expressed concern over the alarming rise in the number of suicides by the farmers. advertisement AMARINDER CONFIDENT OF RETURNING TO POWER About 3,500 people attended the interactive session with 2,100 submitting written petitions and complaints. Interacting with the people of Dirba assembly segment during his seventh 'Halqe Vich Captain' programme, Captain Amarinder appealed to the farmers to 'bear with him' and wait for six months more after which they will not face any harassment on account of their debts. About 3,500 people attended the interactive session with 2,100 submitting written petitions and complaints. Capt Amarinder said, all those complaints will be documented and settled once the Congress forms the government in the state. The Congress leader fielded a wide range of questions from the personal problems of people to the problems related to drainage and drinking water, establishment of a degree college and raising the sub-tehsil in Dirba to Sub-Divisional level. ESSENTIAL ITEMS TO BE SUPPLIED ON SUBSIDIZED RATES On the question of providing highly subsidized aata-daal scheme, he clarified that not only will his government continue with providing these items on subsidy and on time, but he will ensure that other essential items like tea and sugar are also provided on subsidized rates. Replying to a question on the issue of providing healthcare, he said, while he has already announced that the cancer patients will be the responsibility of the state, health insurance will be provided to all the needy. Besides, he said, government healthcare facilities will be strengthen across the state particularly the rural areas. Supporters of former chief minister Captain Amarinder Singh display his life-size cardboard cut-out in Sangrur, Punjab. Responding to a question about the Scheduled Castes, with some people saying that a majority of them were still underprivileged, the PCC president said that all the corporations and boards will have a mandatory representation from the scheduled castes and backward classes. "Sangrur area was one of the worst hit by the farmers' suicides and assured that relief to the farmers will be his top most priority. I had also promised on December 15 during the 'Badlaav Rally' that no farmers' land or property will be allowed to be auctioned," Captain Amrinder Singh said. advertisement The former chief minister recalled that during his previous tenure as Chief Minister he had got waived off loans of the farmers from the cooperative banks. He said, while he was negotiating the settlement with the public sector banks the government changed and nothing moved ahead. He said, this time he will ensure that the issue of loans was settled in a time bound manner. GOVERNMENT DEGREE COLLEGE TO BE SET UP Capt Amarinder also promised to set up a government degree college in Dirba besides elevating the place to the sub-divisional level as demanded by the local people. He also announced to increase the number of seats in all the government colleges as these were falling too short of the demand as of now. More than 10,000 farmers have committed suicides in the state during the last decade. Having failed to get any relief from the present government, people are from various walks of life are attending Captain's voter outreach programme 'Halke Vich Captain' (Captain in the constituency) in large numbers. While at one hand Captain is assuring them a solution, his party workers are busy collecting their appeals. advertisement ALSO READ: Badals grabbed two more transport companies: Captain Amarinder Singh --- ENDS --- The country has in recent years seen a spate of attacks in major cities targeting people from the Northeast, whose physical appearance is often different from people in the rest of the country. By Ankur Sharma: A woman from Manipur has accused an immigration officer at Delhi airport of saying she "didn't look Indian", the latest incident underscoring racial prejudice and ignorance about people from the Northeast. According to Monika Khangembam, a corporate communications professional who was travelling to South Korea for a conference, she was asked questions like, "How many states are there in India?" advertisement Monika took to social networking site Facebook to express her anger over the alleged racist behaviour of the immigration official. The country has in recent years seen a spate of attacks in major cities targeting people from the Northeast, whose physical appearance is often different from people in the rest of the country. PAKKA INDIAN HO, ASKS THE OFFICER TO MONIKA "He goes on, 'Pakka Indian ho?' with a smirk. I still don't react. What really got me was when he said, 'See... You yourself need to know your Indianness. How many states are there in India?' The lady at the next counter is giggling. I tell him I am really running late. He goes, 'nahi nahi bolo bolo'," she wrote in the post. According to her, the immigration official also asked details about Manipur even though she was carrying an Indian passport. "I helplessly answer him as he is not proceeding with the process. And then he continues, 'kaha se ho'. I said Manipur and he is like, 'so tell me how many states Manipur shares borders with. Name them'. I totally lose it and I look away and roll my eyes and don't answer. And he is still insisting me to answer. I said I was really getting late and he goes like, 'aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do' (the aircraft will not fly without you)." Monika is not the only person from the Northeast to have faced such racism at the airport. On her Facebook post, others shared their experiences. "What a bully and never felt so humiliated. It was like it was his mission to make me realise my 'Indianness' and he thought he was being funny," she wrote on her Facebook page. MONIKA TO FILE COMPLAINT Monika is planning to file a complaint once she returns from Seoul after 15 days. Authorities including Delhi Police and its nodal officer for the northeastern special cell were not aware of the incident. A top official of the Bureau of Immigration said that an inquiry will be set up if the passenger lodges a formal complaint. advertisement "We have not received any complaint, if we get any such complaint, we will take action as per the law," DCP IGI airport DK Gupta said. In another post, Monika wrote that she and a lot of people from the Northeast have constantly faced subtle racist jibes whether in the form of a sarcastic remark, smile, or attitude. Replying to her post, Nanda Kirati Dewan wrote, "I have faced it several times due to Mongolian face orientation. Together we should fight against such attempt to question our Indianness."Also Read: Manipur woman's sister condemns racist slur by immigration officer Delhi most racist city in India, reveals government report --- ENDS --- By India Today Web Desk: The social media was in for a surprise when the first pictures of a baby girl dressed in a pink frock started going viral. The pictures were shared by an Instagram account 'iamranichopra' and it seemed that Rani has finally decided to share the first pictures of her daughter Adira with her fans. ALSO READ: Rani Mukerji and Aditya Chopra blessed with a baby girl advertisement The photos of the baby girl started doing the rounds on internet and everybody was amazed to see the daughter of Rani and Aditya Chopra. But surprisingly, Rani is not on Instagram or any other social media platform. And then the question which popped up in everyone's mind was that are these pictures fake? Well, Rani's spokesperson has cleared all the doubts and issued a statement saying that the baby girl in the pictures is not Adira. He told IANS, "This is to inform you that Rani Mukerji is not on any social media platform and has never been. There are several fake accounts that keep cropping up. We would like to request you to not pay any heed to them or the content they put up as it is all fake." Adira was born to Rani and Aditya on December 9, 2015. Rani tied the knot with Yash Raj Films' honcho on April 21, 2014 in Italy. The news of Rani dating Aditya went on for years, but it was only in 2014 that the two made their relationship official. --- ENDS --- By PTI: Court allows accused to turn approver in a coal scam case New Delhi, Jul 11 (PTI) A special court today allowed an accused chartered accountant (rpt) an accused chartered accountant to turn approver in a coal scam case involving industrialist Naveen Jindal and others as accused. A special CBI judge Bharat Parashar allowed the application of Suresh Singhal, an accused in the case, seeking pardon and directed that all facts disclosed by him have to be correct. advertisement "The application of the accused (Singhal) seeking to turn approver is allowed...subject to the condition that all the facts (disclosed by him) has to be correct," the court said. The court also asked the CBI to file a report on its further investigation and posted the matter for next hearing on August 10. Earlier the CBI had given its nod to the special court here to make Singhal an approver in the coal scam case. Besides Naveen Jindal, former Minister of State for Coal Dasari Narayan Rao, ex-Jharkhand Chief Minister Madhu Koda and 12 others are also accused in the case pertaining to alleged irregularities in allocation of Amarkonda Murgadangal coal block to Jindal Steel and Power Ltd (JSPL) and GSIPL in 2008. The court had reserved its order on Singhals plea after the probe agency submitted that as per the investigation conducted so far, the accused is likely to help in the prosecution and the CBI had no objection on his application. Earlier, the court had allowed CBI to quiz Singhal and further probe the matter before answering his application. During the proceedings, CBI told the court that probe was being conducted in the matter and it had recorded the statement of the accused and recovered certain documents. The court took strong note of several accused filing "unnecessary applications" before it and asked them to refrain from doing so. On April 29, the court had ordered framing of charges against the accused for criminal conspiracy, cheating and other offences in Amarkonda Murgadangal coal block allocation scam case. The court however is yet to formally frame charges against them. (More) PTI UK RRT ARC --- ENDS --- In a bizzare incident students of a school in Nalanda district of Bihar resorted vandalism after their tour was reportedly cancelled. By India Today Web Desk: How do students react to news about a tour getting cancelled? Well, if you're students in Nalanda, you destroy school property to vent your anger. Bihar Education Ministry has been in the news for the past few months for all the wrong reasons. After the topper scam, another seemingly bizarre incident has added to the infamy of state education. In a shocking video, students at a school in Nalanda district went on the rampage, vandalizing a classroom after their outdoor tour was cancelled. The video of the incident show students ransacking a classroom, running astray, and damaging benches and fixtures. #WATCH Students in Nalanda (Bihar) vandalize school premises after their outdoor tour was cancelledhttps://t.co/VyOgZOSFUl ANI (@ANI_news) July 11, 2016 advertisement STUDENTS DESTROY SCHOOL PROPERTY Interestingly the short clip also shows the students having a field day while destroying school property, with some even dancing. No authority or teachers can be seen in the premises. The clip highlights the state of affairs of an otherwise crumbling education system embroiled in corruption and anarchy. Last month, the Bihar government received flak for the education scam where students could pay to "top" in examinations. Also read: CPI (ML) calls for bandh against toppers scam in Bihar, disrupts trains --- ENDS --- Urvashi Dholakia turned a year older on Saturday. Here are best of pictures from her birthday bash. By India Today Web Desk: Urvashi Dholakia, best known as Komolika of Kasauti Zindagi Kay, celebrated her birthday on Saturday with friends and family. A quiet celebration, Urvashi posted selfies with her two sons and her close friends who were present at the bash. Urvashi had also organised a birthday bash on the birthday of her two twins--Kshitij and Sagar, last month. advertisement Urvashi made her acting debut with Doordarshan's Waqt Ki Raftaar in the year 1987. But she first shot to popular fame with Dekh Bhai Dekh wherein she portrayed the role of Shilpa. People also remember her in the popular show Zamana Badal Gaya Hai. Before Kabhi Sautan Kabhi Saheli that made her household name, she was also seen in Ghar Ek Mandir. Kasauti Zindagi Kay, however, changed the ball game for her. This was her most powerful role. Another career high came when she won Bigg Boss 6 in 2012. Check out her birthday pics: My two Anmol Ratans @duhanpradeep26 @ipunittalreja ???? #mybirhday #mybirthdayparty #mybirthdaymonth #2016 #love A photo posted by Urvashi Dholakia (@urvashidholakia9) on Jul 10, 2016 at 4:41pm PDT My munnu @moinbeg ???????? #mybirhday #mybirthdayparty #mybirthdaymonth #2016 #love A photo posted by Urvashi Dholakia (@urvashidholakia9) on Jul 10, 2016 at 4:40pm PDT Someone who I've adored for years and cared about @creativegossip ?????????? #mybirhday #mybirthdaymonth #mybirthdayparty #2016 #love A photo posted by Urvashi Dholakia (@urvashidholakia9) on Jul 10, 2016 at 4:36pm PDT When school friends meet its CRAZINESS GALLORE #mybirthday #mybirthdaymonth #2016 #love #nabeeludaiprwala @amita_choksi @bhavisha_78 #niravparekh #ashishbagla A photo posted by Urvashi Dholakia (@urvashidholakia9) on Jul 10, 2016 at 4:30pm PDT We got the looks ???????????? @digant0904 @chopra_atul #mybirthday #mybirthdaymonth #2016 #love ????????? A photo posted by Urvashi Dholakia (@urvashidholakia9) on Jul 10, 2016 at 4:26pm PDT The man who knows how to dress a woman .. @rajattangriofficial I love u to the moon and back ??????????????? #mybirthday #mybirthdaymonth #2016 #love A photo posted by Urvashi Dholakia (@urvashidholakia9) on Jul 10, 2016 at 4:20pm PDT My guide my second mom ..she is my all @anjumahendroo ??????#mybirthday #mybirthdaymonth #2016 #love A photo posted by Urvashi Dholakia (@urvashidholakia9) on Jul 10, 2016 at 4:13pm PDT The reason of my existence @kaushaldholakia @kshitijdholakia @_sagardholakia_ ???????? #mybirthdaymonth #mybirthday #2016 #love A photo posted by Urvashi Dholakia (@urvashidholakia9) on Jul 10, 2016 at 4:11pm PDT To have my sons getting me this for my B'day .. LORD I CANT ASK FOR MORE ??????????????? @kshitijdholakia @_sagardholakia_ ????????????#mybirthday #mybirthdaycake #mybirthdaymonth #2016 A photo posted by Urvashi Dholakia (@urvashidholakia9) on Jul 10, 2016 at 4:09pm PDT --- ENDS --- By PTI: Ahmedabad/New Delhi, Jul 11 (PTI) Former BJP MLA Yatin Oza, who is planning to join AAP, today claimed that BJP President Amit Shah and AIMIM chief Asaduddin Owaisi had "struck a deal" to "polarise" voters in the Muslim-dominated northern belt ahead of Bihar polls, a charge that triggered a slugfest between AAP and BJP. While the media head of BJPs Gujarat unit rejected the charge terming it as an "attempt to get attention of media", AAP leader and Delhi Chief Minister Arvind Kejriwal tweeted "If it is true, it reveals real face of BJP-Modi-Shah". advertisement In a letter to Kejriwal on July 4, Oza claimed that he was also present at the meeting which was held "in the wee hours at Shahs residence on September 15, 2015" and it was decided that "Owaisi will spew poisonous communal speech, the script of which shall be written by Amit Shah." However, he did not clarify whether the meeting was at Shahs Gujarat residence or in Delhi. He further alleged that the recent statement by Owaisi to extend legal aid to suspected IS operatives held in Hyderabad also "appears to be part of the aforesaid strategy." Reading out his letter to mediapersons, Oza, a lawyer at the Gujarat High Court, said "I was present in the said meeting. A detailed discussion took place and it was agreed that Owaisi shall field his candidates in Bihar, particularly in Muslim belt that was to go to polls on November 5 (last phase). "It was decided in the meeting that Owaisi will vomit poisonous communal speech, the script of which shall be written by Amit Shah, which may have the potentiality to create communal divide in the society." Dismissing Ozas claim as nothing but "an exercise to seek media attention", BJPs media convenor Harshad Patel said "Just like their leader Kejriwal, people who are either associated with AAP or wanting to join that party are known to do such drama. Allegations by Oza are nothing but an attempt to get attention of the media. Owaisi has already refuted Ozas claims." Criticising Kejriwal, BJP national secretary Shrikant Sharma in New Delhi said the Delhi Chief Minister was "notorious for practising petty politics based on lies and unfounded charges. It is his habit to level baseless allegations and run away when confronted with facts. He is spreading false and factually incorrect claims." He also asked Kejriwal to give evidence of the purported meeting. However, senior AAP leader Sanjay Singh said Ozas allegations have "established the popular belief that BJP and AIMIM are in cahoots, indulging in politics of hate to sow seeds of disharmony in society, creating rifts between communities to ensure political polarisation. These revelations are very serious in nature. advertisement "The Aam Aadmi Party demands an immediate clarification from PM Narendra Modi, BJP and Amit Shah on this matter. BJP owes an honest explanation to the people of the country about these shocking charges," Singh said. In the high-stake Bihar election, JD(U)-RJD-Congress alliance secured 178 seats in the 243-member state Assembly whereas BJP-led NDA could bag only 58 seats. AIMIM, which had contested in Seemanchal region in four districts of Araria, Purnea, Kishanganj, Katihar, drew a blank. PTI PJT PD NSK NM SBR KR PYK PAL --- ENDS --- By India Today Web Desk: Shah Rukh Khan and Imtiaz Ali have been close collaborators, even though they haven't worked together before this. The Happy New Year actor recounts, "I know Imtiaz since several years, from the time he directed his first film. Strangely, whenever he makes a film, he comes to my house to narrate the story though I am not a part of its cast. He has always discussed his films with me as a friend and as a creative person.?? advertisement ALSO READ: I don't think Bollywood can handle a Raees and a Sultan at the same time, says Shah Rukh Khan And then one day, the Jab We Met director came to Shah Rukh and told him, "Sir, aapke liye bhi ek film hai." To which the actor responded with the only condition, "I wanted him to do a happy film, since I am in that zone right now. And he had a film for me with a nice language of love. It keeps my age in mind, and is not a young upbeat love story. Imtiaz has a nice take on love." The Swades actor has an action-packed year coming up with the release of Rahul Dholakia's Raees being planned early next year. Shortly after, he will be playing an extended cameo in Gauri Shinde's next alongside Alia Bhatt. Apart from Imtiaz Ali's next, he is also committed to Anand L Rai's next film. The film's leading lady will be none other than Anushka Sharma, who is riding the wave of success with the release of Sultan. --- ENDS --- By India Today Web Desk: Shahid Kapoor and Mira Rajput are expecting their first baby and the couple couldn't be happier. The Udta Punjab actor and Mira Rajput celebrated their first wedding anniversary on July 7. The soon-to-be parents reportedly rang in their first anniversary at the hospital, where Mira is currently admitted for regular check-ups. ALSO READ: Shahid Kapoor finally opens up on wife Mira Rajput's health advertisement In an interview to Hindustan Times, the Haider actor opened up on how his life has changed post marriage. Shahid said, "There are times when you should be having fun. But there comes a time when you should have stability in your life. Stability is a long-term requirement. Short-term plans and a few days of flying around (for work) are fun, but eventually, you need that. Also, I have been living alone since I was 22, so I always wanted that family atmosphere. I used to feel lonely." The Kaminey actor was asked how different is it to stay with spouse and how these changes have affected him. To which he said, "When I used to win an award, of course, my mum (Neelima Azeem) and dad (Pankaj Kapur) were always around, but I didn't have anybody with whom I could share my achievements immediately. Things are different with your parents. It's not that same feeling [that one feels with a spouse]. I would always think, 'Who do I share my happiness with?'" The Shaandaar actor also said that it's a good feeling to be around Mira and she makes him happy. He continued, There is another thing that I would always feel bad about. Whenever I used to land in any city, I'd never get a message asking me, "Have you reached?" Everybody around me used to get messages from someone or the other, asking, "Have you landed? What is happening there?" When you live with people in the same house, they keep track of your minute-to-minute movement. But that doesn't happen when you live alone. I always used to think, "Yaar, kyun mere se koi yeh poochta nahi hai? (Why does nobody ask me how and where I am?). So, today, I feel happy to have that somebody around me (smiles). Now, he gets it every time, "Every other second (laughs). But, on a serious note, it's a good feeling." Shahid also said that he doesn't have any issues giving up his freedom for Mira. "If you have nothing to hide, then your freedom is not at stake. I don't think I have anything to hide," he added. On the work front, Shahid will next be seen in Rangoon. --- ENDS --- advertisement Shiv Sena today asked the BJP to abandon its plans to catch Dawood Ibrahim and Tiger Memon and instead arrest Islamic preacher Zakir Naik. By Indo-Asian News Service: In its latest editorial, Shiv Sena asked the centre to abandon plans to catch Dawood Ibrahim and Tiger Memon instead book controversial Mumbai-based Islamic preacher Zakir Naik. "Stop making announcements of dragging back Dawood or Tiger Memon from Pakistan?Now concentrate on Naik, this hidden enemy at home...Arrest him and throw him in the same cell as (hanged 26/11 Pakistani terrorist) Ajmal Kasab," the Sena said in an editorial piece in its party mouthpiece Saamana. advertisement Naik camed under the scanner following revelations that two of the five young militants who massacred 20 hostages, including an Indian, at a popular cafe in Bangladesh's capital Dhaka on July 1, drew their inspiration from his speeches. The party -- a coalition partner with ruling Bharatiya Janata Party (BJP) both at the centre and in Maharashtra -- said that until now the National Intelligence Agency, Central Bureau of Investigation and police of Maharashtra-Goa are hounding the Sanatan Sanstha right-wing Hindu organisation. NAIK'S LITERATURE IS FUELING SEPARATISTS "What about the propaganda and literature material of Naik -- it is directly fuelling separatist elements in the country. It seems he is trying to become a messiah of the Muslims by pushing them into hell. A new type of unrest and 'Pakistan' is being created in India," the Sena said. It urged the BJP governments in the centre and state 'to display guts' and ban Naik and his Peace TV network all over the world, and demolish his propaganda machinery, as it is because of such people that Muslims were not able to join the national mainstream. Bolstering its argument, the Sena recently said an Islamic State (IS) den was found in Hyderabad whose chief Ibrahim Yezda had confessed to attending Naik's 'peace camp' for 10 days. NAB THOSE FUNDING NAIK'S PEACE INITIATIVES "If this is the outcome of his 'peace camps', then India is being weakened from such holes. The government can postpone its plans to bring back 'black money' from abroad, but first it must catch those who are funding Naik's peace initiatives and blow them off,"the Sena urged. It also accused the Indian agencies of getting cold feet while taking action against Naik, though it has gone very enthusiastically after Sanatan Sanstha and others - "Makes us wonder if it's not Naik's diabolical mind working behind all this". The party urged the government to immediately arrest Naik upon his return from a tour abroad, when he is scheduled to arrive later in the day. Also read: Zakir Naik seeks support from Muslims on Twitter, Facebook against media trials --- ENDS --- advertisement The Ministry of External Affairs along with the Uttar Pradesh government helped a Russian woman reconcile with her Indian mother-in-law. By Siraj Qureshi: Minister of External Affairs, Sushma Swaraj, has been using social media sites, especially Twitter to interact with common people, learn about their grievances, to direct her colleagues to take necessary actions and to appeal to fellow politicians to look into matters under their jurisdiction. Sushma Swaraj came across a plea from a Russian origin woman, Olga Efimenkova, married to an Indian, Vikrant Singh Chandel, on Twitter, and asked Uttar Pradesh Chief Minister Akhilesh Yadav to help the lady. Photo Source: Twitter@SushmaSwaraj advertisement Olga says she's been harassed by her mother-in-law, Nirmala Chandel, and that she, along with her husband Vikrant and daughter Dasha, were thrown out of her husband's house in Agra. Olga said she's been constantly tortured and mentally assaulted by the in-laws who are upset about not receiving any dowry and sat on an indefinite hunger strike seeking justice and a place to live. Within hours, Uttar Pradesh CM's official Twitter handle responded to Sushma's tweet saying "Ms Olga has been united with the family and she will get her portion of the house to live", with a photo of the family. Olga Afimekova fell in love and married an Agra native Vikram Chandel in Goa in 2011. The couple had a great time while they were in Goa and even had a daughter. However, when Vikram's business collapsed and left him bankrupt he sent Olga and his daughter to his Agra residence to live with his mother Nirmala. But soon after she came to Agra, Olga began facing domestic violence by her mother-in-law, who allegedly began demanding a dowry of Rs 10 lakh from her. When she expressed inability to pay such a large sum, Nirmala expelled Olga from her house. Unable to find shelter, Olga decided to continue living outside the gate of the house and complained to the Agra police, but her complaints were not heard. Olga finally tweeted about the matter to the Union Foreign Minister Sushma Swaraj, who immediately took cognizance of the matter and requested the UP CM Akhilesh Yadav to take immediate action. Subsequently, Akhilesh, who was in Agra on Sunday, ensured that Olga was back inside the house within 24 hours and an FIR was filed on her complaint. Additional District Magistrate (Agra City) Dharmendra Singh said that Olga is now living happily with her mother-in-law in her house and the matter has been resolved peacefully. Photo Source: TwitterCMOfficeUP The CM Office's Twitter handle also tweeted saying the police has filed an FIR under relevant sections against Olga's in-laws ensured speedy prosecution. They also shared a photo of Olga with her mother-in-law Nirmala and her 3-year-old daughter Dasha. @SushmaSwaraj @yadavakhilesh the lady has been united with the family after counselling the in-laws. pic.twitter.com/NFajXMCYGF CM Office, GoUP (@CMOfficeUP) July 10, 2016 advertisement Sushma Swaraj retweeted the responses and thanked Akhilesh Yadav for resolving the issue and said such incidents affect our country's image. Thank you Akhilesh ji for resolving this. Such incidents affect country's image. @yadavakhilesh https://t.co/LpKvOTEi9F Sushma Swaraj (@SushmaSwaraj) July 10, 2016 --- ENDS --- By PTI: Mumbai, Jul 11 (PTI) Stating that tuberculosis was not just a medical problem but also a social issue, as those suffering from the disease have to face social stigma, Maharashtra Minister Rajkumar Badole today called for raising awareness on the issue. The Central TB Division (CTD) of the Union Health Ministry and North Maharashtra University (NMU) today held a conclave here to involve more students to actively pursue scientific research in the quest for innovative and more effective tuberculosis interventions. advertisement The International Union against Tuberculosis and Lung Disease (The Union), along with the United States Agency for International Development (USAID) were also a part of the conclave. Badole, the state Social Justice minister, who was a part of the conclave, said that social science research is required to be able to understand the challenges and find solutions. "TB is not just a medical problem, but it has several social ramifications. Families fall apart due to this disease. Several children are forced into labour and TB patients, especially women and girls, face stigma. Awareness is absolutely crucial," he said. Professor Sudhir Meshram, Vice Chancellor of NMU, said, "This partnership is an excellent opportunity for us to strengthen our efforts in TB research, across various study fields such as social sciences, economics, humanities and engineering." He also said that the NMU has already launched a programme in five adopted villages for TB awareness and screening in partnership with CTD, The Union and USAID. According to a recently released WHO report, 2 Indians die of TB every minute. "TB now ranks alongside HIV as the leading infectious killer. India recorded the largest number of drug-resistant TB cases in the world this year," the report states. "TB kills two Indians every five minutes. India accounts for almost one quarter of the global TB burden, with 2.3 million new cases annually and more than 1 million multidrug-resistant TB cases go undetected or unreported globally," it states and adds that India has 71000 notified MDR-TB (multi drug resistant-TB) cases. PTI MM NP KJ SRE --- ENDS --- It is believed that the suspects might have sneaked into the Indian territory. The 10 suspects (top left) may also have hand in the July 1 terror attack in Dhaka, Bangladesh, in which as many as 20 people were killed. By Manogya Loiwal : The Bangladesh government has sent a list of ten possible terror suspects to the government of India. It is believed that the suspects might have sneaked into the Indian territory. INDIAN BORDER ON HIGH ALERT The entire Indian border is being alerted with the photographs and other details of the suspects. These suspects may also have involvement in the July 1 terror attack in Dhaka in which as many as 20 people were killed after the armed assailants opened fire at an upscale cafe in Gulshan neighbourhood of the Bangladeshi capital. The possibility of them carrying out further attacks is also not being ruled out. advertisement JULY 7 TERROR ATTACK On July 7, the militants attacked Bangladeshi police guarding the country's biggest festival marking the end of Ramzan, killing three people and wounding 14. Days after the attack, the Islamic State claimed the attack on Holey Artisan Bakery in the capital and warned of more violence. BANGLADESH GOVERNMENT ORDERS PROBE Meanwhile, the Bangladesh government has ordered all educational institutions in the country to give lists of students who have been absent for over 10 straight days. The Bangladesh government took the step after five militants killed 22 people after attacking a cafe in Dhaka's upscale Gulshan area on July 1 night. According to reports, some of the militants who carried out the recent terror attacks in Dhaka had joined militant groups after running away from home. The suspected attacker of Sholakia Eid gathering last Thursday, who was killed in a firefight, had also been missing since March, police said. The decision to demand the lists of students was taken at a meeting of education ministry officials, chaired by Minister Nurul Islam Nahid, at the Secretariat. The ministry later issued an order in this regard, which said the institutions will have to make the lists of the absentee students and submit them to the Upazila education officers, the bdnews reported. PARENTS TO REPORT ON MISSING CHILDREN Prime Minister Sheikh Hasina has also asked people to inform the police if any of the children in their families are missing. After the cafe terror attack, parents of 10 more youths, who have been missing for several months, have sought the police help to find them. Security forces have also alerted parents to report their missing children. ISIS NOT INVOLVED IN RECENT ATTACKS: BANGLADESH GOVT Bangladesh on Sunday said there was no direct involvement of the ISIS in the recent attacks, including on Hindus and others minorities, but acknowledged that homegrown Islamist outfits may be trying to establish links with the dreaded terror group through the social media. Also read Terrorists strike Bangladesh largest Eid congregation in less than a week From the magazine: Long war ahead --- ENDS --- advertisement By PTI: New Delhi, Jul 11 (PTI) Union Minister M Venkaiah Naidu today said that terrorists were getting support from the "neighbourhood", apparently referring to Pakistan, even as he welcomed the statements by Congress leaders on the killing of top Hizbul Mujahideen commander Burhan Wani. "I heartily welcome the statement by senior Congress leader and leader of opposition in Rajya Sabha Ghulam Nabi Azad and former minister Salman Khurshid on Burhan Wani being a terrorist," he said while lauding the statement made by Congress President Sonia Gandhi, in this regard. advertisement These statements indicated a "collective stand" on terrorism, he said. Naidu was asked about reports that Pakistan based Hafiz Saeed and Syed Salahuddin had a meeting today and also Pakistans stance on Wanis death. "Pakistan should take note of what is happening in their land. Today these two people coming together and they are openly saying that they would spread terrorism. That has to be taken note of by the Pakistani government and they have to take appropriate action," the Union minister said. Naidu said that as far as India is concerned, it will crush any sort of terrorism with all the force that is required. "It is a long issue because they are getting support from the neighbourhood. Aiding, abetting, funding, training terrorism has been going on" he said apparently referring to Pakistan. We have to take it seriously and the government has taken it seriously, he added. The Union Information and Broadcasting minister appealed to media agencies to "exercise restraint," and ensure that while reporting in such matters "one should not create sympathy for terrorists." "This man who is responsible for killing of many innocent people, do not deserve any sympathy at all," Naidu said adding that those "giving sermons should understand that Indian Army and forces are working day and night to protect the unity and integrity of the country." There are "safeguards" in India if there is any violation of human rights, he emphasised. "The issue is concerning national security, religion does not come in to the picture at all. Anybody with common sense would know who are these Hizbul Muzahiddin commanders? Who are these terrorists?," Naidu said. PTI ADS RG --- ENDS --- By PTI: From Jaishree Balasubhramanian Bangkok, Jul 11 (PTI) A Thai journalist and three other activists were today arrested and charged under a draconian law for campaigning against a junta-backed draft constitution, the latest in the lead-up to a referendum on the charter next month. Taweesak Kerdpoka, a reporter with an online news agency, was arrested in Ratchaburi province along with three activists belonging to anti-junta New Democracy Movement. advertisement Two media organisations called on concerned authorities to drop the draconian charges banning criticism of the charter and release the reporter who has been accused of violating the ban on campaigning against the draft charter. The offence carries a penalty of 10 years imprisonment. The Thai Journalists Association [TJA] and Thai Broadcast Journalists Association [TBJA] said in a joint statement that the the reporter was only performing his duty and believed he has done nothing wrong. The police arrested the reporter who was in a pick up truck with the three activists. The police found some leaflets containing message against the draft. Taweesak was in the vehicle with the activists only for a ride back to Bangkok, said the news agencys director Chiranuch Premchaiporn. The latest arrests followed the release last week by a military court of seven activists detained in June for campaigning against the charter. The charter has been criticized by party leaders on both sides of the political spectrum, as well as by academics and media as it allows for a senate fully appointed by the junta and for a non-elected "outsider" to become prime minister. The August 7 referendum will be the first time Thais go to the polls since the military coup in May 2014. The junta has said the referendum will pave the way for an election next year. Critics, including major political parties, say the constitution will enshrine a political role for the military and weaken civilian governments, worsening the turmoil that has hit Thai politics over the last decade. Since the 2014 coup, Thailand has witnessed major rights crackdown, with scores of activists jailed. PTI JB ABH --- ENDS --- By PTI: From Jaishree Balasubhramanian Bangkok, Jul 11 (PTI) Authorities in Thailand are inspecting private zoos in the country suspected to be part of a criminal gang smuggling tiger meat and carcasses to China after over 30 tiger cubs were recovered last month from the now infamous Tiger Temple. Several Thai zoos raising Bengal tigers were found to be involved in the illegal tiger trade ring, Crime Suppression Division (CSD) deputy chief Montri Paencharoen said. advertisement "Chinese people believe tiger meat is a source of prowess. Thats why tiger meat is popular in China and thats why a number of Thais with licences to operate a zoo are (unlawfully) supplying the tiger meat to the Chinese market," Montri was quoted as saying by the local media. He added that his department had already secured information on gangs smuggling tiger meats. The privately-run zoos normally avoid reporting the actual number of tigers born in captivity so they can sell the cubs illegally, he said. Earlier last month, a team of wildlife and forest protection officials had found 30 preserved Bengal tiger cubs, two pieces of tiger skin and several items made from tiger and other wildlife species from the now infamous Tiger Temple or Wat Pa Luang Ta Maha Bua in Kanchanaburi province. The items were removed from the living quarters of the temple abbot, following which the authorities relocated 147 live tigers from the premises. Female tigers, over a two year period, can give birth to about a dozen cubs, each worth five lakh rupees on the black market, Montri said. A healthy two-year-old tiger normally weighs 200 kg and its meat can fetch up to 50,000 rupees a kg, he said. Tiger bones are also sold as a key ingredient in some Chinese traditional medicines. PTI JB SUAAKJ SUA --- ENDS --- The two women had been due to contest a ballot of around 150,000 Conservative party members, with the result to be declared by September 9, but the shock announcement opens the way for May to take over much sooner. The two remaining candidates in the Conservative party leadership contest, Theresa May (left) and Andrea Leadsom, are seen in this combination of two photographs. Reuters By Reuters: Energy minister Andrea Leadsom abruptly withdrew from the contest to succeed David Cameron as Britain's prime minister on Monday, leaving her rival Theresa May as the only candidate. The two women had been due to contest a ballot of around 150,000 Conservative party members, with the result to be declared by September 9, but the shock announcement opens the way for May to take over much sooner. advertisement LEADSOM WITHDRAWS FOR INTERESTS OF UK Leadsom, who has no cabinet experience, was barely known to the British public until she emerged as a prominent voice in the successful campaign for Britain to leave the European Union. She had been criticised over a newspaper interview in which she appeared to suggest that being a mother meant she had more of a stake in the country's future than May, who has no children. Leadsom read out a statement to reporters in which she said she was pulling out of the race because a nine-week leadership campaign was highly undesirable at such a critical time. She acknowledged that May had secured overwhelming backing in a vote of Conservative members of parliament last week. "Strong leadership is needed urgently to begin the work of withdrawing from the European Union," Leadsom said. "I have ... concluded that the interests of our country are best served by the immediate appointment of a strong and well supported prime minister. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success. I assure her of my full support." THERESA VOWS FOR A PROSPEROUS UK May, 59, who has served as interior minister for the past six years, is now set to become Britain's second female prime minister after Margaret Thatcher. In a speech earlier on Monday she set out her vision for the economy, calling for "a country that works for everyone, not just the privileged few". "In the coming weeks I will set out (how) to take our economy through this period of uncertainty, to get the economy growing strongly across all parts, to deal with Britain's long-standing productivity problem, to create more well-paid jobs, to negotiate the best terms for Britain's departure from the EU and to forge a new role for ourselves in the world," May said. May favoured the 'Remain' side during last month's referendum campaign. But she repeated her new mantra that "Brexit means Brexit", saying there could be no second referendum and no attempt to rejoin the EU by the back door. advertisement MAY VOWS TO LEAVE EUROPEAN UNION "As prime minister, I will make sure that we leave the European Union," she said. "The British people were given their opportunity to vote on this... They've given us a very clear message, and I think we respond to that message and we do what the British people have asked us to."The 52-48 percent vote to quit the EU after 43 years of membership has shaken financial markets because the complex divorce process creates huge uncertainty for business, trade and investment. It has thrown both Britain's major political parties into upheaval. Minutes before Leadsom's announcement, opposition Labour lawmaker Angela Eagle said she would challenge Jeremy Corbyn for the leadership of the party. Corbyn was elected last year with overwhelming support from grassroots Labour activists. He has ignored a vote of no confidence from the party's lawmakers, saying he has a responsibility to carry out that mandate. (Reporting by Mark Trevelyan; Editing by Sonya Hepinstall) --- ENDS --- Transparency International said the report's findings were "pathetic" and highlighted the urgent need for big multinational companies to do more to fight corruption. The report covered 100 companies in 15 emerging market countries that also included Brazil, Mexico and Russia. (Representational image) By AP: India has the most transparent companies while Chinese firms are the most opaque, according to a global anti-graft watchdog's survey released on Monday that assesses efforts by emerging market companies to fight corruption. Transparency International said the report's findings were "pathetic" and highlighted the urgent need for big multinational companies to do more to fight corruption. Indian companies trump all advertisement Indian firms dominated the top spots. Telecom company Bharti Airtel took first place with a score of 7.3 out of 10, followed by six units of conglomerate Tata and technology company Wipro. One reason Indian companies came out on top is strict government requirements for financial disclosures, including operations in different countries. Another is that older companies such as Tata have been focusing on anti-corruption efforts for "quite a long time," said Cote-Freeman. China worst performer Thirty-seven Chinese companies were evaluated, making them the survey's biggest group, but they had the weakest overall performance. The three companies that scored zero out of 10 were all Chinese: automaker Chery, appliance maker Galanz and auto parts maker Wanxiang Group. The list's bottom 25 spots were also dominated by Chinese companies. "The very weak Chinese results stem from weak or non-existent anti-corruption policies and procedures, or a clear failure to disclose them in line with international practice," Transparency International said in a press release accompanying its report. Only one Chinese company, telecom gear maker ZTE, placed in the top 25. Graphic: Vishakha Saxena Methodology The report covered 100 companies in 15 emerging market countries that also included Brazil, Mexico and Russia. The overall score slipped since the last Transparency In Corporate Reporting survey in 2013, falling a fraction to 3.4 out of 10, with three quarters of companies scoring less than half. The Berlin-based watchdog warned that the failure of a vast majority of companies surveyed to operate transparently risks creating an environment for corruption to thrive both in their businesses and the countries where they operate. The weak scores are a big concern for global corruption fighting efforts, said Susan Cote-Freeman, Transparency's head of business integrity. "All these companies including the Chinese ones are expanding in other geographies and they really have to raise the bar on their anti-corruption and disclosure practices if we're going to have a level playing field and if we're going to really tackle this problem of corruption." Companies were scored on three measures: anti-corruption programs, the amount of information disclosed about subsidiaries, joint ventures and other holdings, and financial data released for operations in each country where it has business. --- ENDS --- advertisement By PTI: India extends USD 92 million Line of Credit to Tanzania Dar-es-Salaam, Jul 10 (PTI) Seeking to enhance its ties with resource-rich Tanzania, India today extended its full support to the country to meet its development needs and signed five agreements, including one for providing a Line of Credit of USD 92 million in the water resources sector. advertisement Describing India as a trusted partner in meeting Tanzanias development priorities, Prime Minister Narendra Modi said he along with President John Pombe Joseph Magufuli "agreed to deepen our overall defence and security partnership, especially in the maritime domain". "Our in-depth discussions on regional and global issues reflected our considerable convergence on issues of common interest and concern," he said at a joint press interaction after his bilateral meeting with President Magufuli. The two leader agreed to work closely, bilaterally, regionally and globally to combat the twin threats of terrorism and climate change. In a joint statement, the two leaders expressed their strong condemnation of terrorism in all its forms and manifestations and stated that there can be no justification for terrorism whatsoever. They expressed satisfaction on the holding of bilateral counter-terrorism consultations in early-2016. "Indias cooperation with Tanzania will always be as per your needs and priorities," Modi said. The two sides signed an agreement under which India will provide a Line of Credit of USD 92 million for rehabilitation and improvement of Zanzibars water supply system. Other agreements signed include an MoU on water resource management and development, MoU for establishment of vocational training centre at Zanzibar, MoU on visa waiver for diplomatic/official passport holders and an agreement between National Small Industries Corporation of India and Small Industries Development Organisation Tanzania. Prime Minister said the two countries are also working on a number of other water projects for 17 cities in Tanzania. "For this India is willing to consider an additional USD 500 million line of concessional credit," he said. Modi said during his meeting with President Magufuli, they had detailed discussions on the full spectrum of bilateral partnership. "Our focus was to shape an action oriented agenda of cooperation, so that we talk less of potential and more of achievements on the ground. We agreed that our common desire of economic prosperity for our societies is creating new opportunities for expanding our cooperation," he said. To achieve this, the two nations agreed to deepen partnership in agriculture and food security, including through enhanced export of pulses from Tanzania to India. advertisement They also decided to work together in development and use of natural gas. India agreed to partner Tanzania in building of industrial economy, capacities and institutions. The two leaders also decided to deepen bilateral trade and investment partnership by encouraging greater industry to industry ties. (more) PTI NSA AKJ NSA --- ENDS --- A Kansas police officer was fired after he threatened to kill a five-year-old girl, named India, on Facebook. By Indo-Asian News Service: A police officer in US' Kansas city was fired after he threatened an African-American woman's five-year-old daughter on Facebook. Rodney Lee Wilson worked with Overland Park Police Department in Kansas, Buzzfeed reported on Monday. "We'll see how much her life matters soon. Better be careful leaving your info open where she can be found. Better hold her close tonight, it'll be the last time," Wilson posted below LaNaydra Williams' picture of her daughter named India. advertisement Also read: Dallas sniper plotted bigger assault, taunted officers says police chief "It was obvious that our officer did not meet the standards of professionalism for the Overland Park Police Department. Overland Park has terminated the officer, effective immediately," read the statement from Overland Park Police Department. The department first thought that Wilson's Facebook account might have been hacked. But the inquiry led to the truth that it was Wilson who posted that comment. "I want to assure our community, and those outside our community, that our highest priority is the safety and welfare of the citizens of Overland Park," Police Chief Frances Donchez Jr. was quoted as saying. Also read: Photos of cop risking his life to protect a man from gunfire "I want to publicly apologise to those affected by our officer's personal actions," Donchez added. A criminal probe was underway as a result of information discovered during the course of the personnel investigation. An African-American man Philando Castile, 32, was shot by a police officer after a traffic stop in Minnesota last week, which created a furore across the US and on social media. Castile's girlfriend went live on Facebook immediately after her fiance was shot by police in his car. Castile's death occurred within a day of the shooting of 37-year-old Alton Sterling in Baton Rouge, Louisiana. Sterling was killed during an altercation with two white police officers and a video of the incident recorded by a bystander on his smartphone triggered outcry on social media. Also read: Dallas shooting: Police killed armed suspect using a robot --- ENDS --- Vikram's daughter Akshita got engaged to long-time boyfriend Manu Ranjith in Chennai on Sunday. By India Today Web Desk: National Award-winning actor Vikram's daughter Akshita got engaged to long-time boyfriend Manu Ranjith in Chennai on Sunday. ALSO READ: Vikram's daughter getting engaged to Karunanidhi's great grandson Manu is the son of entrepreneur Ranganathan of Cavin Kare, and the grandson of DMK supremo M Karunanidhi. The engagement was a low-key affair with only family and close friends in attendance. advertisement "It was a very private ceremony. The couple had known each other for a long time and got engaged with the consent of their parents. The wedding is scheduled to take place next year," a source told IANS. Here are a few pictures from the ceremony that are doing the rounds on social media. Also present on the occasion was filmmaker S Shankar, who had worked with Vikram on two Tamil projects Anniyan and I. The wedding is set to take place some time next year. --- ENDS --- "Vivek is very supportive and caring, and goes out of his way to see whether I am comfortable or not," Divyanka said. By Indo-Asian News Service: Actress Divyanka Tripathi says her husband Vivek Dahiya, whom she married just last week, is very supportive, caring, and has filled her life with positivity. Divyanka and Vivek got married in Bhopal on July 8. Their reception took place in Chandigarh on Sunday. "Happiness is being content with what you have, living in freedom and liberty, having a good family life and good friends. Vivek is very supportive and caring, and goes out of his way to see whether I am comfortable or not," Divyanka said. #MarriedAndHappy #MrAndMrs @vivekdahiya08 A photo posted by Divyanka Tripathi (@divyankatripathi) on Jul 10, 2016 at 12:36am PDT advertisement Also read: Divyanka-Vivek share their first selfie as a married couple "He makes me confident as a person, he has filled me with positivity and I love the side of me that he has made (of) me," Divyanka, best known for her role of Ishita in popular ongoing TV show Ye Hai Mohabbatein, added. Also read: Divyanka, Vivek are now married; check out their wedding pics The couple was glowing with joy at their wedding and reception. Now a honeymoon is not on the cards for them anytime soon as they will resume their respective shoots this week. "D DAHIYAS"??????? Hd Pictures are blessings????? #chandigarhReception A photo posted by Vivek Dahiya FanClub (@vivekdahiyafanclub) on Jul 10, 2016 at 10:15am PDT Also read: Divyanka, Vivek all decked up for their wedding reception; see pics Vivek is seen as Rajbir Bundela in Kavach...Kaali Shaktiyon Se. --- ENDS --- By India Today Web Desk: Amidst superhero-movie fatigue, DC is going to try and change it with their film, David Ayer's Suicide Squad. The film stars Will Smith, Jared Leto, Margot Robbie among others. Will Smith, who plays Deadshot, is supremely confident about the film's success. ALSO READ: Will Smith pays tribute to wife Jada Pinkett-Smith He said, "I don't think that getting people is going to be an issue. It's when they get there are they going to be an issue. We all feel very confident that when you sit down, you're going to really enjoy getting to meet the 'Suicide Squad." advertisement There were reports earlier about the film is undergoing reshoots, to make some sequences funny a la the Marvel films. The excitement surrounding Jared Leto's version of Joker has not died down and it remains to be seen if he can one-up the late Heath Ledger's portrayal of the same character in 2008's The Dark Knight. Suicide Squad will release in cinemas worldwide on Aug 5. --- ENDS --- Naidu's statements come amid controversy over Zakir Naik's Peace TV channel after reports that his "provocative" speeches inspired some of the militants who carried out terror attack at a cafe in Dhaka. By India Today Web Desk: Minister for Information and Broadcasting (I&B) M Venkaiah Naidu today said that Mumbai-based Islamic preacher and televangelist Zakir Naik's 'Peace TV' is affecting our peace instead. Naidu's statements come amid controversy over Zakir Naik's Peace TV channel after reports that his "provocative" speeches inspired some of the militants who carried out terror attack at a cafe in Dhaka. advertisement "Peace TV had applied for license in 2008 but it was rejected. So its broadcast is already illegal. I appeal to the people that if they see any unauthorised channel on their cable networks, they should inform the Information and Broadcasting Ministry," said Naidu. "TERRORIST IS A TERRORIST" "Terrorist is a terrorist. No Indian can have sympathy for terrorists," added Naidu. "Information and Broadcasting Ministry has also written a letter to all the Chief Ministers to take action against unauthorised channels," said Naidu. Information and Broadcasting Ministry (after meeting on Zakir Naik) issued an advisory for all cable operators and asked local district administration to keep an eye on broadcast of these local cables. Naik's speeches are believed to have inspired some of the Bangladeshi militants, who killed 22 people, mostly foreigners, at an upscale restaurant in Dhaka on July 1. NAIDU ON UMAR KHALID'S STATEMENTS On being questioned about JNU student Umar Khalid's statement on Burhan Wani, Naidu said that people will give befitting reply to such comments and people. "This issue is related to national security and we are capable of tackling it. We will crush all types of terrorism" said Naidu. Umar Khalid, who is out on bail in a sedition case, compared Burhan Wani with a revolutionary. "I don't care if I fall as long as someone else picks up my gun and keeps on shooting. These were the words of Che Guevara, but could have just been Burhan Wani's too," Khalid said in a Facebook post which he removed later. Hizbul Mujahideen leader Burhan Wani was killed in an encounter in Kashmir last week. Also read: Burhan Wani encounter: 12 civilians killed, 200 injured, 6 companies of CRPF rushed to Kashmir J-K internal matter of India, Pakistan should worry about PoK: Rijiju --- ENDS --- Appreciating the power of media, Zakir said that media is the most important weapon in the world which can convert a hero into a villain and vice-versa. By India Today Web Desk: Zakir Naik, controversial Islamic preacher, in his open letter to media today maintained that he does not support terrorism and the media trial on him regarding the recent terrorist attack in Dhaka on July 1 is not justified. In his letter, Naik said that he is open to probe by Indian government. advertisement Appreciating the power of media, Zakir said that media is the most important weapon in the world which can convert a hero into a villain and vice-versa. He further asserted that while he would love to give interviews, he fears that media will twist his statements. Here's Zakir Naik's open letter "According to me, the media is the most important weapon in the world. It can convert a hero into villain or even a villain into a hero. I'm shocked at the media trial on me regarding the recent terrorist attack that took place in Dhaka Bangladesh on 1st July 2016. Most of the clips shown on the television of myself are either out of context, half statements or doctored. So are my statements in the print media. I would have loved to give interviews whether for television channels or for the print media, but I fear that most of them will not publish it unedited or without twisting it. I came to this conclusion after I had given a few interviews, most of which were unfortunately mutilated and misused to serve the vested agenda of the channel or the newspaper. If time permits, God willing, in the next few days I will give replies to some of the major allegations on video and give it to the media as well as put it up on social media as well as other public platforms so that the original answers are also available if the media misutilises it. So far not a single official Indian government agency has contacted me for any clarification regarding this issue. It would be my pleasure to cooperate with any official Indian government investigation agency for any information they might require from me. In conclusion, I repeat what I have always maintained - I DO NOT SUPPORT TERRORISM OR VIOLENCE in any form whatsoever. I have never supported any terrorist organisations and have mentioned this over and over again in thousands of my public talks worldwide. I STRONGLY CONDEMN anyone taking my statements out of context and using it for VIOLENCE OF ANY FORM." Yours Sincerely, Dr Zakir Naik ALSO READ: Zakir Naik's 'Peace TV' affecting peace, its broadcast is illegal: Naidu Zakir Naik seeks support from Muslims on Twitter, Facebook against media trials Who is Zakir Naik? Were the Dhaka attack terrorists 'inspired by him'? --- ENDS --- advertisement Overnight ejection is not a very likely scenario. Neither side can afford the chaos of disorderly political and economic disintegration. Nonetheless, the two year deadline improves the EUs bargaining position in the negotiations. The Brexit referendum reversed Britains bargaining advantage vested in the looming exit threat. Art. 50 TEU does not contain the crucial details for exit negotiations probably because no one thought it would ever be used anyway. Art. 50 TEU accords the EU institutions wide maneuvering space regarding the future terms of association of the exiting state. However, it contains one important implicit limitation: unlike in the accession process under Art. 49 TEU, no ratification of the agreement by the (remaining) member states is foreseen. Instead, the simple procedure for conclusion of international agreements by the EU ( Art. 218 TFEU ) is prescribed. As a consequence, the agreement reached cannot entail any alteration to primary law. Any extras negotiated with the UK that would require amendments to the TEU, TFEU or Protocols, e.g. the right to remain within the enhanced patent cooperation, would go beyond the framework set by Art. 50 TEU and would thus be a Treaty revision (see Art. 48 TEU) rather than an exit negotiation. Hello EEA? With the bargaining advantage now with the EU, EU leaders have openly called for harsh negotiation terms. This may have a number of reasons, ranging from an impulse for revenge to hope that the various extras the UK had secured for itself over the last decades could be mopped away in one stroke and to concern that an overly favorable result may set a bad example for other states or political movements poised to exit. Should this initial push to allow no UK extras in the negotiations persist, the EUs negotiation target would exclude any type of privileged status between in and outfor the UK. Also, as was just highlighted, Art. 50 TEU does not allow for such an in-between status anyway insofar as this would require amendment to primary law. With EU membership necessarily ending for the UK, the most likely way forward would be its (re-) accession to the EFTA and EEA agreements (cf. Art. 128 EEA Agreement). This would essentially allow for a continued application of internal market and competition law rules in the UK. In turn however, the UK would forfeit its right to co-decide in those matters and would trade that right for a mere consultation status in law-making (see Art. 128 EEA Agreement): EU internal market and competition legislation becomes part of the internal legal order of EEA states through adoption (cf. Arts. 97 et seq. EEA Agreement). In practice however, the possibility to object is markedly limited. Similar in terms of the factual coercion to adopt EU legislation, although somewhat more complicated in detail, is Switzerlands status vis-a-vis the EU. Both scenarios, the EEA or the Swiss model, are only attractive for the UK with regard to liberation from the EUs non-market policies. In legal terms, forfeiture of co-decision powers and factual coercion to parallel EU legislation hardly seem satisfactory prospects for the UK. In addition, the EEA factually also limits jurisprudential independence, because the EFTA Court in practice follows the CJEUs case law (see also Art. 106 EEA Agreement) and because the CJEU in the EEA Agreement is accorded an indirect decisional competence of last resort in the event of diverging case law (see Arts. 105 (3) and 111 (3) EEA Agreement). Bye-bye Patent Package UK In short, the UK no longer being a member of the EU would very likely be sized-down to the status of any other non-EU state. In the patent context, this is the plain legal status of an EPC state outside the Package: the UK would be necessarily be removed from the substantive patent cooperation under Regs. 1257/2012 and 1260/2012 , which is only open to EU members. Likewise, although it is formally an instrument of public international law, the UK would have to withdraw from the UPC Agreement. Under the Commissions misguided attempt after CJEU Opinion 1/09 to copy the setup of the BENELUX Court, the UPC is designed to be a court common to [EU] Member States and thus subject to the same obligations under Union law as any national court (Art. 1 UPC Agreement). The Commission understood Opinion 1/09 as meaning that the CJEU requires an international law-based patent court to remain restricted to EU members only. That narrow reading of Opinion 1/09 was the main reason why the well-designed 2009 EEUPC model had been down-sized both territorially and substantively for the UPC proposal, which now affords comparatively less substantive patent law coherence and is intrinsically defunct (see, for example, this paper ). If the Commissions narrow reading of Opinion 1/09 is upheld and no alternative visions for a court model are developed, there is no way that the UK could remain in that litigation system. Bye-Bye Patent Package Altogether? Should the UK really choose to formally trigger the exit procedure and thus be forced to leave the EU and (thereby) the Package, we might not only need to wave bye-bye to the UK within the Patent Package, but to the Package as a whole. It is true that the EU legislator has in the past shown determination to push the Package through at any cost even that of leaving major jurisdictions like Spain and Italy behind. De iure, this might also be possible regarding the key patent jurisdictions UK, France and Germany. De facto however, none of them can evidently be done without (as already the ratification requirements in Art. 89 UPC Agreement demonstrate). Even if a single patent right between the remaining participating states was probably still better than nothing even with the UK outside, an attractive and effective patent litigation system under a single court is unimaginable in Europe without the UK. If such a system was implemented without the UK on board, it would trigger substantial evasion and strategic patenting effects between the UK (which would remain within its existing litigation tradition) and the emerging jurisprudence of the UPC. This would multiply the negative effects of fragmentation in European patent law instead of mitigating them. Looking for A Way Out? Re-open the UPC Agreement Against that general background, what legal options for a soft Brexit landing do we have? How could the Package be kept from breaking apart and what kinds of exit and post-exit scenarios are we looking at? A potential way out might be a re-opening of the UPC Agreement. If the UK could at least be kept within the litigation leg of the Package, one of the Packages major goals and achievements could be saved in spite of a possible Brexit. As we have known since the (marred) EPLA initiatives, this is also the considerably more important leg. Of course, if the Agreement was altered to allow for participation of the UK, all of the remaining non-EU EPC states could be brought back in also as a positive side-effect. How could this be done? As was said, according to this author, the Commissions reading of Opinion 1/09 seems misguided in two major respects. Firstly, the CJEUs mention of the BENELUX Court is only one example of possible litigation models, not the only possible model. The Court has made this quite clear already in the Opinion (paras. 62, 74 and 75). Secondly, the crucial point regarding the legality or illegality of the court model is not whether it is called BENELUX or whether it is concluded between EU member states only, but rather, whether that court has the power to apply EU law directly and if so, under what conditions. Such conditions binding the court in the application of EU law must satisfy the CJEUs exclusive role under Art. 19 TEU and, consequently, the autonomy of the EU legal order. Cornerstones of such alternative models were elaborated elsewhere by this author and others (e.g., prominently, the Munich-based Max Planck Institute for Innovation and Competition). There is neither room nor need to go into details here. Suffice it to say here that if the Commission is keen to save the Unitary Patent Package from another and this time likely terminal failure, it should start re-thinking its litigation model. Re-thinking does not mean overthrowing it, but modifying some, albeit crucial, details safeguarding the autonomy of EU law. This can be done on the go through another revision of the agreement. Admittedly, this will slow down the ratification process once more. But that might still look like the better option compared to the looming alternative. Revision and adaptations might allow to remedy some crucial flaws of compromise present in the current UPC model. If the Commission managed to convert the threat posed by the Brexit vote into a momentum for change, that might not just save the Patent Package, but eventually lead to a better, balanced and coherent patent law for Europe. The Brexit vote of June 23rd sent shockwaves throughout both the EU and the UK. Some take the vote as proof ofs age-old observation, that Britain simply does not fit into the EU: [LAngleterre] a dans tout son travail des habitudes et des traditions tres marquees, tres originales. Bref, la nature, la structure qui sont propres a l'Angleterre different profondement de celle des continentaux. Others see it as the death knell to the EU and / or the UK as we know them.Whatever the point of view, one thing is for sure: should Britain overcome its abrupt total loss of political leadership and should someone emerge eventually who is willing to formally notify the European Council of the intention to leave subsequent to Art. 50 (2) TEU , that would be the end of the Unitary Patent Package as originally intended.The procedure under Art. 50 TEU is superficially simple. The intention to exit needs to be formally notified to the European Council, which triggers a two year (extendable) deadline for negotiations over the future relationship between the exiting state and the EU. If no agreement is reached, the exiting state is theoretically kicked out of the EU in an ejector seat, i.e. EU law ceases to apply overnight. But what does that mean? [July 11, 2016] SiFive Introduces Industry's First Open-Source Chip Platforms SAN FRANCISCO, July 11, 2016 /PRNewswire/ -- SiFive, the first fabless semiconductor company to build customized, open-source enabled semiconductors, today announced its flagship Freedom family of system on a chip (SoC) platforms. Built around the free and open RISC-V instruction set architecture invented by the company's founders at the University of California, Berkeley, SiFive's Freedom U500 and Freedom E300 platforms represent a fundamentally new approach to designing and producing SoCs that redefines traditional silicon business models and reverses the industry's prohibitively rising licensing, design and implementation costs. "The semiconductor industry is at an important crossroads. Moore's Law has ended, and the traditional economic model of chip building no longer works," said Yunsup Lee, co-founder of SiFive and one of the original creators of RISC-V. "Unless you have tens if not hundreds of millions of dollars, it is simply impossible for smaller system designers to get a modern, high-performance chip, much less one customized to their unique requirements. The Freedom platforms unleash the flexibility and power of custom silicon to the smallest company, inventor or maker. Companies of all sizes are no longer held hostage to current semiconductor providers' software deliveries and outdated business models." RISC-V was born from the dire need to address the skyrocketing cost of designing and manufacturing increasingly complex new chip architectures, as a result of the economic demise of Moore's Law. SiFive's hardware designs leverage the body of software and tools available from the open-source community under the guidance of the RISC-V Foundation, dramatically reducing the cost of developing custom silicon. System designers can use the SiFive Freedom platforms to focus on their own differentiated processor without having te overhead of developing a modern SoC, fabric or software infrastructure. "RISC-V represents a bold new path for system designers in embedded and industrial markets," said Ted Speers, head of product architecture and planning for Microsemi Corporation's SoC business unit. "We went to SiFive not only because its co-founders created RISC-V, but also due to its team's agile methodology, which enabled the company to deliver a complete RISC-V sub-system and tool-chain targeting our secure, low power SmartFusion2 SoC FPGA platform on a very aggressive schedule." The Freedom platforms comprise a complete software specification, board OS support packages (BSPs), development boards and base silicon. The platforms provide customers the ability to create their own silicon enhancements and customizations, which SiFive then quickly incorporates and delivers to the customer at a much lower cost and faster time-to-market than traditional custom silicon designs. The platforms also provide significant performance and power advantages over existing microcontrollers and FPGAs. Freedom U500 Series: The Freedom Unleashed (U) family features a fully Linux-capable embedded application processor featuring the world's most advanced, multicore RISC-V CPUs, running at a speed of 1.6 GHz or higher with support for accelerators and cache coherency. Designed in TSMC 28nm, the Freedom U500 platform targets initial customers in diverse markets such as machine learning, storage and networking. The Freedom U500 platform also supports standard high-speed peripherals including PCIe 3.0, USB 3.0, Gigabit Ethernet, and DDR3/DDR4. The Freedom Unleashed (U) family features a fully Linux-capable embedded application processor featuring the world's most advanced, multicore RISC-V CPUs, running at a speed of 1.6 GHz or higher with support for accelerators and cache coherency. Designed in TSMC 28nm, the Freedom U500 platform targets initial customers in diverse markets such as machine learning, storage and networking. The Freedom U500 platform also supports standard high-speed peripherals including PCIe 3.0, USB 3.0, Gigabit Ethernet, and DDR3/DDR4. Freedom E300 Series: The Freedom Everywhere (E) family is designed for embedded microcontroller, IoT and wearables markets. Designed in TSMC 180nm and architected to have minimal area and power, the Freedom E300 platform features the world's most efficient RISC-V cores with support for RISC-V compressed instructions, which have been shown to reduce code size by up to 30 percent. For Developers To give developers a head start on software development, full FPGA models of each SoC are available through SiFive today. Developers will also be able to prototype their customizations in the form of custom RISC-V instructions, accelerators and co-processors. For more information, including how to purchase development boards with the Microsemi SmartFusion2 SoC FPGA, please visit dev.sifive.com . SiFive will showcase the Freedom family of SoC platforms during the RISC-V 4th Workshop in Boston on Tuesday, July 12. About SiFive SiFive is the first fabless semiconductor company to build customized silicon based on the free and open RISC-V instruction set architecture. Founded by RISC-V inventors Krste Asanovic, Yunsup Lee and Andrew Waterman, SiFive democratizes access to custom silicon by helping system designers reduce time-to-market and realize cost savings with customized RISC-V based semiconductors. SiFive is located in San Francisco and has venture backing from Sutter Hill Ventures. For more information visit www.sifive.com. MEDIA CONTACTS Jack Kang SiFive (510) 673-1309 [email protected] Leslie Clavin SHIFT Communications for SiFive (415) 591-8440 [email protected] Logo - http://photos.prnewswire.com/prnh/20160708/387623LOGO To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/sifive-introduces-industrys-first-open-source-chip-platforms-300296205.html SOURCE SiFive [ Back To TMCnet.com's Homepage ] [July 11, 2016] Pluribus Networks Announces VCFcenter: Comprehensive Business Analytics at the Network Layer Cisco (News - Alert) Live! - Pluribus Networks, the premier network fabric and analytics solutions provider, today announced the next phase of its award-winning network performance and monitoring solution, its VCFcenter platform and VCF application portfolio. VCFcenter is a single pane of glass that combines a big data approach to network visibility with web-scale analytics to offer a business-level network analytics solution. VCFcenter can be deployed in any new or existing campus, branch or data center at a dramatically lower price-point than any other network analytics offering currently on the market. "The Modern Enterprise is rapidly adopting software-defined and hyperconverged compute and storage solutions due to the simplicity and value they offer the end user. They are also adding big data, mobility and even IoT applications to their IT strategies," said Tom Burns, VP and GM of Networking Products at Dell (News - Alert). "The network itself and the business-level analytics which can be derived from it have once again become a critical success factor for our customers. Working with Pluribus enables Dell and its partners to offer an affordable and complementary business-management solution at the network layer." VCFcenter is a true analytics platform that provides a wide range of foundational services, including secure user access, common user interface and shared data repository to all of the applications that are hosted within its framework. Deploying VCFcenter allows organizations to collect and analyze contextual information about business service application flows, and can scale into the billions of flows for web-scale applications. VCFcenter and its applications provide performance metrics associated with the use of ay business service, from the packet, to the network flow or even the application level. Existing network performance monitoring and analytics solutions have historically been complex to deploy and expensive. By addressing these two concerns relative to competitive offerings from Cisco, VMWare, Gigamon (News - Alert), NetScout and others, Pluribus is making VCFcenter accessible to all businesses of any size. Pluribus Networks VCFcenter provides the essential capabilities of an enterprise-class performance monitoring and analytics solution and can be used within any infrastructure from any vendor already in place. VCFcenter provides a business-level view and specific accountability for their network. "Having application-aware visibility into your organization's network is crucial as the transformation of the data center continues across the industry," said Alessandro Barbieri, VP of product management at Pluribus Networks. "Network visibility has always been a luxury - available only to large enterprises that could afford expensive, complex, big brand solutions. Most other solutions struggle to provide a higher-level business insight of the network and its workloads. With our VCFcenter and its new family of applications, we've democratized network performance monitoring and delivered the industry's only affordable, plug and play network agnostic monitoring and analytics solution for the masses." Key Features: Enhanced Analytic Insights - Flow and packet visibility with direct correlation to the business services that are consuming that information. Open and extensible API access to data repository. - Flow and packet visibility with direct correlation to the business services that are consuming that information. Open and extensible API access to data repository. Ease of Deployment - Flexibility that allows companies to deploy any number of distributed data collectors, using any combination of physical and virtual collection instances. - Flexibility that allows companies to deploy any number of distributed data collectors, using any combination of physical and virtual collection instances. Scalability - Support for branch, campus and enterprise topologies as well as web-scale to billions of flows and packets, to enable extended timeframe network forensics and optimization. - Support for branch, campus and enterprise topologies as well as web-scale to billions of flows and packets, to enable extended timeframe network forensics and optimization. Reporting - Automatically provide key performance indicators and usage parameters to all vested parties. VCFcenter and VCF-Insight Analytics are available today. For more information about VCF Insight Analytics, please visit here. About Pluribus Networks Pluribus Networks provides data center solutions that allow your business to run unconstrained. Our software-defined, open networking, fabric-based solutions transform existing network infrastructures into flexible and strategic assets fully aligned with today's digital business needs. Our Virtualization-Centric Fabric (VCF) architecture provides unprecedented insight, agility and security to customers seeking to simplify operations, run more cost effectively and bring new applications online faster. Find us on the web at pluribusnetworks.com and @pluribusnet. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711005222/en/ [ Back To TMCnet.com's Homepage ] [July 11, 2016] New Envision Modern BI Platform Transforms the Cloud Business Model and User Experience TROY, Mich., July 11, 2016 /PRNewswire/ -- Altair today announced the general release of Envision, a modern, cloud-based business intelligence platform. Envision will be sold and supported through solidThinking, Altair's wholly owned subsidiary. More information, free trials, and subscriptions for personal and enterprise use are available at EnvisionBI.com. Architected for an optimized self-service user experience, Envision democratizes data visualization, exploration and discovery. Users can connect their data to quickly create and publish charts and dashboards in an environment that is intuitive and collaborative yet powerful and secure. "Altair continues to invest significantly in technologies to enable and leverage exascale computing, cloud appliances and IoT," says James R. Scapa, Altair's Founder, Chairman, and CEO. "All of which are driving Big Data and the need to rapidly access, visualize, analyze and share information at all levels in the enterprise. Envision delivers a powerful modern BI platform with fine-grained controls for organizations to easily scale to support the needs of their business and technical user communities." Beyond its data-to-dashboard speed, Envision sets itself apart in the rapidly growing BI market space through its unique ability to be accessed as a subscription-based public cloud platform and as a private cloud BI solution. In both cases, there is no price differentiation between viewers and authors; everyone is an author with full administrative controls down to a specific user's permissions and access. Powered by a unique floating business model based on concurrent usage versus named user and devoid of add-on fees for server enablement, data source connections printing reports and dashboard creation, Envision provides a scalable, long-term solution for today's data-driven enterprise. These benefits directly carry over to the company's OEM and channel partners where Envision is leveraged as an embedded technology component or within a vertical solution stack. Following an open architecture development strategy, Envision's automated embed link generation and developer API's simplify integration to web applications and third party solutions. "Flexibility and ease of use are a big part of what defines Envision," says Yeshwant Mummaneni, Altair's Senior Vice President of Analytics. "These attributes transpire not only in its user interface, with the ability to build dashboards in minutes and modify layout with a few clicks, but also in Envision's ability to integrate with other applications in a very seamless and easy fashion." Envision is now generally available at EnvisionBI.com and is also accessible to Altair's 5,000+ customers through its renowned HyperWorks unit-based licensing system. For on-premises implementations and third party integrations, Envision is available through solidThinking's global partner network by contacting [email protected]. To learn more about Envision, register for our upcoming introductory webinar, which will be hosted on July 26, 2016. About solidThinking solidThinking creates, develops, and markets technology that helps organizations make informed decisions and bring the most desirable products to market faster. solidThinking software is sold and supported by a global network of distribution partners and is also available as part of the Altair HyperWorks suite. solidThinking is a wholly owned subsidiary of Troy, Mich., (USA)-based Altair. To learn more, please visit solidThinking.com. About Altair Altair is focused on the development and broad application of simulation technology to synthesize and optimize designs, processes and decisions for improved business performance. Privately held with more than 2,600 employees, Altair is headquartered in Troy, Michigan, USA and operates more than 45 offices throughout 22 countries. Today, Altair serves more than 5,000 corporate clients across broad industry segments. To learn more, please visit www.altair.com. Media Contacts: Corporate / North America Biba A. Bedi +1 757 224 0548 x 406 [email protected] Europe, Middle East & Africa Evelyn Gebhardt +49 6421 9684351 [email protected] To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/new-envision-modern-bi-platform-transforms-the-cloud-business-model-and-user-experience-300296041.html SOURCE Altair [ Back To TMCnet.com's Homepage ] [July 11, 2016] Low Power Wide Area Network Market Worth 24.46 Billion USD by 2021 PUNE, India, July 11, 2016 /PRNewswire/ -- According to a new market research report "Low Power Wide Area Network Market by Connectivity Technology (SIGFOX, LoRaWAN, Weigthless and Others), Technology Service, Network Deployment, Application, Verticals and Region - Global Forecast to 2021", published by MarketsandMarkets, the market to grow from USD 1.01 Billion in 2016 to USD 24.46 Billion by 2021, at a Compound Annual Growth Rate (CAGR) of 89.3% during the period 2016-2021. (Logo: http://photos.prnewswire.com/prnh/20160303/792302) Browse 58 market data Tables and 42 Figures spread through 121 Pages and in-depth TOC on "Low Power Wide Area Network Market" http://www.marketsandmarkets.com/Market-Reports/low-power-wide-area-network-market-41351212.html Early buyers will receive 10% customization on this report. "Smart Gas and Water Metering to grow at the highest CAGR" The report segments the global market on the basis of connectivity technologies, technology services, network deployments, applications, verticals, and regions, along with providing an in-depth analysis and market size estimations. Applications include smart waste management, smart buildings, smart gas and water metering, smart street lights, smart parking, livestock monitoring and others (home security and healthcare). Smart gas and water metering is expected to witness the highest growth rate. Smart meter rollouts represents a paradigm shift to understand the energy consumption at all the levels in the power grid. The mass adoption of LPWAN technology will promote greater standardization between different smart meter manufacturers and vendors. "Oil and Gas vertical to experience the highest CAGR" Among verticals, oil and gas will lead the LPWAN market with a wide range of organizations adopting LPWAN solutions in all regions. Lower oil prices are driving the growth in this segment and encouraging companies to place a higher business priority on optimization where IoT applications are relatively immature. Connected devices and systems can facilitate efficient oil and gas operations, with minimum human intervention, providing higher value than tradiional technology. "Europe to be the largest revenue generator" The Low Power Wide Area Network Market research report has been segmented by region: North America, Europe, Asia-Pacific (APAC), Middle East and Africa (MEA), and Latin America. Europe is expected to continue being the largest revenue generator region for LPWAN vendors for the next five years, followed by North America. Whereas, APAC is expected to be the fastest-growing region in the LPWAN market during the forecast period. The market growth in developing regions can be attributed to the enhancements in network connectivity. Major vendors that offer LPWAN technology are Semtech Corporation (California), LORIOT (Switzerland), NWave Technologies (London), SIGFOX (France), WAVIoT (Texas), Actility (France), Ingenu (San Diego), Link Labs (Maryland), Weightless SIG, and Senet, Inc. (Portsmouth). These vendors have adopted different types of organic and inorganic growth strategies such as new product launches, partnerships and collaborations, and mergers and acquisitions to expand their offerings in the LPWAN market. Ask for Sample Pages @ http://www.marketsandmarkets.com/requestsample.asp?id=41351212 Browse Related Reports IoT Gateway Market by Nodes (Smart Watch, Camera, RADAR, Thermostat, Actuator, Smart TV), by Connectivity Technology (Bluetooth, WiFi, ZigBee, Ethernet, Z-Wave), by Component (MCU, FPGA, Sensor, Memory), by End-Use and Geography - Global Forecast to 2022 http://www.marketsandmarkets.com/Market-Reports/iot-gateway-market-248007097.html Machine-to-Machine (M2M) Connections Market by Technology (Wired, Wireless), Industry (Healthcare, Utilities, Retail, Consumer Electronics, Automotive & Transportation, Security & Surveillance, Others), and by Geography - Forecast to 2020 http://www.marketsandmarkets.com/Market-Reports/machine-to-machine-market-732.html Know More About our Knowledge Store @ http://www.marketsandmarkets.com/Knowledgestore.asp About MarketsandMarkets MarketsandMarkets is the world's No. 2 firm in terms of annually published premium market research reports. Serving 1700 global fortune enterprises with more than 1200 premium studies in a year, M&M is a catering to a multitude of clients across 8 different industrial verticals. We specialize in consulting assignments and business research across high growth markets, cutting edge technologies and newer applications. Our 850 fulltime analyst and SMEs at MarketsandMarkets are tracking global high growth markets following the "Growth Engagement Model - GEM". The GEM aims at proactive collaboration with the clients to identify new opportunities, identify most important customers, write "Attack, avoid and defend" strategies, identify sources of incremental revenues for both the company and its competitors. M&M's flagship competitive intelligence and market research platform, "RT" connects over 200,000 markets and entire value chains for deeper understanding of the unmet insights along with market sizing and forecasts of niche markets. The new included chapters on Methodology and Benchmarking presented with high quality analytical infographics in our reports gives complete visibility of how the numbers have been arrived and defend the accuracy of the numbers. We at MarketsandMarkets are inspired to help our clients grow by providing apt business insight with our huge market intelligence repository. Contact: Mr. Rohan Markets and Markets UNIT no 802, Tower no. 7, SEZ Magarpatta city, Hadapsar Pune, Maharashtra 411013, India +1-888-600-6441 Email: [email protected] Visit MarketsandMarkets Blog @ http://www.marketsandmarketsblog.com/market-reports/telecom-it Connect with us on LinkedIn @ http://www.linkedin.com/company/marketsandmarkets [ Back To TMCnet.com's Homepage ] [July 11, 2016] AMAX Launches GPU Solutions Based on Latest NVIDIA Tesla P100 GPU Accelerators FREMONT, Calif., July 11, 2016 /PRNewswire/ -- AMAX, a leading provider of Cloud/IaaS, GPU, HPC and Server Appliance platforms, today announced that its GPU solutions and HPC clusters are now available integrated with the latest NVIDIA Tesla P100 GPU accelerator for PCIe. Offerings are expected to begin shipping in Q4 2016. Powered by the new NVIDIA Pascal architecture, AMAX's Tesla P100 for PCIe-based computing solutions are the perfect fit for data analytics and deep learning applications running at scale in data centers. The solutions utilize the latest 22-core Intel Xeon E5-2600 v4 processor series and DDR4 2400/2133 MHz memory, along with 15.3 billion transistors per Tesla P100 GPU, enabling a single node to replace up to half-rack of commodity CPU nodes by delivering lightning-fast performance in a broad range of HPC applications. Handling the same workload with far fewer nodes means customers can save up to 70% in overall data center costs, delivering the best performance and power efficiency for applications across many industries. "We are very excited about the new Pascal architecture and what it means for deep learning and rendering workloads," said James Huang, Product Marketing Manager, AMAX. "This product further closes the gap between HPC and the data center, bringing significantly more compute power to large data centers within a smaller footprint." NVIDIA Tesa P100 accelerators are the world's most advanced data center GPUs ever built, designed to boost throughput and save money for HPC and hyperscale data centers. The Tesla P100 for PCIe-based servers features four technology breakthroughs: New Pascal Architecture: Delivering 4.7 and 9.3 TeraFLOPS of double and single precision for HPC, 18.7 TeraFLOPS of FP16 for deep learning, the new architecture achieves a 12x performance increase for neural network training compared to previous-generation Maxwell-based solutions. Delivering 4.7 and 9.3 TeraFLOPS of double and single precision for HPC, 18.7 TeraFLOPS of FP16 for deep learning, the new architecture achieves a 12x performance increase for neural network training compared to previous-generation Maxwell-based solutions. 16nm FinFET : The Pascal architecture features the largest FinFET chip ever built, featuring 15.3 billion transistors built on 16 nanometer FinFET fabrication technology. This translates to both high performance and energy efficiency. The Pascal architecture features the largest FinFET chip ever built, featuring 15.3 billion transistors built on 16 nanometer FinFET fabrication technology. This translates to both high performance and energy efficiency. CoWoS with HBM2 : Unifying data and compute into single package for up to 3X memory bandwidth over prior-generation solution. : Unifying data and compute into single package for up to 3X memory bandwidth over prior-generation solution. Optimized AI Algorithms: New half-precision instructions allow cards to achieve more than 18 TeraFLOPS of peak performance for deep learning applications. As an Elite member of the NVIDIA Partner Network Program, AMAX is stringent in providing cutting-edge technologies, delivering enhanced, energy-efficient performance for the HPC and parallel computing industries featuring NVIDIA DGX-1, Tesla K80, and Tesla K40 series. AMAX is now accepting pre-orders for DGX-1, quotes and consultations for Tesla P100. To learn more about AMAX, please visit www.amax.com or contact AMAX. About AMAX AMAX is a global leader in application-tailored data center, HPC and OEM solutions. Recognized by several industry awards, including the Best of VMworld and Intel Server Innovation Award for the CloudMaxConverged Cloud Infrastructure, AMAX aims to provide cutting-edge solutions to improve efficiency and cut costs for the modern data center. Founded in 1979 and headquartered in Silicon Valley (with additional locations in China and Ireland), AMAX is a full-service technology solutions provider specializing in innovative server-to-rack level solutions developed for data center, HPC, cloud and big data applications. From white box server-to-rack integration, high-performance deep learning platforms or converged infrastructure solutions featuring OpenStack, Open Compute and SDN, to a comprehensive menu of professional services, AMAX is the full-service partner you need to help modernize your IT operations. To learn more or request a quote, contact AMAX. This content was issued through the press release distribution service at Newswire.com. For more info visit: http://www.newswire.com To view the original version on PR Newswire, visit:http://www.prnewswire.com/news-releases/amax-launches-gpu-solutions-based-on-latest-nvidia-tesla-p100-gpu-accelerators-300296281.html SOURCE AMAX [ Back To TMCnet.com's Homepage ] anterior Gemelos sudafricanos planeaban un atentado contra la Embajada de EE.UU. y sitios judios en Sudafrica proxima La causa que investiga la muerte de Alberto Nisman se quedo sin fiscal otra vez Cliff Lipson/CBSStevie Wonder is asking his fans to love instead of hate. Performing at the British Summertime Festival in London's Hyde Park on Sunday, the legendary musician sent a brief, yet poignant, message to an audience of 65,000 people during his set. "I encourage you to choose love over hate. It's just that simple. Choose love over hate, right over wrong, kind over meanness. Hope over no hope at all," he said. After his speech, Wonder performed his song "Love's in Need of Love Today," from his 1976 Grammy award-winning album, Songs in the Key of Life. Wonder's statement follows in the wake of the tragic events of last week, including the shooting deaths of Philando Castile and Alton Sterling; the Dallas sniper attack, which left five law enforcement officers dead; and the dozens of arrests during protests across America. Copyright 2016, ABC Radio. All rights reserved. George Sibley George Sibley born on April 1, 1782, is probably best known as an Indian agent and educator. Although he also was an American explorer and unsuccessful politician. Sibley first gained recognition as an accountant or factor at Fort Osage in western Missouri, near present day Kansas City, Missouri, in 1808. While at Fort Osage he was credited with establishing good relations with the Osage Indian tribes. Due to Sibley's diplomatic manner, he led an expedition to improve relations with the Pawnee and Kansas Indian tribes. The Indian tribes trusted Sibley, and so once the War of 1812 began the United States Government asked Sibley to move back to St. Louis and "cement" relations with the Indian tribes. The Government was fearful that the British would entice the local Native American tribes to attack Fort Osage. With Sibley acting as a loyal friend to the Indians in the area, the British were unsuccessful in bringing the Native American tribes to attack the fort. Following the closure of Fort Osage, the Government asked Sibley to survey the trail between Missouri and Santa Fe. The trade between the United States and the Mexican government was growing significantly. Prior to George Sibley's expedition to the Southwest, travelers were periodically raided by Indians along the way. Therefore, in addition to surveying the road, Sibley was required to negotiate treaties for safe passage along the route. The tasks for this assignment lasted between 1825 and 1827. The route that Sibley found was later referred to as "The Santa Fe Trail." After his assignment in the Southwest, Sibley and his wife Mary moved to St. Charles, Missouri. As Mary had attended college, she was called upon to establish a school for the local children. Mary's career as an educator developed into The Linden Wood School for Girls, later known as Linden Wood College, and today known as Lindenwood University. George had a limited role with the school, as Mary served as the school's lone headmaster. This gave George more time to explore a political life. He ran as a Whig for U.S. Congress, but pulled out of the race at the last moment. Between 1839 and 1840, Sibley served as President of the Missouri Internal Improvements Board and as a railroad commissioner. Another attempt in gaining public office failed as he ran for a seat in the Missouri Senate and lost. With the assistance of George, the Lindenwood College's reputation as a predominant women's school prospered. However, with ailing health, he would deed Lindenwood over to the Presbyterian Church. George succumbed to his many illnesses and died on January 31, 1863. Both George and his wife Mary are buried on the campus of Lindenwood University. Illinois students make University of Kentucky dean's list LEXINGTON, Ky. -- The University of Kentucky has released its dean's list for the spring 2016 semester. A total of 6,640 students were recognized for their outstanding academic performance. Area students who earned the honor are: Robyn Blood, Mattoon, sophomore, biology Julia Elyse Bolinger, Shelbyville, junior, undeclared Kasey Elizabeth Considine, Mattoon, sophomore, pre-integrated strategic communications To make a deans list in one of the UK colleges, a student must earn a grade point average of 3.6 or higher and must have earned 12 credits or more in that semester, excluding credits earned in pass-fail classes. Some UK colleges require a 3.5 GPA to make the deans list. Purdue honors students for academic achievements WEST LAFAYETTE, Ind. - About 15,500 Purdue University students earned academic honors for the spring 2016 semester. Local honors include: Rhett Farmer of Mattoon Jeffrey Flach of Effingham Tara Thompson of Tuscola To earn honors, students must have had at least a 3.5 semester or cumulative GPA on a four-point scale. Huels graduates from Fort Lewis College DURANGO, Colo. -- Matthew Huels of Altamont graduated from Fort Lewis College on Saturday, April 30. Huels received a bachelor's degree with a major in Geology. Over 500 students participated in the spring commencement ceremony. CHARLESTON (JG-TC) -- Online registration for the Charleston school district 2016-17 school year starts July 18. This will be the second year the school district will use the online registration method. The registration will be for all students who will attend schools in the district, including students who are district residents but will attend special education facilities in other locations, according to a news release. The release said students will be registered and fees can be paid online by using logins and passwords with the district's Skyward system. It said parents and guardians who don't have Skyward accounts should contact the district's technology department by email. The department's email address is tech@charleston.k12.il.us and emails should request a login and include the student's name and school, the release said. It also said there's a registration assistance session scheduled for parents and guardians who don't have internet access, who don't have access to a computer or who need other help. The session is scheduled for 1-7 p.m. on July 28 in the Charleston High School media center, the news release said. For students who are new to the district, parents and guardians must complete student registration information form before receiving a Skyward account, according to the news release. It said that will be available during the assistance session and at each of the district's schools. District officials indicated that they'd prefer students be registered by the end of the month to give time for planning for classroom assignments. The first day of student attendance for the year is Aug. 17. The news release said students who will be using school bus service should register by Aug. 5. That will allow for time to arrange bus routes, district officials said. SPRINGFIELD -- Despite a bill on Gov. Bruce Rauners desk that would block its plans, the Illinois Department on Aging is moving forward with proposed changes to a program that provides care to elderly Illinoisans so that they can remain in their homes. The departments community care program is designed to keep people out of more-costly nursing homes by providing help with tasks such as cooking, laundry and bathing. But facing an aging population and dwindling state resources, the department has proposed shifting more than half of the roughly 84,000 participants into a new community reinvestment program. The new program would cover those who are eligible for the current program based on their need for care but dont qualify for Medicaid. Thats currently about 43,000 people. The Rauner administration estimates that the change would save nearly $200 million a year by delivering services more efficiently hiring services to pick up and drop off laundry rather than paying workers to do it in clients homes, for example. Spokeswoman Veronica Vera wrote in an email that the department remains committed to the implementation of the Community Reinvestment Program and its mission to continue providing the services necessary to keep seniors in their homes longer and do so in a fiscally responsible and sustainable manner. The department is continuing to meet with the local agencies that will implement the program in anticipation of a January launch date, Vera said. But the bill on Rauners desk, which was sent to him late last month, would prevent the department from making its proposed changes. It would also codify in state law eligibility standards that the Rauner administration had previously attempted to tighten. Sponsored by Rep. Greg Harris, D-Chicago, the bill was approved with strong support in both chambers of the General Assembly but fell shy of veto-proof majorities. Proponents say the departments proposed changes could result in more elderly residents ending up in nursing homes, which would cost the state more in the long run. At an April news conference announcing the legislation, Harris called the departments plan a bad experiment, especially when thats being done in an untested way statewide. Ryan Gruenenfelder, manager of advocacy and outreach for AARP Illinois, which opposes the new program, said the group is urging Rauner to sign the bill but acknowledges that its unlikely he will. Given that it would block changes Rauner has advocated, Gruenenfelder said, were not naive enough to think he will sign the bill into law. Instead, AARP is focusing its attention on winning the support of the additional lawmakers whose votes would be needed to override a seemingly inevitable veto. This is just so important to prevent these cuts from happening, Gruenenfelder said. While the department says it will continue providing services to all those eligible for the current program, he said AARP is concerned that a waiting list could be implemented for the new program if there arent enough resources to go around. Under a 1982 federal court order stemming from a class-action lawsuit, the state is required to begin providing services to those eligible for the current program within 60 days of receiving their applications. Vera said the department "does not anticipate a wait list for the implementation" of the program and "is diligently working to avoid the possibility of a wait list and intends to abide by all federal and state laws." Bryan College of Health Sciences on July 1 welcomed Richard Lloyd , Ph.D ., as the next college president. "We are pleased to bring back to Nebraska an educator like Dr. Lloyd, whose enthusiasm and wealth of experience will guide Bryan College of Health Sciences to even greater achievements in the education of health professionals," said Kim Russel, president and CEO of Bryan Health. Dr. Lloyd grew up in Hastings and earned an undergraduate degree in English from Hastings College, a master's from Emporia State College of Emporia, Kan., and a doctorate in English from the University of Nebraska-Lincoln. He was on the Hastings College English Department faculty for more than 20 years and during that period served as vice president for academic affairs, dean of faculty and vice president for college initiatives. For the past four years, Dr. Lloyd has been president of the College of Saint Joseph in Rutland, Vt. Union Pacific is Nebraska's top brand, according to a study from consulting firm Brand Finance. Union Pacific came in at 73 on the list of the 500 most valuable U.S. brands, with a value of nearly $7.7 billion, up 11 percent from last year. Berkshire Hathaway and Cabela's were the only other Nebraska-based companies to make the list. Berkshire ranked 313th, with a brand value of just over $2 billion, down 8 percent from last year. Cabela's ranked 491st, with a brand value of $1.2 billion. The top of the list was dominated by tech companies, with Apple, Google and Microsoft ranking first, second and fourth. To see the full list, go to: brandfinance.com. The Amber Alert for a 2-day-old infant was canceled Monday morning when Bentley Tuttle was found safe about 7 hours after he was taken. Police put out the alert just after 10 p.m. Sunday for Bentley, who was last seen at Faith Regional Medical Center in Norfolk. He was found Monday morning and is in the custody of the Department of Health and Human Services. Authorities had placed the baby in HHS custody Friday, the day he was born, because his mother, 34-year-old Lacee Tuttle of Pierce, tested positive for methamphetamine and marijuana, according to an affidavit in support of a warrant for her arrest. Officials had discussed placing the baby with a family member, but police said Tuttle took the newborn from the hospital about 8 Sunday night. Police said security video shows the baby's father, Chad Raff, leaving the hospital carrying a diaper bag, placing the bag in a green 1997 Pontiac Trans Sport minivan and then getting into his own car. A few minutes later, Tuttle left the hospital with the baby by a different exit, authorities said. She got into the minivan and both vehicles left the hospital grounds, according to an affidavit written by Norfolk Police Detective John Hobbs. Hospital staff immediately notified police that the mother and baby were gone. A security bracelet that alerts hospital staff when a newborn is taken from the maternity ward or when the bracelet is cut had been taken off intact and left in the hospital, the court document says. The Madison County Sheriff's Office found the minivan several miles west of Norfolk on U.S. 275, according to a news release from the Norfolk Police Department. Tuttle and the baby were not with it. Authorities say Tuttle had contact with a family member about 5:30 Monday morning in Pierce. Police there arrested her soon after on a warrant for kidnapping. She is expected to be transferred to the Madison County Jail. Police interviewed Raff and released him with no charges at this time, Norfolk Police Capt. Don Miller said. An Amber Alert has been issued for a 2-day-old infant abducted in Norfolk. Norfolk Police Department officials say the newborn was last seen at Norfolk's Faith Regional Medical Center. They believe the child, whose name is Bentley Tuttle, to be in danger. Bentley is described as a 2-day-old male with short dark hair. He was last seen wearing a white t-shirt with a Winnie the Pooh diaper and a blue checkered fleece blanket. He may be in the company of Lacee Tuttle, a 35-year-old white female, 5-foot-5 with brown hair. She was last seen wearing denim capri jeans and a blue shirt. Chad Raff, 29, is also a person of interest. He is 5-foot-10 with blond hair, and was last seen wearing blue jeans, a brown shirt and a black hat. Officials believe the group may be traveling in a Blue or Black Chevy Venture van with no plates, or a gold 2008 Buick Lacrosse Utility, license plate 40-F416, that was last seen exiting the hospital parking lot. Call 911 or contact Norfolk Police Department at 402-644-8700 if you have any information on Bentley's whereabouts. Lincoln resident Shawna Westbrooks fears for the lives of her six children every single day. She watched chaos unfold after Michael Brown, a black man, was killed by police in August 2014 in Ferguson, Missouri. She read about 17-year-old Trayvon Martin, also black, who was fatally shot by George Zimmerman in Sanford, Florida. And most recently, she and her family saw the black community weep after two more black men had their lives taken by those sworn to serve and protect. Now, she cant help but wonder -- could one of her boys be next? Any day, they can just be singled out because of what -- theyve got on a hoodie? Because theyve got earphones on? Because their phone in their pocket looks like a gun? she said. Its unreal. She added: Unfortunately with the skin they were born in, they have a possibility of losing their life just because were a few shades darker than them. It was for her kids sake that she packed up the family and joined the hundreds of people who sat in front of the Capitol on a scorching Sunday afternoon for a Black Lives Matter rally. It was for her kids sake that her voice chimed in with their chants, "United we stand, divided we fall." She wants to open peoples eyes. The more people know, the more aware they are, Westbrooks said, and the more peace will follow. We (blacks) arent all bad. We all want the same exact thing that every other race does, Westbrooks said. We dont want to be singled out because our skin color is different. Chris Morton was inspired to organize Sundays protest after participating in a rally in Omaha on Friday. He said his hope is that the protest will get attention from public officials and that some reform can take place. The entire goal of this protest is to raise awareness and to show the people of Lincoln as well as our officials, this isnt just going to go away, Morton said. Were going to continue to have rallies, were going to continue to apply as much public pressure as we can. Lexie Jackson said she also sees some people just hoping that the issue will solve itself. She channeled the words of Martin Luther King Jr., If you dont stand for something, then youll fall for anything. If you dont open your eyes to (the racism), then its kind of like youre supporting it, Jackson said. Standing up for what you believe in is so important. Its important that people understand that weve been going through this for 200 some years, there just needs to be a change. Olivia Willis was among the hundreds on Sunday, hoping to clear up what she says are misconceptions about the Black Lives Matter movement. She said she thinks people have the idea that being pro-police and pro-Black Lives Matter are mutually exclusive. I think everyone can be pro-cop and pro-Black Lives Matter, she said. Thats how it should be. Although Jevonte Holmes, a protester, said he thinks Lincoln law enforcement isnt as bad as it is in other bigger cities, he does believe discrimination exists. He said he believes he has been targeted by law enforcement because of the color of his skin. I feel that its more hidden here, because theyve got to maintain a better rep than other cities because theyre known for being so good, Holmes said of Nebraska Nice. Black people driving in their cars will be pulled over first because they have more suspicion toward them, they think theyre up to something. Ive been a victim of it myself. Westbrooks said Lincoln is the only place she feels safe, as a black family. Im from California and I wouldnt dare take my kids back there, Westbrooks said. My husband is from Chicago, I wouldnt dare take my kids there. Were just going to sit down and hope that these things dont roll over to this state. Its so much better here than it is in other places, Westbrooks said. Its not going to be perfect, but with Lincoln itself, I dont have a problem with none of the officers here." Although Jackson sees no gaping problems within the local law enforcement system, she believes there will always be room to improve relations. Every city has its own issues and we might not be as bad as some cities, but that doesnt mean that there cant be some improvements, she said. Sunday's rally had at least one ugly moment when two white men in a red pickup truck taunted the crowd with an obscene gesture and did a "burnout" -- emitting exhaust from their vehicle to try to disrupt the rally. Protesters threw water bottles in response. The driver got out and began to approach rallygoers, but quickly retreated and drove off when he realized he was outnumbered. Lincoln Police Department Capt. Bob Farber said LPD was aware of the incident and talked to the men in the pickup. No citation was issued. There were no other problems, he said. LPD Capt. Danny Reitan, who was on patrol, said many who attended the rally, both white and black, were respectful and grateful for police and the service they provide. As Westbrook sat back and watched the crowd react to the men, she reprimanded the people who have responded to the violence with more violence. Even with all my people being killed, it doesnt justify everybody else going out and killing these cops. Its senseless, she said. "They dont all deserve it. Theres bad seeds everywhere, we all know that. But there are better ways to go about it. She added: Thats not going to do anything. All this is is a big old cycle repeating itself. Its not getting any better. Its getting worse. Jackson, like Westbrook, said she thinks discussion is the start of the solution to the countrys race problem. We have to have open dialogue, with everybody being open to other peoples suggestions, Jackson said. We have to come to some kind of common agreement, a common solution. But its being going on so long, its almost like, where do we start? Back in the 1970s, Ann Irvine's youngest son wrote a report for school. The report was on sharks, and little Mark had a question for his mom: Why aren't there woman-eating sharks? After all, sharks apparently ate men and, at the Irvine house in Lincoln, the family didnt assign gender labels -- thanks to their mother, a peace-loving, fairness-believing, former Lincoln Public Schools administrator. She really was so far ahead of her time, her oldest son, Brad Irvine, said. The big word in education and society today is inclusion, and she was living that out in the 70s. Irvine was 79 when she died June 8, more than a decade after being diagnosed with Alzheimers disease. She taught home economics when she was younger, later transforming the program as family and consumer science coordinator for LPS. In 1980, she became the districts educational equity administrator, fighting for the rights of all students regardless of race, gender or religion. She built a student parenting program that would become a national model and was eventually featured in National Geographic. The program was not immediately popular with some of her superiors, Brad Irvine said. But she staunchly defended it, saying: You wouldnt want them to drop out, would you? You wouldnt want them to have to go on welfare? She knew if a kid gets pregnant and becomes a high school dropout, that it wasnt good for the student, it wasnt good for the baby, it wasnt good for society, Brad Irvine said. She was a wonderful leader, said Becky Moock, a retired LPS family and consumer science teacher mentored by Irvine. I consider her the wisest person I ever met. She wasnt just smart, she was wise. Irvine genuinely cared about people -- seeing their strengths and coaxing them out, Moock said. When I was young in my career, she told me I was a quiet leader. That made such a huge difference, and had such a huge impact on my life. Moock remembers the birth of the student parenting program. It was the first in the country, and certainly the best model I have ever seen. Over the years, Irvine was honored for her work with awards that included the YWCA Tribute to Women, Lincoln NAACPs Outstanding Educator and Advocate for Multicultural Education, KZUM Cultural Awareness, First-Plymouth Notable Woman. After retiring from LPS, she taught critical thinking at the University of Nebraska-Lincoln and became a Sylvan trainer, teaching courses that encouraged creativity and positive thinking. All my life, she had this mantra, said her daughter, Karen Irvine. Of course you can, of course it will all work out. As Irvines Alzheimers disease progressed, she moved to Fort Collins, Colorado, to be closer to her daughter. Helping care for her was a blessing, Karen Irvine said. Although her mother no longer recognized her children or her grandchildren, she remained her positive and affirming self. Thanking people, telling them how beautiful they were. That was her core. She never lost that. After she died, Mark Irvine wrote a few words for his moms service, describing her lifelong habit of highlighting passages in books. He wrote that she was a highlighter of people,' Karen Irvine said. That she was always drawing something out of people. That trait is an enduring part of her legacy, said Brad Irvine, a teacher at Lux Middle School. She did so much for Lincoln Public Schools and the students we serve, and that continues to this day. A memorial service for Irvine will begin at 1 p.m. Thursday at First-Plymouth Church, 20th and D streets. The economic dangers of Britain's exit from the European Union are probably exaggerated. Britain is in a bit of trouble, since falling real estate prices might spark a recession there. But it seems unlikely that the spillover to the global economy will be severe. British trade policy probably won't change much, and extremists in the U.K. Independence Party, which spearheaded the "leave" campaign, are unlikely to take power. The EU itself is on shaky ground, but that was just as true before "Brexit." Brexit's real importance probably comes not from its direct effects, but from its symbolism. It's a sign of a much bigger, broader trend -- a global political regime change. The shift was happening before Brexit, and it will continue after. It's something we should be worried about. In the aftermath of World War II, the globe was divided into two main blocs -- a U.S.-led group that embraced liberal democracy, capitalism and free trade, and a Soviet-and-Chinese-led group that favored autocracy, economic isolationism and various forms of communism. Neither side was particularly unified, and neither one lived up fully to its professed ideals. But gradually, the liberal bloc succeeded economically and socially, and the other one failed. As this became apparent, many countries started changing their institutions to be more like the U.S. China and other authoritarian countries liberalized their economies, while many others converted from autocracy to democracy. In recent years, that trend has halted, and the institutional tide now appears to be moving in the other direction. Illiberalism -- political autocracy and restriction of civil liberties -- are on the rise. Many organizations track these trends, and they tend to have different definitions of democracy and freedom. But they all seem to agree on the broad trend. For example, Freedom House, an organization sponsored by the U.S. government, says that freedom in the world has been declining for the past 10 years. Since 2006, the number of countries it records as having experienced declines in freedom has been greater than the number of countries where freedom has advanced: If you weight the world by population rather than by the number of countries, the retreat for democracies is less dramatic, but still clear. This is a bad development. Of course, human rights and civil liberties are immeasurably valuable in their own right. But a less liberal world is also a more dangerous one. As psychologist Steven Pinker extensively documented in his book "The Better Angels of Our Nature," war has declined a great deal since the end of World War II. There are many theories for why this has happened, but two of the leading ones are the democratic peace theory and the capitalist peace theory. The former holds that democracies tend not to fight each other, and the latter says that countries with freer markets have fewer disputes. Evidence generally supports both theories, meaning that the U.S.-led liberal project has reduced war via multiple channels. But as Pinker cautions, the decline of war is no historical certainty. The pattern could reverse at any time. If the U.S.-led liberal order is really collapsing, it could herald perilous times ahead. One especially fraught spot is the South China Sea. China has claimed most of that body of water as its sovereign territory, which has presented a challenge to the U.S.-backed postwar convention of freedom of the seas. With many smaller nations -- including some U.S. allies like the Philippines -- pressing their own rival claims in the area, the South China Sea is a tinderbox that could produce armed conflict between China and the U.S. Such a clash, between the world's largest economies and most powerful militaries, could easily spiral into a broader conflict or touch off a descent into geopolitical anarchy. If the mercurial and pugnacious Trump pulls off an upset win in November, this threat to global prosperity and security rises. Meanwhile, the South China Sea is far from the only hot spot; a Russian invasion of the Baltic countries, which are part of the North Atlantic Treaty Organization, could also trigger a major conflict and reverse the gains of the past 70 years. So the real danger to the world isn't Brexit -- it's the rise of illiberalism. This is definitely something we should be very worried about, and do everything in our power to resist. On the night of her graduation from Bellevue University, 21-year-old Sarah Root of Council Bluffs was killed after her car was rear-ended in Omaha by a pickup truck driven by a 19-year-old immigrant who was in the country illegally. Eswin Mejia, a citizen of Honduras, was arrested for motor vehicle homicide and jailed. Police said he had a blood alcohol content of .241 percent, more than three times the legal limit. Police said he was street racing when the accident occurred. Mejia might still be in custody, but the U.S. Immigration and Custody Enforcement agency turned down repeated requests from local authorities to hold him for at least another 48 hours. He disappeared the day after his bail was set. As Sarahs father, Scott Root put it, it should be common sense that ICE would put a hold on illegal immigrants who are involved in crimes in which someone was killed or seriously injured. But apparently it will take federal legislation to ensure that the incident is not repeated. Thats the goal of a bill introduced by Sens. Deb Fischer and Ben Sasse of Nebraska and Sens. Chuck Grassley and Jodi Ernst of Iowa. The legislation would take away the discretion ICE officials now have to refuse to take custody of illegal immigrants in such cases. At a Senate hearing ICE officials said that Mejia was not detained because they believed that Root was injured not dead when the agency was notified. (This was not true, according to official records. Root died in the hospital early Feb. 4 after her organs were harvested. The bond hearing, which lasted less than three minutes, took place in the afternoon.) Its true, as a spokesman for the Federation for American Immigration Reform told the Des Moines Register, that there is plenty of blame to go around in the case. The judge who set bail at $50,000 was not informed that Mejia had failed to show up at previous court appearances, for example. And Congress has been woefully negligent for decades in addressing the major problems with immigration policy. Too often Republicans and Democrats are more interested in using immigration issues to score partisan points. But the bill introduced by the four senators is a narrowly focused piece of legislation that would address a specific problem. If the senators can squeeze the bill through the gridlock in Washington it would be a worthwhile accomplishment. 2016 marks my 89th Fourth of July. Being born in 1927 at Fort Sam in Houston, Texas into an Army family and then serving 32 years myself, more than a dozen Fourths were observed overseas, in Korea, Vietnam, the Philippines and Europe. The remainder were in more than a dozens states, from the US Naval Base in Newport, Rhode Island to the US Army's Schofield Barracks in Hawaii. What a great Opinion page the Journal-Star gave us on the Fourth! As I age, I read the Declaration of Independence slower and more carefully, and with growing empathy for its composers. It is eloquent and it is elegant while still being incisive. Local Jake Messersmith's letter to your editors, which appeared just below, was no less so ("Live up to promise of nation," July 4). Like many others, I fret constantly about the threats our nation and our independence, including the internal divisiveness some politicians feed on inciting. As long as we have the likes of Donald Trump we'll be in peril but as long as we have Messersmiths, and Journal Stars to speak against them, we'll just take on the challenges and survive. Tom deShazo, Lincoln WASHINGTON A 27-year-old man from Omaha who worked for the Democratic National Committee and had been active in multiple Nebraska campaigns died after being shot in northwest Washington. Police say Seth Conrad Rich died Sunday after he was shot multiple times. The shooting occurred around 4:15 a.m., Acting Capt. Anthony Haythe of the Metropolitan Police Department's homicide branch said at a news conference Monday morning. Rich lived in the area. Haythe said there were no witnesses and police have no suspects. Investigators are looking into all possible motives, he said. Rich's title at the DNC was voter expansion data director. He worked on computer databases to help voters identify and map their individual polling locations. DNC Chair Debbie Wasserman Schultz called Rich a "dedicated, selfless public servant who worked tirelessly to protect the most sacred right we share as Americans." "He was a joy to have as a member of our team," she said. "Our hearts are broken." "I've never known a prouder Nebraskan," Jack Vickers, midwest political director for the DNC, said. "Seth was never seen without a Cornhuskers hat and he's going to be missed dearly around here." Rich's father, Joel I. Rich, told the Washington Post in a phone interview from his home in Omaha that "politics was in his blood." Rich's father said police told him they believe the attack may have been the result of a botched robbery. He said nothing was taken from his son and he told the Washington Post he believes his son struggled with his assailant before he was shot twice in the back. Jane Kleeb, Nebraska's incoming Democratic Party chair, said in a post on Facebook that Rich had "a smile no one will ever forget. ... Our love and respect goes out to his family and friends." Rich graduated from Omaha Central High School and Creighton University. He worked on campaigns for Sen. Ben Nelson, Scott Kleeb and Tom White. Rich also worked for state Sen. Heath Mello of Omaha. Gov. Pete Ricketts said Monday the country needs to come together after a week in which five police officers were slain in Dallas and two black Americans were killed during police stops in Louisiana and Minnesota. "It's been a tough week for the country," Ricketts said in opening his monthly statewide radio call-in broadcast. "We need to come together and talk about it." Ricketts referred to victims in Dallas, Louisiana and Minnesota. Last week, in accordance with a proclamation issued by President Barack Obama, the governor directed that U.S. and Nebraska flags should be flown at half-staff until sunset on Tuesday in honor of police officers killed in Dallas during a protest over the black men killed by police. During the show broadcast on KFOR-AM, Ricketts was asked about his opposition to the re-election of Sen. Les Seiler of Hastings, a fellow Republican who is a member of the nonpartisan Legislature. The governor cited votes by Seiler that he said most people in his district would oppose, including support for repeal of the death penalty. Most Nebraskans are "more for keeping the death penalty to protect law enforcement officers," he said. Seiler has been "on the wrong side" of several issues, Ricketts said, including "voting to increase taxes." He supports Steve Halloran of Hastings, who ran ahead of Seiler in the 33rd District primary election in May. RACINE Bail was set at $75,000 cash Monday for the man arrested for making online threats against law enforcement officials the day after five Dallas police officers were killed by a sniper. Racine Police said Byron L. Cowan, 43, of Racine was arrested Friday by detectives and local FBI personnel after he posted threats against police officers and their families on social media. Cowan appeared in Racine County Circuit Court by videoconference from the Racine County Jail with his defense attorney, Anthony Jackson. Racine County District Attorney Rich Chiapete recommended $75,000 cash bail, as well as the condition that Cowan have no access to social media. In the current climate that we have in this country, Chiapete said, each and every one of these threats needs to be treated as a serious, real and credible threat. There is no place for this at all. The allegations contained in these posts is sickening. One of the posted threats from Cowan, who is black, encouraged black men across America to arm themselves and for every white officer to kiss there (sic) loved ones goodbye. This is war; were (sic) tired, Cowan is alleged to have written. Jackson first asked for a signature bond with the condition that Cowan have no contact with social media while out on bond. If that was unacceptable, he asked for $5,000 cash bail. As to the allegations, Jackson said, (Cowan) is a frequent poster on Facebook, and hes also actually also encouraged people to engage in nonviolent behavior in regard to the whole Black Lives Matter (movement). But we view these threats as not credible, and they were really made more so in the heat of the moment. However, Racine County court commissioner John Bjelajac said he had read the threats Cowan made online and took them very seriously. There is probable cause to believe he made those threats, he said, and set bail at $75,000 with the condition that Cowan have no Internet or social media contact by any means. The district attorneys office has charged Cowan with: Solicitation of threat against a law enforcement officer as a hate crime. That charge carries a sentence of up to 11 years imprisonment and/or a fine of up to $10,000, Chiapete said. Terrorist threats as a hate crime, which carries a sentence of up to 8 years in prison and/or a fine of up to $10,000. Disorderly conduct, which carries a sentence of up to 90 days in jail and/or a fine of up to $1,000. Cowans preliminary hearing on the charges was set for 8:30 a.m. July 20. Mother speaks After the brief bail hearing, Cowans wife declined comment, but his mother acted as family spokeswoman under the condition that her name not be published because, she said, the family has received online threats since Cowans story broke. We want protection, she said. She said Cowans daughter, daughter-in-law and nieces have been threatened online one poster even suggesting lynching, she said. Thats not acceptable, she said. You dont combat violence with violence. Like his lawyer, Cowans mother also said his posts were likely made in the heat of the moment, although she said she has not been able to talk to him since his arrest. Younger people dont think before they speak, she said. She said the family was in court to support Cowan and said she thought the authorities were making an example of him. She suggested some fines, maybe some jail time, as appropriate penalties, but not years behind bars. He didnt kill anybody, she said, but acknowledged that someone else could have acted on his posts. In the current climate that we have in this country, each and every one of these threats needs to be treated as a serious, real and credible threat. Racine County District Attorney Rich Chiapete RACINE A new member of the Racine Police force rolled into town in April and really stands out in the crowd. The 40-foot, all-purpose vehicle is meant to serve as a mobile command unit, from which police can set up a command post for critical incidents, special events or community-oriented policing. Having the vehicle allows us to do a lot of different things, whether it be a critical incident or hazmat incident or mass killing incident, Racine Police Lt. David Wohlgemuth said. Most of its use, though, will be for events like Ironman (triathlon). The vehicle was built by LDV Inc. in Burlington, one of the nations leading suppliers of command vehicles. LDV had a spec-vehicle made and available, but Racine Police made a few adjustments to it, calling on all of its divisions and other city departments for input. Its like a new house, Wohlgemuth said. We wanted to fine-tune it for all of our needs. Wohlgemuth said the vehicle has three sections: a conference room, galley and working area. The conference room contains two tables with collapsible pieces. There are two monitors in the room plus two radio banks and laptops available in storage compartments. In the galley of vehicle there is a mini-fridge, microwave, printer and storage compartments. There also is a pump sink in the galley. You cant drink the water, but you can wash your hands, which is better than hand sanitizer, Wohlgemuth said. The doors of the mini-fridge and the storage compartments are dry-erasable and can be written on. Many of the surfaces in the vehicle can be written on, Wohlgemuth said. There are dry-erase boards in the conference room and in the back work area. The working area is complete with five computers that are connected to the polices network so officers can log into their accounts on the computers. Having multiple compartments in the vehicle also allows police to conduct private interviews in a safe space. Inside and out On the vehicles exterior, there is a retractable awning on the side with a monitor that is hidden in a compartment under it. The awning is used for outdoor briefings and during inclement weather. The conference room can squeeze about 12 people comfortably whereas outside there is more room for people to stand. Though the vehicle is owned by the Racine Police Department, Wohlgemuth said he hopes other police and fire departments in the area can use the vehicle as well. Other departments frequencies are built into the units radio system and there is the ability to set up more using the antenna on the roof of the vehicle. We can deploy to other counties to help them, Wohlgemuth said. We want it to be a resource that is utilized more times than not. Frequencies for all jurisdictions will run through an extendable mast that extends into the sky. The antenna acts as a repeater system so that officers portable radios can communicate farther, somewhat like a cellphone tower. The mast includes a security camera that can capture images from a higher vantage point and can be transmitted into the vehicle. Powering the vehicle The systems in the vehicle are powered by a large generator and six batteries separate from the batteries used for the engine. The generator is fueled by the 50-gallon fuel tank. The batteries can be charged with a large wall charger. The vehicle also is equipped with halogen floodlights. You dont want to think of those things like mass killings happening in your community, but you have to plan for it and be ready for it, Wohlgemuth said. With this vehicle we have the ability to move a small office space out to that location for whatever length of time we need using the technology and different components of the vehicle. To date, the vehicle has been used for events such as the Color Run and the Fourth of July fireworks. Wohlgemuth said the vehicle will also be used at the Ironman event on July 17. Its like a new house. We wanted to fine-tune it for all of our needs. Lt. David Wohlgemuth, Racine Police Department In the wake of tragedy such as the 2012 mass shooting at a movie theater in Aurora, Colo., there is within Americans a desire to seek financial compensation, via the judicial system, for those the survivors find negligent. That desire isnt always fulfilled, but our civil justice system allows the survivors to seek such compensation. Jurors in May ruled in Cinemarks favor over 28 victims and their families who argued the nations third-largest theater chain should have done more to prevent the attack that killed 12 people and left more than 70 others injured, CBS News reported June 30. The survivors sued in state court, saying security lapses allowed for the July 20, 2012 attack at a midnight premiere of a Batman film. The Aurora theater shooting was a tragedy, without question. The shooter, James Holmes, was found guilty and sentenced to life in prison without the possibility of parole. A different group of jurors could not unanimously agree on whether Holmes deserved to die; surely some in opposition to the death sentence were swayed by Holmes history of mental illness. We would argue that there was no movie theater in the United States on that day or today, more than likely that was equipped to thwart a gunman wearing body armor and carrying a shotgun, an assault rifle and a semi-automatic pistol, enough firepower to kill 12 people and wound 70 more. We agree with the jurors finding that Cinemark could not have been expected to provide a level of security capable of thwarting a heavily armed gunman determined to kill. Heres where we lose sympathy for Cinemark: The theater chain is seeking more than $700,000 in compensation for court costs. The companys lawyers told a judge they need the money to cover the costs of preserving evidence, retrieving and copying records, travel and other expenses, according to court documents filed this month. A judge didnt immediately rule on the request. But Colorado courts allow the winning side of a court case to recover legal fees. Cinemark can legally seek compensation for its defense. But it shouldnt. If Cinemark had lost the case, it could have forced theater companies across the U.S. to adopt costly security measures that could have increased ticket prices significantly, experts said. So this was, unquestionably, a big victory for the theater chain. But Cinemark isnt a mom-and-pop small business which had a customer slip on the ice outside the store. It had $2.85 billion in revenue in 2015. Its the nations third-largest theater circuit. Whatever firm or agency is providing public-relations counsel to Cinemark should reconsider its advice, or should be fired. Cinemark comes off looking like a neighborhood bully, making a display of shaking down one to keep the whole neighborhood intimidated. In this case, the ones being bullied are those who identified the bullet-riddled bodies of their parents, siblings and children after a night out at the movies. They sought compensation and didnt receive it. Cinemark should take its victory and leave the field. House Speaker Paul Ryan has reached an agreement with the Donald Trump campaign for Ryan to speak at next weeks Republican National Convention. Ryan, R-Janesville, has clashed frequently and publicly with his partys presumptive presidential nominee, Trump, whose nomination will be the centerpiece of the convention that runs from July 18-21 in Cleveland. But their campaigns have agreed to give Ryan a platform at the event, according to Politico. The announcement gives long-awaited insight into the speaking lineup at the convention. Trump pledged to reveal the lineup last week but has yet to do so. Gov. Scott Walker and U.S. Sen. Ted Cruz of Texas, both former White House contenders, recently signaled their plans to speak at the convention. Many prominent national Republicans and prospective convention speakers known to be chilly to Trumps candidacy are skipping the event. Those include former President George W. Bush, 2012 GOP presidential nominee Mitt Romney, 2008 nominee and Sen. John McCain of Arizona, former Florida Gov. Jeb Bush and Sen. Marco Rubio of Florida. Even Ohio Gov. John Kasich, whose state is hosting the convention, is not expected to attend. Ryans speech will be 10 minutes long and will focus on the House Republican agenda and the sharp contrast between Republican ideas and four more years of Obama-like progressive policies; and the need for conservatives to unite around Republican candidates in advance of a critical election, Politico reported. Ryan told the news site: I really think the way we unite ourselves is we talk about our common principles, and we show how were applying them to problems and offering better ideas. And that should unite around these ideas and this agenda. And winning an election means you can put that agenda in place. The relationship between Ryan and Trump, as the nations top two Republicans, has been unusually contentious. Ryan initially declined to endorse Trump, though he later indicated he would vote for him a remarkable show of reluctance for a congressional leader to balk at backing his own partys likely White House nominee. Ryan also publicly rebuked Trump for initially declining to disavow the support of former Ku Klux Klan leader David Duke. He panned his plan to block Muslims who arent U.S. citizens from entering the country. Ryan disavowed Trumps accusation of bias toward an American judge of Mexican ancestry whos presiding over a Trump University court case, calling it the textbook definition of a racist comment. Last week, Ryan called out Trump for a post made to his Twitter account that contained a graphic picturing Hillary Clinton, a pile of cash and a six-sided star similar to a Jewish Star of David an image Ryan called anti-Semitic. Yes, you can transfer your domain to any registrar or hosting company once you have purchased it. Since domain transfers are a manual process, it can take up to 5 days to transfer the domain. Domains purchased with payment plans are not eligible to transfer until all payments have been made. Please remember that our 30-day money back guarantee is void once a domain has been transferred. For transfer instructions to GoDaddy, please click here. Action against private schools charging high education fee The Department of Education has directed the concerned District Education Committees to take action against the private schools charging high fees. After 14 long years, NOC becomes debt-free The Nepal Oil Corporation has finally been debt-free after 14 years. The state-owned utility paid the remaining portion of loans worth Rs1.41 billion to the government on Sunday, the NOC said. Construction work of NWP lands in controversy The National Wildlife Park has landed a controversy less than two weeks after Prime Minister K P Sharma Oli laid the foundation stone for the same. Dozens more arrests in Louisiana after leaders warn against protest violence Police arrested dozens more protesters in Baton Rouge, Louisiana, on Sunday after authorities warned they would not tolerate violence during street demonstrations over the fatal police shootings of two black men. Dr KC on 8th fast amid pressure Dr Govinda KC, an orthopaedic surgeon at the Tribhuvan University Teaching Hospital (TUTH), began his eighth fast-unto-death on Sunday, putting forth a four-point demand. FNJ condemns threat against journalist Koirala The Kantipur chapter of Federation of Nepali Journalists (FNJ) has condemned the threat against journalist Ashwini Koirala by one Anoj Shakya. Gold jewellery fraud racket busted The police have busted a fraud racket involved in intoxicating the people before robbing them off gold jewellery. Govt team leaves for China to study energy technology A government team led by Supply Secretary Shreedhar Sapkota left for China on Sunday at the invitation of China Electronic Engineering Design Institute (CEEDI) to observe its technology on converting fossil fuels into more efficient fuels. Govt to gift two pairs of rhinos to China The government has decided to gift two pairs of endangered one-horned rhinos from the wild to Chinese zoos as part of strengthening bilateral relations and for long-term support to biodiversity conservation. Japan PM Shinzo Abe claims victory in parliamentary election Japanese Prime Minister Shinzo Abe has claimed victory in an election for the upper house of parliament, saying voters backed his economic policies. Karki endorsed as first female chief justice The Parliamentary Hearing Special Committee on Sunday unanimously endorsed Sushila Karki as the chief justice, making her the first woman to head Nepals judiciary Kashmir 'mob drowns policeman' There are fears of more violence in Indian-administered Kashmir, after a policeman drowned when his car was pushed into a river, police say. Maoist party gives more time to PM Oli to implement deal The CPN (Maoist Centre) has decided to give some more time to Prime Minister KP Sharma Oli to implement the nine-point agreement signed with the party in May. Maoist Centre to hold another round of talks with PM The CPN (Maoist Centre) has decided to hold another round of talks with PM KP Sharma Oli about the formation of national consensus government. Missing roadmap Kathmandu is still not listening to protestors even after they have changed their extreme form of protest MPs urge India to expedite projects Amid reports that India-funded projects in Nepal are not making satisfactory progress, visiting Nepali lawmakers have requested the Indian side to speed up the construction of pending projects to enhance an environment of trust between the two countries. Naya Shakti Nepal applies for party registration at EC Naya Shakti Nepal, which former prime minister and Maoist leader Baburam Bhattarai announced last month amid much fanfare, has applied to the Election Commission for registration as a political party. Nepal-India relations wont improve unless theyre redefined in new terms Nepal has a close but difficult relationship with India, a country that surrounds Nepal on three sides. Nepal misses extended deadline to submit CEDAW report to UN The government has missed the deadline to submit to the UN its report on legislative and administrative measures adopted to address the concerns of women and to ensure gender equality Nepal Police adopts 'Police, My Friend' campaign Nepal Police has launched the 'Police, My Friend' campaign from Monday. Newly appointed CJ Karki takes oath of office and secrecy President Bidya Devi Bhandari has administered oath of office and secrecy to newly appointed Chief Justice Sushila Karki at a special programme organised at the Presidential Residence, Sheetal Niwas, on Monday. Officials express doubt over govt's commitment Although some progress has been achieved towards expediting the much-delayed Pancheswor Multipurpose Project, officials have expressed doubt whether the two governmentsNepal and Indiaare serious enough to operationalise the project. Oriental depositors picket Baluwatar Depositors of the troubled Oriental Cooperative on Sunday picketed the Prime Ministers official residence in Baluwatar, Kathmandu, demanding the government implement the recommendations of a high-level commission formed to probe irregularities in cooperatives. Rockfall dams Tamor River A landslide dammed the Tamor river at Sawadin VDC in Taplejung district on Sunday morning, leaving downstream settlements at risk of flooding should the artificial lake burst. SC issues show-cause notice to CIAA Chief Karki The Supreme Court has issued a show-cause notice to Commission for the Investigation of Abuse of Authority (CIAA) Chief Lok Man Singh Karki on Monday. Prahlad Rijal is a business reporter at The Kathmandu Post, focusing on the energy sector. Before joining the Post, Rijal was an online reporter at The Himalayan Times. Syangja coffee farmers report growth in sales Coffee farmers in the district have recorded a healthy growth in sales amid rising popularity of locally-produced coffee in the domestic market. TUTH resident docs express solidarity with Dr KC despite admin warning Tribhuvan University Teaching Hospital (TUTH) resident doctors have expressed their solidarity with the ongoing indefinite hunger-strike being staged by TUTH professor and senior orthopaedic surgeon Dr Govinda KC from Sunday. Welcome first step A timely budget is only the first step in boosting the govts spending capacity Who first? Questions like What, Where, When, How and Who arise while starting any venture. Yes, its hard to to tell when one enters the city limits Yes, they will make the city more inviting Maybe ... does it really matter? No, the signs in place are fine No, it would be a waste of taxpayer dollars Vote View Results Rommel Demano/Getty ImagesAll for the love! During tonight's VH1 Hip Hop Honors: All Hail The Queens event, many of the genre's finest will pay tribute to hip hop icon Lil' Kim. The LOX, French Montana, Desiigner, Dej Loaf, Teyana Taylor, Rich Homie Quan and Jessica White are all scheduled to honor the Queen Bee during the ceremony this evening, Billboard reports. Lil' Kim joins a roster of fellow honorees that includes Queen Latifah, Missy Elliott and Salt-N-Pepa. Kim is celebrating the 20th anniversary of her 1996 double-platinum debut solo album, Hard Core.Later this summer, she will also be featured on the nationwide Bad Boy Family Reunion Tour. VH1 Hip-Hop Honors: All Hail the Queens, will air Monday, July 11 at 9 p.m. ET live from New York City. Copyright 2016, ABC Radio. All rights reserved. FRIEDBERG, Germany The opportunities to learn from our sister cities and form business relationships became clear Thursday during our visit to Federal Mogul, which is a U.S.-owned company that manufactures automobile and industrial engineer parts. Of most interest, however, was the presentation by the county's Chamber of Commerce. Germany is widely known for its "dual training" program that identifies early the talents of a student and puts them into training. Students take a few years of classes to get them into a profession, and to advance, they learn while working. This ensures the individual is learning in ways that advance their profession and reduces student debt because income is earned while working and the training is often paid by the employer. Loyalty, also known as employee retention, in Germany is high, as is the belief that the business is investing in its employees. The dual training program is run by the Chamber of Commerce. The meetings over the past two days provided insight into the very different structure of the Chambers of Commerce in Germany. All businesses are required to join the Chamber unless they are a startup. This has its pluses and minuses. The positives are that all business are invested in advancing businesses as an industry and, as a result, there are abundant resources to develop programs that provide training, marketing materials and a powerful voice for business. It also means that chamber staff can focus on developing programs vs. trying to sell membership. On the negative side, when businesses are forced to do something, there is increased criticism of the accountability of the organization and transparency. The evening closed with the official opening reception for the sister cities visit in Friedberg. The "trade delegation," as all have come to know it, began with the arrival of 7 Rivers Alliance Executive Director Herr and myself, followed by La Crosse Mayor Tim Kabat. It was a wonderful opportunity to meet the people in Friedberg, who raised money in order to pay for the cultural events and meals we would participate in during days to come. We enjoyed homemade German foods and had guest performances by several of the groups that would be part of the festival to come over the weekend. Fairgoers take in the sights, sounds and smells of the Interstate Fair midway in the early 1950s at what is now part of the University of Wisconisn-La Crosse campus. In 1956, the city transferred the land to the state of Wisconsin, clearing the way for expansion east of East Avenue. The La Crosse Interstate Fair Association purchased land in West Salem, in the agricultural heart of the county. for the annual event. The grounds were designed by Gaylord R. Lewis, a nationally known design consultant of Findlay, Ohio. This year's fair will take place Wednesday through Sunday in West Salem. Anyone with more information about this photo or wishing to donate photos of the Coulee Region may contact the La Crosse Public Library Archives at 608-789-7136. Criminal charges are pending against a La Crosse man who fled from authorities during a 33-mile pursuit Sunday that ended in Vernon County. Mark Alan Smith, 50, fled from La Crosse police south on Hwy. 35 into Vernon County at about 1 p.m., according to the Vernon County Sheriffs Department. Smith swerved toward a sheriffs deputy who was trying to deploy spike strips south of Stoddard. The tires of his Ford Expedition deflated when he ran over spike strips at the Iowa-Wisconsin border in Crawford County. Smith continued to flee from deputies south on Hwy. 35 and took back roads in Crawford County toward Vernon County at speeds that reached 80 mph, according to the sheriffs department. They tried to stop him several times, but couldnt when he swerved or because of civilian traffic and narrow back roads. The pursuit ended when Smith twice struck Vernon County Sheriff John Spears squad. No one was injured during the pursuit. Smith remains in custody and faces multiple charges. Gundersen Health System is one of the first in the state and the only in the region to sell a life-saving drug for opiate users without a prescription. Narcan, a drug that temporarily reverses an opiate overdose, is available at all Gundersen and Degen Berglund pharmacies in La Crosse, Onalaska, Holmen and Prairie du Chien, effective Monday. Making it available supports our commitment to comprehensive efforts to fight this epidemic in the communities that we serve, said Michael Dolan, an internal medicine doctor at Gundersen. Customers must ask a pharmacist for Narcan, which runs $80 per dose and is administered as a nasal spray. They also will receive information about local drug treatment and counseling resources. Those who administer Narcan must call 911, even after it revives users, Gundersen emergency room physician Chris Eberlein said. With the increasing potency of narcotics on our streets, it has been my experience that a single dose of Narcan is not always enough to fully reverse an opiate overdose, he said. They can overdose again when the Narcan wears off. Walgreens and other pharmacies soon will make the drug available without a prescription. Tri-State Ambulance paramedics used Narcan on 139 patients in 2015 and 105 people so far this year. Those trained to administer Narcan through the AIDS Resource Center of Wisconsins La Crosse location reported using it 64 times this year. Currently, we have an appropriate supply of Narcan to meet the needs of the community and those we serve in the La Crosse area, and we are well positioned to ensure the continued availability of this life saving treatment for the the community," said Bill Keeton, ARCW Vice President for Government and Public Relations. HAMBURG, Iowa Roman Catholic officials say a priest charged with solicitation after a prostitution sting in southwest Iowa has been suspended from public ministry. The Diocese of Des Moines says in a statement the Rev. Dominic Yamoah has discussed his arrest on Saturday in Hamburg with Bishop Richard Pates. The statement released Monday says Yamoah "very sincerely regrets his actions" and he and the diocese will fully cooperate with authorities. Yamoah, who is 40, was pastor of churches in Clarinda, Bedford and Villisca. He's bonded out of jail. A phone listed for him rang unanswered Monday and he didn't immediately reply to an email. The diocese says it will make arrangement for a replacement pastor during the suspension which remains in place pending the outcome of the investigation and legal proceedings. BIG LAKE, Minn. (AP) Lylee Vue farms about 4 acres of land near Big Lake, Minn. She rents the land, where she grows everything from corn to potatoes to tomatoes to zucchini. Shes working on becoming certified organic, but says shell have to be chemical-free for five years to get the certification. Vue, who is originally from Thailand, spends a lot of her time peddling her vegetables at local farmers markets, where weather and the whims of consumers can greatly affect her bottom line. Vue also is exactly the kind of farmer the Good Acre is looking for. The new nonprofit, started by the Pohlad family, is helping small-scale farmers farm smarter and sell more. The organization, housed in a shiny new barn-red building in Falcon Heights near the University of Minnesotas St. Paul campus, is also reaching out to the public by way of community supported agriculture, or CSA, shares, classes and a farmers market. Its a new way of affecting the food supply in a positive manner from top to bottom, and the farmers who are a part of the Good Acre, which has been operating for less than a year, are already seeing the benefits. Last year, the first year I grew for them, it was wonderful, Vue said. My goal is to eventually sell all the food I grow to them. It will save me so much time, not having to go to all the markets. Increasing profits Farmers like Vue benefit from myriad services the Good Acre has to offer. Besides the CSA, which has already sold out for the year, the Good Acre is also aggregating the produce from 19 small farmers and offering it to institutions that would like to buy local produce but lack the time to deal with the different farmers it might take to supply their operations. Public school lunch programs in both cities, food service programs at universities and even a local culinary school will eventually buy from the Good Acre. Most farmers we deal with are 10 acres or less, and for institutions, thats a problem, said Emily Paul, director of kitchen operations at the Good Acre. For us, thats why were here. Nathan Sartain, culinary arts instructor and program director at St. Paul College, is interested in buying produce that might not look perfect, often called seconds. Its less expensive, and it also helps teach his students, who might eventually have jobs that depend on them being able to make food costs in a restaurant kitchen, a valuable lesson. It really doesnt matter how a piece of kale looks if Im going to braise it, Sartain said. If theres a couple of aphid bites on it, the micronutrient content is still there, the color is still there, the flavor is still there. Were using these things to learn cooking techniques, so were going to alter the look of the vegetable anyway. Paul said farmers often till the less-than-desirable-looking vegetables back into the soil, so having willing buyers like Sartain will help boost farmers bottom lines. The Good Acres 12,000-square-foot building has or will have other amenities that will help farmers make more money, too. Theres a room with pull-down hose sprayers and a little conveyor belt where farmers can wash their produce more easily. There are also three large walk-in coolers where farmers will be able to rent space for a nominal fee. We plan to use the wash station and the cold storage, said Phenhli Thao, a farmer who rents 12 acres in Inver Grove Heights and Ham Lake. Thao said he hasnt had anywhere to store what he doesnt sell at the many farmers markets he depends on for income. That produce can go bad within a day or two. Cold storage will extend the shelf life of vegetables for a week or so, Thao said. Sometimes, services can be as simple as helping an immigrant farmer, who speaks little English, find answers to problems or fill out grant applications. English is my first language and I know how to use the internet, Paul said. That isnt the case for all of our farmers. Translators are provided for farmers who need them, too. Classes The organization also offers classes for farmers who are looking for more efficient or sustainable ways to grow their crops, and also to community members who want to know what to do with that kohlrabi. The building is outfitted with a commercial kitchen where local chefs and nutritionists teach classes that help community members eat more healthfully. Eventually, Paul said, the hope is that other learning institutions use the kitchen for their classes, too. Since its a commercial kitchen, it will also be available for rental if a small producer of a product like jam or kimchee needs a place to make goods for sale. At a recent class, taught by local chef Beth Fisher, who until recently ran the very farm-to-table (as in the eatery has its own farm) restaurant, Wise Acre, students made a hearty rice bowl, filled with everything from a beet-and-apple relish to roasted root vegetables to lentils and curried walnuts. The classes run the gamut from that one, which is more focused on techniques, to a class that teaches what to do with your CSA share, to classes focused on making dinner on a budget. The organization has also offered CSA shares to low-income families through Appetite for Change, and they will teach them how to use the produce, Paul said. In addition, the group is building hoop houses, which are basically large greenhouses, on the land outside the building to help teach farmers how to extend their growing season. The possibilities for the building and the Good Acre, it seems, are endless. Its a really important thing theyre doing, and Im really glad theyre doing it, Sartain said. Theyre all really excited about what theyre doing and they should be. They can really make a difference. Besides the CSA, Good Acre is also aggregating the produce from 19 small farmers and offering it to institutions that would like to buy local produce but lack the time to deal with several small farms. MADISON Herman the Duck is returning to the air along with memories of a beloved Wisconsin airline company that operated at a time when stewardesses doled out matches and cigarettes to passengers and security lines and liquid restrictions were non-existent. Officials with the Aviation Heritage Center of Wisconsin announced last week that the nonprofit museum at the Sheboygan County Memorial Airport has spent $175,000 to purchase a DC-3 once used by North Central Airlines. The regional carrier has its roots in Clintonville, had its hub in Madison for a time, and in the 1950s and 1960s even served the northern Wisconsin resort community of Land OLakes. The town on the Michigan border is home to the Kings Gateway Lodge, a resort that in its hey day attracted performers such as Bob Hope and Lawrence Welk. The airline, known for its flying duck emblem on the tail of its aircraft, shuttled guests from Milwaukee, Minneapolis and Chicago to the resort and operated for more than 40 years with stops as far away as Florida and Arizona. At its peak, the company serviced more than 90 cities but ultimately was consumed during the deregulation of the airline industry in the 1970s. But North Centrals storied history is being gathered for a permanent exhibit that will help preserve memories of the airline. Volunteers have collected dinnerware, matchbooks, photographs, a baggage cart and clothing worn by employees. The museum has been promised a simulator that was used by North Central pilots while signs from the airline ticket office in the former Lorraine Hotel in downtown Madison have also been acquired. The plane will be the museums centerpiece but will be far from just a static display. The aircraft will be flown and serve as a traveling promotion for the airlines history and the Heritage Center, built in 2005 and home to displays about Wisconsin aviation. Officials say they would like to offer rides to visitors of the museum but also have the plane make trips to cities that North Central once served. Weve been looking for suitable aircraft for the last year or more, said Jon Helminiak, executive director of the Heritage Center. There are DC-3s out there but to find one that actually has a North Central Airlines history is unique because thats whats going to tug at the heart strings of the North Central alum. This aircraft actually flew for the airline and it actually carried passengers. The aircraft, built in 1941, can seat about 26 passengers and is being stored near Los Angeles. Helminiak wants to fly the plane to Wisconsin this fall and have it painted in the color scheme used by North Central in the 1950s and 1960s, a trip and project that could cost $35,000. The Heritage Center is in the midst of raising money and earlier this year secured $70,000 that has gone toward the planes purchase. That included $25,000 from the city of Clintonville to name the plane after the city in which the airline got its start. That can be traced to 1939 when executives of the Four Wheel Drive Co., a manufacturer of transmissions and heavy duty trucks, needed more convenient and faster transportation than trains. The plane service proved popular with other local business people who would hitch rides so, in 1944, the company created Wisconsin Central Airlines. In 1947, the airlines headquarters moved to Madison at what is now Wisconsin Aviation and a year later was serving 14 airports in Wisconsin, Minnesota and Illinois using three Lockheed 10A nine-passenger planes. By 1949, the airline had 32,000 passengers and continued to grow, which led to the addition of six DC-3s in 1951. The headquarters moved to Minneapolis in 1952, which resulted in the name of the company being changed to North Central, but the airline continued to serve Madison until 1979 when it merged with Southern Airways to become Republic Airlines. Northwest purchased Republic in 1986, and Delta merged with Northwest in 2008. Roger Hallingstad, a volunteer with the Heritage Center, is also traveling the Upper Midwest raising funds and awareness for the exhibit project. One of the donations received by Hallingstad, a Sparta native, was $14,000 from a Wisconsin man who wished to remain anonymous. The money was used to purchase a 33-foot motor home and cover it with signage touting the proposed exhibit. We need to preserve Wisconsins aviation history and it would be a shame to not let future generations know about this significant airline that was founded right here in Wisconsin, Hallingstad said. We want to do this exhibit now while those men and women who worked for the airline are still with us. It means a heck of a lot to them. The evidence can be found on the second Tuesday of each month. Thats when retirees of the airline company who still live in the Madison area gather for coffee and cinnamon rolls and to reminiscence. Last month, about a dozen former employees met at the Jet Room on the north side of the Dane County Regional Airport property where they also took in a presentation by Helminiak about the Heritage Center and the planned North Central exhibit. Hallingstads motor home was parked outside the front door and served as a billboard on wheels. Trudi Theisen showed up with the uniform worn by her husband, Robert, when he was a ground agent in Green Bay and Madison. The size 46, one-piece jumper had hung in a closet of their home for over 30 years. Im sorry I couldnt get the oil spot out of the back, Theisen said. I tend to like history and I just thought this was something Roger could use. Audrey Grimm, 69, of Wyocena, was a ticket agent in Wausau before she transferred to Madison in the 1960s. Her husband, Delbert, 75, was in operations and a ticket manager for the company. They donated pictures and a few sets of glasses to the exhibit. Everybodys kind of donating stuff of whatever we can find, Audrey Grimm said. It was just a big family. There were just wonderful people. Isie Weisensel Derr, now of Portage, worked from 1959 to 1999 under the North Central, Republic and Northwest airlines banners. Her work included staffing a ticket office in downtown Chicago across from the Palmer House Hotel, a stint in Milwaukee, five summers in Traverse City, Michigan; and customer service jobs in Minneapolis, Green Bay and Denver. Her last four years were in Madison. I loved it because of the traveling, Derr said. It was amazing, but after 9/11, I wouldnt want to be working for an airline. Diane Serslands late husband, Ken Sersland, who died in 2008, started in Clintonville, worked in Eau Claire and Oshkosh and spent 35 years in operations with the airline before he retired in 1981. Diane was a reservation agent for Northwest before becoming a ticket agent at the Park Motor Inn on the Capitol Square. She quit the business in 1963 after she met her husband but then became close with those with North Central. We knew everybody the pilots, co-pilots, flight attendants. Their favorite hangout was the Idle Hour (Supper Club) down the street here, Sersland, 81, said. It was one big happy family until (the airlines) started merging with everybody. The red, white and blue bunting is down, the flags are furled and the last of the fireworks have been sent aloft. And for far too many of us, that surge of patriotic fervor and effort found around July Fourth goes back into metaphorical storage for another year. Not that millions of our fellow citizens suddenly turn anti-American on July 5 far from it. Americans wear their love of country on their collective sleeves all year long, and on license plates, T-shirts and knickknacks galore. But there is a sudden, dramatic falloff in the depth of attention we give to our nation. Back to work, back to play, back to the daily grind and largely out of sight, out of mind are the spectacular, amazing, literally revolutionary messages that these United States have proclaimed since 1776 in the Declaration of Independence, since 1789 in the Constitution and since 1791 in the Bill of Rights: A respect for inalienable rights, a commitment to a strong central government restrained by the rule of law, and a profound pledge to honor the basic rights of its citizens. Each year we celebrate those messages in uniquely American ways: with songs and music on the National Mall, parties and picnics in parks, bright and noisy parades on Main Street and solemn moments in fields of honor nationwide. And then, our proud annual moments of national appreciation fade and we return to being citizens who know little and perhaps care less about how our freedoms really work. Too harsh? Once again, nearly four in 10 of us cannot name a single one of our core First Amendment freedoms. In fact, in the Newseum Institutes just-released State of the First Amendment survey, only one freedom speech could be named by even half of us. I wish I could say thats the first year since the survey began 20 years ago that such was the case. But its been the same every year. We revert to being a place where, to our joy, some 75 percent of us do not think the First Amendment goes too far in the rights it guarantees religion, speech, press, assembly and petition. But that also means that this year, 21 percent said we do have too much freedom (Some 4 percent couldnt even muster an opinion.) For another 364 days, we likely will again be a place where some distort how freedoms work, for political gain or social dispute. Not long after this years State of the First Amendment (SOFA) survey was posted, a self-proclaimed Facebook expert explained how Congress was restrained from tramping on free speech rights except, of course, for those damned flag burners who ought to be put in jail if not put to death. A fact for your next Fourth: Flag burning (and other attempts at flag desecration) as political protest has been protected by law for a quarter century. We may not like it and most of us dont, regardless of political views or religious or regional differences but we protect it as part and price of protecting free expression. As the Republican and Democratic national conventions approach, host cities prepare by staking out free speech zones, throwing up barriers and barbed wire, and gathering up insurance coverage to insulate themselves from the financial penalties of mass arrests of protesters who have every right to protest, but not to disrupt the proceedings in Cleveland and Philadelphia. We protect political speech above all others, so that the most robust and vigorous exchange of views about public policy and government conduct can take place. But even as the passions and rhetoric run hot around presidential politics, freedom of expression does not empower anyone to silence other speakers as a means of dominating the marketplace of ideas. And, we return after the Fourth to a nation more religiously diverse than ever before and as a result, a nation as challenged as ever before to live up to the First Amendments guarantee of no official favor or disfavor of any faith. In a time when terror comes wrapped in misplaced religious claims, we can carry forward Independence Day sentiments by rejecting the emotional or politically expedient calls to lower or abandon that gold standard of religious liberty. How else to live year-round in the spirit of the Fourth? Support free expression for our younger citizens. While this years SOFA survey showed strong support for adults and college students to speak freely, pushing back against those who would protect others from hearing that which might offend, just 35 percent support such rights for high school students. But how do we expect the next generation of national leaders to have a strong sense and native understanding of freedom if we deny it at the very time we are concluding the educational effort to provide a solid base for lifelong learning? If we were to transform Charles Dickenss A Christmas Carol into a First Amendment mid-summer tale, let us all leave behind the July Fourth fall off of old and resolve to keep the spirit and understanding of free expression and religious liberty in our hearts year-round. No humbug! to that, I would hope. Horror. Shock. Disbelief. Numbness. Grief. Anger. And terrible sadness. These fractured thoughts were all I could muster upon waking Friday to news of the ambush on Dallas police. They were still fresh in my mind from the night before when Id turned in early, exhausted by the images of 32-year-old Philando Castile dying in Minnesota after a police officer shot him. As we all know by now, Castile was African-American and the officer was not. It started as a routine stop for a broken taillight and ended in what has become a routine shooting followed by a routine headline. Black man shot by police officer. How many times must we read those words? Just 24 hours earlier, another black man, Alton Sterling, 37, was shot to death by police while being restrained in Baton Rouge, La. Like Sterling, Castile did have a gun. Castile also had a conceal permit, which he apparently told the officer as soon as he was stopped. Why would someone tell a police officer he had a gun if he intended to use it? Castile was reaching for his drivers license and registration when the officer opened fire, says his girlfriend, Diamond Reynolds. Reynolds used her cellphone to film the aftermath of the shooting, careful to address the officer as sir and follow his instructions. Over and over I watched the video, trying to imagine being in that car, while at the same time feeling shame about watching a stranger who is mortally wounded. Nothing is more intimate than death, which we all hope to face with dignity in the comforting presence of loved ones. Castile had no such luck. Instead, he was surrounded by millions of onlookers, most of whom, I feel certain, suffered with and for him. [Expletive]! [Expletive]! [Expletive]! On the video, we hear the officer repeating the F-word as he realizes what has happened. Reynolds is saying, Please dont tell me this, Lord. Please, Jesus, dont tell me that hes gone, she said. Please, officer, dont tell me that you just did this to him. My God. Friday morning, Castiles mother bore into the TV camera. She said people can look into her eyes, at that point 48 hours without sleep, and know that shes not going away until justice is served. Across the country, protesters had gathered peacefully Thursday evening to demonstrate against the shootings. Enough. Then suddenly in Dallas, the peace was shattered when shots were fired from a high vantage point. A shiver. Not again. When it was all over, five officers were dead and another seven were wounded. A suspected shooter is dead, too, killed by a police bomb robot. Why not. An un-human kills the in-human. Before he died, the man told officers he was upset about Black Lives Matter. He wanted to kill white people, and white policemen, reported Dallas Police Chief David Brown at a news conference. In Minneapolis, Gov. Mark Dayton said he thinks that if Castile had been white, the officer wouldnt have shot him. A retired New York City police detective wept as he spoke to CNNs Chris Cuomo about the bravery of the Dallas officers who, carrying only pistols, were wearing protective vests they knew couldnt deflect the bullets of the shooters weapon. Imagine. Then, too, imagine being a young black man who is taught early on that he has to be extra careful around the police. The worst will be expected of him. He shot his arm off, we hear Castiles girlfriend saying on the video. We see Castiles blood-soaked shirt; we hear him groan and watch his head drop. Black lives matter. White lives matter. Blue lives matter. Does anything matter anymore? What is happening to this country? A wall-mounted gun manufacturers video ad welcomes visitors to the Columbia, South Carolina, airport. In Chicago today, no one will be surprised if a child is killed in gang crossfire. Will another black avenger try to kill another white cop? Will police still give black neighborhoods protection? Were being hunted, said Castiles mother. Madness. For now we grieve with the families of the dead and talk of ways to stem the violence. But theres really only one way to stop the killing and it lies in changing our culture, beginning with recognizing every single persons humanity the black youths, the white officers, and every other in between. As Charles Blow, the New York Times columnist put it: Everybody deserves to go home. A year or so ago, I sat across a dinner table from an optimistic young woman (in her 30s, a millennial) and sternly explained to her why Hillary Clinton, were she to become the Democratic presidential nominee, would never pick Elizabeth Warren for a running mate. I deployed several reasons from my arsenal, the most pressing being that picking Warren would be an inherently risky move and Clinton had never been a risk taker. It would be out of character and therefore jarring to the public. I told my friend that I would be delighted to see a Clinton-Warren ticket, but it was simply never going to happen. You may have grown up in the era of Buffy the Vampire Slayer and, well, Hillary Clinton, I said, but there are still a lot of Neanderthals out there who think a woman cant be trusted with the security of the free world because shes too hormonal (regardless of her age) and erratic. Put two women in that position and the resulting misogyny would be so inflamed that it could impede the important work of government. Despite the you go, girl veneer of a two-woman ticket, I added, it would simply be too much, too soon. My friend told me I was wrong. She said I needed to have a little more faith in the American voter and in Clinton. She told me I was operating with an outdated mindset. As the Democratic National Convention approaches and Clintons decision grows imminent, I still suspect Im right that Clinton will never choose Warren. And more than ever, I would love to be wrong. The reasons that diehard progressives want to see Warren as the vice presidential nominee are obvious. She would bring some much-needed electricity to Clintons campaign. Her reputation as a populist crusader might offset Clintons as a member of the establishment elite. She might even get some of the more intransigent Bernie Sanders voters to quit stomping their feet and start working for the Democratic candidate already. Best of all, choosing Warren would be pardon the metaphor downright ballsy. Theres no question in anyones mind even, and maybe especially, in the minds of her enemies that Clinton is tough. She is weathering the harsh assessment that FBI Director James Comey delivered about her self-proclaimed email mistake. She has both won and lost grueling political campaigns. She has shown almost superhuman resilience in the face of decades of scrutiny and attacks. She has navigated a complicated marriage. As secretary of State, she demonstrated a willingness to deploy military force that, fairly or not, got her labeled a hawk. Toughness is not necessarily the same thing as gutsiness, though, and Clintons reputation for being calculated and strategic can too often feed the impression that her political passions take a backseat to her personal ambitions. Thats why the smart money for her VP pick remains on the safe choice, Virginia Sen. Tim Kaine, whose white male centrism promises to make Clinton seem less scary for those inclined to be scared. But for those inclined to be bored by Clinton, a little scariness could translate into excitement. The thrill would come not just because of Warrens undeniable appeal for a certain segment of voters but, crucially, because choosing her would offer us a glimpse of a wilder, more fervent Clinton than the one usually on view. Its no accident that the story of Clinton delivering a blazing 1969 Wellesley commencement address about, among other things, the art of making what appears to be impossible possible, retains an almost mythic quality among her devotees. She ditched her notes briefly to challenge the previous speaker, Sen. Edward Brooke, whom she perceived was defending President Nixon. In that younger Clinton, we can see a fearlessness thats gone by the wayside. That Clinton didnt hold her finger up and test the wind. Listen to the audio and hear her taking charge, consequences be damned. If Clinton chooses Warren as her running mate, it would be the ultimate throw down. It would be unabashedly bold, which is why I still think its unlikely. If it happens, Ill apologize to my millennial friend. And coming from a Gen Xer, thats its own kind of throw down. A conservative legal group aims to combat federal actions it says are hampering the ability of elected officials in Wisconsin to represent their constituents. The Wisconsin Institute for Law & Liberty on Monday announced the launch of its Center for Competitive Federalism and a new report titled "Wisconsin not Washington: Unleashing the creative potential of a purple state." The CCF will offer policy prescriptions, research and legal briefs and will file lawsuits to address what it describes as federal overreach, seeking to draw a clear line between states' and federal rights. The report released Monday aims to address a set of problems a group of Republican lawmakers said they face on a daily basis, all stemming from federal regulations and funding formulas. Mario Loyola, the center's senior fellow and director, specifically cited the Obama administration's Clean Power Plan, its Medicaid expansion and a set of guidelines regarding the treatment of transgender students in public schools. Lawmakers also noted issues with federal transportation funding. Those federal programs and guidelines present some lawmakers with a dilemma, Loyola said, arguing they are forced to choose between receiving federal support with "strings attached" or enacting policies that serve the constituents who elected them. "A lot of the problems we want to solve, we run into this brick wall," said Rep. Dale Kooyenga, R-Brookfield, adding that the federal government doesn't allow states enough flexibility to pursue effective solutions. The guidelines for the treatment of transgender students may be seen by some as a civil rights issue and the Clean Power Plan may be seen as necessary to save the environment, but those policies result in the federal government "taking over the functions of state government," Loyola said. States are seeing the results of a "federal government gone wild," said Sen. Duey Stroebel, R-Saukville, who called the transgender guidelines an example of "social engineering" and said a return to "local control" is needed. Republican Lt. Gov. Rebecca Kleefisch said she sees examples of federal overreach on a daily basis as she meets with constituents and hears their concerns about education funding, the Clean Power Plan, transportation funding or the Affordable Care Act. People who have problems with government most frequently have problems not at the local level, but with the "federal government and the long arm from Washington D.C. that reaches into their lives, stops progress and inhibits their trek up the ladder to the American dream," Kleefisch said. Asked whether they think presumptive Republican presidential nominee Donald Trump believes in competitive federalism, elected officials offered vague affirmation. "I certainly hope so," Kleefisch said. "I think weve yet to hear him speak about competitive federalism, but I think any Republican nominee who honors our platform as determined in convention will have to make sure they adhere to policies that elevate federalism, because we are the laboratories of democracy." Kooyenga said the emphasis on states' rights can jibe with policies promoted and passed by Republicans in the Legislature limiting the powers of Dane and Milwaukee counties because those actions "are protecting liberty and freedom at the individual level from onerous government at that level." Loyola also argued states are not political subdivisions of the federal government in the way municipalities and counties are subdivisions of states. The center's report praises Gov. Scott Walker's approach to government and offers several policy recommendations for a "purple state." "In a purple state like Wisconsin, reforms should stress not just limited government but good government," the report reads. "They should be not just pro-business but pro-competition, championing basic human rights such as the right to work, the freedom of association, and the freedom of contract. They should defend working families from the special interests that have captured American government in the last century." Recommendations include establishing a "Commission on Competitiveness" that would recommend legislative and regulatory changes on workforce training, infrastructure, manufacturing, technology and entrepreneurship; identifying agency requests that involve conditional federal funding; banding together with other states to oppose conditional federal programs; additional changes to the state's welfare system and streamlining "agencies and activities." The center will work in conjunction with the Wisconsin Policy Research Institute, another conservative organization in the network of groups funded substantially or in part by the Milwaukee-based Bradley Foundation. The Bradley Foundation will fund this effort, said WILL president and general counsel Rick Esenberg, and it is estimated to cost about $800,000 over three years. According to a finance report from the Bradley Foundation, it gave about $1.1 million to WILL to support the CCF in 2015. Scot Ross, executive director of the liberal group One Wisconsin Now and a frequent WILL critic, said the initiative is an effort to promote Walker's political agenda through litigation and "propaganda." "The arguments about federalism that they were making today were the exact same arguments that were made in the 1950s and 1960s to try and deny African-Americans legal protections under the law," Ross said. "And they can claim theyre not going to try and undo civil rights, but when they sit and literally reference Pres Obamas action on treating transgender people with dignity it blows a giant hole into the argument." The state Department of Justice, under Republican Attorney General Brad Schimel, has made a concerted effort to fight what it perceives as federal overreach, pushing back on federal initiatives including the educational directive for transgender students and a handful of environmental regulations. Loyola said he hopes Schimel and other attorneys general will be able to rely on the work done by the center. Sparta sex offender gets three life terms A judge on July 7 sentenced a Sparta, Wis., sex offender who raped a young girl to three consecutive life sentences in prison. A jury in Monroe County Circuit Court on June 29 convicted Harland Swenson Jr., 50, of three counts of sexual assault of a child for assaulting the 10-year-old girl in 2014. A life sentence was mandatory because of Swensons prior conviction for assaulting a child, but District Attorney Kevin Croninger argued that consecutive life terms sends a message to the victim that police and the jury heard her voice and gives courage to other victims who havent stepped forward. Attorney Russell Hammer said the prosecutor did not prove his case and that Swenson will appeal. Swenson did not make a statement, and no one spoke on his behalf. A Vernon County judge sentenced Swenson to four years in prison in 1993 for sexually assaulting an 11-year-old boy. - La Crosse Tribune La Crosse man arrested after 33-mile pursuit that spanned three counties Criminal charges are pending against a La Crosse man who fled from authorities during a 33-mile pursuit on Sunday that ended in Vernon County. Mark Alan Smith, 50, fled from La Crosse police south on Hwy. 35 into Vernon County at about 1 p.m., according to the Vernon County Sheriffs Department. Smith swerved toward a sheriffs deputy who was trying to deploy spike strips south of Stoddard. The tires of his Ford Expedition deflated when he ran over spike strips at the Iowa-Wisconsin border in Crawford County. Smith continued to flee from deputies south on Hwy. 35 and took back roads in Crawford County toward Vernon County at speeds that reached 80 mph, according to the sheriffs department. They tried to stop him several times, but couldnt when he swerved or because of civilian traffic and narrow back roads. The pursuit ended when Smith twice struck Crawford County Sheriff Dale McCullicks squad. No one was injured during the pursuit. Smith is jailed in Vernon County and facing multiple charges. - La Crosse Tribune A three-county police pursuit ended near De Soto, Sunday at 12:56 p.m., with Vernon County Sheriff John Spears vehicle being rammed twice by a La Crosse man fleeing officers. Spears was leading a moving roadblock which stopped a full-sized SUV being driven by Mark Alan Smith, 50, of La Crosse. Smith had eluded officers in La Crosse County, through Vernon County and into northern Crawford County, where his vehicle struck stop sticks that were deployed by the Lansing, Iowa, Police Department. Smith continued south into Crawford County and made several turns onto smaller back roads eventually turning back north and heading toward Vernon County. Officers deployed a moving roadblock with Sheriff Spears driving a Vernon County Sheriffs Department pick-up truck. Spears was parked along Hwy. 35 facing north when he saw Smith turn, under pursuit, into a long, northbound, straight stretch of Hwy. 35 known as the Lansing Dike. As soon as I saw him I pulled out and in front of him heading north, Spears said. I think we were going about 50 miles per hour and I heard Sgt. (Ken) Frye on the radio call to me Watch out, Sheriff. Hes coming up on you hard. Spears saw Smith pull behind his vehicle and Frye pulled his squad card to the left of Smiths vehicle. Smith then accelerated and rammed the back of the pick-up truck Spears was driving. The guy jumped on the gas before he hit me, Spears said. Then he slowed up a bit and Sgt. Frye was in perfect position and (Smith) hit me again. This time his bumper stayed right with mine and thats what we want, so we were able to slow him down from there. Spears slowed the roadblock with Frye, along with officers in squad cars from Crawford County and Lansing (Iowa), which brought Smiths vehicle to a stop. Smith was taken into custody without incident and was placed in the custody of La Crosse County authorities. The chase ended just south of the Vernon County line in Crawford County. Spears said Smith has to answer for what hes done because he put a lot of people in danger, but Smiths case in La Crosse County is being handled as a Chapter 51 mental health case and no further information is available. Because Smith was driving a full-sized SUV, Spears said hes thankful he was in the departments pick-up truck. Crawford County Sheriff Dale McCullick told me the pick-up truck allowed the moving roadblock, because it was big enough to handle the full-sized SUV, Spears said. I have nothing but praise for all of the officers who were involved and how they handled themselves. Spears said the chase was eventful well before Vernon County authorities became involved around noon on Sunday. According to the Vernon County Sheriffs Department, La Crosse County officials notified Vernon County Sunday that Smith was driving south on Hwy. 35 and he was suicidal. Spears said La Crosse area officers made several attempts to stop Smith. Vernon County deputy Eric Tollackson attempted to deploy spike strips just south of the village of Stoddard at the request of the La Crosse Police Department. Smith saw Tollackson as he approached and Smith swerved toward Tollackson, attempting to strike him, according to the sheriffs department. Because Tollackson had to jump out of the way, the spike strips were not deployed. If Deputy Tollackson slips or something else happens there, I hate to think of the outcome, Spears said. Smith continued south on Hwy. 35. At this time Crawford County and Allamakee County (Iowa) officers were notified. The Lansing, Iowa, Police Department was asked to place spike strips at the Lansing Dike along Hwy. 35. Smiths vehicle, struck the strips on both the passenger front and rear tires, which began to deflate. The pursuit continued south on Hwy. 35 with Crawford County and Lansing (Iowa) squad cars involved. Smith turned onto Rush Creek Road and then began traveling along back roads in northern Crawford County. McCullick was in pursuit driving east of Smith, who turned onto Co. B and headed west back onto Hwy. 35 and toward Vernon County. Thats when Sheriff Spears, Sgt. Frye and other officers set up the moving roadblock. Spears said that officers are tested every two years on such driving techniques, although this is the first time hes been involved in a moving roadblock in the field. It was a straight stretch of road and that was an advantage, Spears said. I could see there was no other traffic coming. It worked. Its rare. We dont see chases like this. Im happy how it ended. Nobody was hurt. Spears said Smith could eventually face any number of charges in Vernon County. Vernon and Crawford county law enforcement assisted with a vehicle pursuit that began in La Crosse County on Sunday, July 10, and resulted in multiple charges against Mark Alan Smith, age 50, of La Crosse. Vernon County Sheriffs department Dispatch was notified shortly before 1 p.m. of a police chase involving the La Crosse Police Department and a suicidal suspect who was heading south on Hwy 35. The suspect was driving a black SUV that was entering Vernon County. While information was being relayed, the La Crosse Police Department requested spike strips be utilized in an effort to stop the fleeing vehicle. Vernon County Deputy Eric Tollackson exited his squad car in attempt to deploy his spike strips on Hwy. 35, just south of the village of Stoddard, but the suspect arrived before the spike strips could be put into position. The suspect swerved toward Deputy Tollackson who took evasive action to avoid being hit by Smith. The pursuit continued south on Hwy. 35, where Crawford and Allamakee county authorities were notified of the pursuit. The Lansing Iowa Police Department was advised to attempt spike strips at the Iowa/Wisconsin border in Crawford County, which is commonly referred to as the Lansing Dike. This time the spike strips were successful and began to deflate the right front and rear tires of the Smith vehicle. As the suspects tires deflated the pursuit continued south on Hwy. 35, with assistance from Crawford County and Lansing police also in pursuit. The suspect turned onto Rush Creek Road and began taking back roads in Crawford County. Crawford County Sheriff Dale McCullick was east of the suspect, attempting to intercept the vehicle to end the chase. The suspect turned onto Co. B and headed west, returning to Hwy. 35 where he turned north, traveling back toward Vernon County. Several attempts were made during the pursuit to use a moving roadblock to stop the suspect, but due to civilian traffic, narrow back roads and the suspect swerving at law enforcement vehicles as he tried to flee, those attempts were unsuccessful. The pursuing officers reporting speeds of 70 to 80 mph. Vernon County Sheriff John Spears was in position to attempt a moving road block. As the vehicle approached the Crawford/Vernon county line, a road block was set up and as the suspect approached Spears squad car the suspect accelerated and struck the sheriffs squad twice before being stopped near De Soto. No one was injured in the pursuit, which covered more than 33 miles once the suspect entered Vernon County. Sheriff Spears praised the deputies and officers involved for their professionalism and control. The cooperation and teamwork between Crawford County Sheriff Dale McCullick and his team has been great and today was a prime example of working together, Spears said. He also paid special recognition to Vernon County Deputy Tori Hawkins, who was manning the radio dispatch during the entire pursuit. Deputy Hawkins was cool and calm under a lot of pressure, she had to receive, relay and coordinate information from four counties, often at the same time. She was a big part of protecting the public, the officers and the suspect and bringing this to a safe conclusion. Spears added that Smith will be facing several charges as a result of his poor decisions and putting peoples lives in danger. Dear reader, we're asking for your help to keep local reporting available for all today during our fall fundraiser. Your financial support keeps stories like this one free to read, instead of hidden behind paywalls. We believe when reliable local reporting is widely available, the entire community benefits. Thank you for investing in your neighborhood. Before you read this story... Start your day with LAist Sign up for How To LA, delivered weekday mornings. Subscribe Hundreds gathered in Inglewood on Sunday evening for a Black Lives Matter protest that briefly blocked the 405 freeway. According to KTLA, the march began around 7 p.m. and eventually found its way to the 405 freeway near West Manchester Avenue. Around 10:30 p.m., protestors made it onto the freeway, briefly stopping traffic. The march comes after police shot and killed Alton Sterling in Baton Rouge, Louisiana and Philando Castile outside St. Paul, Minnesota last week. Inglewood: Protestors have taken to the freeway. Blocking all lames of the 405 @FOXLA pic.twitter.com/Qq0F9YKMXp Kevin Takumi (@KevinTakumi) July 11, 2016 However, law enforcement officials were eventually able to safely get the crowd off the freeway: WATCH: About 100 protesters with #BlackLivesMatter briefly brought traffic on I-405 in Inglewood to a standstill. pic.twitter.com/CEjddCrCY9 CBS Los Angeles (@CBSLA) July 11, 2016 A few hundred protestors made their way back to the intersection of West Manchester Avenue and La Cienega Boulevard, where they eventually formed a peace sign. Watch live: Hundreds of #BlackLivesMatter protesters form peace sign in Inglewood https://t.co/FbMU5ZVeQB pic.twitter.com/SDk1d56lXC NBC Los Angeles (@NBCLA) July 11, 2016 So far there have been no reports of injuries or arrests from the protest. On 10 July the leadership from Colombias largest guerrilla group, the Fuerzas Armadas Revolucionarionas de Colombia (Farc), complained that a number of its peace delegates were attacked by the Colombian security forces while visiting the countrys central Meta department. End of preview - This article contains approximately 467 words. Subscribers: Log in now to read the full article Not a Subscriber? Choose from one of the following options Americans have been reacting to a week full of gun violence. Last week, a gunman shot and killed five police officers in Dallas, Texas. The gunman told police he was targeting white officers. The shooting came the same week two African-American men were shot and killed in separate incidents in Louisiana and Minnesota. The two were killed by police officers who are white. The shootings left some people questioning the state of race relations in the United States. President Barack Obama speaks in Dallas Tuesday at a memorial service for the five killed officers. He plans to meet later with police officials, community activists and others. They are expected to explore ways of improving police-community relations. Are Americans more divided over race? As tragic as the shootings were, Obama said, it does not mean Americans are more divided over race. I think the dangeris that we somehow suggest that the act of a troubled individual speaks to some larger political statement across the country. It doesn't, he said. But businessman Donald Trump said that racial divisions have become worse, not better. Trump is likely to officially become the presidential candidate of the Republican Party next week. The likely Democratic candidate, Hillary Clinton, has said "both police and criminal justice reforms" are needed. Rupert Nacoste teaches psychology at North Carolina State University. Nacoste wrote the 2015 book, Taking on Diversity: How We Can Move from Anxiety to Respect. Americans across racial lines mourn killings On the question of race relations, he agrees with Obama. Recent shootings, including the June 12 killing of 49 people at a gay nightclub in Florida, drew angry reaction from people of all races and sexual orientations, he said. When I was growing up in the Jim Crow South, even a lynching didn't bring all the kinds of outrage we are seeing today, from all kinds of Americans, he said. Jim Crow laws were passed in the southern United States, beginning in the 1880s. Those measures legalized separate rules for blacks and whites. But racism is not gone from America, Nacoste said. Many Americans did not want to think about the leftovers of our segregated past, but now it's close to impossible to claim that it's all gone. The U.S. elections later this year, he said, will force Americans to struggle with the question: What kind of America do I believe in, want to live in, and want my children to live in? I think the dangeris that we somehow suggest that the act of a troubled individual speaks to some larger political statement across the country. It doesn't. Robert Snyder is director of the Graduate Program in American Studies at Rutgers University in Newark, New Jersey. He does not believe the U.S. is nearly as divided as appearances might indicate. Even our deepest problems are more open to solutions than we might think at first glance, Snyder said. He said that research shows better training of police officers reduces police shootings. A new report on Monday found that black men and women are more likely to be treated roughly by police -- such as being pushed to the ground. Harvard University economist Roland G. Fryer wrote the report. But he found no discrimination in how often blacks and whites are shot by police. Robert Snyder said it may because police know they will be investigated and possibly charged with crimes if they shoot people, particularly if racial discrimination is suspected. Respect and Listen, Says Obama President Obama has called on Americans to respect and listen to fellow citizens. I would like all sides to listen to each other, the president said. He was referring to protesters such as members of the Black Lives Matter movement, and police groups throughout the U.S. Many demonstrations have been held across the country in recent days. There have been clashes between protestors and police in some communities, including Baton Rouge, Louisiana. That is where Alton Sterling was shot and killed last week after being knocked to the ground by police. Baton Rouge police said they arrested 50 people Sunday for blocking a road. Lisa Batiste, who lives near the demonstration, said police overreacted. Im disappointed. So disappointed, she told the Baton Rouge Advocate. It was extremely unnerving -- the military-style policing. On CNN television Sunday, Dallas Police Chief David Brown praised the five officers who were killed and many other officers who responded to the shootings. You saw footage of officers running toward gunfire, extraordinary acts of bravery, Brown said. On Monday, TheBlaze media reported comments from the parents of Micah Johnson, who police say killed the five officers. His mother, Delphine Johnson, said he was very disappointed after returning home from military service. It may be that the ideal that he thought of our government, what he thought the military represented, it just didnt live up to his expectations, she told TheBlaze. Im Dorothy Gundy. Fern Robinson and Ken Bredemeier reported this story for VOANews.com. Bruce Alpert adapted this story and did additional reporting for Learning English. George Grow was the editor. We want to hear from you. Write to us in the Comments Section and share your views on our Facebook Page. ____________________________________________________________ Words in This Story anxiety - n. fear or nervousness about what might happen lynching - n. to kill someone illegally as punishment for something, real or not glance - n. a quick look particularly - adv. more than usual disappoint - v. make someone unhappy by not being as good as expected or by not doing something that was hoped for or expected extraordinary - adj. unusual, very special From Washington, this is VOA News. Im Michael Brown reporting. There is heavy fighting between rival factions in South Sudans capital Monday. The fifth day of violence began just hours after the UN (United Nations) Security Council called on the leaders of each group to control their armed forces and warned the attacks on civilians and UN facilities may constitute war crimes. Former rebel leader Riek Machar joining Salva Kiir, the president, in issuing an appeal for calm. "This is an interruption to the good process that we have initiated. We want to continue this process of dialogue among ourselves, resolving issues so that the country comes out of the conflict. So we are calling on all the population, all South Sudanese, whether armed or not armed, to respect (the) ceasefire." The two sides fought each other in a two-year civil war that erupted after the president fired Machar in 2013. The recent fighting broke out Thursday and is believed to have left nearly 300 dead, including a Chinese U.N. peacekeeper. North Korea warned Monday it will take a physical response to the decision by the United States and South Korea to deploy a sophisticated anti-missile defense system, as Pyongyang continues banned missile tests. The move also drew a swift and sharp response from China. The so-called THAAD system is expected to be operational next year. Al-Shabab fighters temporarily overran a Somali government military base west of Mogadishu Monday, killing soldiers and seizing weapons and military trucks. A Mogadishu official said the militants controlled the base for around three hours before leaving. Al-Shabab attacked the same base in March this year seizing military trucks and rockets. This is VOA News. We want to hear from you. Write to us in the Comments Section. North Korea says it is closing one of its last lines of communication with the United States. The move is in reaction, North Korea says, to the U.S. placing sanctions on leader Kim Jong Un for human rights abuses. North Korea said Monday it has told the U.S. that it is ending all diplomatic communications with the U.S. that have been conducted through the U.N. offices in New York. The U.S. placed personal sanctions on Kim and several other North Korean officials last week. The restrictions added to sanctions already placed on the country for its nuclear weapons program. Earlier Monday, North Korea threatened to take "physical action" after the U.S. and South Korea announced plans to deploy a missile defense system. The threat comes several days after U.S. and South Korean officials revealed plans to deploy the Terminal High Altitude Area Defense system, known as THAAD. Details, including the location and timing of the deployment, have not been announced. Through its official KCNA news agency, North Korea threatened to launch a retaliatory strike that would turn the South into a sea of fire when given the order. North Korea said the "physical response" will take place the moment the time and location of the THAAD system have been confirmed. China and Russia also have expressed strong opposition to the deployment of THAAD. Both countries have urged the U.S. and South Korea not to deploy the system. South Korean President Park Geun-hye said Monday that the THAAD system is only intended as a defensive measure against the North. She said it does not target any other country. North Korea has tested several long-range missiles recently and exploded a nuclear weapon in January. Last week, the North fired a missile from a submarine off the coast of the port city of Sinpo. The missile reportedly exploded after traveling about 10 kilometers into the air, similar to a failed test in April. Western military officials, however, say the North's abilities improve with every test. China is North Korea's main ally. It has urged the government of Kim Jong Un to return to international talks and dismantle its nuclear program. In return, China has promised economic assistance and security guarantees. I'm Mario Ritter. Chris Allen reported this story for VOA News. Mario Ritter adapted it for VOA Learning English. Hai Do was the editor. _____________________________________________________________ Words in This Story sanctions n. measure that punish a country in an effort to force it to obey international law, usually by limiting trade location n. a place, where something is retaliatory adj. a measure taken in reaction to an attack dismantle v. to take something apart piece by piece The United States will deploy 560 more troops to Iraq to help prepare for the fight for Mosul. The Islamic State militant group currently holds the city. However, Iraqi forces recently recaptured an airbase nearby. The additional troops will go to that airbase, says American Defense Secretary Ash Carter. He made the deployment announcement during a visit to Baghdad Monday. The Qayyarah Airbase West is about 60 kilometers south of Mosul. Carter said it will serve as a planning and flight center for the coalition. A top U.S. defense official said the Iraqi government capture of the airbase marks the completion of the coalitions first 10 steps toward defeating the Islamic State. The official spoke on the condition that his identity would not be reported. Ash Carter is meeting in Baghdad with Iraqi Prime Minister Haider al-Abadi and Defense Minister Khaled al-Obeidi. Carter will speak by phone with Masoud Barzani, the president of Iraqi Kurdistan. What were the 10 steps? In December and January, President Barack Obama and Defense Secretary Carter set 10 goals to meet before two important cities could be captured. One was Raqqah in Syria, which served as Islamic States capital. The other was Mosul, the terrorist groups largest base in Iraq. The recapturing of Hit, Rupta and Ramadi in Iraq were key steps toward dividing the Iraq and Syria battlefields, said one top defense official. Establishing a staging base in Makhmour has been considered a critical step in surrounding Mosul. In Syria, control of Shaddadi was also critical. The town is along the road from Raqqah to Mosul. Ash Carter said control of Shaddadi left Islamic State core severed in half. He said it interfered with the movement of people and supplies between the two cities. U.S.-trained opposition forces took the northern Syrian city of Manbij, also one of the 10 steps. Last month a coalition spokesman based in Baghdad described Manbij as a hub for Islamic State foreign fighters. Another of the 10 steps was the start of a program to train and supply anti-IS forces within northeast Syria, said a second defense official. Mosul fight shaping up The plan to take Mosul in the south required the capture of Makhmour to the southeast and Qayyarah airbase to the southwest. A small number of U.S. forces have carried out a brief site examination at the airbase. But, they did not remain there. Officials say the recapture of Qayyarah showed the increasing military sophistication of Iraqi forces. At the same time, two Iraqi Kurd military teams are completing the encirclement of Mosul from the north. The U.S. provided equipment and some money to the teams. During Defense Secretary Carters last trip to Iraq, he announced that the U.S. will provide $415 million in financial aid to Kurdish forces. The Kurdish economy had been damaged by the worldwide drop in oil prices. Carter said the aid would go through Iraqs government. A top defense official confirmed the U.S. has completed its early goal of training 12 military brigades for the Mosul offensive. Commanders say that is the number needed for the battle. US looking to do more U.S. officials say additional training might be needed for those groups. In the fight for Ramadi, for example, many improvised explosive devices or IEDs were being used against anti-IS fighters. U.S. forces specially trained soldiers how to deal with IED threats. Police training also might be needed to help secure the city once Islamic State has been ousted. Carter said he will talk with Abadi about many tools and techniques that have proven effective against the enemy. These include air support, equipment, supplies and help with planning. He said the U.S. will provide more if and when the Iraqi Security Forces can make good use of them, and Prime Minister Abadi requests them. Last week, President Obama announced his decision to keep 8,400 U.S. troops in Afghanistan through the end of his presidency. The Obama administration had earlier announced it would keep only 5,500 troops through the end of the year. Obama said last week that the Taliban remains a threat. Im Ashley Thompson. VOA Pentagon correspondent Carla Babb reported this story from Baghdad. Caty Weaver adapted it for Learning English. Ashley Thompson was the editor. We want to know what you think of this story. Write to us in the Comments Section or on our Facebook page. ______________________________________________________________ Words in This Story staging - n. temporary area severe - v. to separate, cut or remove sophistication - n. showing experience, highly developed improvised explosive device - n. bomb built in ways other than conventional military, usually used as roadside bomb. LEXINGTON, Neb. Krystal Saunders pleaded no contest Monday to motor vehicle homicide in Dawson County Court. As part of a plea deal, charges of careless driving and possession of marijuana were dropped, according to prosecutor Jason Bergevins statements in court. Bergevin is with the Nebraska State Attorney Generals Office. Bergevin said the state recommends probation for Saunders, who is scheduled for sentencing Sept. 12 at 11 a.m. The state is not asking for any restitution, he added, and agreed not to file more charges in the case. Motor vehicle homicide is a class-one misdemeanor with a maximum penalty of one year in jail, a $1,000 fine, or both. There is no minimum sentence. Bergevin told Judge Kent Turnbull that the family of Alejandra Zamora, who was killed when struck by Saunders vehicle on Aug. 31, 2015, and Ailyn Arredondo, Zamoras niece who was critically injured in the same collision, were advised of the plea agreement and supported it. According to the facts of the case Bergevin read in court Monday, at approximately 8:45 p.m. Aug. 31, 2015, Saunders was southbound on Taft Street when she struck the pedestrians, who were also southbound on the right side of the road. Zamora was walking in the lane of travel, pushing a stroller, while her sister and Ailyns mother, Cindy, walked off the road where there was no shoulder. There were no mechanical issues with Saunders vehicle and the headlights were working, according to Bergevin. Saunders told investigators she didnt see the pedestrians, he added, before noting that approximately 10 minutes earlier on the same road another southbound driver had seen the pedestrians and avoided them. The force of the impact launched Zamora and Ailyn Arredondo into a field on the west side of the road. Zamora was pronounced dead at Lexington Regional Health Center. Ailyn Arredondo was transported to Omaha for treatment of severe injuries. Turnbull ordered and pre-sentence investigation, and the Zamora and Arredondo family may write a victim impact statement. Following the hearing, Cindy Arredondo confirmed the family supported the plea agreement. She said Ailyn, who just turned one-year-old on July 2, is still not meeting her developmental benchmarks. Its wait-and-see, she said, when asked about the prospects of Ailyns development and potential rehabilitation options. Arredondo described the past week as frustrating and disappointing. Saunders mother, Cheri, a longtime investigator with the Dawson County Sheriffs Office, was found not guilty July 7 of official misconduct for her actions on the night of the collision. Arredondo said the family was not expecting a conviction in Cheri Saunders case but was disappointed nonetheless. Country United States of America US Virgin Islands United States Minor Outlying Islands Canada Mexico, United Mexican States Bahamas, Commonwealth of the Cuba, Republic of Dominican Republic Haiti, Republic of Jamaica Afghanistan Albania, People's Socialist Republic of Algeria, People's Democratic Republic of American Samoa Andorra, Principality of Angola, Republic of Anguilla Antarctica (the territory South of 60 deg S) Antigua and Barbuda Argentina, Argentine Republic Armenia Aruba Australia, Commonwealth of Austria, Republic of Azerbaijan, Republic of Bahrain, Kingdom of Bangladesh, People's Republic of Barbados Belarus Belgium, Kingdom of Belize Benin, People's Republic of Bermuda Bhutan, Kingdom of Bolivia, Republic of Bosnia and Herzegovina Botswana, Republic of Bouvet Island (Bouvetoya) Brazil, Federative Republic of British Indian Ocean Territory (Chagos Archipelago) British Virgin Islands Brunei Darussalam Bulgaria, People's Republic of Burkina Faso Burundi, Republic of Cambodia, Kingdom of Cameroon, United Republic of Cape Verde, Republic of Cayman Islands Central African Republic Chad, Republic of Chile, Republic of China, People's Republic of Christmas Island Cocos (Keeling) Islands Colombia, Republic of Comoros, Union of the Congo, Democratic Republic of Congo, People's Republic of Cook Islands Costa Rica, Republic of Cote D'Ivoire, Ivory Coast, Republic of the Cyprus, Republic of Czech Republic Denmark, Kingdom of Djibouti, Republic of Dominica, Commonwealth of Ecuador, Republic of Egypt, Arab Republic of El Salvador, Republic of Equatorial Guinea, Republic of Eritrea Estonia Ethiopia Faeroe Islands Falkland Islands (Malvinas) Fiji, Republic of the Fiji Islands Finland, Republic of France, French Republic French Guiana French Polynesia French Southern Territories Gabon, Gabonese Republic Gambia, Republic of the Georgia Germany Ghana, Republic of Gibraltar Greece, Hellenic Republic Greenland Grenada Guadaloupe Guam Guatemala, Republic of Guinea, Revolutionary People's Rep'c of Guinea-Bissau, Republic of Guyana, Republic of Heard and McDonald Islands Holy See (Vatican City State) Honduras, Republic of Hong Kong, Special Administrative Region of China Hrvatska (Croatia) Hungary, Hungarian People's Republic Iceland, Republic of India, Republic of Indonesia, Republic of Iran, Islamic Republic of Iraq, Republic of Ireland Israel, State of Italy, Italian Republic Japan Jordan, Hashemite Kingdom of Kazakhstan, Republic of Kenya, Republic of Kiribati, Republic of Korea, Democratic People's Republic of Korea, Republic of Kuwait, State of Kyrgyz Republic Lao People's Democratic Republic Latvia Lebanon, Lebanese Republic Lesotho, Kingdom of Liberia, Republic of Libyan Arab Jamahiriya Liechtenstein, Principality of Lithuania Luxembourg, Grand Duchy of Macao, Special Administrative Region of China Macedonia, the former Yugoslav Republic of Madagascar, Republic of Malawi, Republic of Malaysia Maldives, Republic of Mali, Republic of Malta, Republic of Marshall Islands Martinique Mauritania, Islamic Republic of Mauritius Mayotte Micronesia, Federated States of Moldova, Republic of Monaco, Principality of Mongolia, Mongolian People's Republic Montserrat Morocco, Kingdom of Mozambique, People's Republic of Myanmar Namibia Nauru, Republic of Nepal, Kingdom of Netherlands Antilles Netherlands, Kingdom of the New Caledonia New Zealand Nicaragua, Republic of Niger, Republic of the Nigeria, Federal Republic of Niue, Republic of Norfolk Island Northern Mariana Islands Norway, Kingdom of Oman, Sultanate of Pakistan, Islamic Republic of Palau Palestinian Territory, Occupied Panama, Republic of Papua New Guinea Paraguay, Republic of Peru, Republic of Philippines, Republic of the Pitcairn Island Poland, Polish People's Republic Portugal, Portuguese Republic Puerto Rico Qatar, State of Reunion Romania, Socialist Republic of Russian Federation Rwanda, Rwandese Republic Samoa, Independent State of San Marino, Republic of Sao Tome and Principe, Democratic Republic of Saudi Arabia, Kingdom of Senegal, Republic of Serbia and Montenegro Seychelles, Republic of Sierra Leone, Republic of Singapore, Republic of Slovakia (Slovak Republic) Slovenia Solomon Islands Somalia, Somali Republic South Africa, Republic of South Georgia and the South Sandwich Islands Spain, Spanish State Sri Lanka, Democratic Socialist Republic of St. Helena St. Kitts and Nevis St. Lucia St. Pierre and Miquelon St. Vincent and the Grenadines Sudan, Democratic Republic of the Suriname, Republic of Svalbard & Jan Mayen Islands Swaziland, Kingdom of Sweden, Kingdom of Switzerland, Swiss Confederation Syrian Arab Republic Taiwan, Province of China Tajikistan Tanzania, United Republic of Thailand, Kingdom of Timor-Leste, Democratic Republic of Togo, Togolese Republic Tokelau (Tokelau Islands) Tonga, Kingdom of Trinidad and Tobago, Republic of Tunisia, Republic of Turkey, Republic of Turkmenistan Turks and Caicos Islands Tuvalu Uganda, Republic of Ukraine United Arab Emirates United Kingdom of Great Britain & N. Ireland Uruguay, Eastern Republic of Uzbekistan Vanuatu Venezuela, Bolivarian Republic of Viet Nam, Socialist Republic of Wallis and Futuna Islands Western Sahara Yemen Zambia, Republic of Zimbabwe ADDIS ABABA, ETHIOPIA: Ethiopia has blocked social media sites for the next few days, after questions from end-of-year exams were posted online last month, sparking a national scandal and leading to their annulment. A government spokesman said the ban was aimed at stopping students taking university entrance exams this week from being "distracted." Facebook, Twitter, Instagram and Viber have been inaccessible in the Horn of Africa nation since Saturday morning. "It's blocked. It's a temporary measure until Wednesday. Social media have proven to be a distraction for students," government spokesman Getachew Reda told AFP. Ethiopia is one of the first African countries to censor the internet, beginning in 2006 with opposition blogs, according to experts. Prominent blogger Daniel Berhane denounced the move as a "dangerous precedent. "There's no transparency on who decides why it's necessary or who decides for how long," he said. "This time it's for a few days but next time it could be for months (...) They're flexing their muscles. They got multiple tools and they're testing them." Last week, the UN Human Rights Council passed a resolution condemning the blocking of internet as a human rights violation. Source: AFP via I-Net Bridge The 17th PAMRO meeting and All Africa Media Research Conference, taking place 21-24 August 2016 at the Elephant Hills Resort in Victoria Falls, Zimbabwe, will explore social media, mobile and radio in Africa. The theme for this years Pan African Market Research Organisation (PAMRO) conference is Africa Media Research in a Globally Connected World, a response to the growing dominance of mobile and digital usage across the continent and the implications this has for media research across Africa. Augmenting media data with mobile behaviour Peter Searll, managing partner of Dashboard Marketing Intelligence, tackles the theme with a paper entitled Augmenting Media Data with mobile behaviour. Given the dominance of mobile as an internet platform in Africa, he notes that incorporating accurate and detailed data from this platform into a holistic media perspective makes sense. The company therefore has developed and deployed a mobile app that tracks all aspects of mobile behaviour, as well as website and app usage. Using its panel in Zambia, it recruited 50 users for a pilot to assess the effectiveness of this tool to generate meaningful data and insights. Drawing in this, the paper aims to show how actual behavioural data from mobile phones can be successfully collected and integrated into an all-inclusive view of media consumption. Reaching African Audiences through Online, Mobile and Social Media: Which Measurements Really Matter? Waithera Kabiru, digital manager of integrated, marketing & communication for Coca-Cola Central, East and West Africa, discusses Reaching African Audiences through Online, Mobile and Social Media: Which Measurements Really Matter? He notes that advertiser spending still lags behind on online, mobile and social media, when compared to traditional media, even as more African consumers spend increasing amounts of time online - possibly due to the lack of attribution of digital media to transactional value. Kabiru argues that the marketers role is deliver appropriate messaging at every stage of the consumer journey, from awareness, demand, acquisition, transaction and retention; and that consumer behavior needs to be measured throughout, capturing real business outcomes across the consumer journey, whilst measuring incremental lift and attribution. His paper seeks to explore the opportunities for audience measurement and to investigate which measurements really matter, given the emergence of digital media, and the rise of online consumption in Africa. Which measurements not only translate to brand love, but into value? Creating an audience ratings system for radio in South Sudan Hayley Umayam and Natalie Forcier, managing partner of the Forcier Consulting Group, look at the creation of an audience ratings system for radio in the war-torn South Sudan. Forcier Consulting is developing the first audience ratings system (ARS) in this country, with a long-term goal of promoting freer and fairer media for the sector as a whole. Their presentation highlights the process of creating an ARS for radio, including the trials and triumphs of developing a validated and sustainable methodology in a tough research environment, grappling with a fragmented media sector, and establishing credibility and buy-in among stakeholders and end users. PAMROs mission is to create a uniform research infrastructure for African countries, and to use the same measuring and target marketing methods so that results of different countries can be validly compared. For more information, click here. After their traditional wedding ceremony in Bhopal on Friday, television stars Divyanka Tripathi and Vivek Dahiya had their reception at Chandigarh on Sunday, 10 July. The couple's fans were enthralled as they kept sharing pictures from their wedding functions on social media. Before the reception, Divyanka shared a selfie with her husband with #MrAndMrs on Instagram, where she looked radiant as a newlywed. #MarriedAndHappy #MrAndMrs @vivekdahiya08 A photo posted by Divyanka Tripathi (@divyankatripathi) on Jul 10, 2016 at 12:36am PDT Divyanka seemed excited to be Mrs Dahiya as she shared this image with the caption "This is it!" This is it! #MrsDahiya A photo posted by Divyanka Tripathi (@divyankatripathi) on Jul 9, 2016 at 4:36am PDT Divyanka looked her gorgeous self at the reception in a wine and golden coloured lehenga, while Vivek complemented his bride, looking dashing in a tuxedo and bow- tie. This is what Vivek wore at the reception in Chandigarh. Time to dress to impress in my fav attire- the tux for the evening! #Chandigarh #MeraGhar #MrsKaSasural A photo posted by Vivek Dahiya (@vivekdahiya08) on Jul 10, 2016 at 5:55am PDT We also got our hands on this picture where Mrs Dahiya is getting ready for her grand celebration. Reading my fans messages : divyanka @divyankatripathi @vivekdahiya08 A photo posted by Divek Official | 15.01.16 (@divek_official) on Jul 10, 2016 at 12:34pm PDT The couple, who met while working together on the Star Plus show Yeh Hain Mohabbatein, will have another reception in Mumbai on 14 July for their friends from the TV industry. The Congress partys apparent softening of stance on the Goods and Services Tax (GST), if translates into action, would mean that Prime Minister Narendra Modis Brahmastralinking the critical nature of the biggest tax reform to larger national interest--isnt a miss. A report in Times of India on Monday quoted Congress partys deputy leader of opposition in the Rajya Sabha, Anand Sharma, as saying that his party may not insist on inclusion of the 18 per cent GST rate in the bill. The party will settle if the government suggests ways for legal ringfencing of the rate, Sharma said. This statement is significant since inclusion of the GST rate in the Bill is the only major contention between the BJP and Congress on the issue. There is already a broad consensus on the other two conditionsa joint dispute resolution mechanism and removal of the 1 percent interstate levyas Congress Vice President Rahul Gandhi has made clear in the past. Just last week, in an interview to the Economic Times, Prime Minister Narendra Modi had launched his Brahmastra of larger national interest on the Congress party. Modi termed the opposition of the Congress to the GST as political suicide. So much so, the obstruction of GST is now not a Lok Sabha issue but has become a Gram Sabha issue! The public in states like UP, Bihar and West Bengal will be the biggest beneficiaries. Therefore, I do not think any political party will try to commit suicide by opposing GST, said Modi. Clearly, the statement was a clear message to Congress that dragging the GST issue any longer will be counter productive to the party since such a move will damage its image before the middle-class electorate in the form of an anti-development move, giving strength to BJPs allegation that Congress is resorting to obstructionism - not being a proactive opposition for the larger good of the country. Also, the Congress knows that it does not have a strong case to oppose the GST any longer, especially after the Assam, Kerala setbacks and the recent Rajya Sabha elections. Most of the regional parties have given their assent to the GST Bill. The support was evident in the last GST council meeting of state finance ministers too. In these circumstances, Congress is isolated in the GST battle. As Firstpost argued in an earlier article, it is better to give in. To be sure, Congress has still put forward some riders for offering its final consent on the Bill, i.e: working out a legal ringfencing of the rate. This, however, is a relatively easier condition than asking to include the rate in the constitution. The BJP should jump at this opportunity and work out a consensus model to close the issue and get the Bill passed. Even after the passage of the GST amendment, the final roll out will take a long time since state assemblies have to pass the Bill and understand the new concept. For the embattled Congress-camp, it is an opportunity in crisis to win back the confidence of the middle-class voters by presenting its pro-GST stance as a political sacrifice in the larger interest of the economy. The GST is a perfect tool for this. The reform will create a single market, broaden the tax base and help invite more private investments since the prevailing convoluted tax regime is a big turn off for foreigners. Though BJPs numbers have increased in the Upper House, the reality is Congress support remains vital since the constitutional amendment Bill requires 2/3rd of the support the House. A consensus on GST is a win-win for both the BJP and Congress camps. New Delhi: Some loaders at the Food Corporation of India have been taking home Rs 2.5-3 lakh in monthly wages, thanks to warped agreements routed in labour agitations and intimidation in the seventies. These loaders are getting more wages than the President of India, the Supreme Court had noted wryly earlier this year. These are part of a force within FCI know as departmental workers (DWs), a cadre which exists neither in Central Warehousing Corporation nor State Warehousing Corporations, state-owned bodies which employ labour for similar work. This cadre of workers is unique to FCI alone. The lakhs in wages are paid to the 48,000 DWs. Among them, some 30 labourers earn upto Rs 3 lakh a month though the average wage of this cadre is about Rs one lakh a month. Believe it or not, all this money is earned by DWs for merely loading and unloading sacks of grain. Often, well paid DWs hire workers -- 'proxy labour' -- for Rs 7,000-8,000 to do their work, thus escalating their own total earnings. This is now set to change, as the government has finally decided to re-introduce contractual labour at some FCI depots. Straight away, this will reduce the wage bill of FCI by a third or by Rs 518 crore every year, according to senior FCI officials. As of now, the corporation spends Rs 1800 crore annually on departmental workers alone! This does not include spends on contractual labour, which is also needed from time to time to finish the loading/unloading activities at various depots, and another about 23,000 other FCI staffers. On Friday, solicitor general Ranjit Kumar informed the Supreme Court that the Centre has issued a notification on July 6 to revert to contractual labourers. FCI has several categories of workers, with widely different pay scales. The highest paid are Departmental Workers, followed by workers under the Departmental Promotion System; third in the pecking order are contract employees while casual loaders are at the bottom of the pay scale. FCI officials explained that management consulting firm Deloitte Sells and Haskins had been tasked with solving this vexed issue of inflated wage bill of FCI due to huge payouts to departmental workers. Deloitte suggested that departmental labour be pooled in 300-350 depots instead of using it across 452 depots. And that the remaining 100 depots should then use contractual labour. This will lead to a saving of Rs 518 crore every year. The notification to this effect has already been issued. These officials said contractual workers earns only about Rs 15,000 a month and that the skewed math for departmental workers happened due to previous agreements with labour unions. As per these pacts, departmental workers are paid as per a regular pay scale. Also, any work beyond loading/unloading 105 bags means they are to be paid a per-piece rate beyond 105 bags. If we have to unload a normal rake at any of our depots, we need anywhere between 350-400 people to unload the 50,000 bags since anything above 105 bags per person attracts higher payments in the case of DWs. This creates issues, which the new notification should solve since we would be free to now deploy contractual labour. The implementation of this notification will start immediately. Anyway, labour unions have been asked to make representations against this , if any, to the SC. A former FCI veteran gave the example of FCIs Guwahati depot, where about four trainloads (50,000 bags each) have to be unloaded daily. He said obviously enough labour is required to not just accomplish this mammoth task but also do it in eight hours to avoid overtime payments. But there is a freeze on induction of more DWs. Contract labour cannot be used because of an agreement between FCI and unions which specifies use of only one type of labour at a depot..so the work gets done with delays and inefficiently. And DWs get incentives which increase their monthly earnings, this official said. Contractual labourers were abolished from FCI depots in the seventies. According to the Times of India story, SG Kumar also said that the government would gradually phase out departmental workers. This should trim FCIs wage bill further. A rationalization of FCIs truant DWs is a welcome step but doesnt fully address the mammoth tasks at hand as far as restructuring the governments premier foodgrain procurement agency is concerned. According to the Shanta Kumar Committee, which was constituted to rid FCI of its rot, there are many more steps which require strong will of the government and which will ultimately help FCI improve its functioning significantly. Though the government paid lip service to these suggestions by saying they will be implemented, not many have been applied so far. These include letting states do their own procurement of wheat, paddy and rice; widen its procurement net beyond rice and wheat to also include pulses; end-to-end computerization of the entire food management system and outsourcing the stocking operations to various state warehousing corporations. Restructuring of FCI is important not just for better foodgrain procurement and storage but also because a substantial amount of subsidy funds its operations in 2015-16, the government released a whopping Rs 1.12 lakh crore in subsidy to FCI, up from Rs 91,995 crore in the previous fiscal. Dar es Salaam: Indian Prime Minister Narendra Modi said on Sunday his government would consider giving Tanzania, where he's visiting, a $500 million loan to finance water projects in the east African nation. India and Tanzania signed several agreements, including a loan of $92 million for water projects in Tanzania's semi-autonomous Zanzibar islands. Modi said his government was ready to offer additional financing for other water projects in East Africa's second-biggest economy. India is willing to consider an additional $500 million line of concessional credit, Modi said at a joint news conference with Tanzanian president John Magufuli in Tanzanias commercial capital, Dar es Salaam. "President Magufuli and I agreed to deepen India-Tanzania ties in agriculture, food security, trade, natural gas and other vital sectors." Tanzania announced in February it has discovered a possible additional 2.17 trillion cubic feet (tcf) of natural gas in an onshore field, raising its total estimated recoverable gas reserves to more than 57 tcf, which it is aiming to exploit. Tanzania and India also agreed to boost cooperation in health, education, industry and information and communications technology. Modi, on an African tour, arrived in Tanzania late on Saturday from South Africa and was also due to visit Kenya on Sunday. Tanzanian president's office said in March the country expects to receive a total of $380 million in loans from India to finance two major water projects in Dar es Salaam and elsewhere. Dar es Salaam, where the authorities expect the population to more than double to 10 million people in 15 years, generates more than 40 percent of Tanzania's GDP. However, the city suffers from both flooding and scarcity of water supplies, and insect-borne diseases. LafargeHolcim has agreed to sell its Lafarge India business to Nirma Ltd for an enterprise value of around $1.4 billion. "This agreement is an important step in our 3.5 billion Swiss franc ($3.56 billion) divestment programme," LafargeHolcim Chief Executive Eric Olsen said in a statement. "With this deal, two-thirds of the programme has been secured and the remainder of the program is well on track. We are confident that we will meet our target by the end of this year." The deal, the proceeds of which will be used to pay down LafargeHolcim's debt, is subject to approval by the Competition Commission of India. However, what surprises many is the deal being sealed by a company (Nirma) which has a very small presence in the cement industry. According to a financial daily, detergent maker Nirma has been trying to gain a major presence in the country's cement industry. Although demand for the commodity is currently growing at a relatively steady pace but the sector holds major promise given the government's thrust upon giving infrastructure a strong push in the next few years. Nirma already has 2 million tonne cement capacity in Rajasthan and they are putting up a new capacity in Gujarat. Thus they were very keen to buy this asset," Mint reported quoting a person familiar with the deal. While the detergent making company outbid other serious contenders such as JSW Group and Piramal Group, two other business houses with no presence in the cement sector, investment banker Anil Singhvi, however, feels Nirma has paid a very high valuation for Lafarge's assets, a CNBC TV18 report said. However, Singhvi felt Nirma will do well in the cement space, as the company too was a very well-known household brand, the report said. Post the deal, Nirma will join other serious players in the industry with almost close to 13-14 million tonnes of capacity. A report in The Economic Times on Tuesday noted that apart from these, there are good operational synergies too between the two companies. Nirma, a company which started off as a detergent maker, had over the last many years backwardly integrated and started making soda ash and mining lime stones, a key raw material for soda ash. Lime stone is a raw material for cement manufacturing too. According to the ET report, its expertise in lime stone mining will help Nirma keep the costs low. In fact, with the acquisition, Nirma has got once in a life time opportunity to ramp up its cement business, feel analysts. "This is a once in a lifetime opportunity to enter India with a solid base or ramp up quickly. Many like Nirma have been struggling to grow organically. We have seen a wave of consolidation over the past year as weaker, sub-scaled companies including Reliance Cements sold assets to pare debt," an earlier report in The Economic Times quoted a senior executive of a party directly involved in the deal process. He notes that while the earlier deals were more asset sales, Lafarge gives a great business opportunity. "You get the plants, the marketing set up and some very powerful brands along with the operating teams," the ET quotes the senior executive as saying. Editors note: The Goods and Services Tax (GST) Bill has assumed primary importance in the countrys political and economic quarters, now that we are just over a week away from the monsoon session of Parliament it begins on 18 July. The constitutional amendment Bill, considered the biggest tax reform in a decade, has failed to clear the Rajya Sabha due to the opposition of Congress in consecutive Parliament sessions, mainly on account of the demand from Congress for inclusion of GST rate in the Bill. This time, there seems to be a broad consensus on other two demands (1% state levy and dispute resolution mechanism).The government appears closer than ever to the passage of the Bill following the recent round of RS polls. But Congress opposition could still potentially derail the Bill since the numbers may not still add up. It is true that BJP too had opposed GST in the past, but that cannot be the reason to blackball the Bill. It is imperative that the legislations passage is hastened for three reasons. First, so the April, 2017 deadline doesnt lapse and state governments have time to pass their own GST legislation and put in place required systems; there is a time value attached to GST passage. Second, to put the economy on a high growth path" broadening the tax base (at least 1.5% to 2% boost is expected on GDP post the roll out of GST). Third, given that last two years of any government is typically focused on getting re-elected rather than reforms push, chances of GST passage diminish with the time the BJP has as the ruling power. Economists agree that India is in a sweet spot now with rest of the world gripped in a slowdown. This is our chance to get big ticket reforms going and consolidate our position among the EMEs. Given the significance of the GST Bill for the country, Firstpost is running a #SupportGST campaign by inviting articles from industrialists, economists, academics and politicians to underscore the need for early passage of the Bill in the coming monsoon session. There are three major points of contention between Congress and the BJP on Goods and Services Tax (GST) issue. Whether to include the GST rate (agreed around 18 per cent) in the constitution, do away with the inter-state levies and constitute an independent dispute resolution mechanism. Of the three, the only major point of difference is capping GST in the Bill, but it is not something that has the potential to perennially block the passage of this crucial piece of reform. The GST Bill is the biggest tax reform India has ever seen to make the process transparent and broad base the tax net. This is critical for Indias ambitions as a global manufacturing hub. Thats the reason even the International Monetary Fund (IMF) has highlighted this as an area of concern in its annual article IV consultation report released in March. "To remain in the economic sweet spot, Indian must ensure forward momentum of its programme of economic reforms,'' IMF said. The GST Bill is the biggest transformational initiative in taxes ever since value-added tax (VAT) was introduced in India. It promises to create a single national market for India, a freedom that has been there since India got her independence in 1947 even though we are united as a country, divided by 29 regional markets, 100s of check posts, huge corruption and a very inefficient supply chain system. India is uncompetitive primarily because of huge supply chain costs. Our supply chain costs are 14 percent of the countrys GDP, while it is 6 percent in China and 5 percent in the US. Out of this 14 percent, a large percentage is lost due to lack of a single unified market which leads to slower transportation on roads, unnecessary documents, huge bribes, multiple storage spaces and overall delay in inputs supplies and output delivery. The lack of a national market and multiple taxes leads to high tax evasion as the entire value chain is broken in multiple instances with no single Income Tax (I-T) system to track the same. The GST Bill is expected to add 1 to 2 percent to our GDP every year and reduce costs overall for business and consumers. It will reduce the stranglehold that tax officials have on business in India and help destroy crony capitalism toothose who make money by evasion of taxes. The GST will be based on a robust I-T platform where all transactions will be captured, thus identifying tax evaders and giving enormous data which can be mined to find patterns and solutions to many challenges. It will also reduce the costs for exports as automated transfer of tax collections between various states and settlement will ensure that costs become lower. About 48.5 percent of Indias GDP is informal and cash-based as per Professor Vaidyanathans book, Indian Uninc. At least 20 percent of these will come into the formal network allowing multiple small vendors to get credit and participate in value creation. It will also have a great impact on consuming states as the players for consumption will get tax thus ensuring that states which are consuming centres benefit as against some states that are manufacturing due to historical reasons. The GST has been in waiting for long and putting this in place is the prime national responsibility of Parliament in transforming India and putting her on a high growth path and accelerate job creation. The Congress has stalled its execution in the last two years like the BJP did during the UPA rule earlier. Both the parties have hurt Indias economic interest and job creation enormously. Now there is no excuse for any party to stall its formalisation as more states have come on board. The NDA should take courage, isolate the Congress in case it plays truant again and, force a vote to get this done. There is no more time to be lost in unnecessary disruption of Parliament as the time has come for the great push forward. (The author is a leading angel investor, Chairman of Manipal Global Education and ex-member Board of Directors, Infosys. Views are personal) Editor's Note: This article was originally published on 13 July. It is being republished in light of the Monsoon Session in Parliament that begins on Monday- 18, July NEW YORK Senior U.S. Department of Justice officials overruled internal recommendations to prosecute global bank HSBC Holdings Plc for money laundering violations because of concerns about the stability of the financial system, a congressional report released on Monday said. In 2013, the Financial Services Committee of the U.S. House of Representatives, led by Republican Congressman Jeb Hensarling of Texas, began investigating the Justice Department's November 2012 decision to enter into a $1.92 billion settlement agreement with HSBC. The report, which relies on internal records from the Department of the U.S Treasury, said then-U.S. Attorney General Eric Holder "misled" Congress about the Justice Department's reasoning for declining to prosecute. He and other top officials decided against criminal charges for London-based HSBC over the recommendations of prosecutors as they had concerns about financial stability, the report said. Politicians and others have criticized the Justice Department for not charging high level executives following the 2008 financial crisis. The report said it sought to shed light on the department's decision-making behind the scenes and did not outline specific recommendations. The 2012 settlement detailed how Mexico's Sinaloa drug cartel and Colombia's Norte del Valle cartel laundered $881 million through HSBC and a Mexican unit and how the bank violated U.S. sanctions laws by doing business with customers in Iran, Libya, Sudan, Burma and Cuba. No HSBC executives or employees were prosecuted for the violations, the report said. HSBC declined to comment Monday on the report. Holder also declined to comment. The Justice Department did not immediately respond to a request for comment. The U.S. Department of the Treasury declined to comment. The report said both the Justice Department and Treasury did not comply with the committee's request for documents, forcing them to issue subpoenas. Internal emails in the report show the Justice Department's Asset Forfeiture and Money Laundering Section, represented by then-chief Jennifer Shasky Calvery was "considering seeking a guilty plea from HSBC" as early as September 2012. Senior leadership at the Justice Department, including Holder, ultimately overruled prosecuting the bank even though Holder had testified in front of Congress that "banks are not too big to jail," the report said. Shasky Calvery later joined HSBC in a senior global financial crime fighting role, according to a source familiar with her plans. As part of HSBC's agreement with the U.S. government, the bank installed an outside monitor, former prosecutor Michael Cherkasky to improve its anti-money laundering controls. A court heard in April that despite progress, HSBC was still not doing enough to thwart money laundering. (Reporting by Mica Rosenberg; Editing by Noeleen Walder and Grant McCool) This story has not been edited by Firstpost staff and is generated by auto-feed. Srinagar: Jammu and Kashmir government on Monday said about 1,000 vehicles carrying essential commodities were on their way to the Valley, even as there are sufficient stocks of ration and life saving drugs. "About 1,000 vehicles carrying essential commodities, on way to valley, will reach at their destinations by tomorrow morning," Minister for Consumer Affairs and Public Distribution Choudhary Zulfkar Ali said at a high-level meeting here. The meeting was convened to review the stock and supply position of essential commodities in the Valley and Ladakh region and was informed that sufficient stock of ration including, LPG and Kerosene oil, is available in the Valley and Ladakh, an official spokesman said. He said Director of CAPD, Kashmir Peerzada Mushtaq was nominated as nodal officer for monitoring supply of essential commodities in the Valley besides a control room would be set up in the department to meet the demands of the people. The Minister directed the divisional and district administrations for organising langers in hospitals to serve free food to the attendants and patients. Ali said the priority should be given to the movement of vehicles from Jammu to Srinagar carrying rations and other essential commodities. "The vehicles carrying perishable commodities like vegetables, milk and poultry birds shall also be allowed to move to Valley from Jammu so that these things are also made available for the convenience of consumers," the minister said. He said that there is no need of curfew passes for the vehicles carrying ration and other essential items and the persons dealing with supply of essentials would also be provided curfew passes by the Deputy Commissioners concerned. The Minister directed for stationing of officers at district Headquarters so that they could be contacted if there is any crisis with regard to availability or delivery of essential commodities. While directing Commissioner, Srinagar Municipal Corporation for ensuring cleanliness and sanitation in the city, the Minister also asked the Chief Engineer, Public Health Engineer to ensure availability of water supply round-the-clock. "A control room shall be established in the department and people can also register their requirements with regard to ration and other essentials on 100," the spokesman said. He said the meeting was informed that adequate stock of life saving drugs is also available in District and Associated hospitals of the Valley. Jammu: No yatri was allowed to move towards the Kasmir Valley from winter capital Jammu to perform the Amarnath Yatra for the third consecutive day on Monday, police said. "No Yatri will be allowed to move towards the Valley from Bhagwati Nagar Yatri Niwas in Jammu city today," a senior police officer said. "The Yatra has been suspended due to the prevailing law and order situation in the Valley," he added. An officer of the Shri Amarnathji Shrine Board (SASB) that manages the affairs of the annual pilgrimage told IANS that 8,611 pilgrims had "Darshan" at the holy Cave Shrine on Sunday. "8,611 yatris had 'darshan' inside the holy Cave on Sunday. These included Yatris who had already reached the north Kashmir Baltal and south Kashmir Nunwan (Pahalgam) base camps," he said. "Since the yatra started on 2 July, so far 1,27,538 pilgrims have performed the yatra," the SASB official added. Around 15,000 yatris are waiting in Jammu for their turn to proceed to the Valley so that they can undertake the Yatra. We will not go back from here, we are here for Baba Barfani, will go sure: Pilgrim from Allahabad at Jammu base camp pic.twitter.com/lUz4ldnimP ANI (@ANI_news) July 11, 2016 Sources told IANS that a team of officials from Gujarat is reaching here on Monday to find out the welfare of stranded Yatris belonging their state. "Gujarat chief minister spoke to state chief minister on Sunday. It was agreed upon that a team of Gujarat government officials would arrive in Jammu to meet the yatris," sources said. They said that since mobile internet services remained suspended in Jammu city, stranded yatris were feeling inconvenienced. The violence in the Kashmir Valley that has so far claimed 20 lives and injured over 200 following the elimination of Burhan Wani by Indian security forces is deeply tragic but in no way unexpected. Kashmir remains a powder keg that gets ignited with slightest of sparks, and the death of the most-wanted Hizbul Mujahideen commander, a top terrorist recruiter who enjoyed huge local support, was always going to be problematic. In the age of social media, there is always a temptation to magnify the significance of current events at the risk of trivialising the past. The Valley is not burning for the first time. Kashmir has gone through repeated cycles of violence. And it is downright preposterous to suggest that dreaded terrorists should not be eliminated by security forces because somehow a dead terrorist is more dangerous than a live and operating one. Wani's recent Facebook propaganda calling for an Islamic Khilafat (which became wildly popular) in Kashmir was a clear departure from the earlier separatist movements and an indication that he was either gravitating to, or at least being heavily inspired by the Islamic State (IS). "A terrorist is a terrorist who has to be dealt as such irrespective of whether he is net-savvy or illiterate and his success in whipping up popular sentiment at a particular point in time", a senior official told The Times of India, reiterating that popularity should not be the determining factor for elimination of a terrorist who was "targeting army and other security forces". Besides, it would be too simplistic to assume, as a section of the media has done, that the 'spontaneous' outpouring of grief for the 21-year-old slain terrorist and the resultant violence against security personnel stem solely from Wani's social media popularity and his 'iconic' status as the local poster-boy of armed rebellion. Seeing the renewed clashes through rose-tinted glasses of misplaced romanticism as a "freedom movement of Kashmiris determined to secede from an oppressive Indian State" would be to turn a blind eye to evidences of history and Pakistan's stated machinations behind the turbulence. The seeds of Pakistan-sponsored insurgency in Kashmir were sown in the mid-1970s when Lieutenant-General Hamid Gul, former ISI chief who nurtured a deep and enduring animosity against India having suffered two humiliating losses in 1965 and 1971 wars, set up militant groups in the valley to train young Kashmiris and Afghans to fight in India. By 1991, the Jammu Kashmir Liberation Front (JKLF) had a ready army of Kalashnikov-wielding men roaming the lanes and bylanes of Srinagar, Baramulla, Anantnag and Sopore, calling for azaadi from "Indian rule". That the armed insurgency came a cropper by 1996 owes in no small measure to Indian army's determined, sustained counter-offensive and ISI's cutting off of weapons and training aid to JKLF in favour of Hizbul Mujahideen, which had a more pro-Pakistan slant unlike the pro-independence JKLF. Fidayeen attacks, masterminded by the ISI-sponsored Lashkar-e-Taiba and Jaish-e-Mohammed terrorists marked the second wave of violence which reached its peak between 1999 and 2002. As professor Sumantra Bose writes in Al Jazeera, "at least 55 such attacks took place and 161 military, paramilitary and police personnel died, as did 90 perpetrators." Coming just after the Kargil conflict, the suicide attacks culminated in the daring 2001 Parliament attack. After a lean phase since early 2003, insurgency reared its head again in towards the later part of the decade. Clashes in 2008 and again in 2010 claimed over 100 lives each as the cycle of violence continued unabated. Between January 1990 and April 2011, government data show that in the last 21 years, 43,460 people have been killed in the Kashmir insurgency. Of these, 21,323 were terrorists, 13,226 were civilians killed by terrorists, 3,642 civilians killed by security forces and 5,369 policemen killed by terrorists. The 21,323 terrorists include both Kashmiri and foreign militants. The hard truth is, terrorists slaughtered more civilians than Indian security forces ever did. It has been speciously suggested that Wani single-handedly sexed up local militancy in Kashmir which was on the wane. Indian Express, just to take one example among the many media outlets taking a similar line, quoted a Kashmiri police officer as saying: "There are so many stories woven around Wani that he has become a legend across this region. For almost 15 years, Kashmiri youngsters refrained from joining the militant ranks. Burhan brought the militant movement back to youngsters here Earlier, local recruits would be sent across the border for arms training. After Burhan became known, we have witnessed a new situation. There are more than 60 local militants active in south Kashmir who have trained locally," said the officer. This narrative built around a terrorist who obviously was good at marketing himself through social media tools by a gullible media and opportunistic politicians such as Omar Abdullah who stand to gain by keeping the Kashmir cauldron boiling, discounts Pakistan's role behind another wave of insurgency. By making Wani a youth icon and a hero and glorifying his role in insurgency, the media is also ending up propagating Pakistan's cause. Islamabad is already portraying the Hizbul commander as a "martyr" and is calling for a plebiscite in the Valley due to "India's human rights violations". For Pakistan, Kashmir is an unfinished business, the focal point of "1,000 years of war" against India and rallying platform for a clash of civilisations. Its Army does not want the Kashmir issue to be resolved as this would pose a serious challenge to its existence and dominance in the country's political set-up. An audio clip, purportedly the voice of Burhan's mother, being circulated in Kashmir in the aftermath of violence has her shouting: "Tum kitne Burhan maaroge?". A crowd responds, "Har ghar se Burhan niklega." Then the crowd takes over: " (not intelligible) aage badho, hum tumhare saath hain. Jeeve jeeve Pakistan. Jeeve jeeve Pakistan. Jeeve jeeve Pakistan. Jeeve jeeve Pakistan." For all the theories about Wani inspiring a "purely local militancy," here are a few facts. According to Indian Army records, at least 70 young Kashmiris in 2014 joined the secessionist movement with most aligning with the banned group LeT. How many local Kashmiri youths were recruited by the "formidable" Wani? Data from the Ministry of Home Affairs reveals that from January to June 2016, the number of new local recruitments to militancy in the Kashmir Valley stood at 39. The number of local recruitments to Kashmir militancy in 2015 were 76 in 2015, 56 in 2014 and 20 in 2013. The MHA data also reveals that from January to 1 June, 2016, 36 militants were able to successfully infiltrate into India. For 2015, the number of successful militant infiltrations stood at 33. For 2014, the corresponding figure was 65. Even as JeM increased its activities in India from 11 in 2014 and 5 in 2015 to 15 already this year LeT and Hizbul Mujahideen (HM) remain the most potent outfits. LeT has the strongest presence with 76 militants operating on the ground while HM has 64 active cadres. So while Pakistani ISI bagman Ghulam Nabi Fai, according to an FBI affidavit, was getting funds to the tune of at least $4 million to manipulate the debate about Kashmir on Capitol Hill as the principal representative of the Kashmiri separatist movement, Pakistan was busy providing ideological assistance, weapons, training and funding to LeT, HM or Haqqani Network to create unrest in Kashmir. You are entitled to your own opinion, but you are not entitled to your own facts, former US senator Daniel Patrick Moynihan is believed to have said. It is advice the Indian media will do well to heed, going by the naivete on display. Srinagar: Strict curfew continued on Monday in most parts of the Kashmir Valley following heightened tension over the death of 22 people during protests against the killing of a top Hizbul commander, Burhan Wani. Twenty one protesters and a police driver were killed during clashes with security forces, mostly in Anantnag, Shopian, Kulgam and Pulwama districts. Shabir Ahmad, the first victim from Srinagar succumbed to bullet injuries late Sunday evening, Kashmir Valley erupted in anger on Saturday after security forces shot dead Burhan Wani, a top leader of the Hizbul Mujahideen, along with two of his associates on Friday. His funeral was attended by thousands. Three policemen taken hostage by angry mobs in south Kashmir on Friday, returned back safely, but their weapons were seized, police said here on Monday. Four police stations, 36 civil administration offices and dozens of vehicles were destroyed by unruly mobs. A total of 102 civilians and 100 security personnel were injured in the bloody clashes. All senior separatist leaders including Syed Ali Geelani and Mirwaiz Umer Farooq, were placed under arrest by the authorities while the state government on Sunday requested them to help normalise the situation. It is feared if things are not quickly brought under control, Kashmir could be in the throws of another bloody unrest when in 2010, 112 protesters died in clashes with security forces. Srinagar: Normal life continued to remain paralysed for the third consecutive day on Monday in violence-hit Kashmir Valley due to curfew-like restrictions and separatists-sponsored strike following the killing of Hizbul Mujahideen commander Burhan Wani in an encounter on 8 July. Strict restrictions on movement of people remain in place in Srinagar and four districts of south Kashmir since Saturday morning. Officials said that the measure has been taken to maintain law and order in the Valley. However, the situation will be reviewed later in the day and a decision taken on whether to continue with the restrictions. Meanwhile, the strike, called by the separatist groups, also affected the normal life in the Valley. Shops, private offices, business establishments and petrol pumps were shut, while government offices and banks witnessed thin attendance, the officials said. They said public transport was completely off the roads for the third day, while private cars and auto-rickshaws were seen plying at few places in the areas where there were no restrictions. Educational institutions in the Valley were closed on account of the ongoing summer vacations, while Central University of Kashmir (CUK), Islamic University of Science and Technology (IUST) and Jammu and Kashmir Board of School Education (JKBOSE) have postponed the examinations due to the prevailing situation. The separatist groups earlier on Friday had called for a one-day strike following Wani's killing. The strike was then extended for two more days to protest the killings of civilians duing protests. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Union Home Minister Rajnath Singh on Monday chaired a high-level meeting to discuss the violent unrest in the Kashmir Valley, following the killing of Hizbul Mujahideen commander Burhan Wani in an encounter, reported CNN-News 18. According to Times Now, Singh also spoke to Congress President Sonia Gandhi and Jammu and Kashmir National Conference chief Omar Abdullah in order to reach a consensus over the situation in the Valley. J&K situation: Home Minister Rajnath Singh to chair high level meeting at MHA at 12 pm ANI (@ANI_news) July 11, 2016 HM @rajnathsingh ji spoke to me earlier today. I told him that until security forces exercise maximum restraint & stop killing protestors... Omar Abdullah (@abdullah_omar) July 11, 2016 Twenty one protesters and a police driver were killed during the clashes with security forces, mostly in Anantnag, Shopian, Kulgam and Pulwama districts, reported Firstpost. Three policemen taken hostage by angry mobs in south Kashmir on Friday, returned back safely, but their weapons were seized, police said on Monday. Four police stations, 36 civil administration offices and dozens of vehicles were destroyed by unruly mobs. A total of 102 civilians and 100 security personnel were injured in the bloody clashes. Normal life came to a standstill as the Valley remained under curfew for the third consecutive day. Since Saturday morning, strict restrictions have been imposed on the movement of people in Srinagar and four districts of south Kashmir. Policing increased in many areas of Jammu and Kashmir. pic.twitter.com/fE4hVTUohJ ANI (@ANI_news) July 11, 2016 Officials said that measures have been taken to maintain law and order in the Valley. However, the situation will be reviewed later in the day, and a decision will be taken on whether to continue with the restrictions. Meanwhile, the strike, called by the separatist groups, also affected life in the Valley. Shops, private offices, business establishments and petrol pumps were shut, while government offices and banks witnessed thin attendance, the officials said. They said public transport was completely off the roads for the third day, while private cars and auto-rickshaws were seen plying at few places in the areas where there were no restrictions. Educational institutions in the Valley were closed on account of the ongoing summer vacations, while Central University of Kashmir (CUK), Islamic University of Science and Technology (IUST) and Jammu and Kashmir Board of School Education (JKBOSE) have postponed examinations due to the prevailing situation. The separatist groups earlier on Friday had called for a one-day strike following Burhan Wani's killing. The strike was then extended for two more days to protest the killings of civilians duing protests. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. The Jammu and Kashmir Cabinet, headed by Chief Minister Mehbooba Mufti, met and expressed anguish over the situation and the death of civilians in clashes with security forces following the killing of Hizbul Mujahideen commander Burhan Wani. The government promised to investigate if there was any instance of disproportionate use of force by security forces, even as it appealed to the people not to become tools in the machinations of instigators of violence. The Cabinet also appealed to separatists, including Hurriyat Conference, as well as mainstream political parties such as National Conference, Congress and CPM to help restore normalcy "if they want peace in the state". With inputs from PTI Srinagar: Security forces have not been able to trace the large cache of arms and ammunition, including 35 assorted rifles, that went missing from a police station in south Kashmir following a mob attack on Saturday, a police official said on Monday. Among the arms and ammunition that are still untraced are 21 INSAS rifles, 12 SLR rifles, two AK 47 rifles, one Light Machine Gun and three Carbine Machine Guns, the official said. "The missing items include 108 INSAS magazines, six Carbine Machine Gun magazines, 18 SLR magazine, one LMG magazine and five AK 47 magazines.The number of ammunition rounds could not be ascertained yet as most of the magazines were fully loaded," he said. A mob attacked a police station at Damhal Hanjipora in south Kashmir on Saturday during violent protests against the killing of Hizbul Mujahideen commander Burhan Wani in an encounter with security forces a day earlier. The official said it was not clear whether the mob has taken away the arms and ammunition or some police personnel posted at the police station managed to save these. "We have our fingers crossed that these weapons have not fallen into wrong hands," he said. The official said some sensitive documents were also missing from the police station. "The Police Wireless Communication Code (PWCC) document, the coded language used by the department for relay of information, is also missing. Case diaries and some other records are also not traced yet," he added. Srinagar: Hundreds of Amarnath pilgrims were stranded as Srinagar-Jammu national highway remained out of bounds for the third day in the wake of violence in the Valley following the killing of Hizbul Mujahideen commander Burhan Wani. Many of the pilgrims, who performed the yatra through Baltal route in Sonamarg area of central Kashmir's Ganderbal district, were stranded near the Tourist Reception Centre in the city. The pilgrims left the Baltal base camp at around 1 am and reached Srinagar in the wee hours. "We performed the yatra on 8 July. Around midnight tonight, we were told to leave the base camp. They told us to leave for Srinagar where buses were waiting for us to take us to Jammu," Pramod Kumar, a resident of Bihar, said. He, however, said when they reached Srinagar, there were no buses. "We reached here at around 3 am and since then we are waiting. There are no buses. How do we move to Jammu?," he said. Another pilgrim, Bansi Lal, a resident of Uttar Pradesh, said no information has been provided to them. "We have not been told anything after we reached Srinagar. There is no information available. We want to leave for Jammu but do not know how," he said. The pilgrims also complained of inadequate arrangements and accused cab drivers of charging exorbitant rates. However, Deputy Commissioner Ganderbal, Tariq Hussain Ganai said the administration had made proper arrangements for about 24,500 pilgrims to leave Baltal for Jammu. "We arranged 1,700 vehicles for about 24,500 yatris to leave for Jammu. The vehicles left Baltal straight for Jammu and not for Srinagar. "Those yatris are on their way to Jammu right now," Ganai said. He said pilgrims stranded in Srinagar must have been "tricked" by cab drivers at Baltal. "What I can understand is that they must have been tricked by the cab drivers there. We cleared the last three days' yatra last night and sent the pilgrims directly to Jammu. Some left the state by air as well. "We never told anyone that buses will be waiting in Srinagar," the deputy commissioner said. The Srinagar-Jammu national highway is closed for traffic as it passes through south Kashmir which has seen the maximum violence following the killing of Wani and his two associates. New Delhi: Eight hundred additional central paramilitary forces are being rushed to Jammu and Kashmir in the wake of continued violent protests across the Valley. The reinforcements will be in addition to 1,200 personnel which were sent to aid the state police on Saturday. Official sources said eight companies (with 100 personnel each) of CRPF are being sent in the wake of ongoing protests. About 60 battallions (about 1000 personnel each) are already stationed in the state as part of counter insurgency grid. A police station in Sopore was torched by a mob on Monday while air force airport in Pulwama and other security installations in Kashmir have also came under attack as ongoing clashes between protesters and security personnel continued following the killing of Hizbul commander Burhan Wani on Friday. The violent protests have so far left 23 dead and over 250 injured. Normal life has been paralysed due to curfew-like restrictions and separatists-sponsored strike since Saturday. Restrictions on movement of people continued to be in place in many parts of the Valley including parts of Srinagar city, where the first death in the clashes occurred on Sunday evening. Auto refresh feeds "We have young boys coming out and protesting. We have tried to impose curfews in the city today just to ensure that such kind of incidents do not take place," he said. The official also said that one of the eight people who had passed away died due to drowning. "Security installations were set on fire...It is unfortunate and sad," he said. "There are 96 security personnel who have been injured in efforts to control the situation." An official from the Jammu and Kashmir Police in a news briefing said that the death toll in Kashmir has now climbed to 8. "There have been eight casualties," said the official. There have been eight casualties: Jammu and Kashmir Police The report also said that she appealed to the people of the state to co-operate with the government and the police so that normalcy could be restored. "I express profound grief over the tragic death of the youths and extend my heart-felt condolences to the bereaved family members in their hour of immense grief," Rising Kashmir quoted an official government spokesman as saying. Four demonstrators, identified as Imtiyaz Ahmad Mandoo, Mashooq Ahmad and Safeer Ahmad, all from Anantnag district, and Mohammmad Altaf Rather (from Pulwama) who were wounded a day earlier died on Sunday. Home Minister Rajnath Singh presided over a high-level meeting and then spoke to Jammu and Kashmir Chief Minister Mehbooba Mufti, offering all possible help from New Delhi. The latest fatalities took the death toll in two days of widespread clashes between protesters and security forces in the Kashmir Valley to 19 18 demonstrators and one policeman. Irfan Ahmad Malik, 17, was also killed in firing elsewhere in Pulwama district after a curfew-defying mob attacked the security forces, a senior police official said. Security forces shot dead two demonstrators at Litter in Pulwama district after a huge crowd took to the streets shouting anti-government and pro-freedom slogans, the sources said. A mob overran a bullet-proof police vehicle and rolled it down into the Sutlej river at Sangam in Anantnag district, drowning its constable driver while other policemen fled the scene, official sources said. The Jammu and Kashmir government appealed for calm as four more people died in continuing protests against the killing of a top guerrilla leader, taking the death toll to 19. Most of today's editions of the newspapers in the Valley carried classified advertisements of the cancellation of the marriage ceremony invitations. The violence in Kashmir Valley has hit the post-Ramadan wedding season, with the newspapers in Srinagar full of advertisements announcing the cancellation of feasts and invites related to such ceremonies. Opposition National Conference leader Omar Abdullah said his party was ready to contribute towards maintaining peace in Kashmir but Chief Minister Mehbooba Mufti should take the lead to show the way instead of "hiding" behind spokesperson and police officers as they were not elected by the people. CM Mehbooba Mufti should take the lead instead of hiding behind spokespersons: Omar Abdullah The Cabinet also appealed to separatists, including Hurriyat Conference, as well as mainstream political parties like National Conference, Congress and CPI(M) to help restore normalcy "if they want peace in the state". - PTI The government promised to investigate if there was any instance of disproportionate use of force by security forces even as it appealed to the people not to become tools in the machinations of instigators of violence. The Jammu and Kashmir Cabinet, headed by Chief Minister Mehbooba Mufti, met and expressed anguish over the situation and the death of civilians in clashes with security forces following the killing of Hizbul Mujahideen commander Burhan Wani. Amarnath Yatra also remained suspended for the second day owing to the unrest in the valley in which over 200 people, many of them police and security personnel, have been injured. Kashmir continued to be on the boil with five more people, including a cop, getting killed in violence, raising the death toll to 20, even as curfew-like restrictions were in place and Mobile internet services remained suspended. Kashmir continued to be on the boil with six more people, including a cop, getting killed in violence today, raising the death toll to 21. Expressing concern over the detention of Kashmiri separatist leaders, Sharif said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. - PTI "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he said. The Prime Minister said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement. Sharif, who recently returned from London after an open-heart surgery, came out with a late night statement condemning the action of Indian security forces in Kashmir. Pakistan Prime Minister Nawaz Sharif has deplored "excessive" force against civilians in the latest wave of violence in the Valley while expressing "deep shock" over the killing of Hizbul Mujahideen commander Burhan Wani. Jammu and Kashmir: Curfew continues to be imposed in Srinagar following protests over Burhan Wani's killing. pic.twitter.com/7Kc7hz8kHV They said public transport was completely off the roads for the third day, while private cars and auto-rickshaws were seen plying at few places in the areas there there were no restrictions. - PTI Shops, private offices, business establishments and petrol pumps were shut, while government offices and banks witnessed thin attendance, the officials said. Meanwhile, the strike, called by the separatist groups, also affected the normal life in the Valley. However, the situation will be reviewed later in the day and a decision taken on whether to continue with the restrictions. Strict restrictions on movement of people remain in place in Srinagar and four districts of south Kashmir since Saturday morning. Officials said that the measure has been taken to maintain law and order in the Valley. The victims comprised 21 protesters and a police driver. They were killed during clashes with security forces, mostly in Anantnag, Shopian, Kulgam and Pulwama districts. The death toll in the clashes between protesters and security forces in Kashmir mounted to 22, as normal life continued to remain paralysed for the third day on Monday. Amarnath Yatra from Jammu base camp suspended for the 3rd consecutive day, people in hope of a 'go-ahead' for yatra pic.twitter.com/mw0po9NZ20 Amarnath yatra has been suspended for the third consecutive day. Around 15,000 yatris are stranded at the Jammu base camp for their turn to proceed to the Valley so that they can undertake the Yatra. Policing increased in many areas of Jammu and Kashmir. pic.twitter.com/fE4hVTUohJ Meanwhile, police patrolling has increased in many areas in Jammu and Kashmir. According to reports, Union Home Minister Rajnath will be chairing a high-level meeting to discuss the unrest in the Valley at 12pm on Monday. Union Home Minister Rajnath Singh on Monday spoke to Congress president Sonia Gandhi and former Jammu and Kashmir Chief Minister Omar Abdullah over the tense situation in Kashmir. - PTI "We are all concerned about J&K. It is close to our heart. So many lives have been lost. We pray for peace, prosperity and humanity," Banerjee said in a statement. - PTI West Bengal Chief Minister Mamata Banerjee expressed concern over the present situation in Jammu and Kashmir where clashes between protesters and security personnel following the killing of Hizbul commander Burhan Wani left 23 dead and over 250 injured. Expressing concern over the detention of Kashmiri separatist leaders, Sharif said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. - PTI "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he said. The Prime Minister said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement. Sharif, who recently returned from London after an open-heart surgery, came out with a late night statement condemning the action of Indian security forces in Kashmir. Pakistan Prime Minister Nawaz Sharif has deplored "excessive" force against civilians in the latest wave of violence in the Valley while expressing "deep shock" over the killing of Hizbul Mujahideen commander Burhan Wani. PM has hoped for peace, has guided us and appealed that no innocent lives are disturbed: Jitendra Singh on J&K pic.twitter.com/CPc4SC6ufw "Prime Minister has appealed to the people of Jammu and Kashmir to maintain calm and peace so that normalcy returns in the valley," Minister of State in Prime Minister's Office, Jitendra Singh, told reporters soon after the meeting concluded. - PTI The curfew remained in force in many parts of the Valley including pockets of Srinagar city and Pulwama district as authorities braced for more protests. Prime Minister Narendra Modi on Tuesday chaired a high-level meeting in New Delhi and voiced concern over the incidents of violence in the Valley as the death toll reached 30, which included 29 civilian protesters and a policeman. I appeal everyone to help the Govt to bring back peace and normalcy in the state: J&K CM Mehbooba Mufti pic.twitter.com/Q4b9UbL9Fb The J&K CM also said that she was proud of the people who had helped in saving lives during the Kashmir unrest. She also requested the parents in the state to guide their children and discourage them for joining the protests. "I request all people to think about the actions they and their children are taking," Mehbooba said. "I request all parents to discourage their children from joining the agitations." "To prevent people adding to the chaos on the streets, we gave a curfew order in Kashmir," CM Mehbooba said. "We regret the loss of lives in the violence. We will investigate if unnecessary force was used by the police," she said. Jammu and Kashmir Chief Minister Mehbooba Mufti gave yet another statement on the unrest in the state and said she deeply regretted the loss of lives due to the violence. She also promised an investigation into alleged brutality by the state's police force. Security personnel were told to act calmly. Sad,despite taking all measures, lives were lost during protest: J&K CM pic.twitter.com/EZ9RtR80gH Mehbooba also said that she regretted the fact that many girls have also been killed in the violence. Kashmir CM Mehbooba Mufti also said that many youths, whether militants or civilians, had died in the state due to the violence so far. "Violence has taken a toll on generations in Jammu and Kashmir," she said. "Just like Muslims from any other part of the country, Kashmiris are also our brothers. We are with them and appeal to them to bring normalcy and peace," he told reporters. - IANS Umer Ahmed Ilyasi of All India Organisation of Imams of Mosques told media later that they will visit Kashmir and urge people, specially religious leaders, there to help the valley to return to normalcy. The clerics said they were satisfied after meeting the Home Minister, who assured them of all possible help in restoring peace in the disturbed valley. Home Minister Rajnath Singh met with a group of Muslim clerics, who discussed with him ways and means to restore normalcy in the Kashmir Valley. Three persons were killed and 50 injured in clashes in Kashmir between security forces and violent mobs who set ablaze five buildings including three police installations and some vehicles to protest the killing of Hizbul Mujahideen poster boy Burhan Wani in an encounter. Curfew-like restrictions were imposed in many parts of Kashmir where mobile internet services were suspended across the valley and mobile telephony services restricted in south Kashmir, the epicentre of the trouble. In view of the volatile situation, Amarnath yatra has also been suspended from Jammu base camp with no fresh pilgrims allowed to proceed towards the Valley. Burhan's funeral in Tral town was attended by thousands of people while violent protests were reported from a number of places from Khadinyar in north Kashmir to Kulgam in south, a day after the militant commander was killed. A 25-year-old youth, Amir Bashir, was hit by a bullet allegedly fired by security forces on protestors in Verinag area of Anantnag district in south Kashmir, a police official said. The youth was shifted to a hospital but he succumbed to injuries on the way, he said. Another youth was reportedly killed in similar circumstances in Qaimoh area late last night. Zubair Ahmad had multiple bullet injuries in his chest, a doctor at the Anantnag district hospital said on condition of anonymity. A 16-year-old youth identified as Saqib Manzoor was declared "brought dead" by doctors at SMHS hospital where he was taken by some people with critical injuries sustained due to use of firearms, a police official said. Besides these three deaths, there were unconfirmed reports that a youth drowned in a river at Ganeshpora as security forces chased a group of protestors. Police did not confirm the incident. Police said over 30 other youth were injured in action by the security forces against the violent mobs at several places across the valley. However, sources in various hospitals of the city put the number of injured persons at around 50. Condition of the two of the injured was stated to be critical. The protesters indulged in widespread violence and arson. They attacked police posts at several places and also damaged the office of the BJP in Kulgam area, police said. The mobs set on fire police stations at Achabal and Damhal Hanjipora while a police post at Kund in Qazigung was also set ablaze. Two government offices were set on fire by protesters in Tral town of Pulwama district as well while three buses were also set on fire at Qazigund. Groups of youth attacked police posts and police stations by pelting stones at several places including Bandipora, Qazigund and Larnoo in Anantnag district, Mir Bazaar in Kulgam district, Warpora in Sopore township of Baramulla district, the police official said. A police picket meant for security of minority community in Vessu area of south Kashmir was also attacked, he said. Stone pelting incidents were also reported from Sheeri, Kreeri, Delina, Pattan and Palhalan areas of north Kashmir Baramulla district, the official said, adding Barsoo and Shariefabad in Awantipora area of south Kashmir also saw violent protests. Minor protests were also reported from several parts of Srinagar city, he added. Meanwhile, thousands of people joined funeral prayers of Burhan who was laid to rest this afternoon in his native village of Tral. There was no deployment of security forces in Tral and adjoining areas to avoid a confrontation with the people coming to participate in the funeral. People from Tral town and adjoining areas arrived in droves to attend the funeral prayers, which had to be conducted four times to accommodate the massive number of mourners. According to official estimates, more than 40,000 people took part in the last rites of the slain militant commander. Unofficial reports put the number much higher. Burhan was laid to rest amidst 'pro-freedom' sloganeering with some youth waving Pakistani flags. Funeral prayers in-absentia were offered in almost all localities of the city following a call by separatist leaders. (With inputs from agencies) JNU student leader Umar Khalid, who had kicked off a controversy on the networking site Facebook by comparing Burhan Wani to Che Guevara, clarified his stance over the whole controversy. "What the media is reporting is extremely shameful. The media is trying to deviate the matter by diverting all the attention on me, that too over on something as trivial as a Facebook post," Umar told Firstpost. Umar futher said,"There are far more pressing matters happening in Kashmir. Instead of focussing on the brutal crackdown, the media is continuing the witch-hunt by targeting me. Especially the electronic media. They just want to increase their TRPs. People can't even have an opinion of their own? As of now 23 people have lost their lives in Kashmir." Umar condemned the killings that took place in Kashmir. "What is happening in Kashmir is extremely shameful. Those killings need to stop right now." Umar Khalid in a Facebook post had written, "I dont care if I fall as long as someone else picks up my gun and keeps on shooting. These were the words of Che Guevara, but could have just been Burhan Wanis too." Khalid has earlier been in the centre of controversy for being one of the organisers of the controversial event in JNU against the hanging of Parliament attack convict Afzal Guru, during which anti-national slogans were allegedly raised. His remarks on Burhan Wani did not go down well with the RSS student wing ABVP, which has been locked in an ideological battle with the left-affiliated groups in JNU. Reacting earlier to Umar Khalid's post, Saurabh Sharma, lone ABVP member in JNU students union said, "After supporting Afzal Guru, Khalid has now expressed his sympathies with Burhan, this is an indicative of his association with terrorists and his support for them. Such anti-nationsals are even dangerous for the society than terrorists. I demand that his bail be cancelled and investigation about his links be conducted." Burhan Wani was a Hizbul Mujahideen who was killed in an encounter along with two other associates on Friday. Ever since, curfew was declared throughout the Kashmir Valley because of protests over the militant leader's death. With inputs from PTI New Delhi: Micro-planning of more than 100 districts needs to be conducted to reach the targeted Total Fertility Rate (TFR) and achieve the goal of population stabilisation, Health Minister JP Nadda said on Monday. "The TFR in 23 districts of the country is above four per cent 11 from UP, 8 from Bihar and 2 each from Rajasthan and Madhya Pradesh. We have to put a special focus on these districts. There are 123 districts ranging in seven states where the TFR is between 3-3.9 percent. "So these 123 plus 23 districts need to be strategised and focussed on. I would suggest we should go for micro-planning of every district and find out the reasons. If we find out the reasons, we will be able to address it. We cannot generalise," he told a national workshop on "Jimmedari Nibhao, Plan Banao" on World Population Day. TFR is the average number of children expected to be born per woman during her entire span of reproductive period and India has a TFR target of 2.1 percent. Nadda said that the reasons may vary in each district and these could be due to poor infrastructure, social or cultural reasons and education. "Every district has its own peculiarity. So a micro-planning is needed. In the next 2-3 months, if we are able to strategise this and we start addressing that way, next year when we meet on population day, we will have an answer," he said. Noting that there has been a reduction in the participation of males in family planning, he said that the reasons for this should be analysed and the focus area of the strategy should be on how to bring males into the fold of family planning. Terming as a challenge the target of bringing down the TFR to 2.1 percent, the minister said that as far as Infant Mortality Rate, Maternal Mortality Rate and Under 5 Mortality are concerned, the pace of decline in India is faster than the world average. "One good thing is we can say that we are going in the right direction. We have to increase the pace. 24 states have reached 2.3 percent. We have to strategise for states which have not brought it down," he said. Minister of State for Health Anupriya Patel said there is thought process in the country that a family should have a male child and this was a "grassroot issue plaguing it". She stressed the need to bring about a change in this mindset. MoS Health Faggan Singh Kulaste said that the way the population is rising in India, it will surpass that of China as he called for appropriate measures for population stabilisation. Controversial Islamic preacher, Zakir Naik, who had cancelled his return to India on Monday, has said that no Indian government agency has reached out to him for clarification regarding the issue, in a statement made available to Firstpost. "So far not a single official Indian government agency has contacted me for any clarification regarding this issue. It would be my pleasure to cooperate with any official Indian government investigation agency for any information they might require from me," Naik said in his statement. Naik will leave for a tour of African countries in the next few weeks. This, when a host of investigative agencies in India, including the National Investigative Agency (NIA), is probing Naik's speeches based on allegations that the preacher influenced Islamic terrorists in India and abroad through his talks. Naik had earlier planned to hold a press conference in Mumbai after returning on Monday. Naik later cancelled the meet and said hell address the press through Skype from Saudi Arabia. But, even the Skype meet is cancelled now and Naik has decided to leave for African countries either tonight or tomorrow, a person close to Naik told Firstpost on Monday. "Dr Naik has prescheduled engagements in a few African countries for which he is leaving tonight or tomorrow and will be busy for a few weeks," the source told Firstpost. Naiks decision not to return to India despite the nature of charges raised against him had instantly prompted the Indian media to say that Naik is dodging police. The preacher, who describes himself as an expert on comparative religion and Islam, is probably concerned about legal action against him the moment he lands in the airport. The preacher has actually made the plot even more complex by his reluctance to face the media and even the cancellation of his Skype meet. But, as Firstpost noted earlier, there is no serious charge against the suave, English-speaking preacher from Dongri in Mumbai, beyond possible charges of hurting religious sentiments. There isnt any strong evidence of Naiks terror-links beyond the charge that he has inspired Dhaka and Hyderabad terrorists linked to the Islamic state. Even when Naik advocates the false notion of religious supremacy, the merits of Taliban-like social codes, offers a defence of Osama Bin Laden, pledges allegiance to Islamic terrorists, argues against girls education and the LGBT community, he has been doing it through carefully-worded and clever caveats. Naiks allegiance to terrorism before the camera isnt unconditional. So, in a way, Naik, has always played safe not to get entangled in legal charges. Zakir Naik, in his statement made on Monday, further maintained that he did not support terrorism or violence "in any form whatsoever. I have never supported any terrorist organisations and have mentioned this over and over again in thousands of my public talks worldwide. I strongly condemn anyone taking my statements out of context and using it for violence of any form". But, Naiks reluctance to face the charges on home land, however, can change the scenario and raise more suspicion. Even within the Muslim community, many Indian clerics have begun speaking against Naik, saying he is misinterpreting Quran. The only support he has received so far, despite the claim of his millions of followers internationally, is from some members of Samajwadi party and Indian Union Muslim League (IUML), who have argued in support of Naik. Naik is an advocate of peace theory in Islam. The action by the authorities against the Islamic preacher is a violation of the freedom of expression. He is being harassed for no valid reason, said E T Mohammed Basheer, IUML MP and a former minister in the state, at a presser on Sunday. The probe against Naik is being carried out in a prejudiced manner, Basheer alleged and demanded that authorities stop harassing the preacher. Internationally too, Naik may not have too many supporters except in Islamic countries such as Saudi Arabia. Even Bangladesh has banned Naiks Peace TV in that country, while India too has imposed some restrictions on the channel and the NGO run by NaikIslamic Research Foundation (IRF). When the heat increased on him every minute, Naik had now attempted to distance himself from the terror-related controversies, responding through a series of videos shot in Medina. In the videos, Naik said he isnt shocked to see the media reports linking him with Dhaka terrorists. I appear on various TV channels. In Peace TV network alone I have more than 100 million viewers. By the grace of God, I inspire millions of people around the world. On my personal Facebook page alone there are more than 14 million likes, of which majority is from Bangladesh. A person inspired by my videos is not shocking, Naik said. The statement also quotes Zakir Naik as citing media to be the most "important weapon in the world". Naik said that he was "shocked at the media trial" on him regarding the recent terrorist attack that took place in Dhaka, on 1 July, 2016. "Most of the clips shown on the television of myself are either out of context, half-statements or doctored. So are my statements in the print media," Zakir Naik said. Naik has been preaching for years. But, his name has surfaced only now in this fashion after the Bangladesh attacks and after the National Investigation Agency (NIA) busted an Islamic State module in Hyderabad. In both cases, the accused (Rohan Imtiaz and Ibrahim Yazdani respectively) have confessed to the investigators that they were 'inspired' by Naiks speeches. The NIA is reportedly looking at Naiks past speeches. Naik had denied the allegations that he inspired terrorists to kill Muslims. I inspire millions of people close to Islam. Once a person comes closer to Islam, there are possibilities that he starts hearing other speakers. As you are aware, there are some people who misguide the Muslims and in the name of Islam, they encourage Muslims to kill innocent people, which is totally against Quran. The part, which is reported in Indian newspapersI inspire killing innocent peopleI totally disagree. I have never encouraged any other human being to kill any other human being. This evil act of the Indian media trying to prove to the people that Dr Zakir Naik has encouraged terrorism is devilish. I condemn the media that I encourage acts of terrorism," Naik said. Naik has always maintained that his speech was taken out of context and media is trying to put him in bad light by being devilish. If what he says is true, at a time when serious charges are raised against him, the preacher should have returned the home country, clarified his position and face the law of the land. But, by delaying his return he is doing all but the right thing. Social media has erupted in outrage over alleged reports of Facebook blocking the account of a pro-Kashmir activist, Huma Dar, for political appeasement. Huma, an academic associated with the University of California, Berkeley, is a prominent pro-Kashmir voice. Tweeting out screenshots of the Facebook login denial message, Huma accused the social media giant of "censoring" voices from "besieged Kashmir." Facebook censors news from besieged #Kashmir, takes down articles & pictures, and disables accounts! #BurhanWani pic.twitter.com/G7TWW9uO93 Huma Dar (@baalegibreel) July 10, 2016 Internet services have been suspended in the Valley since the weekend as violence broke out following the encounter of Hizbul Mujahideen commander, Burhan Wani. Scores of protesters took to the streets, and social media, to voice their contempt against Wani's killing. As the situation snowballed into violent clashes, the state machinery was pulled in to enforce law and order. Following this mobile data services were suspended to stop rumours from spreading. However, Huma has alleged that Facebook has blocked her out. Read the full article here. New Delhi: The Supreme Court on Monday refused to entertain a plea seeking a direction that a three-judge bench should hear the petitions on enforcement of a prohibition on slaughter of cow and beef sale in the state of Jammu instead of Srinagar bench of Jammu and Kashmir High Court. "Yesterday, I had a talk with the learned Chief Justice and he is not expecting any problem whatsoever," a bench comprising Chief Justice H L Dattu and Justice Arun Mishra said. The observation of the bench came when the counsel for the petitioner, who had filed the PIL before the Jammu bench of the High Court, said that there could be a law and order problem if matters are heard and decided at Srinagar bench. As the bench expressed its view, the petitioner Parimoksh Seth sought permission to withdraw his fresh plea and sought a liberty to move the apex court again in case he felt aggrieved by any subsequent order of High Court. The plea was allowed. Earlier, the apex court had for two months suspended a controversial court order for enforcing a legal bar on the sale of beef in Jammu and Kashmir while asking the Chief Justice of Jammmu and Kashmir High Court to set up a three-judge bench to decide on two conflicting orders on the issue. The apex court had kept in abeyance the 8 September order of the Jammu bench of the High Court which had ordered enforcement of bar on sale of beef in the state in pursuance of Ranbir Penal Code (RPC) provisions. The bench had also referred to the order passed by another division bench of High Court at Srinagar which issued a notice on a PIL demanding scrapping of the RPC provision. The apex court had said, "Since there are conflicting expressions given by two division benches of the High Court, we request the learned Chief Justice to constitute a bench of three learned judges to take a decision on writ petitions." New Delhi: JNU student Umar Khalid, who is out on bail in a sedition case today kicked off another controversy by comparing Burhan Wani, the Hizbul Mujahideen commander who was gunned down earlier this week, with a revolutionary. "I dont care if I fall as long as someone else picks up my gun and keeps on shooting. These were the words of Che Guevara, but could have just been Burhan Wanis too," he said in a facebook post which he removed hours later. He praised Wani for his bravery and said, "Burhan wasnt scared of death, he was scared of a life lived in subjugation. He detested it. He lived a free man, died a free man .....". Khalid has earlier been a centre of controversy for being one of the organisers of the controversial event in JNU against hanging of Parliament attack convict Afzal Guru during which anti-national slogans were allegedly raised. His remarks on Burhan did not go down well with the RSS student wing ABVP which has been locked in an ideological battle with the left-affiliated groups in JNU campus. "After supporting Afzal Guru, Khalid has now expressed his sympathies with Burhan, this is an indicative of his association with terrorists and his support for them. Such anti-nationsals are even dangerous for the society than terrorists. I demand that his bail be cancelled and investigation about his links be conducted," Saurabh Sharma, lone ABVP member in JNU students union said in a statement. These days one is used to individuals making crazy comments in the hope that the train of publicity will bullet through all the social platforms and disgorge their nonsense at each stop. So, JNU student Umar Khalid, already facing a case of sedition and out on bail, has decided to up his ante with a comparison of Burhan Wani, the Hizbul Mujhadeen leader, who was killed earlier this week, to the saga of Che Guevara. At the very beginning, Umar announces, most grandly, that Burhan was not afraid to die. How he knows this little nugget of information, no one can say but lets grant him that insight. Where it all goes wrong is that to most of these up the revolution students, Che Guevara is a monochromatic T-shirt icon who is also the most successful commercial success with that graphic. It has sold millions. Where Umar and his ilk go wrong is that they do not do their homework. They skate superficially on data that is in their face, but do little in-depth study. Che was not a Cuban but an Argentine doctor with five children, who exported revolution and wanted to bring the US to his knees. These are the tender, gentle words of Che Guevara: Crazy with fury I will stain my rifle red while slaughtering any enemy that falls in my hands! My nostrils dilate while savoring the acrid odor of gunpowder and blood. With the deaths of my enemies I prepare my being for the sacred fight and join the triumphant proletariat with a bestial howl! It is still not sure who killed him. Whether it was the Americans or the Bolivians, where he had started stoking an uprising, is uncertain, but it is pretty much accepted that it was the Bolivian government who wanted Che Guevara dead. And the US wasnt averse to it. There was also a dark side to him that garment manufacturers romantically covered up and few even know of it today. Che was cold and ruthless. After Cuba had come under Castro in 1959, Che was placed in charge of La Cabana, and old and decaying prison off Havana where scores, if not hundreds of war criminals and political dissidents were executed ostensibly under his orders. Legend has it that even Fidel Castro was appalled and this might have been a contributing factor to their falling out, another point that people forget. Just like they do that for Che Guevara it all began in the Congo when he was suspected of organising a rebellion there. That did not work out so he fled to Bolivia and began fomenting trouble there. In his book The Hidden Face of Che, the author Jacobo Machover, gives a macabre definition of the folk hero. Che was assiduous in keeping a diary. He wrote extensively of his role as an executioner. In one passage, he described the execution of Eutimio Guerra, a peasant and army guide. Here is an excerpt that has been quoted in various articles that de-romanticise the Che myth. "I fired a .32 calibre bullet into the right hemisphere of his brain which came out through his left temple," was Guevara's clinical description of the killing. "He moaned for a few moments, then died." Over 200 people were killed in cold blood, many on mere suspicion. Machover says: "He would climb on top of a wall... and lie on his back smoking a Havana cigar while watching the executions." Dariel Alarcon Ramirez, one of Guevara's former comrades in arms, has been quoted as confirming this scene because the presence gave the execution squads comfort. Machover continues. Jose Vilasuso, an exiled lawyer, recalled Guevara informing his "court" in the prison: "Don't drag out the process. This is a revolution. Don't use bourgeois legal methods, the proof is secondary. We must act through conviction. We're dealing with a bunch of criminals and assassin." So, if a student in a New Delhi free thinking university cannot see beyond his T-shirt and the poster on his wall, it is largely an expression of ignorance when he makes comparisons. He is lucky Indian courts still use bourgeois systems, which is why he can inhale the oxygen of freedom. I dont know what Burhan Wanis agenda was, but if it reflected any of these Che Guevara's warm and friendly traits then okay, Mr Umar Khalid, you may be more right than you know. Now go back to class, the bell is ringing. The fiasco in Kashmir on Saturday is comparable to the one on 11 August, 2008. Then too, the administration had underestimated the likely response to the 'Muzaffarabad chalo' call which separatists had given. In the run up, a senior police officer got an agreement from a top Hurriyat leader and smugly told his bosses that all would be well. Instead, all hell broke loose that morning. By that afternoon, a vast procession led by separatist leaders Shabir Shah and Sheikh Aziz had broken through the toughest barricades trucks and bulldozers were reported to have been used and were well on their way to Uri. On a narrow stretch, with a gorge below and a steep slope above, the security forces dug up the road and pointed guns at the approaching crowd across the chasm. The procession was stopped only when the forces opened fire, killing Aziz who was a member of the seven-member Hurriyat Conference Executive Committee. On Saturday, the day after Burhan Wani's killing, the security apparatus of the state was apparently similarly unprepared. Once more, curfew disintegrated. Police stations and paramilitary camps across the valley were attacked, attracting tear gas and bullets. Nineteen people have been killed because of the violence so far. If that was horrifying, so was the fact that a mob invaded and took over an entire police station, took the policemen captive and looted the armory. Some of the policemen disappeared. This sort of chaos has not happened since 1990, when the police force had become defunct. In 1990, the forces' inability to efficiently handle the new eruption of insurgency was understandable. After all, the records of the police department probably still hold a note from October 1998 saying, 'one Calcincope rifle also recovered.' Only one of a host of senior officers who knew about a Kalashnikov on a militant's body could identify it. The one who misspelt it became DGP of Jammu and Kashmir Police a couple of years later. Many years later, the one who did identify it became DGP of Punjab. Twenty-eight years later, with the amount of experience, training, equipment and thousands of crores of rupees worth of investment on the police and paramilitary forces operating in Kashmir, this sort of fiasco is unacceptable. It was obvious to anyone who knew the pulse of Kashmir that Burhan's death at the hands of security forces would cause a massive possibly unprecedented backlash. I said to a very senior Army officer as he was leaving after a function to mark the 50th anniversary of the 1965 war late last summer that it would be best to catch Burhan alive and not kill him. That advice should have been re-affirmed by the massive and violent turnout from three districts for the funeral of Abu Hamza last December. Hamza, after all, was a Pakistani. Burhan was a young, pleasant-faced local boy. The danger is that, as in 2010, the course of what happens over the next few days and weeks may be set by those 'handlers' who appear to have managed Saturday's series of attacks across Kashmir. In 2010, schedules of protests used to be issued through separatist leader and former Hezbollah commander Masarat Alam. He remained underground for weeks while issuing statements regularly. The state and its vastly funded agencies could do nothing to alter the timeline or the events. It had become impossible to move in the city of Srinagar and most other parts of the Valley that summer, except when Alam ordered that people be allowed to move. When he did, the roads were jammed. It would have been possible to move any amount of arms and militants during those times, for the jams allowed for no security checks. Finally, the police were able to track down and arrest Alam. Syed Ali Shah Geelani's calls for calm led to order thereafter. This time, attempts to restore calm may be more troubled. A new generation of protesters has come up since then, many of them still in their teens. Generally, they do not have much regard for traditional separatist leaders, even such high-profile ones as Geelani. Monday is likely to bring the biggest challenge. It will mark Burhan's Chahrum, when certain rights are observed on the fourth day after a death. It could spark fresh protests. Already, there were stone-pelting demonstrations across many parts of the valley on Sunday. The main highway to Srinagar was among the worst affected and the highway was closed at Baramulla too. Several people had to walk home from the airport when their flights landed in Srinagar. At some places, even journalists were challenged, both by mobs of young protesters and by some paramilitary forces. Naturally, security forces were generally angry and stressed after camps, police stations and bunkers were attacked, some policemen were abducted and one was deliberately drowned. In a nutshell, the situation was at least as bad as one might have expected after security forces killed Kashmir's youth icon, Burhan. Can one lakh people be made to disappear? Can a historically important monument, protected for over 100 years by the Archaeological Survey of India (ASI), slowly disappear? Sure, they can. Especially, if what has been happening to Thiruverumbur Malaikkoil located in Tiruchirappalli, Tamil Nadu can be taken as an example. Not only have the authorities violated all rules under Ancient Monuments Archaeological Sites and Remains (AMASR) Act, but one lakh people will be left homeless and jobless if the National Highway Authority of India (NHAI) has its way. It has happened before, as the CAG report no.18 of ASI in 2013 showed over 92 monuments have disappeared into thin air. So, why can this not be the 93rd monument to meet the same fate? The Modus Operandi It doesnt happen on a single day like the Babri Masjid but is spread over several years. First, in the name of public interest, land around the ASI monument is acquired. Then it is listed as acquired in the Gazette of India to which the ASI doesnt object or is not informed. Then it is up to the private players. All in violation of the AMASR Act as 100 metre radius of land around the ASI monument comes under prohibited zone where no development activity can take place as per law. While 300 metre under the regulated zone for which permission need to be sought from a competent authority in the ASI locally and nationally. Historical Importance The 115-feet rock on which the temple was erected is 3,800 million-years-old, older than the Himalayas, which is 40-million-years-old. The original temples age is unknown as it is referred to in literary works as Devaram, dating back to the 7th century. The current structure which looks like a fort was built by Aditya Chola I in the 9th century. In the 14th century, when General Malik Kafur, leading the hordes of Delhi Sultanate invaded South India, natives attacked from this monument to stop his entry into the Chola kingdom and drove him back. The destruction of this battle can be seen to this day in the destroyed structures that surround it. The history of Southern India would have been extremely different but for this monument. Later wars fought between other invaders proved all who undermined the importance of this rock temple were defeated. [1] It is a living monument as people still pray here. Backstory In the summer of 2005, people woke up to find yellow stones behind their homes, schools, hospitals, hotels, companies, mills, supermarkets, shops, temples, mosques, churches, fertile agricultural land including the ASI protected zone. It marks land for acquisition that stretched for 15-km laid in midst of the night by NHAI in the densely populated area of Trichy which includes BHEL and NIT. Surely, no one can build a highway inside Trichy Corporation? But the highway was built in 2009 despite protests by locals because the ASI stayed silent. The 100-metre prohibited zone had been breached. When K Pradeep, an engineer and local who lives in Thiruverumbur, in an RTI asked NHAI, "At 130.00-km on NH-67 Erumbeeswarar Temple (Malaikkoil), an ASI protected monument, which is more than 1,000-years-old, stands. There's a ban on construction for a radial distance of 100-metre around it. Is the NHAI aware of this?", NHAI's reply was a simple "No." When a bus stop came up on ASI land, ASI stayed silent. Meanwhile, thousands of families were broken up and displaced. But that isnt the end of it. With the construction of the highway, accidents happened as there was no underpass or overpass to separate the local traffic from the highway traffic, except in three places on the entire 15-km stretch. This led to accidents and many subsequent deaths. In 2013, NHAI proposed to construct a continuous service lane for a 15-km stretch on both sides of the highway which is not even in their manual. They reasoned it will stop highway accidents but this plan would lead to more accidents as now the crossover distance will be longer. People protested with hunger strikes, submitting petitions to Trichy collector and the Federation against NH was formed. NHAI issued gazette notification in 2014 and ASI kept quiet. The encroachment continues unabated on ASI land and damage to the ASI monument is unending. Over time, the monument and people settled along th 15-km stretch will disappear. The Players Two companies got the NHAI contract to build a highway, starting from the Trichy Corporation all the way to the Thanjavur city limits. The companies were Madhucon, whose founder has cases filed against him and M/s Louis Berger, an American private defence contractor which has defrauded the US govt of hundreds of millions of dollars and paid a fine of over $69 million [2] Its India head has pleaded guilty in the Goa Bribery Scam. Louis Berger was the international consultant who designed the highway without doing ground survey or they would have seen the 115 feet ASI monument or at least the ASI noticeboard that says the monument is protected under AMASR Act. Then there is the CBI case against the former NHAI chief. It is a BOT contract for 25 years where they can collect toll after which it will be transferred to NHAI. The revenue they collect in one year is around 55 crores. If you wondered why the CAG audit of ASI found so many monuments gone, remember it is possible. If historical monuments come in the way of development, they can disappear over time and authorities will just use the legal term "public interest." Which public the ones who died because of the highway, the ones displaced because of the highway, the ones who lost their jobs because their workplaces disappeared, the children who are unable to go to schools that dont exist anymore or the next wave of people who are waiting to face the same future? Public interest is defined in law as protecting the welfare of the public as compared to the welfare of a private individual or company. This legal definition has been reversed in India. Simply put, it is looting. Will this monument stop the looters like it did the hordes of Malik Kafur? Only time will tell. [1] Illustrated guide to the South Indian Railway: Including the Mayavaram-Mutupet, and Peralam-Karaikkal railways. Higginbotham's. 1900. p. 77. [2] Deferred Prosecution Agreement between Louis Berger and US Attorney Office, New Jersey. US Court Records, 2010 Mumbai's potholes resonate with Mumbai rains almost like, bread and jam. Not all tales that come out of those correlations are the happy kinds though. Peculiar incidents surround Mumbai's flooded roads and the BMC's efforts to try and keep the city running without any hassles. Dadarao Bhilore's story is what leaves you with an acidic gulp. His son Prakash Bhilore, was killed in a road accident, as his bike dived into a pothole which was about two feet deep. Firstpost's Slice Of Life Web Series tells the story of his feat to change the map of Mumbai's pothole filled roads. Dadarao, is a vegetable vendor in Marol, a western suburb in Mumbai. He finishes his daily chores and along with his other son, travels with some gravel, paver blocks and a trowel to areas around Andheri East, filling potholes by himself. If you are a motorist or drive a car in Mumbai, you know exactly how much it hurts, every time your vehicle moves over a ditch (some the size of a backpack). On one hand a Mahrashtra Navnirman Sena corporator threatened a officer if they fail to fix the potholes, on the other hand there is a man in Hyderabad who has filled over 1,000 potholes using his pension money. Attempts to fix the poor quality of roads, will continue, until then, you can watch Road Safety Crusader here. If Karnataka was the gateway to the south for the BJP, it sees Telangana as a window of opportunity. Realising that the 'home pitches' of Gujarat, Rajasthan, Madhya Pradesh, Chhattisgarh and Uttar Pradesh, where it registered handsome wins in 2014, will not turn in its favour to the same extent, the BJP has focussed its attention on winning on political pitches outside its comfort zone. Telangana offers an opportunity because the BJP's erstwhile ally, the Telugu Desam Party is all but reduced to nothing, with 12 of its 15 MLAs and its sole MP, migrating to the ruling Telangana Rashtra Samiti. The Congress strength in the Telangana Assembly too is down from 21 MLAs to 12. The YSR Congress shop in Telangana has folded up, and so have the BSP and the CPI. The opposition space is up for grabs and the lotus wants to take roots. The BJP think tank has zeroed in on Hyderabad Liberation Day to fire its opening salvo. It was on 17 September, 1948 13 months after India gained independence that Hyderabad (a princely state) became a part of the Indian Union, with the Indian forces crushing the Hyderabad Nizam's army. The merger was possible due to the efforts of the then Union Home Minister Sardar Patel, a figure revered by the BJP and by Prime Minister Narendra Modi, personally. Since the Nizam's Hyderabad is largely present-day Telangana, the demand to celebrate Hyderabad Liberation Day in a grand manner gained currency during the Telangana agitation. But after coming to power, the TRS put the idea in cold storage. This, the BJP alleges, is because of pressure from the MIM, whose founders were part of the Nizam establishment in 1948 and therefore any move to celebrate the defeat of the Nizam would embarrass Asaduddin Owaisi's party. Clear in its mind that Owaisi is very much part of its Mission Telangana plan, the BJP has already complained against the Hyderabad MP to Union Home Minister Rajnath Singh, for his offer of legal help to the five youths who were arrested by the National Investigation Agency (NIA), alleging they are part of an Islamic State terror module. In the run-up to 17 September, the BJP intends to make the narrative more shrill to occupy the anti-TRS and MIM political vacuum. Sources in the party say the BJP also intends to focus on how friendship with the MIM has led to Telangana chief minister K Chandrasekhar Rao soft-pedalling in the alleged hate speech cases filed in December 2012 against MIM MLA Akbaruddin Owaisi. The BJP at present has only one Lok Sabha MP from Telangana, Union Minister of state for Labour Bandaru Dattatreya. Given his age and the anti-Dalit image he acquired because of interference in the Rohith Vemula case, Dattatreya could find the going tough in 2019. The BJP also realises it needs to acquire a more aggressive face if it has to make a mark in KCR-Owaisi territory. But the BJP president Amit Shah has given the go-ahead to 'Target Owaisi' campaign, with Uttar Pradesh in mind as well. Raising Owaisi's stature by continuously attacking him would mean that the MIM could eat into the Muslim-Dalit vote, that would otherwise go into the Samajwadi Party and Bahujan Samaj Party kitties. With Muslims constituting 19 percent of UP's population, the community can influence election results in several constituencies. When Owaisi made a rather belated entry into Bihar's electoral akhada in 2015, many (including BJP supporters) thought he will split the Mahagathbandhan's Muslim vote. Nothing of the sort happened, with MIM's lack of party apparatus in Bihar proving to be its Achilles heel. With lessons learnt from the battle of Patliputra, Amit Shah obviously believes a strong Owaisi will ironically, translate into an electorally stronger BJP in Awadh. But while using BJP ammunition against Owaisi is fine with everyone in the saffron party, many in the BJP central leadership are not too happy targeting KCR. They point out that KCR, with his penchant to conduct yagnas and obsession with Vaastu, the traditional science of architecture, is perhaps the most 'Hindu' chief minister in India today. They see KCR as a potential ally post 2019, should the BJP fall short of numbers and dooes not wish to muddy the waters with the TRS chief. Panaji: The Goa unit of Congress on Monday criticised Defence Minister Manohar Parrikar for attending programs in his native state when Jammu and Kashmir was on the boil. "When Kashmir was burning, India's Defence Minister was busy distributing bulbs to the people in Goa. This is shameful," Congress spokesperson Sunil Kawathankar said in Panaji. Parrikar should have been at the command centre monitoring the action in Kashmir, he said. Kashmir remained on the boil and normal life paralysed due to curfew-like restrictions and separatists-sponsored strike over the killing of young Hizbul commander Burhan Wani on Friday. A total of 23 people have been killed in the violence. The Defence Minister had on Sunday distributed free LED bulbs under the Ujala Scheme at a program in Panaji. Goa government has tweaked the scheme, renaming it 'Jyotirmay Goa', under which three bulbs are provided free to every consumer. Kawathankar also said that Parrikar was busy inaugurating fish stalls in his home state and Prime Minister Narendra Modi was signing Rafale deal in France. "This gives a bleak picture of BJP government's failure with regard to the defence ministry," he added. It has been just over three days since Indian security forces eliminated top terrorist recruiter Burhan Wani. Valiant efforts are already well under way to romanticise and rationalise the Hizbul Mujaheedin commander's crimes and provide a narrative of victimhood to justify the widespread turbulence in Kashmir that has left 23 people dead so far and over 250 injured. The glorification of Wani, the 22-year-old tech savvy terrorist, is on at full swing. He is being projected as a larger-than-life figure who inspired thousands of oppressed local Kashmiri youths to intifada against the oppressive Indian state using social media tools. In this avatar, Wani wasn't the terrorist who roamed around with a Rs 10 lakh bounty over his head, having dropped out from school at 15 and becoming a delinquent with a string of police cases against his name; he wasn't instrumental in brainwashing many local boys to take up the gun by glamorising terror through social media; he wasn't the Hizbul commander who released videos warning of attacks if colonies for Sainiks and Kashmiri Pandits were set up in the Valley; he wasn't the terrorist involved in the 2013 killing of four Rashtriya Rifles men in Buchoo Baala area in his hometown Tral; he wasn't the terrorist to warn the J&K Police of more attacks; he wasn't even the first Kashmiri militant to spring the idea of a Khilafat, an Islamic State, through a Facebook video which became wildly popular. No, Burhan Wani wasn't any of these. In the sanitised yet glamorised version of Wani, he was just an armed rebel with strikingly good looks, a Che Guevara prototype if you like, who came from an affluent family and wouldn't have gone into terrorism had it not been for the Indian army's torturous and sadistic ways. Stress was laid on how his father Muzaffar Ahmad Wani, who teaches mathematics, is the principal of a higher secondary school while his mother Maimoona Muzaffar is a postgraduate and how his brother was wronged by security forces. Breaking: Burhan Wani hizbul commander, son of school headmaster who used social media as weapon of war, killed in Anantag. BIG STORY barkha dutt (@BDUTT) July 8, 2016 Politicians raised doubts about his terror credentials and public intellectuals termed the neutralising of a terrorist as 'extra judicial killing', an allegation immediately picked up by Pakistan. For all Burhan's social media activity I don't recall any militancy incident attributed to him while I was in office. Not sure after that. Omar Abdullah (@abdullah_omar) July 8, 2016 Shame on the extra judicial killing of Burhan Wani, and the killing of 8 protesters/mourners in his funeral. https://t.co/zy9muUP1vM Kavita Krishnan (@kavita_krishnan) July 10, 2016 This deliberate attempt to normalise terrorists and seek justification for their actions has been tried many times before the world over. Terrorists and suicide bombers have been described as friendly and likeable, attractive to women. Suggestions are made that the state has failed to assimilate them properly implying that the acts of insurgency, in this case, were India's fault. The next step is to indulge in the time-tested narrative of political correctness explaining away terrorism as driven by grievances. This sexing up of Burhan is running simultaneous to the victimhood narrative which is being used as a blanket term to explain the protests and attacks against Indian government and its security apparatus. It is said that Kashmir is perpetually boiling because residents have no human rights, are daily humiliated and lack economic opportunities or chance of a better future. This, it is said, has resulted in extreme anger, hopelessness and is the reason why youth are taking up the gun and indulging in terrorism. Lack of economic opportunity & no hope of a better future is creating generation of young Kashmiris who are acutely frustrated & very angry. Rahul Kanwal (@rahulkanwal) July 9, 2016 The myth around grievance, oppression and poverty causing terrorism has been debunked by almost every major study in the subject but like a bad dream, the lazy idea refuses to fade away. Kashmiris have every right to a better future, better economic opportunity and prosperity as every other Indian citizen but to suggest that addressing these will uproot terrorism is based on pure conjecture. In an article titled The Roots Of Terrorism, columnist and economist Sultan Mehmood, an advisor to the Dutch government on macroeconomic policies, says: A myriad of studies go against the conventional wisdom view of terrorism. "The story goes that it is those poor, young, illiterate and brainwashed teens who have nothing to live for that turn to terrorism. In fact, nothing could be further from the truth." He says "not a single study could make a cogent case that terrorism had economic roots", and quotes a work by authors Martin Gassebner and Simon Luechinger of the KOF Swiss Economic Institute who "estimated 13.4 million different equations, drew on 43 different studies and 65 correlates of terrorism to conclude that higher levels of poverty and illiteracy are not associated with greater terrorism." The author also quotes Professor C Christine Fair from Georgetown University who used data on 141 killed militants to find that terrorists in Pakistan are recruited from middle-class and well-educated families. A finding further corroborated by Graeme Blair and others at Princeton University. "In a robust survey of 6,000 individuals across Pakistan, it is found that the poor are actually 23 times more averse to extremist violence relative to middle-class citizens." These have also been substantiated elsewhere. Author and columnist Salil Tripathi wrote in Wall Street Journal that to suggest "poverty breeds a sense of deprivation among the poor and compel the poor driven to desperation because of social injustices to turn to terror", is a "reductive revolutionary rhetoric masquerading as an explanation It plays on collective guilt, seeking to rationalize the unjustifiable." He goes on to make the point that millions of poor people who live in abject conditions in Africa and Asia suffer from widespread diseases, persistent malnutrition and have even experienced strife and violence. "But the poor there do not routinely blow up buses or turn their bodies into bombs. To suggest that the poor will become terrorists unless their plight is addressed is gratuitous; worse, it insults them most poor lead dignified lives, trying heroically to improve their lives when they have little control over their destinies." Where must we seek the answer for sustained insurgency in Kashmir then? Firstpost has argued in a recent article that Pakistan's proxy war has a huge role to play in the unrest. Beyond that, there is also the question of radicalisation. An oft-ignored facet of the Burhan Wani story is the clear indication, from a recently conducted interview with his father Muzaffar Ahmad Wani, that the militant's sudden reference to Khilafat the calling card of Islamic State has a lot to do with the radicalisation in his family. "It is a hard task (fighting against the Indian state), but a Muslim has his faith in God. He knows if he dies in the path of God, he goes to God. In our religion, whosoever dies because of the oppression from India, or by an Indian bullet, doesnt die. He goes from this world to the other world (as promised in the Quran); there will be no disease in that world, no oppression. This is what our Islam tells us. Thats why Muslims dont fear that. We prefer dying with honour rather than living a life of shame under oppression," Burhan's father was quoted, a saying by Hindustan Times in an interview. On the possibility that Burhan might get killed one day, (the interview was conducted before his death), Muzaffar said: "Yes, I do get a bit disturbed, but our Islam says that God, Quran and the Prophet are bigger than anything, even bigger and more important than our sons. Its not the other way round. If our God is not happy with us then we dont need our sons. Our God should be happy with us even if my sons or my sacrifice is needed for that." In his seminal book Leaderless Jihad, former CIA officer, forensic psychiatrist and counter-terrorism consultant Marc Sageman shows how in the age of internet and social media, terrorism needs no single leader to spread its tentacles. Sageman, a senior fellow at the Philadelphia-based Foreign Policy Research Institute, studied 500 militants before putting forward his theory that a terrorist passes through four stages. "The initial trigger is a sense of moral outrage, usually over some incident of Muslim suffering in Iraq, Palestine, Chechnya or elsewhere. This then acquires a broader context, becoming part of a morality play in which Islam and the West (in this case, India) are seen to be at perpetual war. "In stage three, the global and the local are fused, as geopolitical grievance resonates with personal experience of discrimination or joblessness. "And finally the individual joins a terrorist cell, which becomes a surrogate family, nurturing the jihadist world-view and preparing the initiate for martyrdom. Many Muslims pass through the first three phases; only a few take the final step." This is a strong case in favour of radicalisation playing a decisive part in the making of a terrorist. And also a case against reflexive and reductive analyses of terror. Kerala is the latest buzzword in the Indian Islamic State saga. Recent reports of some 19 Muslim youths from Kerala joining the Islamic State of Iraq and Syria, some of them recent converts to Islam from Hinduism and Christianity, came as shocker not just their families but to the largely secular construct of Keralas socio-political conscience. Kerala, like Hyderabad, where an Islamic State module was recently busted, isnt a foreign country where Muslims have stories of religious and economic oppression as an excuse to wield weapons against 'kafirs' or those who don't have faith in the supremacy of Islam and teaching of Prophet. The states 26.56 percent Muslim population is a formidable presence in Keralas larger society both economically and politically. There is no dearth of opportunities for followers of Islam in the state when it comes to right to education and employment. There arent too many stories of social discrimination either. The state has always embraced Muslims as part of the mainstream society. Then what prompts people in Kerala to paint others as 'terrorists or 'terrorist sympathisers'? Hameed Chennamangaloor, a well-known social critic and academic, finds the reason in the rise of political Islam over the non-political Islam in the state, like elsewhere in the country. Political Islam is of the belief that religious freedom wouldnt be complete with creating a political Islamic state to accommodate the religious Islam. The Political Islam, though a minor fraction in the states Muslim community, wants the political establishment under their control. Certainly, this does not reflect the views of majority of non-political Mulslims who are content with religious freedom in a secular set up and have faith in the idea of religious pluralism. Muslim religious fundamentalists, with the support of hardcore Muslim political outfits such as Indian Union Muslim League (IUML) and Popular Front of India are thriving in the state, especially in the recent years. This is done through madrassas (Islamic study institutions) and indoctrination by external influences among the educated youth through the use of internet. "Of late, there are serious attempts to internalise the issues faced by Muslims across the world into Keralas Muslim society citing Muslims world-over are part of the same umma (society)," said Chennamangaloor. "Madrassas in Kerala still teach there is only one true religion in the world Islam and the remaining religions are false. They say Quran is the only original text and rest is not," said Chennamangaloor. The likes of controversial Islamic preacher, Zakir Naik, influences such youths with the argument of Islams supremacy on other religions. Chennamangaloor, cited the recent reported cases of Islamic State recruits from Kerala and added that it is often, that such misinterpretation of religion works more effectively on new converts, who then get ready to do anything for the religion by taking up the role of a Political Islam and dreaming the cause of an Islamic state.' Chennamangaloor has been fighting against religious fundamentalists in the state. "The new converts are even more aggressive to take up the idea of religious fundamentalism," said Chennamaangaloor. And it is already happening. At least 19 Muslim youths, majority from the Kasaragod district and rest from Palakkad district, have reportedly reached ISIS camps in Syria and Afganisthan, of which many are recent converts. According to reports in the local media, two of the recruits, Nimisha A and Bekson Vincent, of Yakkara in Palakkad district, had converted to Islam before their marriage in November last while studying for BDS in an institute in Kasargod district. Nimisha was a Hindu who took up the name Fathima while Bekson became Eeza, converting from Christianity. Beksons brother, Bexton, too converted to Islam. Fathimas mother, Bindu, has complained to the state police about her daughters missing. The bigger problem, Chennamangaloor, points out is the silent support of the Congress-led UDF and CPM-led Left Democratic Front (LDF), current incumbent, to religious fundamentalists. The state Intelligence agencies knew all along about the activities of religious fundamentalists but they wouldnt take action since Muslims are a big vote bank. Irking them is detrimental to their vote-bank politics, said Chennamangaloor. On Sunday, the IUML backed Zakir Naik, who is now facing investigations for allegedly inspiring Islamic State terrorists involved in Dhaka attack in Bangladesh and a member of the Hyderabad ISIS module. IUML is a traditional ally of Congress-led UDF but is important also for LDF for votes. "Naik is an advocate of peace theory in Islam. The action by the authorities against the Islamic preacher is a violation of the freedom of expression. He is being harassed for no valid reason, said E T Mohammed Basheer, IUML MP and a former minister in the state, at a presser on Sunday. The probe against Naik is being carried out in a prejudiced manner, Basheer alleged and demanded that authorities stop harassing the preacher. In the past too, there have been isolated instances of Islamist fundamentalist activities in the state, including busting an armed training camp of Students Islamic Movement of India (SIMI) in Wagamon, Kerala. The fact that Islamic fundamentalism is gradually taking firm hold in Kerala is a reality by the influence of organizations who target the state exploiting its geographical location--a safe haven away from the capital and relatively insulated from the radar of central investigative agenciesand supportive political climate to thrive. Both the LDF and UDF politicians want to somehow please the vote bank (in this case states 26 percent Muslims), come to power and make money for personal gains. They do not care if the state perishes in the process or not. The secular parties are responsible for this, Chennnamangaloor said. Hameed is right. It is high time Keralas bipolar political system wakes up to the problem takes a stand on the issue on the threat of Islamic fundamentalism in the state. Both the UDF and LDF cant shy away from the onus of silently aiding and thus contributing to the growing ill of religious fundamentalism in Gods own country. Kerala High Court on Monday granted bail to all the 41 accused in the Kerala Kollam fire tragedy as the police failed to file a chargesheet within the 90-day deadline, reported NDTV. As many as 112 people were killed at the Puttingal Devi temple fire in April and more than 1,000 were injured after a lone spark landed on a stockpile of fireworks leading to a subsequent explosion and fire. As the temple festival was on, fireworks display had commenced at the temple precincts since midnight and hundreds of people had gathered to watch the show, according to a report in Firstpost. As the fireworks and pyrotechnics display was coming to a close at 3 am, an explosion had occurred at the storeroom 'Kambapuram' filled with crackers and pyrotechnics materials. Men, women and police officials alike were caught in the fire. According to reports, an order had been issued by the additional district magistrate, denying permission to conduct competitive fireworks at the Kollam-temple that was promptly ignored by temple authorities. Two days after the fireworks tragedy at Puttingal Devi temple, the officials at the Kerala High Court had said that a CBI inquiry should be launched into the tragedy. The Kerala government had informed the high court that fireworks were not allowed to be taken inside the temple premises, and the high court questioned how the police could have permitted the inflammable substances inside, as reported by FirstPost. The court had earlier refused bail to all the accused including the temple trust officials. The judge had noted that Kerala had developed a very unhealthy practice of celebrating any festival or ceremony using fireworks, reported NDTV. Internal strife in BJP over the cabinet reshuffle in the Maharashtra government spilled out into public as Maharashta chief minister Devendra Fadnavis and BJP leader Pankaja Munde took to Twitter to express themselves. Apparently miffed over losing key portfolios in the Maharashtra government, Munde tweeted displeasure. After last week's cabinet reshuffle, Munde lost two key portfolios: Water Conservation and Employment Guarantee Schemes, both of which are key drivers of the Jalyukt Shivar Abhiyan, a flagship venture of the government in the drought-hit state, according to a report published in The Indian Express. Munde had gone to Singapore to attend World Water Conference, where she was scheduled to give a presentation on the Jalyukt Shivar Abhiyan. But when she found out that she had been divested of the portfolio, Munde conveyed her displeasure through social media. Reaching singapore tomorrow on monday there is world water leader summit i was invited but now wont attend since i m not minister incharge PankajaGopinathMunde (@Pankajamunde) July 9, 2016 Meanwhile, Fadnavis, who was in Russia, was quick to respond. In what looked like an attempt to pacify an upset Munde, the chief minister tweeted out that she must attend the summit as a representative and senior minister in the Maharashtra government. Of course you must attend WLS 2016. As a senior Minister you would be representing 'The Government of Maharashtra'. https://t.co/czMYpLepMA Devendra Fadnavis (@Dev_Fadnavis) July 9, 2016 Fadnavis' decission to strip Munde of the key portfolio is being seen as a measure to toughen up on non-performers, as reported in the Economic Times. Munde was earlier caught in controversy, during her tenure in the Water Conservation ministry, for taking a selfie while touring drought-hit regions of Maharashtra. The minister drew a lot of flak from the Opposition and allies over the image she posted on social media. New Delhi: Joining those sceptical of India's high growth rate figures, former Finance Minister Yashwant Sinha on Monday demanded that the government issue a clarification following the US State Department's observation that they may be overstated. He also noted there has been domestic criticism of the growth figures as well. "The government should clarify the situation not because I care for what the US has said but because there has been domestic criticism of it from certain quarters too," the senior BJP leader, who has often faulted the Modi government over its economic and foreign policies, told PTI. Even if domestic criticism is ignored, the government should now come out with a clarification after India's "best friend" - the US - has also sounded unconvinced, he said in an apparent jibe at the Modi government. He said the discrepancy figure of a whopping Rs 1,40,000 crore in 2015-16 may have inflated the growth rate, noting that it stood at only Rs 30,000 crore a year ago. If the discrepancies are removed, then the growth rate falls down drastically, he said. The NDA government has changed the criteria by which growth figures are evaluated and it has resulted in sharp upward revision of previous growth rates. He also wondered if the Department of Statistics, which puts out the figures, was fully equipped to deal with the changes. Sinha said when he chaired the standing committee on finance during the previous Lok Sabha, he found the department making many "bloopers" in its report. The former finance minister questioned whether the statistics put by it can be trusted. A report produced by the Bureau of Economic and Business Affairs of the State Department said that India's 7.5 percent growth rate may be "overstated" and the Narendra Modi government has been "slow" to match its "rhetoric" in economic reforms. "Ostensibly, India is one of the fastest growing countries in the world, but this depressed investor sentiment suggests the approximately 7.5 percent growth rate may be overstated," the report titled "Investment Climate Statements for 2016" said. Srinagar: Former Jammu and Kashmir chief minister Omar Abdullah on Monday said he told the centre that violence in the Valley will not end till the security forces do not exercise maximum restraint and stop killing protesters. "HM @rajnathsingh ji spoke to me earlier today. I told him that until security forces exercise maximum restraint & stop killing protesters...this vicious cycle of violence would not stop (sic)," Omar wrote on Twitter. HM @rajnathsingh ji spoke to me earlier today. I told him that until security forces exercise maximum restraint & stop killing protestors... Omar Abdullah (@abdullah_omar) July 11, 2016 this vicious cycle of violence would not stop. Only after the lethal use of force ends can we begin to pull the valley back from the abyss.. Omar Abdullah (@abdullah_omar) July 11, 2016 He said the Valley can be pulled out of the current situation only after the use of lethal force is stopped. "Only after the lethal use of force ends can we begin to pull the valley back from the abyss," he said. The opposition National Conference working president, who assured of all help in normalising the situation, maintained that the onus lies on the state and central governments. "For our part @JKNC will play what ever role is required to help normalise the situation. But onus lies on state & central governments," he wrote. For our part @JKNC_ will play what ever role is required to help normalise the situation. But onus lies on state & central governments. Omar Abdullah (@abdullah_omar) July 11, 2016 "In the mean time proper medical treatment to the injured must be ensured & hospitals adequately stocked with all necessary supplies," he added. Union Home Minister Rajnath Singh on Monday reached out to opposition leaders, including Congress chief Sonia Gandhi and Omar Abdullah, and discussed the prevailing situation in Kashmir Valley. In the mean time proper medical treatment to the injured must be ensured & hospitals adequately stocked with all necessary supplies. Omar Abdullah (@abdullah_omar) July 11, 2016 During the telephonic conversation with Gandhi and Omar, Rajnath discussed with them efforts to bring back peace and normalcy in the Valley, which is on boil after the killing of militant leader Burhan Wani on Friday. External Affairs Minister Sushma Swaraj certainly doesn't have the time to look into anyone's refrigerator problems (refer to the tweet below) because the fiesty 64-year-old is busy putting out fires. Brother I cannot help you in matters of a Refrigerator. I am very busy with human beings in distress. https://t.co/cpC5cWBPcz Sushma Swaraj (@SushmaSwaraj) June 13, 2016 Sushma is known for expeditiously dealing with various international crisis and assisting a number of people in distress in India and abroad. Ever since she took charge as the External Affairs Minister in 2014, her Twitter feed has turned into a news bulletin of sorts, a platform where distressed Indians come seeking assistance. What is admirable is the way she keeps everyone updated on the issues handled by her ministry. Helping fellow Indians who are subjected to racial slur On the night of 9 July, Monika Khangembam from Manipur wrote about her ordeal at the Delhi Airport on Facebook. She wrote, "The bloody racist immigration desk at it again! Looks at my Passport and says, "Indian toh nahi lagti ho". I get that all the time so don't react much to it but then he goes on, "Pakka Indian ho?" with a smirk. I still don't react. What really got me was when he said, "See... You yourself need to know your Indianess. How many states are there in India?" Her note ended with an anguished appeal, "Is there a way to complain against these bullies?" As the note went viral on social media and angry reactions poured in from all quarters, the initial response did not come from the Immigration Department or the Home Ministry, it was MEA Sushma Swaraj. On Sunday night, she extended Monika Khangembam an apology and tweeted that though the Immigration Department is not under her ministry, she will ask Rajnath Singh to take cognisance of the incident and sensitise officials at the immigration desk. Monika Khangembam - I am sorry to know this. Immigration is not with me./1 Sushma Swaraj (@SushmaSwaraj) July 10, 2016 I will speak to my senior colleague Shri @rajnathsingh ji to sensitise Immigration officials at the airport./2 Sushma Swaraj (@SushmaSwaraj) July 10, 2016 Monika Khangembam's experience is definitely not an isolated incident. People from the Northeast have regularly complained about the racial discrimination and harassment they face in different parts of India. The tragic death of 19-year-old Nido Taniam in January 2014, who was beaten to death by a group of men in Delhi, is a brutal reminder that people like Monika Khangembam will continue to feel alienated in their own country unless there are proactive measures taken up by the Centre. Here are instances of how she has reached out to the Indians stuck in South Sudan. I have asked Indian Embassy in South Sudan to help you. @eoijuba https://t.co/NUBvqA4xtw Sushma Swaraj (@SushmaSwaraj) July 10, 2016 I will ask our Mission to help them. https://t.co/M1QmgeDqb5 Sushma Swaraj (@SushmaSwaraj) July 10, 2016 On 10 July (Sunday), Russian national Olga Efimenkova went on a hunger strike outside her in-laws house in Agra claiming that she, her husband and daughter were kicked out of her family home. "I am from Russia and I got married to Vikrant Singh Chandel in Agra in 2011. My problem is that my mother-in-law has thrown us out of the house and we have no other place to go. So now I am sitting here with my daughter at the gate of the house, as she (mother-in-law) is not letting me go inside," Olga told mediapersons. Her husband even alleged that his mother has even physically abused Olga and harassed her money. Olga received help from the Akhilesh Yadav government only after Sushma Swaraj intervened and urged the Uttar Pradesh chief minister to look into the matter. Political rivalries aside, Sushma Swaraj even thanked Akhilesh Yadav for his timely help after Olga was reunited with her family. Thank you Akhilesh ji for resolving this. Such incidents affect country's image. @yadavakhilesh https://t.co/LpKvOTEi9F Sushma Swaraj (@SushmaSwaraj) July 10, 2016 When the External Affairs Minister is not busy assisting foreign nationals in India, she has also taken it upon herself to apologise on behalf of the country for all the embarrassing and shameful incidents of racism and diplomatic faux pas. In May this year, 23-year-old Congolese national Masunda Kitada Oliver was chased and brutally beaten to death by a group of men at Kishangadh in Delhi's Vasant Kunj and there were a few other cases reported in Delhi where African nationals were assaulted. Minister of State for External Affairs V K Singh's nonchalant and irresponsible remark that it was a minor scuffle and that the media is blowing it out of proportion had left the government red-faced. The situation was brought under control only when Sushma Swaraj went on a damage control mode and, typical to her style, had tweeted out the latest developments. In a series of tweets, she had announced that she had briefed Rajnath Singh and Delhi Lt Governor Najeeb Jung on the situation and have asked them to ensure the safety of the community and strict action against the guilty. She had also assured sensitisation campaigns in areas where African nationals resided. I have spoken to Shri Raj Nath Singh ji and Lt Governor Delhi reg attack on African nationals in South Delhi yesterday. Sushma Swaraj (@SushmaSwaraj) May 29, 2016 They assured me that the culprits will be arrested soon and sensitization campaign will be launched in areas where African nationals reside. Sushma Swaraj (@SushmaSwaraj) May 29, 2016 Under operation 'Raahat', orchestrated by the External Affairs Ministry in April last year, 6,688 people were altogether evacuated from war-torn Yemen. "I am glad to inform that operation 'Raahat' conducted for the evacuation of Indian nationals from war-torn Yemen has been a resounding success, well- acknowledged by the international community," she had said after the operation. It was touted to be one of the most successful operations carried out by India. The evacuation operation from Yemen is over. General V.K.Singh is returning tonight. We are closing our Embassy there. Sushma Swaraj (@SushmaSwaraj) April 9, 2015 After the rescue mission, Sushma Swaraj had said that 23 countries had requested India for help to evacuate their nationals from Yemen. There have been numerous occasions when the Union Cabinet minister helped out people with their visa and passport problems. Here is one such example: Aaradhana left Istanbul for India via Dubai. Alternate travel arrngmts made for her in consult with family1/2 @SushmaSwaraj @IndianEmbassyTR India in Istanbul (@CGI_Istanbul) July 5, 2016 Aaradhana had a visa problem. We will try to resolve baggage problem tomorrow. 2/2 @SushmaSwaraj @IndianEmbassyTR @pichkumoloy India in Istanbul (@CGI_Istanbul) July 5, 2016 The dramatic return of hearing-and-speech-impaired Indian woman from Karachi to India is another such example of the efforts that Sushma Swaraj and her minister has made in the last two years to help Indians abroad. After Indian High Commissioner TCA Raghavan met Geeta at the Edhi Foundation in Karachi where the young woman has been staying for the past 15 years after she accidentally strayed into Pakistani territory, Swaraj had vowed to bring her back to the country. Channeling her inner Bajrangi Bhaijaan, she had said, "We will bring Geeta back to India. This requires some formalities which we will complete." From rescuing Indians stranded in conflict areas to sharing important helpline numbers, Sushma Swaraj with her timely updates has managed to convince and assure Indians across the world that in times of distress and international crisis, their minister is probably just a tweet away. Just be careful and don't tweet to her about refrigerators. ABOARD THE USS NEW ORLEANS Iran's elite Revolutionary Guard Corps on Monday dispatched five military vessels to monitor a U.S. warship hosting one of America's top generals on a day trip through the Strait of Hormuz, coming as close as 500 yards (metres). For Army General Joseph Votel, who oversees all American military forces in the Middle East, the approaches were a safe though worrying reminder of how little time American forces have to decide whether IRGC ships might pose a threat. The five Iranian vessels consisted of four speedboats, three with mounted machine guns, as well as a guided missile patrol ship. "As you've seen in a relatively compressed space here, there is great opportunity for miscalculations," Votel, head of the U.S. military's Central Command, told reporters on the bridge of the USS New Orleans, an amphibious dock ship with about 650 Marines aboard. It was also the latest sign that the IRGC appears to be sticking to a familiar posture in the Gulf that predates last year's nuclear accord between Iran and six world powers including the United States. One of the four speedboats that approached the New Orleans and its escort, a Navy guided missile destroyer, the USS Stout, cut its engines and watched as the U.S. warships passed. An hour before, a larger Iranian guided-missile patrol craft came by. U.S. officials stressed that such approaches fell within the category of professional interactions, the kind they see during 90 percent of the U.S. Navy's roughly 250 transits through the Strait of Hormuz each year. But the Navy says some 10 percent are classified as unsafe, abnormal or unprofessional. "We don't always have a lot of time to deal with those interactions. I think what we've probably learned here today is that it's measured in minutes," Votel said. For its part, Iran sees the Gulf as its backyard and believes it has a legitimate interest in expanding its influence there. It has long argued that the region should organise its own security collectively, without outside powers. Accordingly Iran uses its sea power in the Gulf to show it will not be cowed by Washingtons naval presence, analysts say. But in 2008 and 2010, in moves that prompted critics to accuse Iran of destabilising the region, the Islamic Republic threatened to disrupt oil shipping in the Gulf by shutting the Strait of Hormuz if there were any attack on its nuclear sites. CAPTURE OF U.S. SAILORS The U.S. military's concerns about Iran's behaviour in places like the Strait, one of the world's most important oil shipping channels, have persisted despite the accord under which Tehran curbed its disputed atomic program in exchange for relief from economic sanctions. "That (the nuclear deal) certainly has addressed one very important threat ... but their other activity out here has not changed," Votel said. Memories of Iran's brief capture of 10 U.S. sailors in January are also still fresh. Iranian Supreme Leader Ayatollah Ali Khamenei awarded medals to IRGC commanders after the incident. Iran took video and pictures of the American sailors surrendering after blundering into Iranian territorial waters. A U.S. Navy report also said the Iranians replaced an American flag on board with an IRGC one, ransacked the vessels, and damaged equipment. The Navy also admonished U.S. sailors for straying into Iranian waters. [nL1N19M0WE] Karim Sadjadpour, an Iran analyst at the Carnegie Endowment for International Peace, said there were no signs of a change in the Revolutionary Guards' culture of viewing the United States as an inherent threat. "Ayatollah Khamenei, the commander in chief of the IRGC, regularly praises Iranian officials who defy the U.S. and scorns officials who advocate cooperation with the U.S.," Sadjadpour said. "I haven't seen any signs that this institutional culture has changed after the nuclear deal." Votel said Iran needed to be held accountable for its behaviour and scorned its treatment of the U.S. sailors. The U.S. Navy says it has given assistance to 11 Iranian-flagged vessels in distress in the Gulf since 2012. "If we came across a ship, a small vessel in the area, we would try to assist it," Votel said. (Additional reporting by Yeganeh Torbati and William Maclean; editing by Mark Heinrich) This story has not been edited by Firstpost staff and is generated by auto-feed. After the UK and Canada, two more democratic countries with an overwhelming number of moderate Muslims, India and Bangladesh have blocked the biggest source of radical Islamist televangelism in the region, Peace TV. According to Dhaka Tribune, the mainstream Islamic scholars in Bangladesh had already demanded banning Peace TV last year calling it a misguiding channel (fitnah) for Muslims. But the government of Bangladesh has banned it now in the wake of the recent terror strikes in the country by those inspired by the controversial Islamist-Salafist preacher Zakir Naik. On the similar grounds of inciting religious intolerance and violent extremism, India has also banned Peace TV. Naik's talks and speeches have been declared 'highly objectionable' by the Government of India. After the Maharashtra government ordered an investigation into this issue, it has become patently clear that Peace TV is not licensed in the country and is uplinked from Dubai. The Hindustan Times quoted the deputy secretary of the Information and Broadcasting Ministry, Shankar Lal saying that he has issued a directive to officials to take action against channels airing the Peace TV. Scores of news reports have shown that the content of the so-called Peace TV was conducive to inciting religious intolerance and that it is a Saudi-funded Salafist-Islamic channel in India. Regrettably, the radicalization of Islamist televangelism has emerged as a serious threat to the global democratic societies with Muslim populace. It has communicated the exclusivist messages of radical Islamism more effectively than even the sizeable corpus of extremist jihadist literature. The Salafism-inspired Islamic TV channels have done an irreparable damage in the war-torn Middle Eastern societies perpetuating retrogressive religious thoughts furthering nefarious political ends. That it has taken roots in South Asia is a matter of grave concern for the peace-loving and pluralistic peoples of the region. Islamic televangelism is defined as: The use of the television medium to proselytize the audience and viewers and to earn the support for emerging movements and followings for the exhortations of Islamist preachers. Ibrahim Saleh, a renowned Egyptian media scholar has carried out a seminal research work on Islamic televangelism in his book Islamic Televangelism: The Salafi Window to Their Paradise. He has expounded the radical effects of Salafist Islamic televangelism on the Muslim viewers particularly in the Middle East. Though the advent of Islamic televangelism in India can be traced back to early 19th century, it gained momentum with the launching of Peace TV. Founded by the medical doctor-turned-preacher Zakir Naik, it is viewed as a Salafist Islamic channel catching the imagination of the new-age Muslims generation, especially those English-speaking. Surprisingly enough, Peace TV channel has had a viewer base of up to 100 million people across the region. Since its inception in 2006, this Islamic TV channel had been telecast to more than 200 Muslim-populated countries in Asia, Europe, Africa, Australia and North America. It achieved huge popularity in the Muslim society within a short span of time launching its sister channels in other languages most notably in Urdu, Bangla, Telugu and Malayalam. Naik has called his TV an "edutainment channel", because of the broadcast of religious sermons and talks for adults and youths, as well as educational programs for children. Promoted by the Islamic Research Foundation (IRF), a Mumbai-based Islamic NGO which was established by Naik in 1991, the channels content largely dealt with religious talks or sessions based on comparative religious studies as guided by himself. Regrettably, the channel aired inflammatory talks in respect to Hindus, Jews and Christians, and even the Sufis and Shias, calling them qabr parast (grave worshippers) and thus mushrik and kafir (polytheist and infidel). It is noteworthy that this kind of exclusivism is common among the Salafist televangelists across the world. Ibrahim Saleh has noted in his research that most of the Salafi televangelists harbour an exclusivist, anti-Semetic and anti-Western views. They are not only antithetical to Jews, Christians and people of other religions, but they also abhor the moderate, pluralistic and multicultural values of Islam. Saleh writes: In spite of the wide range of countries, languages, religions, and cultures in the region, Salafi television channels have consistently projected a shared common interest in scrutinizing otherness in thought, ideology, and religion. Hence, it is not surprising that the list includes Christians, Jews, Westerners, and even proponents of moderate Islam. These channels have claimed the authority to speak for Islam. They operate in a region where there is collective frustration over economic disparities and a loss of faith in political systems. This situation has favoured the making of a public space for fundamentalist groups to use these collective anxieties as a pretext for mobilizing members, developing bureaucratic organizations, and formulating policy alternatives. Since Naik is on record for his extremist, exclusivist and misogynistic utterances that have potential to inspire violent extremism, several democratic countries including the UK, Canada, India and Bangladesh have banned Peace TV broadcasts since 2012. In this connection, I would like to reproduce the research findings that the Office of Communications (Ofcom) of the UK had brought out in 2011. Ofcom is the British governments regulatory and competition authority for the broadcasting, telecommunications and postal industries. It was the first broadcasting regulator to investigate the programmes aired on Peace TV containing extremist messages. The investigation which was initiated in 2011 resulted in a candid exposition of how various programmes on Peace TV have labeled people of other faiths, particularly Jews and Christians, as arch enemies of Islam. In the wake of this finding, an Ofcom spokesman said: "We will not tolerate extremism on British television, and transgressors will be dealt with." Hannah Stuart, of the UK-based Centre of Social Cohesion, commented that to allow Zakir Naik to continue broadcasting here makes a mockery of that decision." Not to speak of other religious communities, Muslims have faced more baffling problems with this radical televangelism. The laws of apostasy (irtidad) had serious repercussions in the medieval Islamic jurisprudence. But they are still implemented and misused in several Muslim countries, most frequently in Pakistan. The punishment sentenced to an apostate Muslim is nothing short of death. The most deplorable part is that any Muslim can be declared apostate, even on the false charges of kufr (disbelief), shirk (polytheism), ilhad (heresy) etc. Regrettably, Peace TV, despite being run from the country of moderate Islam endorsed this medieval practice prevailing in Pakistan. It broadcasted pernicious theological arguments in its support in a regular programme entitled Dare to Ask on 8 March, 2012. Answering a related question, Zakir Naik stated the following: One group of scholars say that if a Muslim becomes a non-Muslim, he should be put to death. There is another group of scholars who say that if a Muslim becomes a non-Muslim and propagates his new faith against Islam, then he should be put to death. I tend to agree more with the second group of scholars, who say that if a Muslim becomes a non-Muslim and propagates his new faith against Islam, that is the time this penalty is applied. This is precisely why Zakir Naik has been barred from the UK, Canada and other liberal and democratic countries. An array of anti-pluralism and extremist messages that have gone viral through his Peace TV programmes brazenly violate the democratic and liberal ethos. Common Indian Muslims have been blind adherents of their religious preachers strictly abiding by their exhortations in social and religious affairs. Therefore, Islamic preachers and televangelists create a deep and lasting impact on them. And this can work for both radicalisation or de-radicalisation of the society. Muslim televangelists who stress the essential and egalitarian messages of Islam; universal brotherhood, pluralism and moderation in faith, work wonder in societal peace-building. Contrary to them, extremist fringes of the Islamist televangelists misuse this opportunity to peddle religious bigotry. Their politically motivated sermons wrongly influence the young gullible Muslims worsening their worldview. In fact, much of the inter-faith and inter-sect conflicts in the Muslim countries are directly or indirectly resulting from the extremist religious sermons spewing hatred and intolerance. In this situation, we need to take cognizance of this contagious peril looming large in the Muslim world, taking inroads in the Indian Muslim society now. The author is a scholar of Comparative Religion, Classical Arabic and Islamic sciences, cultural analyst and researcher in Media & Communication Studies. Contact him at grdehlavi@gmail.com. DALLAS The U.S. military veteran who shot dead five police officers in a racially charged attack in Dallas last week had been "disappointed" by his experience with the U.S. Army, his mother told media outlet TheBlaze.com on Monday. "The military was not what Micah thought it would be," Delphine Johnson, whose son Micah Xavier Johnson was killed by a police robot carrying a bomb at the end of his rampage. "He was very disappointed. Very disappointed." (Writing by Scott Malone; Editing by Frances Kerry) This story has not been edited by Firstpost staff and is generated by auto-feed. LONDON Theresa May, the favourite to succeed David Cameron as British prime minister, will pledge on Monday to overhaul corporate governance rules if elected, including putting workers on company boards and making shareholder votes on pay binding. Cameron said last month he was stepping down after voters rejected his bid to keep Britain in the European Union. Interior minister May is up against junior energy minister Andrea Leadsom in a leadership contest due to be decided by Sept. 9 in a vote by the Conservative Party's 150,000 grassroots members. Launching her national leadership campaign, May, who like Cameron backed Britain staying in the EU, will say non-executive directors are not providing enough scrutiny of company boards because they are drawn from the same social and professional circles. "We need a bold, new, positive vision for the future of our country, a vision of a country that works for everyone, not just the privileged few," she will say during a speech in Birmingham, according to extracts released in advance by her team. "I want to see changes in the way that big business is governed ... So if I'm prime minister, we're going to change that system and we're going to have not just consumers represented on company boards, but workers as well." ANNUAL PAY VOTES May, who has portrayed herself as the candidate who can unite both the party and the country, will say Britain needs proven leadership to steer it through the economic and political uncertainty to come. Her rival Leadsom was little-known until she emerged as a prominant voice in the Brexit campaign. Setting out her plans for business after six years as interior minister, May will also announce she would enforce annual binding votes on both pay policy and specific pay packages of company directors. Investors in every UK company have a non-binding vote yearly on the firm's remuneration report, which details the pay and perks given to executive directors in the year under review. Shareholders are also guaranteed separate, binding votes at least once every three years on a firm's remuneration policy, which governs future payouts. Chief executives at British companies have come under increasing pressure from shareholders over salary and bonus payments that have risen much more sharply than their workers' remuneration. "We're the Conservative Party, and yes we're the party of enterprise, but that does not mean we should be prepared to accept that 'anything goes'," May will say. (Editing by Helen Popper) This story has not been edited by Firstpost staff and is generated by auto-feed. Nairobi: Seeking to address Kenya's concerns, Prime Minister Narendra Modi on Monday said India will work to reduce the trade imbalance with it and assured the African nation that the effort would be to strengthen its processes and enrich its resources. Addressing the joint meeting of Indian and Kenyan business leaders here just before winding up his two-day visit in Nairobi, he also pitched for enhanced cooperation in the field of solar energy, which he described as the best way to fight global warming. Emphasising that enhanced bilateral economic ties would be a "win-win" situation, he said India was now the "most preferred destination" for investments as he highlighted the changes in the business environment effected in the country over the last two years since his government took office. "India is the largest trading partner of Kenya and second largest investor. We will be happy to reduce the trade imbalance and also enhance the level of business," Modi told the India-Kenya Business Forum meeting in presence of Kenyan President Uhuru Kenyatta. "We have initiated the programme 'Make in India' to make India the global hub of manufacturing. You too have 'Make in Kenya'... It does not matter if you make in Kenya or make in India," he said. His comments assume significance as Kenya has been concerned about the huge imbalance of trade in favour of India. The bilateral trade stood at USD 4.2 billion in 2014-15. The major items of exports from India to Kenya are pharmaceuticals, machinery, steel products, power transmission equipment, yarn, and automobiles while Kenya exports tea, vegetables, leather, soda ash and scrap metal to India. Kenya's State House spokesperson Manoah Esipisu had said yesterday that Kenya will urge that its goods be granted preferential status by the Indian authorities to reduce the trade imbalance. "I assure Kenya that India will always work to strengthen your processes and enrich your resources," Modi said. Referring to rich mineral reserves in Kenya, he said there should be joint exploration of these. Talking about enhancing and expanding trade, the Prime Minister said India looks forward to working with Kenya in the field of solar energy under the ambit of International Solar Alliance. "Mr. President, I am aware that your government has laid great emphasis on the use of solar energy in daily life. We are also working on an ambitious renewable energy programme. India and Kenya are blessed by sufficient solar radiation. Solar energy is a real solution for global warming. It is also a good strategy for providing electricity to remote villages and hamlets. We look forward to work together on this front under the International Solar Alliance," he said. Similarly, India would like to work with Kenya in the field of healthcare by providing its expertise in the sector. "I understand that Kenya would like to replicate the Indian experience in medicare and become a medical hub in the region. We would be happy to support you in this process," he said. India is today the fastest growing large economy globally and is improving its rank in various global indicators, said Modi who noted that his visit here was the first by an Indian Prime Minister in 35 years. He said India has jumped 12 points on the 'ease of doing business' and 16 points on the World Economic Forum's competitive index. "Kenyas economy and its infrastructure make it an influential player in the region. You are the only developing country which hosts the headquarters of UN agencies," he said. Observing that India and Kenya have had a very special relationship, he said Mahatma Gandhi has been a common inspiration for the struggle against colonialism. "Both countries have had very old maritime contacts over the Indian Ocean and many Indian companies have made Kenya their base to operate in the entire East Africa," he said. "Our predicament of the past is same, our problems of the present are same. We have had common enemies. Earlier it was colonialism, now it is poverty. So, as we worked together in the past, we have to work together even now," he said. NEW YORK After two confirmed U.S. cases of a superbug that thwarts a last-resort antibiotic, infectious disease experts say they expect more cases in coming months because the bacterial gene behind it is likely far more widespread than previously believed. Army scientists in May reported finding E. coli bacteria that harbor a gene which renders the antibiotic colistin useless. The gene, called mcr-1, was found in a urine sample of a Pennsylvania woman being treated for a urinary tract infection. On Monday, researchers confirmed preliminary findings that E. coli carrying the same mcr-1 gene were found in a stored bacterial sample of a New York patient who had been treated for an infection last year, as well as in patient samples from nine other countries. The report came from a global effort called the SENTRY Antimicrobial Surveillance Program, led by Mariana Castanheira of JMI Laboratories based in North Liberty, Iowa. The mcr-1 superbug has been identified over the past six months in farm animals and people in about 20 countries, including China, Germany and Italy. The bacteria can be transmitted by fecal contact and poor hygiene, which suggests a far wider likely presence than the documented cases so far, according to leading infectious disease experts. Health officials fear the mcr-1 gene, carried by a highly mobile piece of DNA called a plasmid, will soon be found in bacteria already resistant to all or virtually all other types of antibiotics, potentially making infections untreatable. "You can be sure (mcr-1) is already in the guts of people throughout the United States and will continue to spread," said Dr. Brad Spellberg, professor of medicine at the University of Southern California. Dr. David Van Duin, an infectious disease expert at the University of North Carolina in Chapel Hill, said he expects more documented U.S. cases of mcr-1 in coming months because it is already here and will spread from abroad. "We will see a lot more of this gene." Colistin causes kidney damage, but doctors have opted for it as other antibiotics increasingly fail. Its overuse, especially in overseas farm animals, has allowed bacteria to develop resistance to it. PAST AND PRESENT INFECTIONS To track the mcr-1 gene, U.S. hospitals are working together with state and federal agencies to test bacteria samples of patients that have recently been treated for infections. Many of the largest research hospitals are examining samples of antibiotic-resistant bacteria that have long been stored in their freezers. Gautam Dantas, associate professor of pathology at Washington University Medical Center in St. Louis, has tested hundreds of U.S. samples of archived bacteria in recent months and has not yet detected mcr-1. But he expects dozens of confirmed cases of the gene will be documented by next year in the country, mostly among current patients. The concern of many disease experts is that mcr-1 could soon show up in bacteria also resistant to carbapenems, one of the few remaining dependable classes of antibiotics. In that event, with colistin no longer a last-ditch option, some patients would have to rely on their immune systems to fight off infection. "Within the next two to three years, it's going to be fairly routine for infections to occur in the United States for which we have no (effective) drugs available," Dantas said. Castanheira also believes mcr-1 will find its way into carbapenem-resistant bacteria, formally known as carbapenem-resistant enterobacteriaceae (CRE). In an interview, she said the resulting virtually impervious bacterium would likely spread slowly inside the United States because CRE themselves are not yet widespread in the country, giving drugmakers some time to create new antibiotics. Beginning in August, the U.S. Centers for Disease Control and Prevention will use $21 million to expand surveillance at laboratories operated by all 50 state health departments and seven larger regional labs. The federal funding will help pay for more-sensitive equipment to test for antibiotic resistance in bacteria samples provided by hospitals. Jean Patel, deputy director of the CDC's Office of Antimicrobial Resistance, said the effort will provide the CDC improved national surveillance of antibiotic-resistance trends, including any spread of mcr-1. "This is data for action," she said, adding that special procedures to prevent infections from spreading in hospitals could be taken once a patient is identified with mcr-1 related infections or with multidrug-resistant bacteria. (Reporting by Ransdell Pierson; Editing by Marguerita Choy) This story has not been edited by Firstpost staff and is generated by auto-feed. New Delhi: Union minister Kiren Rijiju on Monday took a dig at Pakistan for accusing India of committing human rights violations in Kashmir, saying it should worry more about such violations in Pakistan-occupied Kashmir (PoK) than the Valley. "They (Pakistan) should worry (more) about human rights violations in Pakistan-occupied Kashmir than Jammu and Kashmir. Kashmir is an internal matter of India," he told reporters. Rijiju's comments came a day after Pakistan accused India of committing human rights violations in Kashmir following the killing of Hizbul Mujahideen leader Burhan Wani. Rio de Janeiro is already gripped by the Olympic spirit. The first South American city to host an Olympic Games is expecting more than 10,000 athletes and 500,000 tourists. The athletes village is now almost ready to receive the 207 foreign delegations arriving at the end of July. However, since January, Rio has faced increasing crime, a result of the severe economic crisis. State police, whose salaries have been delayed, have organized several protests in past weeks. Authorities plan to mobilize twice as many troops as the London Games. With the various attacks around the world this year, theyre also taking the idea of a terrorist threat seriously. With less than a month until kick-off, organizers have put on a brave front, promising Olympics that they say will make the country proud. WASHINGTON The 560 additional troops the United States is sending to Iraq will bolster the battlefield successes the Iraqi military was already enjoying, the White House said on Monday. "The United States and our coalition partners will be committed to supporting Iraqi forces as they take the fight to ISIL," White House Spokesman Josh Earnest told a regular media briefing, using an acronym for Islamic State. (Reporting by Jeff Mason; Writing by Adam DeRose; Editing by Tim Ahmann) This story has not been edited by Firstpost staff and is generated by auto-feed. The United States urged an immediate end to the violence in South Sudan on Monday after fresh clashes erupted in the capital. Five years after gaining independence from Sudan, South Sudan is entangled and paralysed from escalating violence. 115 soldiers were reportedly killed after gun battles broke out across South Sudans capital Juba on its fifth independence anniversary on 9 July. The battles are the first since Sudanese Vice President Riek Machar returned in April to retake his post after three years of conflict. City residents began fleeing their homes as the UN reported the use of mortars, rocket-propelled grenades and "heavy ground assault weaponry". Helicopter gunships and tanks were also deployed. External Affairs minister Sushma Swaraj asked Indians not to travel to South Sudan in a message on social networking site Twitter. She also said that the Indian authorities are planning evacuation of Indian nationals. Indian nationals are advised not to travel to South Sudan. /3 Sushma Swaraj (@SushmaSwaraj) July 11, 2016 South Sudan - I am aware of the developments in South Sudan. We are planning evacuation of Indian nationals. /1 Sushma Swaraj (@SushmaSwaraj) July 11, 2016 A spokesman for Machar told BBC that South Sudan is back to war on Sunday. It is interesting to note that the political party which led the war for independence is the reason behind the violence which has gripped the country now. South Sudan gained independence from Sudan in 2011 after more than 20 years of struggle which killed 1.5 million people and displaced more than four people, reported BBC. The trouble started when a civil war broke out in December 2013 after President Salva Kiir accused Machar of planning a coup against him. This instigated a war between the two biggest ethnic groups in South Sudan, namely the Dinka and the Nuer. The Dinka, the largest ethnic group is led by President Kiir and Machar belongs to the second largest group, the Nuer. It is, however, still not ascertained if there was a coup planned against Kiir. Machar denies the allegations and has, in turn, accused Kiir for failure to manage corruption, according to BBC. The violence between the ethnic groups spread quickly throughout the country and about 4,13,000 civilians were killed in the first month after the violence broke out, according to MercyCorps. Tens of thousands have been reported killed. Under international pressure of UN sanctions, the two warring sides signed a peace deal in August 2015, which demanded permanent ceasefire. However, this deal has been violated a number of times and the situation remains volatile. Violent outbreaks are still occurring throughout the country. After enduring 25 years of civil war, South Sudan is again experiencing tensions between ethnic divisions which had still not been reconciled. It is also one of the least developed countries in the world which has exacerbated the humanitarian situation. Since the violence erupted, one in five people in the country have been displaces, reported MercyCorps. More than 2.3 million people have fled their homes. Most people are still stuck inside the country. About 3 million people are at the risk of going hungry. The economy of South Sudan was fragile even before the fighting began and the new situation has rendered people helpless. They have very few resources to sustain themselves. Nearly five million people are surviving on emergency food rations. Taking a note of the quickly deteriorating condition, the UN Security Council held an emergency meeting and demanded Kiir and Machar "genuinely commit themselves to the full and immediate implementation of the peace agreement, including the permanent ceasefire and redeployment of military forces from Juba". UN Secretary General Ban Ki-Moon said he was shocked and appalled at the resumption of violence in South Sudan. (With inputs from AFP) Islamabad: Pakistan has expressed shock over the killing of Hizbul Mujahideen commander Burhan Wani in an encounter in Kashmir and deplored "oppressive measures" and "excessive" force used against civilians in the latest wave of violence in the Valley. Prime Minister Nawaz Sharif, who has been under attack from the opposition for remaining "silent" over the killing of protesters in Kashmir, came out with a late night statement condemning the action of Indian security forces. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement. The Prime Minister said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani. "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he said. Expressing concern over the detention of Kashmiri separatist leaders, Sharif said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of the killing of Wani in an encounter on Friday. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Pakistan People's Party (PPP) chairman Bilawal Bhutto Zardari has alleged that "Sharif-Modi friendship" was causing "irreparable damage" to the Kashmir issue. "While Muslims celebrated Eid-ul Fitr across the world, our Kashmiri brethren marked the day of festivities amid violence carried out by the Indian army," Bilawal said in a statement Sunday. He accused Sharif of damaging the foreign policy by giving his Indian counterpart Narendra Modi a "certificate of friendship". "Sharif is jeopardising the Kashmir issue by building his association with the Indian prime minister," he said. Publicity stunts to cover up failures: TMCs Derek OBrien on Rozgar Mela Modi launched the Rozgar Mela on Saturday to recruit 10 lakh people and underscored his government's efforts to create jobs in the last eight years amid the opposition's constant criticism of it on the issue of unemployment JERUSALEM A group of Israelis and Americans filed a lawsuit on Monday seeking $1 billion in damages from Facebook Inc. (FB.O) for allegedly facilitating deadly Palestinian militant attacks on their loved ones. The plaintiffs, relatives of four Israeli-U.S. dual nationals and one visiting U.S. citizen who died in attacks in Tel Aviv, Jerusalem or the occupied West Bank between 2014 and 2016, accused Facebook of helping Hamas militants operate. The lawsuit, filed in U.S. District Court for the Southern District of New York, argued that Facebook "knowingly provided material support and resources to Hamas ... facilitat(ing) this terrorist group's ability to communicate, recruit members, plan and carry out attacks, and strike fear in its enemies". The social media giant did not respond directly to the lawsuit but said it stood by its regulations for preventing abusive content and a company representative in Israel said the company wanted "people to feel safe" when using Facebook. "There is no place for content encouraging violence, direct threats, terrorism or hate speech on Facebook. We have a set of Community Standards ... and we urge people to use our reporting tools if they find content that they believe violates our standards so we can investigate and take swift action." The private lawsuit follows censure from Israel's security minister over what he deemed Facebook's reluctance to help track potential Palestinian militants and curb incitement to violence. In response, Facebook defended its regulations against online abuse. Hamas formally claimed responsibility for one of the attacks cited in the lawsuit. The plaintiffs' Israeli lawyer, Nitsana Darshan-Leitner, said they had expert assessments linking Hamas to the other attacks. Hamas is designated a terrorist organisation by the United States. The lawsuit was brought under the 1992 Anti-Terrorism Act that prohibits American businesses from providing any material support, including services, to designated terrorist groups and their leaders. Sami Abu Zuhri, a Hamas spokesman in Gaza, called the lawsuit an Israeli attempt to blackmail Facebook and accused Israel of trying to turn the social network into a spy tool against Palestinians. He said some Israeli politicians and soldiers had "expressed pride at the killing of Palestinians" on Facebook and other social media. "The real test for the owners of Facebook is to reject this (Israeli) pressure," Abu Zuhri said. Darshan-Leitner, of the Shurat HaDin-Israel Law Centre, filed a class action suit in October for an injunction against Facebook to stop carrying alleged Palestinian incitement. She said proceedings were still under way in that case. (Additional reporting by Nidal al-Mughrabi in Gaza; Editing by Jeffrey Heller and Janet Lawrence) This story has not been edited by Firstpost staff and is generated by auto-feed. Beijing: The South China Sea is not on the agenda and should not be discussed at a major summit between Asian and European leaders in Mongolia at the end of the week, a senior Chinese diplomat said on Monday. The Asia-Europe Meeting, or ASEM, will be the first important multilateral diplomatic gathering after the July 12 ruling by an arbitration court hearing a dispute between China and the Philippines over the South China Sea. Tensions and rhetoric have been rising ahead of the ruling in the Dutch city of The Hague, a case which China has refused to recognise or participate in. Beijing says the court has no jurisdiction and China cannot be forced to accept dispute resolution. China has repeatedly blamed the United States for stirring up trouble in the South China Sea, where its territorial claims overlap in parts with Vietnam, the Philippines, Malaysia, Brunei and Taiwan. Chinese Assistant Foreign Minister Kong Xuanyou signalled discussion of the South China Sea would not be welcomed at the event, which happens once every two years, as it's designed to discuss issues between Asia and Europe. "The ASEM leaders summit is not a suitable place to discuss the South China Sea. There are no plans to discuss it there on the agenda for the meeting. And it should not be put on the agenda," Kong told a news briefing. However, Beijing-based diplomats involved with preparations for ASEM say it is inevitable the South China Sea dispute will be raised at the summit, which is expected to be attended by Chinese Premier Li Keqiang, Japanese Prime Minister Shinzo Abe and German Chancellor Angela Merkel. The United States has conducted freedom of navigation patrols close to Chinese-held islands, to Beijing's anger, while China has been bolstering its military presence there. Kong said that if there are tensions in the South China Sea it is because certain countries outside the region have been putting on shows of force and interfering. "There is no reason to get the South China Sea issue into this ASEM meeting citing freedom of navigation and security interests as causes of concern. It's got no leg to stand on," he added. FILIPINOS TOLD DON'T TALK POLITICS Ahead of the ruling, Philippine nationals in China this weekend received mobile phone text messages from their embassy, warning them not to discuss politics in public and to avoid engaging in discussions on social media. They were advised to carry their passports and residency permits with them at all times and to contact the embassy or Chinese police if there are any untoward incidents. China says much of the building and reclamation work it has been doing in the South China Sea is to benefit the international community, including for civilian maritime navigation. The official China Daily said on Monday that China will soon start operations of a fifth lighthouse in the South China Sea, on Mischief Reef. Taiwan is also watching the case closely. Its single holding of Itu Aba is the biggest feature in the Spratlys and the one some analysts believe has the strongest claim to island status and an exclusive economic zone. "If the ruling touches on our sovereign rights we will respond strongly," said deputy foreign minister Leo C.J. Lee to lawmakers in a parliamentary committee session on Monday. The coast guard, which directly oversees Itu Aba with the support of the military, will not "soften" its defence of the island, coast guard chief Lee Chung-wei added. New Delhi: India is planning evacuation of its nationals from South Sudan, which is witnessing escalated violence due to clashes between anti and pro government forces, and has advised Indians not to travel to the war-torn country. South Sudan - I am aware of the developments in South Sudan. We are planning evacuation of Indian nationals. /1 Sushma Swaraj (@SushmaSwaraj) July 11, 2016 Please register yourself with Indian Embassy and do not panic./2 Sushma Swaraj (@SushmaSwaraj) July 11, 2016 Indian nationals are advised not to travel to South Sudan. /3 Sushma Swaraj (@SushmaSwaraj) July 11, 2016 Yesterday, Indian embassy in South Sudan had asked Indians stranded there to stay calm, assuring them that they are in constant touch with authorities in India and all options are being considered to provide relief to them amid heavy fighting between South Sudan's army and former rebels. "The Indian embassy is in regular touch with concerned authorities in India and all options are being considered for providing relief to Indians stranded here in Juba. All are requested to keep calm and await further development and further inputs from the embassy," the advisory said. According to official data, there are a few hundred Indians in South Sudan. Some of them have set up businesses in Juba and others are working for various companies. A small number of Indian nationals also work in Christian missionary organizations in that country. South Sudan's capital is witnessing heavy fighting due to clashes between former rebels and government soldiers in several parts of the city. Editor's Note: This three part series looks at the complexity of 'Islamist terrorism' in the context of the recent terror attacks by the Islamic State, targeting Dhaka, Baghdad, Istanbul and Saudi Arabia. The series explores the dangers of defining terrorism in terms of either 'religious' violence or 'political' violence; terrorism is more complicated than we think it is. In part three, read about why Islamic State is not just a religious force. To get a wholesome view of modern Islamist terrorism, focusing only on the religious angle is not enough. In this context, Robert Papes pioneering research on suicide terrorism comes to handy. A Professor of International Politics at University of Chicago, Pape conducted a landmark study of suicide terrorism from 1980-2003 and put down his findings in two period-defining books Dying to Win (2005) and Cutting the Fuse (2010). What Pape found, after scrupulously deconstructing 462 cases of suicide attacks was that most of them were secular in nature and products of rational choice, driven by politico-strategic motives. The tactic was employed as a well-contrived counter to Israels continued military occupation. That, however, is also what renowned experts in security and terrorism studies Bader Araj and Assaf Moghadam also conclude. Evidently, while theological indoctrination is an inseparable part of the radicalisation arc that shapes a terrorist, it is not the only pull factor. Nonetheless, focusing exclusively on Israel-Palestine, as Bader Araj, Assaf Moghadam, and Robert Pape do, to understand the interplay of religion and politics within Islamist terrorism is inadequate, given the specific circumstances of Israeli military occupation and state repression. Radical jihad is a much more cross-cultural phenomenon, and thus, operates on a complex geopolitical plane across different social and political setups. There is no better medium to comprehend this all-encompassing phenomenon of ruthless aggression than looking at the key perpetrator of the recent attacks in Turkey, Bangladesh, Iraq and Saudi Arabia the Islamic State (IS). The Islamic State is hardly a purely ideological or religious force. It cannot be straight-jacketed as a puritan Islamic army, although it draws cultural legitimacy from a certain strand of radical Sunni Islam. The IS is a much more internally-variegated and diverse organisation than we would like to believe, and carries a confounding matrix of motivations. In 2014, while in the United Kingdom for my higher studies, I got the opportunity to interview Emile Nakhleh, the former Director of CIAs Political Islam Program. Born in Palestine, Nakhleh commands unmatched knowledge of the Middle East, and was one of the select few in the Bush administration who had advised the President to reconsider his decision to invade Iraq. When I asked him if the Islamic State (IS) was a religious organisation with political undertones or a political organisation with religious overtones, he chose the latter. He argued that ultimately, what IS aims to achieve is access to political and economic power structures in the territories they have captured by force, and religion is a very effective galvanising medium to achieve that. Simply put, here, religion is the means, not the end. It is a time-tested cultural wrench that is being conveniently instrumentalised to tighten the screw of political control. Al-Raqqa, the self-declared capital of the Islamic Caliphate in Syria, is a disturbing example of how Islam (or a certain strand of any religion for that matter) can be efficiently utilised to exercise strict territorial control over a particular population. Even a cursory look at the composition of IS top leadership reveals plenty about the complex organisation that it really is. As pointed out by Robert Pape, the top leadership of the group is composed of three distinct groups of people, in equal proportions: hardliner Sunni Muslims, secular ex-Baathists, and Sunni tribal leaders. The existence of such a flecked pool of decision-making elites warns us to not place the IS brand of terror into neat boxes of either religion or politics. While it is impossible to know what each sub-group vies for today, one could make rational guesstimates by looking at their socio-political profiles. The hardliner Sunni section might be aiming for a radical jihad against Shias and Sunni kufirs (traitors) to set up a puritan, theocratic regime. The ex-Baathists, logically speaking, could be aiming for a total seizure of all political and economic assets and structures that they lost during the American Invasion, and later to fundamentalist sectarianism. Notably, one of the first offensives launched by the IS was not against any cultural or political institution but rather the largest oil refinery in Iraq, the Baiji Oil Refinery. Finally, the Sunni tribal leaders are expected to focus on recapturing and/or defending historically-claimed territories or ancient, Biblical lands. To the misfortune for the rest of us, these three sets of motivations intersect on a tactical level, ensuring continued proliferation and survival of the group. As we see, the IS brand of terror, like most other variants is a dynamic flux of religion and politics. In fact, we do not need to look beyond the overall target profiles of the recent spate of violence in order to realise that Islamist terrorism can be quite varied within itself. It need not be an East vs West civilisational clash, as Samuel Huntington would argue, but rather a purely sectarian conflict between the myriad different schools of applied Islamic thought. All four countries Turkey, Bangladesh, Iraq, and Saudi Arabia have different social demographics within one main religious umbrella, yet were seemingly attacked by a single, sub-sectarian (Wahhabi-Salafi) group. Why so? The bombing in Baghdad was, in all possibility, a menacing retort to Iraqs Shia-appeasing political establishment that the IS so dearly abhors. Last month, IS lost the strategic stronghold of Fallujah to an aggressive military onslaught propped by Iraqi forces and Shiite militia groups like the Popular Mobilisation Units (PMUs). The attack was perhaps a resounding attestation by IS of its indomitable strength. The attack in Turkey, a Sunni-dominated country, comes during a time when the country is proposing to collaborate with Russia to destroy IS just like it had previously allowed American fighter jets to use the Incirlik Air Base for air strikes against the group. The bombings in Saudi Arabia, surprisingly the ideological fountainhead of IS, follow a signature pattern of anti-Shia attacks in the country by jihadist groups. The IS detests the royalty for its closeness to Western regimes, and is at a constant tussle with the Saudi clerical establishment over which version of Sunni Islam is purer. Bangladesh is a distinctive case in itself. Nowhere else in the world has politicised Islam so deeply pervaded mainstream socio-political dispositions as in Bangladesh, thanks to years of explicitly anti-secular rule by military strongmen. The country already had an extensive network of radical Sunni jihadists, most of which fell back to the Islamic Caliphate creed to regain their lost credibility. Hence, reiterating that the attack was homegrown terror is redundant and futile. Bangladesh is marked by a very nationalistic, ruthless, and primitive kind of jihadist terror that completely lacks any religious sanctity. This is proved by the shocking tirade of machete attacks against secularists and non-fundamentalists in the past three years most of the victims being Muslims. Hence, when Prime Minister Sheikh Hasina, in her emotional post-attack speech asked, What kind of Muslims kill other Muslims on Ramzan?, she sounded rather ignorant and oblivious of her own countrys politics and history. From America to Britain to Syria to Myanmar today, the world is under attack from a slew of powerful men and women who are extensively relying on the politics of homogenisation to achieve preconceived ends. Oversimplified narratives are being packaged and sold in boxes of emotive jargon and anachronistic ideas. Some of it manifests in structural violence and discrimination, others in real physical terror. Some place it in misdirected referendums, others in nitroglycerin cans. In such times, we could really do away with more oversimplification. The narratives of arbitrary cultural antagonism do not serve to build any positive social capital, but rather result in intense fissures within and between societies. It is crucial to counter them appropriately, with rationality and nuance. Only debate can trump monologic hatred. Hence, we must remain cautious of how we counter something so complex as radical Jihadist terrorism, lest we end up giving carte blanche to those greedy individuals who would not bat an eyelid before butchering innocents with the sharp tip of their blades of faith. The worst is, these men, more often than not, are convincing enough for a much larger horde of vulnerable women and men to shut their thinking faculties and board the wagon of mindless violence. Part one: Products of targeted violence, terrorists are not criminals or psychopaths Part two: Terror attacks and perils of emotive criticism: Why we must not delink religion and terror LONDON Theresa May has won the battle to be Britain's prime minister but will face a much tougher struggle once in power -- overseeing her country's divorce from the European Union. May backed the "Remain" camp during the campaign for Britain's referendum on EU membership on June 23 but has made clear since then that it must now go ahead, saying: "Brexit means Brexit." But she has also said Britain should not trigger the exit proceedings until London is ready to start negotiations. Invoking Article 50 of the EU's Lisbon Treaty would begin those talks but lawyers and politicians differ over who has the authority to trigger the clause and whether it is irreversible. "There should be no decision to invoke Article 50 until the British negotiating strategy is agreed and clear -- which means Article 50 should not be invoked before the end of this year," May, 59, said late last month when she launched her campaign to succeed Prime Minister David Cameron. That potentially puts May on a collision course with German Chancellor Angela Merkel, who is often portrayed as the EU's most influential politician as leader of its strongest economy. Merkel said on Monday talks with Britain would "not be easy" and has said she expects London to begin the formal process of leaving as soon as it picks a new prime minister. May, whose only remaining rival quit the race to replace Cameron on Monday, will be Britain's first woman prime minister since Margaret Thatcher, who governed from 1979 until 1990. Cameron, who called the vote to appease anti-EU lawmakers in his own party but campaigned for continued membership, said he plans to tender his resignation to Queen Elizabeth on Wednesday. May will take office the same day. "DIFFICULT" Article 50 envisages a period of up to two years to negotiate an amicable separation. Triggering it quickly might weaken London's hand in negotiations on the terms of its break with the EU but any delay could add to uncertainty for investors wondering how the future relationship with the EU will look. Supporters say she has steely determination, pays attention to detail and focuses on getting on with the job at hand. She has also been described by a Conservative Party grandee, Ken Clarke, as a "bloody difficult woman". May has made clear she will respect the will of the British people, expressed in the referendum last month. "There will be no attempts to remain inside the EU, no attempts to rejoin it by the back door, and no second referendum. The country voted to leave the European Union and as Prime Minister I will make sure that we leave the European Union," she said during a speech on Monday. May has said she plans to appoint a minister for Brexit and that a priority will be to win the right for British companies to trade with the EU's single market in goods and services after it leaves the bloc, though freedom of movement will have to be curbed. "The Brexit vote was also a message that we need to bring control to free movement. Free movement cannot continue as it has up to now," she said on Monday. Merkel has said there can be no "cherry picking" of what it wants to keep from its EU membership while jettisoning aspects of the relationship that it does not like. "We will have difficult negotiations with Britain, it will not be easy," Merkel told conservative supporters in eastern Germany on Monday. The EU wants Britain to commit to leaving by early 2019 and has said there can be no negotiation before Article 50 is triggered. It has no clear legal power to hold Britain to an exit schedule but has some levers against disruptive members.. "STAKHANOVITE" May entered parliament in 1997 and became the Conservative Party's first female chairman in 2002, when it was not in power. She told its annual conference that year that people saw it as "the nasty party". Colleagues say she shuns the old boys club traditions of parliament, preferring to spend any free time she has with her husband of 36 years, Philip. "I know I'm not a showy politician," she said when she launched her leadership bid after Cameron said he was stepping down following the vote for Brexit. "I don't tour the television studios. I don't gossip about people over lunch. I don't go drinking in parliament's bars. I don't often wear my heart on my sleeve. I just get on with the job in front of me." May has Type One diabetes and needs insulin injections several times a day. She describes herself as a practising Christian and says she owns over 100 cookery books. "She gets up very early. She does a bit of time in the gym to make sure she stays in shape because of course she needs to look after her health," said a Conservative lawmaker who has worked closely with her. May, who has been Home Secretary, or interior minister, since 2010, has won plaudits from other party members while in the job. She has pushed through measures including reforms of the police and moves to tackle modern slavery. "She was completely Stakhanovite in work ... she was very organised but also she had clear priorities. She had a very clear sense of long-term direction as well as the capacity to do the detail," Conservative lawmaker Damian Green told Reuters of his time working as a junior minister in her department. He described her as a politician who "wasn't to be pushed aside or pushed about." (Editing by Guy Faulconbridge and Timothy Heritage) This story has not been edited by Firstpost staff and is generated by auto-feed. Two bailiffs were shot and killed inside a courthouse in St. Joseph in southwest Michigan on Monday and law enforcement officers then killed the shooter, Berrien County Sheriff Paul Bailey said. "At about 2:25 we had a disturbance on the third floor of the courthouse. A person has shot two bailiffs, they are both deceased, and a deputy sheriff who is at the hospital right now being treated in the emergency room," Bailey said in a brief news conference. "The suspect has been shot and killed," he added. He said the death of his friends was "terrible." The suspect was an in-custody inmate who took a gun off a law enforcement officer and began shooting, ABC affiliate WZZM reported. Bailey said it was not clear how the suspect got the weapon. The shootings of the bailiffs and the deputy came four days after four Dallas police officers and one Dallas transit police officer were killed by a sniper who claimed to be motivated by police use of lethal force against African-Americans. St. Joseph is a town on the shores of Lake Michigan, across the lake from Chicago. The wounded sheriff's deputy and several civilians also injured in the shooting are being treated at Lakeland Regional Medical Center, spokeswoman Jessica Hines said by telephone. She declined to detail their condition. Buildings in the area were put on lockdown after the shooting, according to media reports. "MSP (Michigan State Police) has secured the scene at the Berrien County courthouse and started its investigation into the shooting that occurred this afternoon," Governor Rick Snyder said in a Tweet. Public information officers at Michigan State Police and the Berrien County Sheriff's Department declined to give any additional details. Chris Gautz, public information officer for the Michigan Department of Corrections, tweeted that all Department of Corrections staff are safe and accounted for. A witness, Gretta Volkenstein, told CNN that buildings and government agencies in the area were all on lockdown. (Reporting by Justin Madden and Fiona Ortiz in Chicago; Additional reporting by Eric Beech in Washington; Writing by Fiona Ortiz; Editing by James Dalgleish) This story has not been edited by Firstpost staff and is generated by auto-feed. WASHINGTON The U.S. State Department said on Monday that a U.S. citizen and a U.S. legal resident, reported to have been indicted in Iran, were "unjustly detained" and should be released. Iran's judiciary indicted three detained Iranian dual-nationals and a Lebanese citizen also held in the Islamic Republic, the judiciary's official news website Mizan said on Monday. The website quoted Tehran prosecutor Abbas Jafari Dolatabadi as saying that after the issuance of indictments, the cases against Nazanin Zaghari-Ratcliffe, Siamak Namazi, Homa Hoodfar and Nizar Zekka had been referred to court "for processing". (Reporting by Mohammad Zargham; Writing by Yara Bayoumy) This story has not been edited by Firstpost staff and is generated by auto-feed. Thailand is getting old before it gets rich, and with few state programs in place to assist seniors, it is their children who are carrying the brunt of the burden. A new community-run programme in Bangkok is now seeking to lighten their load with a twist on familiar idea: inviting children to drop off their parents at daycare centre for the elderly. Adult children in Thailand often care for their parents -- a responsibility drummed into kids at an early age. But these duties are getting tougher, with the share of Thais over 65 expected to surge from seven to 17 million people over the next three decades, shrinking the workforce and placing a huge burden on the welfare and medical systems. South Sudan has marked the fifth anniversary of its independence amid deadly clashes that have killed at least 275 people since Thursday. Violence continued as leaders of rival parties met to discuss the implementation of a peace plan. The U.N. Security Council held an emergency meeting Sunday to address the latest round of sectarian violence in the world's youngest nation. Zlatica Hoke reports. The Land, Public Works and Transport Bureau (DSSOPT) is calling for bids to build a new warehouse in Ilha Verde, which will be owned and managed by the Financial Services Bureau (DSF). The current DSF warehouse is being demolished and will soon become a transformer substation of the Conde Hospital Center S. Januario. The new project consists mainly of installing fences and flatwork, as well as implementing electricity and plumbing, among other basic amenities. The structure will be a one-story building with an area of 180 square meters. The tenders will be open for bidding on July 29. Lola Flores do Rosario to head Costa Nunes Kindergarten Lola Flores do Rosario will be the next Costa Nunes Kindergarten (JIDJCN) director, according to an announcement made by the Association for Macanese Education (APIM), which runs the school. According to Radio Macau, JIDJCN directors are chosen for their field experience, with Rosario having already been director of the same institution between 1996 and 2001 before heading to Portugal where she held similar positions. Rosario was also chosen for her proficiency in Portuguese, English and Chinese. She will replace Vera Goncalves, who led the institution for the past seven years. The contract lasts for one year and is renewable. Local law firm enters joint venture in Hengqin Macau law firm Rato, Ling, Lei & Cortes last Friday inaugurated a new firm in Hengqin Islands special economic zone. The new firm is the result of a joint venture between Macau, Hong Kong and mainland China, said Radio Macau. The initiative is backed by an investment program for legal service companies, for which the MSAR was entitled to two slots. Frederico Rato, founding partner of Rato, Ling, Lei and Cortes, told TDM TV that the new office will channel the investments from the Portuguese-speaking countries in the Hengqin economic zone and China as well as direct mainland Chinese investment into Macau. Asked by Radio Macau whether Portuguese-speaking Sao Tome and Principe should be admitted to Forum Macau, Pires said that he would not take a stance on the matter. The club [Forum Macau] would be more complete if all the countries were included. Clearly the reason [for Sao Tomes exclusion] is linked to the fact that Sao Tome does not have diplomatic relations with China [the PRC], Pires told Radio Macau in an interview. But since Im here, I dont want to take a stance on that, he added. Sao Tome and Principe has maintained relations with Taiwan since 1997, but only limited commercial ties with mainland China. Japans ruling coalition was a clear winner in Sundays parliamentary elections, preliminary results and Japanese media exit polls indicated, paving the way for Prime Minister Shinzo Abe to push ahead with his economic revival policies, but also possibly changing the nations postwar pacifist constitution. Half of the seats of the less powerful upper house were up for grabs. There had been no possibility for a change of power because the ruling coalition, headed by Abes Liberal Democratic Party, already controls the more powerful lower house, but the balloting was a key gauge of how much support Abes coalition has among the public. According to the exit polls, the Liberal Democrats won 57 to 59 seats among the 121 that were contested. Its coalition partner Komeito won about 14 seats. Combined with other conservative politicians, the coalition may win a two-thirds majority in the upper house, which would be critical to propose a referendum needed to change the constitution. Abe showed up before TV cameras at party headquarters, all smiles, to pin red flowers, indicating confirmed wins, next to his candidates names written on a big board. I am honestly so relieved, he told NHK, promising new government spending to help wrest the economy out of the doldrums in a total and aggressive way. With their pro-business policies, the Liberal Democrats have ruled Japan almost continuously since World War II, and until recently enjoyed solid support from rural areas. The few years the opposition held power coincided with the 2011 earthquake, tsunami and nuclear disasters that devastated northeastern Japan. The opposition, however, fell out of favor after being heavily criticized for its reconstruction efforts. Robert Dujarric, professor and director of the Institute of Contemporary Asian Studies at Temple University Japan in Tokyo, said the win reflected voters disenchantment with the opposition, rather than their excitement about Abes policies. The public is old. It doesnt want change, he said. It doesnt want what Japan really needs more structural reform, less money for the old and more funding for families and children. The Japanese constitution, written by the United States after Japans defeat in World War II, limits its military to a self-defense role, although Japan has a well- equipped modern army, navy and air force that work closely with the U.S., Japans most important ally. Many members of Japans military dont anticipate becoming involved in overseas wars, expecting that their work will be limited to disaster relief. But some Japanese agree with Abes views on security because of growing fears about terrorism, the recent missile launches by North Korea and Chinas military assertiveness. Yesterdays was the first major election since Japan lowered the voting age from 20 to 18, potentially adding 2.4 million voters. Although manga animation and other events were used to woo young voters, results from early and absentee voting pointed to a low turnout, highlighting how many young Japanese are disillusioned with mainstream politics. Masses of people have come out against nuclear power since the March 2011 Fukushima catastrophe. But that has not weakened Abe in recent elections, although he has made clear that he is eager to restart reactors that were idled after the nuclear disaster. Abe had repeatedly stressed during his campaign that his Abenomics program, centered on easy lending and a cheap yen to encourage exports, is still unfinished, and that patience is needed for results. Tetsuro Kato, professor of politics at Waseda University, said the election showed an opposition in shambles. He said some members of the opposition may defect to the ruling coalition, as some agree with Abes views. The opposition leadership will likely have to resign to take responsibility for the election defeat, as their platform failed to appeal to the public, he said. AP A new magazine, called Whiskey Magazine, has launched in Hong Kong seeking to educate and support the growing trend of whiskey consumption across the two SARs. Whiskey Magazine is the latest in a series of developments that may finally put China on the map as a major whiskey consumer, and may provide business opportunities to the more mature whiskey market in Macau. Ricco Ho, the editor of the newly launched magazine a spin-off from Cru Magazine that deals with wine and whiskey, told the Times that they are latching onto a hot and growing trend of interest in whiskey in the two regions. Macau and Hong Kong are becoming more interested in whiskey, as is the rest of China, but Macau is already a mature market, whereas there is still a lot of growth in Hong Kong, said Ho. [For this reason], although the magazine will cover both Hong Kong and Macau, our focus will be on Hong Kong. According to the data from Hong Kongs Statistics and Census Department, the per capita alcohol consumption in Hong Kong in the period of 2004 and 2015 ranges between 2.53 and 2.87 liters. In 2010, the figure stood at 2.64 liters, with the per capita consumption of spirits significantly lower, at 0.83 liters. According to the World Health Organizations OECD Health Data 2012 report, the per capita consumption of alcohol in Hong Kong reached 2.8 liters in 2012, while the same study puts Macaus per capita consumption of alcohol at 6.1 liters. Comparative figures were not available for Macaus spirits consumption, but a report released by the Global Agriculture Information Network in 2007 noted that Hong Kong faced regional competition from spirits sold in Macau, partly due to lower import taxes for spirits. According to some, the comparative advantage may be the positioning of the MSAR as a distributor of whiskey to Hong Kong visitors. Hong Kong has more variety of whiskeys but Macau has a competitive advantage because the tax on spirits is lower, said wine and spirits expert and Times columnist, Jacky Cheong. Hong Kong travellers sometimes come to Macau to buy whiskey and bring it back to Hong Kong. Niall Murray is currently the owner of Prem1er Hospitality Management Macau Ltd. and Prem1er Bar and Lounge in Taipa the former of which distributes imported whiskeys to the Macau market. He too has noticed Hong Kong buyers in Macau seeking the water of life, as the drink is known. Hong Kong buyers do find us on TripAdvisor and visit us, observed Niall Murray. Some people come over to try our whiskey or Irish craft beers. We want to give them a Macau experience so we throw a few Portuguese wines on the list, which you often cant get in Hong Kong. Ho told the Times that he believes many people from Hong Kong travel to Macau to buy Japanese whiskey, which is also becoming very popular in the region. Neither SARs produce significant quantities of their own whiskey, though Taiwan does and is attaining international acclaim. Last year Taiwans Kavalan Solist Vinho Barrique beat competition from around the world to be named the best single malt at the 2015 World Whiskies Awards. It is part of a larger trend described by Jim Murray in his annual whisky guide, the Whisky Bible, of the rise of new world whiskey, hailing from areas outside of the beverages traditional homeland in the Gaelic countries. China, Russia and Southeast Asia generally are seeing demand for whiskey rise significantly, which has resulted in dwindling reserves [of the beverage], explained Cheong. For over 20 years, premium whiskey has been in demand and international companies have started to rebrand themselves [to appeal] to the Asian market. India dominates the consumption of whiskey, easily outstripping any other country. In 2014, Indians were known to consume 1.5 billion liters of whiskey, dwarfing the 462 million liters consumed in the U.S. Although consumption in China is on the rise, it still only accounted for 17 million liters in the same year. This is partly because luxury wines still remain the love affair of China. According to Cheong, China is still in love with Bordeaux though [the country] is now looking at Tuscan wines and Spanish reds. Despite Chinas preference for wine, Hong Kong, Macau and Taiwan, which have traditionally been more receptive to western exports, are registering a strengthening of the whiskey industry due to the widening demographic of consumers. Previously in Hong Kong, men aged between 40 and 60 were the main consumers of whiskey, but nowadays younger people as well as women are drinking [whiskey], observed Ho, challenging the stereotype of the beverage as an old mans drink. I do see the demographics of whiskey drinkers changing, added Niall Murray in response to an enquiry about the type of people consuming whiskey in Macau. Many people initially come in [to the bar] and say they hate whiskey. But its always the same story; normally involving a bad experience as a teenager. They normally have a problem with the mass-produced stuff, he said. What we do is bring people to a new place to try different whiskeys. Then they become more willing to explore new tastes and more accepting of the drink. Often they cant believe that it [what we provide] is actually whiskey! he exclaimed. Niall Murrays observations might be due to his focus on premium Irish whiskeys that he says are both beautiful and unique. According to the distributor, there are plenty of such whiskeys that are far superior to what is normally sold on bar shelves. Many of these may be making a name for themselves in Macau. One such product, a 50-year- old whiskey called The Last Drop, can go for between MOP30,000 and MOP88,000, he explains, as there are only about 200 bottles left. Jim Murray, author of the Whisky Bible, has awarded that particular whiskey a score of 96.5 and rates it among the worlds top 20 whiskeys. Whiskey takes on wine for food pairing privilege A new trend of pairing high-end whiskeys with food may be beginning to take off in Hong Kong and Macau. At the Hong Kong Whiskey Festival 2016, a number of seminars were held to educate interested parties in how to pair whiskeys with food. There is an interest in using the drink to accompany Cantonese dishes, particularly seafood such as crab, oysters, smoked salmon and sea cucumbers, with which Scottish island whiskeys are said to have an affinity. Wine is very important to pair with food, but beer or whiskey can also work well to complement a dish. Hong Kong doesnt have so much experience with pairing wine with food, but [more of] this can enhance the dining experience and educate people [about alcoholic beverages], said editor Ricco Ho. There is a trend for high-end whiskey to be paired with food, agreed Jacky Cheong, before admitting that he finds this a bit difficult because of the strength of whiskey. I have always found wine to be more food-friendly, he added. Former Cape Verde president Pedro Pires was in Macau last week attending an event celebrating the friendship and cooperation between Macau and Cape Verde. This year marks the 40th anniversary of bilateral relations between China and Cape Verde, a milestone celebrated earlier this year on the Chinese side with exhibitions hosted in both Macau and Beijing. One of those exhibitions opened at the Military Club on Thursday night. Organized by Macaus Association for the Promotion of Cape Verdean Culture, the exhibition seeks to impart information about the countrys society, culture and values to Chinese audiences. Initially, the exhibition was presented at the 40-year anniversary [of Chinese-Cape Verdean relations], but now we have brought it back with Chinese captions, Pires told reporters. The aim of the exhibition is to promote Cape Verdean culture in Macau, so we are using the Chinese translations [to better convey the information]. He said that the cooperation between Cape Verde and Macau is mostly limited to the economic sphere, but that it is driven by Cape Verdean people residing in both Macau and China. The real connection of Cape Verde to Macau and China is done through the Cape Verdean people who live in these areas. They are the living agents of the connection, said Pires. The former president was visiting Macau for the first time following an official visit he paid to Beijing earlier this month. Pires also met with the Chief Executive (CE) on Thursday to discuss the upcoming Ministerial Conference between China and Portuguese- speaking countries, which the former president said would take trade and economic cooperation between Cape Verde and Macau to a new level. According to a statement from the Government Information Bureau, Pires said he hoped his visit would facilitate deeper bilateral exchange between the two territories and strengthen Chinese-African multilateral cooperation. The CE also stressed the governments commitment to developing Macau as a bridge between China and Portuguese-speaking countries. Pires believes that David Chows upcoming Macau Legend casino, once built, will benefit Cape Verdes economy and that its impact on the local society is something to look forward to. The Cape Verdean government is giving priority to Macau in its cultural outreach due to the large-scale project [Macau Legend casino] that is taking place in the country, he said. Asked if the project was too ambitious, Pires simply replied: We need to have the ambition to complete it [the project]. Those who are afraid are those who are not able to reach their goals. As Americans enjoyed the Independence Day holiday, they likely noticed lower gasoline prices as they fueled up their cars and boats. U.S. refineries have been running near full speed for months, making more gasoline than drivers are using. This led to all-time high gasoline stockpiles, nearly 10 percent higher than last year. Even if refineries slow down, some analysts expect that prices could stay lower for the foreseeable future as crude oil stockpiles are at record high levels as well. Gasoline futures, which represent wholesale prices at the New York harbor, without taxes or other costs included, stood Friday at a four-month low of $1.36 per gallon, down 30 cents since mid-May. Some drivers may not reap this full discount, as gasoline is not easily transported. This factor can create local aberrations in pricing, keeping costs higher in areas with tighter supplies. Rains sink soybeans Soybean prices plummeted by $1.10 per bushel during the week as much-needed rains fell across the Midwest. Traders grew wary of bets on crop shortages while farmers got more confident that theyd grow hearty soybean crops this year, causing both camps to sell aggressively this week. By midday Friday, prices for July soybeans stood at $10.80, down sharply from recent highs over $12.00. Silver Bullets Higher Silvers meteoric rise continued this week, topping out over $21 per ounce. Prices exploded after the UK voted to leave the European Union, but the rally has been sustained as buyers rush to the metal on additional news of economic and political uncertainty. Recent terrorist attacks on Turkeys main airport killed 45 people, underscoring the political instability in the Middle East. In times of turmoil, investors frequently favor hard assets. Gold and silver dealers have noted a sharp increase in retail business, as people flock into stores both to buy and sell coins and bars. Large-scale investors have been more active as well, with trading volumes on the silver futures market nearing multiyear highs this week. By the end of the week, profit-taking knocked the market back as low as $19.40, a warning that silver trading can be both profitable and treacherous. JEROME The Jerome FFA dairy team is headed to Indianapolis in October to compete in the National FFA Convention and Expo. The team Jerome High School students Kay Lee Day, Annabell Day, Cody Jackson and Alyssa Hansten won the dairy foods competition in June at the Idaho State FFA Career development event in Moscow. The competition was comprised of a written test and four hands-on tests, including testing milk for defects, determining real versus non-real dairy products, analyzing fat content, and identification of cheeses. Jerome placed three of its team members in the top 10 individuals in the contest at the state event, with Annabelle Day taking the top spot. Each member of the team received a $500 college scholarship to the University of Idaho and Annabell Day received an additional $1,000 scholarship. TWIN FALLS Dont be surprised if you learn something new about Idaho even if youve lived here all your life. Destination Idaho, is a film that is meant to inform and inspire audiences to explore Idaho. The film was created in partnership with Stanley filmmaker, Karen Day, and public and private partners including the Idaho State Historical Society, Idaho Department of Parks and Recreation, Idaho Tourism, U.S. National Parks Service and Airstream Adventures Northwest. Destination Idaho will show at 7 p.m. Tuesday at the Orpheum Theater in Twin Falls. Admission is free and seating is first come, first serve. The 65-minute documentary includes interviews, history, footage of sweeping landscapes and an original soundtrack features several Idaho musicians. Day is originally from California and has worked as a journalist for several years. She has reported on Afghanistan, Cuba, Iraq, Myanmar, Rwanda and South Sudan for numerous national publications including Newsweek and the Los Angeles Times. Her independently-produced news features from Iraq have aired on NBC Nightly News, CNN and BBC. She has produced 14 documentaries and Destination Idaho was filmed over the past two years. She moved to Stanley 15 years ago and once owned the Salmon River Lodge. She said Destination Idaho was created out of her need to visit other parts of Idaho. When I owned that lodge I never saw another place other than Stanley, Day said. I mean Stanley is beautiful, but I never got to go any place because I was renting rooms. Day also wants the film to educate others about Idaho and what it has to offer. With my work as a journalist and filmmaker, I go all around the world, she said. I get it constantly, Oh, is it in the Midwest? It doesnt matter if its in Africa or New York City. The free showing in Twin Falls is part of a 10-stop tour across Idaho that started July 1. One of the most interesting comments Ive heard is, I got to get out and see more of Idaho, she said. I really notice here, up north, that people dont connect with southern Idaho. There are people in Boise who havent made it past McCall. Audiences will also learn about the history of Native American tribes that live in Idaho and hidden treasures such as Harriman State Park. I have been to Yellowstone many times and Harriman State Park is 30 miles away from the crowds, Day said. It has the same fabulous trails and wildlife. Most people dont even know part of Yellowstone is in Idaho. The tour of the film will conclude July 15 at Idaho State University in Pocatello. On Tuesday, Day will give audiences a sneak peek at her film. She is following Boises Kristin Armstrong to Rio as she strives to earn her third Olympic gold medal. A four-minute short of the full-length documentary Because I Can, will show before the Twin Falls premiere of Destination Idaho. TWIN FALLS The public will get its first look at Twin Falls City Manager Travis Rothweilers proposed 2017 budget Monday evening. The proposal raises overall spending by about $297,000, to $64.7 million. The net budget the budget not including fund transfers would decline by $132,255, to $60.6 million. According to the memo attached to the agenda for Mondays City Council meeting, Rothweilers proposal would raise the amount of property taxes collected by the 3 percent plus new construction allowed in state law, but would not increase it further by taking money from the foregone balance the amount of money the city could have raised taxes in previous years when it hiked them less than 3 percent but didnt. How much this affects any individuals property taxes is going to depend on their assessment and on the citys total value, but water, sewer and sanitation fees in the current proposal would go up $1.19 a month combined for the average homeowner in the proposed budget. The budget includes a 5 percent raise for city employees who meet minimum performance standards, as well as moving the citys salary table up by 5 percent. Both moves are designed to bring the citys pay in line with other, similar jobs and to help recruit employees and reduce turnover. Among other agenda items, the Council is also scheduled to approve an agreement with the Twin Falls Urban Renewal Agency for the construction of Downtown Commons, the plaza at the site of the now-demolished Rogerson building that is going to be built as part of the Main Avenue redesign. The proposed agreement would put Starr Corp., the company managing the construction of the new City Hall in the former Banner furniture building across Hansen Street from the plaza, in charge of the Downtown Commons construction as well, so both projects can be managed without interfering with one another. The URA would still pay for Downtown Commons, but the city would manage it through Starr. The city would also pay for the public bathrooms in Downtown Commons, the plan being to put the $58,000 for this in the 2017 budget. The meeting is scheduled to start at 5 p.m. in the City Council chambers, 305 Third Ave. East. The agenda and related materials are available online at the citys website, tfid.org. OAKLEY There are several reasons to visit Oakley in July. Oakley Pioneer Days has extended its annual celebration to two weekends to accommodate all its activities. The theme this year is A Heritage of Strong Youth. Here are some of the events that are planned for the two weekends. The event kicks off at 5 p.m. Friday and at 6 p.m. Saturday with the eighth annual Bump-N-Rub four cylinder car races. The Oakley Pioneer Days Rodeo starts at 8 p.m. July 22 and July 23. The annual Oakley Pioneer Days dance will follow the rodeo and features Smoke N Thunder Band at the Oakley City Park. Fireworks will follow the rodeo July 23. The Oakley Idaho Stake will hold its annual Pioneer Day Beef Barbecue from 11:30 a.m. to 1:30 p.m. July 23 in the Church Pavilion. The meal is $7 per person or $25 per family. Before the barbecue there will be a presentation by Kent and Marilyn Searle at the Oakley Idaho State Center. The Searles recently returned from a three-year mission in Columbia where they served as the mission presidents for The Church of Jesus Christ of Latter-day Saints. They will share their experiences as well as talk about the pioneer heritage of the Oakley Stake. The Goose Creek Run Off July 23 is a 10K, 5K and a two-mile walk. There is also a Kids Race that will start at 8 a.m. Register for this event at goosecreekrunoff.com. Oakley Booster Clubs annual Pioneer Day breakfast will be held at 7 a.m. in the City Park. The vivid, horrifying videos of Philando Castile and Alton Sterling dying at the hands of police officers have brought new attention to fatal police shootings. The terrifying ambush that took the lives of five Dallas police officers and wounded seven others has brought new attention to attacks on the police. And so, I am afraid. Not of the violence itself. Even considering recent high-profile events and heightened attention to police shootings, violence both by and against police officers remains relatively rare and has been in decline for years. But I am afraid of the impact these events will have on the already-strained relationship between police and the communities they serve. Those effects are perhaps most visible in the significant tensions that exist between the Black Lives Matter movement and the Blue Lives Matter movement. Despite their very different perspectives, participants in both movements have essentially the same concern: a perception that society does not value members of their community. Attempts to discredit that perception or demonstrate that it is exaggerated or inaccurate have proved counterproductive. Perception becomes reality. Both communities feel embattled and victimized. Both are angry. The sad truth of the matter is that there are good reasons for both to feel the way they do. Meanwhile, the consequences of this mistrust are draining and pernicious. The safety of officers and civilians alike depends, in large part, on the strength of the relationship between the police and the public. Public distrust of the police can decrease cooperation with law enforcement, which can, in turn, lead to an increase in violent crime and resistance. Police distrust of the public, in turn, can lead to an increase in officer misconduct and the use of force, as well as the adoption of aggressive, zero tolerance tactics that further exacerbate the tension, perpetuating a downward spiral. Distrust can have even more immediate effects. In the aftermath of the Michael Brown shooting in Ferguson, Missouri, many people in the local community and across the country rejected the official version of events: that Brown was shot while aggressively moving toward an officer he had already assaulted. Instead, they believed that Brown was shot while surrendering, with his hands up. Why, in the face of two conflicting stories, didnt they credit the official version? The answer has very little to do with the shooting itself and everything to do with trust. The official story was rejectedeven after an extensive Justice Department investigation supported itbecause people did not trust the police. The fractured relationship between the local police and the community ultimately endangered hundreds of officers and thousands of civilians, resulting in millions of dollars of damage. This is nothing new. Half of the 10 most violent and destructive riots in U.S. history were responses to perceived police abuses. Further, while policing in the United States is primarily a local affair, police-community relationships are not. Police shootings, especially in the age of the Internet and viral video, echo far beyond the communities where they take place. The death of Sterling in Louisiana and Castile in Minnesota, reinforcing the perception that law enforcement too often views black men as presumptively dangerous and black lives as cheap, gave rise to protests across the country. The same is true when officers are shot. The attack that took the lives of five Dallas officers will haunt police, buttressing the perception that they are besieged by a hostile populace. Im afraid that incidents such as those of the past several days will reinforce a view that violence is not only justified but appropriate. That such incidents will drive police and the communities they serve further apart, dampening any interest in reconciliation. But Im also optimistic. Even relationships that have been undermined by a long history of distrust and anger can be repaired. We have seen some remarkable progress in truly challenging situations, including police departments in Richmond, California, and Camden, New Jersey, just to name a few. We can learn from those successes, and from successes outside the United States. In Northern Ireland, for example, police and the Irish Republican Army were in a state approaching open warfare for years before establishing a tentative, then more lasting, relationship in the late 1990s. More recently, U.S. military personnel put community policing principles into practice with great effect in counterinsurgency operations in Iraq and Afghanistan. If positive relationships can be established or repaired in those environments, surely we can do the same in the context of domestic policing. Surely we must. Take none of what follows as a prediction, but I will say this: The Northwest is home to the single most logical vice presidential pick in the country, in either party. I eliminate the Donald Trump-Republican side here, because I have no idea who the most logical vice presidential nominee there might be. (For a host of reasons, not Senator Mike Crapo, who made a list of prospects by columnist Ann Coulter.) On the Hillary Clinton-Democratic side, the calculus is easier, and by combining assets and liabilities Oregon Senator Jeff Merkley rises toward the top. He is not among the most-mentioned names, but all of those better-knowns come with problems attached. The choice of Massachusetts Senator Elizabeth Warren would thrill some people but would stir new controversy (the two-woman ticket) while putting her Senate seat at partisan risk at a time when Democrats have hopes of retaking the Senate. That same Senate problem applies to Ohio Senator Sherrod Brown and New Jersey Senator Cory Booker. Virginia Senator Tim Kaine, whos close to the Clintons, has financial and other issues and would aggravate the Bernie Sanders contingent. Sanders himself is a non-starter, as Clinton has made clear, not least because he has not worked in the party vineyards. Other prospects have little or no serious experience as a candidate for high office. Merkley was the only senator to endorse Sanders, which made him beloved within that contingent, but he did that without trashing Clinton, who he has since endorsed. Picking Merkley would be a signal from Clinton that she can overcome her issues of insularity, and expand her enthusiasm quotient on the left. (Of coursse, if shes as insular as reputed, Merkleys Sanders link would be a disqualifer.) The risks would be small. Merkley is a loyal Democrat, has run as such since his first election to the Oregon House in 1998, and has helped other Democratic campaigns. In demeanor, he has a low-key, aw-shucks manner (in person he comes across more like Crapo than an of the others in the Idaho delegation) which would neatly balance Clintons presentation, but hes also a skilled speaker and debater. He rose quickly into Oregon House of Representatives leadership, and showed political chops by leading the campaign effort that switched control of the chamber from Republican to Democratic ad made him speaker. Like Oregons other senator, Ron Wyden, he has held town halls in every county in the state every year hes been in the Senate (hes now in his second term). His personal background, as he routinely reminds Oregonians, is as the son of a Myrtle Creek mill worker, and his interest in practical economics grows out of that. If elected as vice president, Oregonians would choose his replacement in a special election. Given Oregons politics, Democrats probably would not have to worry about losing the seat. His easy manner led many Oregon Democrats to figure him for an unambitious centrist, and he has cooperated with a variety on other senators on sundry issues, including Idahos Republicans on regional topics like wildfire prevention. He also, however, has been a liberal activist on economic and other issues (his highest national profile probably has been on the subject of filibuster reform) which is why the Sanders backers would approve of him. What few Oregonians probably know, and Merkley seldom mentions, is that he has a strong foreign relations and defense background as well. After a stretch in the office of (Republican) Senator Mark Hatfield, Merkley worked for a variety of international non-profit and other organizations around the world, spending time in Ghana, Mexico, Italy, India and elsewhere. After that he became a presidential management fellow at the Department of Defense, working in Caspar Weinbergers administrative offices on defense process and strategy. And after that, at the Congressional Budget Office as a nuclear arms analyst. He discusses defense and foreign relations policy with ease. Merkleys name, as a veep prospect, has come up so far only on the periphery, and to reiterate, I make no predictions here. But the case for his selection is strong enough that you shouldnt be shocked if you hear it again. Egypt reiterated on Sunday its commitment to a just and comprehensive peace between Israel and Palestine and called Israelis and Palestinians to seize peace opportunities offered by France and the Arab leaders peace initiatives to end the long standing conflict putting the whole region into jeopardy. Visiting Egyptian foreign minister Sameh Shoukry said at a joint press conference with Prime Minister Benjamin Netanyahu that the current state of affairs unfortunately is neither stable nor sustainable and called for confidence building between parties to reach the vision of the two-state solution under a genuine will that does not waver away under any circumstances. Netanyahu welcomed Cairos efforts to revive talks between Israel and Palestine but outlined that direct bilateral talks is the key to a solution. He cited the peace agreements the Jewish state reached with Egypt and Jordan as critical assets serving as a cornerstone of regional stability. He said direct talks are the only way we can address all the outstanding problems between us, and turn into a reality the vision of peace based on two states for two peoples. Shoukry said his visit to tel Aviv comes at a crucial and challenging juncture for the Middle East due to the rising terrorism in the region. The status-quo is no longer acceptable considering the aspirations of the Palestinian and Israeli peoples, he insisted. He explained that Palestinians yearn to establish an independent state based on the 1967 border with East Jerusalem as its capital and Israelis desire to live in peace, security and stability. Israel has always rejected calls to withdraw from the territories it occupied during the 1967 war and last month, Netanyahu reiterated that there are no withdrawal plans from the occupied territories including East Jerusalem. Israel has also been calling for a demilitarized independent Palestinian state. The Egyptian foreign minister who met with President Abbas and other senior Palestinian officials at the end of June said the plight of the Palestinian people becomes more arduous every day and the dream of peace and security moves further out of the Israeli peoples reach as long as the conflict continues. Shoukry called for a two-state solution based on justice, legitimate rights and a mutual willingness to coexist peacefully as neighbors. President Hadi of Yemen made a surprise visit to the governorate of Marid, South of Sanaa, ahead of an operation to liberate the capital and other provinces. He vowed that celebrations will soon begin as he promised flying Yemens flag in liberated areas when they clear the country of rebels. At the same occasion, Hadi warned the UN that his government would withdraw from the peace talks with the Houthi Movement in Kuwait if the United Nations tries to impose the latest proposal by mediator Ismail Ould Cheikh Ahmed. The UN special envoy to Yemen proposed a peace roadmap that provides for the formation of a transitional unity government, the withdrawal of Houthis from occupied territories and their disarmament. President Hadi decried the proposal as legitimating their (Houthi Movement) coup detat against his government and stressed that the Yemenis will not allow Yemen to be turned into a Persian State, alluding to Tehran which is a major supporter of the Houthi Movement. Hadi added that the UN tried to convince them to accept the formation of a coalition government but warned that a statement declaring our boycott of the Kuwait consultations will be issued if it is not in conformity with resolution 2216 of the UN Security Council. The resolution demands that the Houthis withdraw from all seized areas and relinquish their arms. The Houthis ousted Hadis government in 2014 and placed him together with other senior officials under house arrest after forcing him to resign. The rebel group dissolved the government and parliament and formed a Supreme Revolutionary Committee. At the talks in Kuwait, the Houthis require that their withdrawal from occupied territories be based on agreeing on a new president to manage the transition. The two sides have been on a two-week break from the talks, expected to resume on Friday. UN Secretary General Ban Ki-moon met with the two delegations on Sunday urging them to accept the roadmap proposal. Special envoy Ismail has been calling on both sides to make concessions in order to reach an agreement as fundamental differences continue to block an agreement. Saudi Prince Turki al-Faisal, a former intelligence chief and former Saudi ambassador to the US and the UK, expressed support, at a meeting in Paris organized by exiled Iranian dissidents, to ending the rule of the clerical leadership established in Iran after the 1979 Islamic revolution. The exiled Iranian dissidents, gathered in the Peoples Mujahideen Organization of Iran (PMOI) or by its Persian name Mujahideen e-Khalq Organization (MKO) are seeking to end the rule of the clerical leadership in Iran. Your legitimate struggle against the regime will achieve its goal, sooner or later I, too, want the fall of the regime Prince Turki stated. He claimed that Hamas and Islamic Jihad also cooperate with Iran to destabilize the region. The speech delivered in front of several Western political figures received significant media attention in Saudi. Iran is yet to officially react to the speech but state news agency IRNA quoted a source at the Foreign Ministry saying Saudis use terrorism and terrorists to further their aims against regional Islamic countries. Hamas rejected the accusations made by the Saudi prince as lies and baseless remarks. The statement from the group which controls the Gaza Strip added that it is dedicated to fighting the Zionist occupation in the land of Palestine and has only Palestinian agendas. Hamas also went on a counter-offensive claiming that Faisals speech was geared towards serving the Zionist occupation that provides further pretexts to carry out aggression against the Palestinians. The princes statement was also condemned by the Islamic Jihad group. Analysts think that Faisals speech could be an insight of Riyadhs policies against Tehran in their increasing bout for influence in the region. With both countries accusing each other of backing armed rebel and extremist groups, they could now be modeling the approach of befriending the enemys enemy so as to form a united front against a common enemy. PMOI used to be close to Saddam Hussein in the 1980s during Iraqs war with Iran and the bond continued until the fall of his regime in 2003. NATO has revealed plans to increase its operations in the Mediterranean Sea especially along the Libyan coast. NATO Secretary General Jens Stoltenberg said at the end of the organization summit in Warsaw, Poland, operation Active Endeavour meant to protect ships plying the Straits of Gibraltar from terrorist attacks will be transformed into a broader security mission called Sea Guardian and it will be implemented in close collaboration with the European Unions Operation Sophia in the central Mediterranean Sea in order to cut lines of international human trafficking in the Aegan sea used by illegal migrants to reach Europe from Turkey. The announcement coincides with the summer season which marks the arrival of higher numbers of illegal migrants to Europe onboard overloaded makeshift boats. Libya has been a main departure point since the end of the Gadhafi regime and terrorism risks have been on the rise due to the increasing presence of extremist militants in the North African country. Under NATOs Sea Guardian operation, participating warships are expected to come from several European countries including Turkey. The summit voiced readiness to help Sophia in intelligence collecting, surveillance, reconnaissance as well as in the capacity building of the Libyan coastguard and navy. It also includes countering trafficking and terrorism. Critics of the operation such as Human Rights Watchs Judith Sunderland lamented the militarization of a humanitarian crisis instead of expanding safe and legal routes to Europe. She called on NATO to avoid trapping people in lawless and violent Libya, either through forced returns or asking Libyan forces to send people back. Fighting in Libya is still intense as militia groups continue to fight against each other and against the Islamic State. The country has three rival authorities and leaks emerged last week that Western countries formally supporting the UN-backed Government of National Accord continue to cooperate with renegade General Khalifa Haftar, head of the Libyan national army, who is loyal to the House of Representatives based in the coastal city of Tobruk. The teenagers pushed aside their backpacks, adjusted their sweatshirts and looked up at the imposing man in a white coat at the front of the room. He scanned the room and started to pepper his young audience with questions: How many of you wake up tired? How many of you skip sleep to work on homework? How many of you go to sleep after your parents? How many want more sleep? For each question, every hand in the room shot up. The class, taught by Rafael Pelayo, MD, a pediatric sleep specialist with the Stanford Center for Sleep Sciences and Medicine and a clinical professor of psychiatry and behavioral sciences, was part of the Clinical Neuroscience Internship Experience, or CNI-X, a weeklong immersion in the clinical and scientific research taking place in the Department of Psychiatry and Behavioral Sciences. More than 100 high school students from around the country attended the program this summer on the Stanford campus. With CNI-X, our faculty are taking the most direct route to the future by introducing incredibly bright, motivated young people to the excitement and diversity of clinical neuroscience, said program co-director Laura Roberts, MD, MA, professor and chair of psychiatry and behavioral sciences, and chief of the psychiatry service at Stanford Health Care. We introduce novel science to the interns, and they drive the discussion forward and yet also move quickly to issues of social justice and humanity. My guess is that in several years we will see some of these students in our medical school classrooms. Students participated in sessions on topics ranging from the neuropsychiatry of HIV to molecular genetics, forensic psychiatry, eating disorders, hoarding and virtual-reality therapeutics. Class formats ranged from introductory seminars to hands-on workshops and laboratory tours. The program is designed to build early interest in medicine and psychiatry, destigmatize mental illness and spread knowledge about mental health, said CNI-X co-director Alan Louie, MD, professor and associate chair of psychiatry and director of education for the department. Starting with high-school-age students also allows us to identify promising students interested in careers in mental health. Released, not released. Contradictory reports on Saif al-Islams liberation from jail continue to emerge. While Zintan militia officials have denied the liberation of the most wanted Libyan personality, his French lawyer confirmed to French RFI Friday that his client has been freed and is staying in Zintan. Pursuant to the enactment of the amnesty law voted by the Tobruk Parliament, no charge is any longer pressed against Saif al-Islam in Libya He is currently living in Zintan after he has been pardoned by the sole Parliament recognized by the international community, the Tobruk Parliament, lawyer Marcel Ceccaldi was quoted as saying. Ceccaldi affirmed that his client was no longer detained in Zintan as it was reported. Ceccaldis comments confirmed those of Khaled Zaydi, another lawyer of Saif who, on Wednesday, indicated that the son of the former Libyan leader was freed in April. The information was relayed by international media before it was debunked by some officials of Zintan militia that had arrested Saif in 2011 near the borders with Niger. The Qatari and London-based media New Arab on Friday also confirmed the release of Saif citing the chief of the detention centre where the wanted man was kept. Analysts say Zintan authorities who in 2015 refused to hand Saif to Tripoli authorities are dragging-foot to confirm his release out of fear to irk Libyans who wanted him to be killed. Saif al-Islam was tried and sentenced to death in absentia in Tripoli last year for the killing of protestors during the 2011 revolution which deposed his father. He is also wanted by the International Criminal Court (ICC) for crimes against humanity charges. Zambia withdrew its recognition of the Polisario and broke up with its self-proclaimed Sahrawi Republic, SADR. The decision was announced on Saturday by Zambias Foreign Minister Harry Kalaba, following talks with Moroccan officials in Rabat. He said his country will withdraw recognition of the Algeria-backed separatist group and severe all diplomatic ties with its SADR. With this disavowal, Zambia joins the camp of African countries that have withdrawn their recognition of the so-called Sahrawi Republic, self-proclaimed by the Polisario and its Algerian mentors. Actually SADR is recognized neither by the United Nations nor by any world power. In Africa, only some 20 countries out of 54 recognize the Polisarios Republic. This new setback is all the more embarrassing for Algerian rulers, who used to snatch recognition of the Polisario and support to their separatist thesis through the oil windfall, as it comes on the eve of the summit meeting of the African Union, scheduled in the Rwandan capital, Kigali, July 16 through 18, and during which Zambia will take over the rotating chairmanship of the African body. The Zambian decision also spoils the appointment by Algeria of a new Polisario leader, in replacement of Mohamed Abdelaziz, who died late last May. In defiance of the wish of the majority of Sahrawis in the Polisario-run Tindouf camps and in violation of the principle of peoples freedom to choose their rulers, Algeria picked up and imposed at the Polisario extraordinary congress, held july 8-9, a docile and submissive man, Brahim Ghali, as a successor to Abdelaziz. The choice of Brahim Ghali, the Polisarios pseudo ambassador to Algiers who is known for his hawkish attitude in the Sahara conflict, reveals that Algeria seeks to radicalize further its position against Morocco. Some analysts argue Algeria actually seeks to divert its own public opinion from the fierce infighting taking place between rival clans over the succession of ailing President Abdelaziz Bouteflika. Whatever the reasons behind Algerias choice of Brahim Ghali and whatever its schemes in the Sahara issue, Zambias decision has certainly irked Algerian rulers and their puppets as it expands the circle of African countries having revoked recognition of the SADR. The significance of Lusakas decision also lies in the fact that it constitutes a breakthrough for Morocco in English speaking southern Africa, an area which, until now, was the private turf of Algeria and South Africa. With Lusakas decision, it seems that Algerias dominance on the south-African area is eroding away, while Morocco is gaining a foothold there. The electoral commission of Zambia has suspended campaigning in two areas, including the capital Lusaka, due to escalating political violence, the election body said on Sunday One person was shot dead, several injured on Friday and 22 detained as Zambia Police fired shots and teargas to disperse a protest by the main opposition party. The Electoral Commission of Zambia (ECZ) said it was suspending campaigns in Lusaka and Namwala south of the capital for 10 days until July 18 when the situation would be reviewed. West allies and German Ambassador to Zambia Bernd Finke have described as alarming the escalated political violence in the Country. Nine candidates are campaigning ahead of the presidential election but the main battle will be between Lungu and Hichilema, a wealthy businessman who came second in last years election. Lungu, who is seeking re-election on the ruling Patriotic Front (PF) ticket in the August 11 tripartite elections said his firm belief to rule until 2021, building on what he has done so far in office, according to state broadcaster, the Zambia National Broadcasting Corporation. President Lungu said he had discussed with police authorities ways to improve law and order and to curb the violence that has characterized the run up to the elections between his supporters and those of main opposite contender Hakainde Hichilema. Lungu, 59, became Zambias president after winning last years election. The election was called after the death of President Michael Sata last October. Two African businessmen talk with a Chinese company representative for trade in made-in-China farm machinery in Guangzhou, Guangdong province. [Photo/China Daily] While growing up in a low income suburb northwest of the Kenyan capital Nairobi, Mohammed Kimani never dreamt that he would one day become an accomplished entrepreneur with a large footprint in his native country and China. While in high school, Kimani did manual work to raise tuition fees and the trend continued when he joined college to study information technology. The self made businessman who founded Amana Export Company after graduating from a mid-level college in Nairobi is an embodiment of courage and resilience in the face of adversity. Now in his early 30s, Kimani has witnessed the growth of a medium scale enterprise into a profit churning behemoth that has a large presence in Kenya and China. During a recent interview, Kimani said that a visit to China in 2012 paved the way for the rapid growth of his startup that is not only involved in import and export business but also facilitates interaction between Kenyan and Chinese entrepreneurs. "When I first landed in China in 2012, I applied for a teaching career although my heart was in business," said Kimani, adding that perks and exposure gained in the teaching profession paved way for realization of his dream as a distinguished entrepreneur. He was employed briefly as a teacher in Shenzhen and Henan province and later moved to Beijing to build his start-up. While in Beijing, Kimani interacted with successful Chinese and Kenyan entrepreneurs whose advice and connections would later open new opportunities to the ambitious youngster. "I knew from the beginning that Beijing was a strategic springboard for a foreigner yearning to venture into business since it is a cosmopolitan city," Kimani said. He said his Amana Export Company has offices in Nairobi and Guangzhou to help facilitate interactions between Kenyan and Chinese enterprises. Kimani's start-up has been facilitating Kenyan small and medium sized enterprises in importing high quality and affordable products from China at a modest commission. A client at Western Montana Mental Health Center needs cash donations towards a light box. If you can help, call 540-3766 or email mmoua@wmmhc.org. *** A disabled, homeless man with no income is struggling to get back on his feet and has used all other resources. In order to meet basic needs, he would benefit from some assistance. He would greatly appreciate a gift card or check to Walmart for $45 in order to purchase a phone card and a $20 gift card for water, ice and other essentials, including shampoo, clothes, soap, etc. He would also benefit from a check for $50 to Mineral County to renew the tags for his truck, which is his home at this time. In addition, in order to continue to receive services, he would benefit from donations of gas cards to be able to attend mental health and medical appointments, as well as for seeking other resources to gain housing and food. If you can help, call Hannah at 728-2038. *** Community Medical Centers Home and Community Based Services Program works with an elderly gentleman who lives in assisted living and has a dream of getting out to occasionally bowl again. Due to his limited income, he doesnt have the funds to pay for this on his own. If you can help by purchasing a gift card to Westside Lanes, or by contributing to a fund to help this gentleman get out more often for fun, call Esther at 327-4695. *** A young, pregnant mother is in need of a bassinet. Call Becky at Women's Opportunity & Resource Development, 544-5617, if you can help. *** A client of Three Rivers Mental Health Solutions recently moved into an apartment and does not have the resources to acquire some basic household necessities. The person can use dining table/chairs, sheets and blankets for a twin-size mattress, pots/pans/cooking utensils and a dresser. If you can help, call case manager Trebor Riddle at 552-5678. *** An retired women with disabilities on a fixed income is in need of a digital signal converter box and antenna for an older TV. She is looking for ways to stay within her budget so she will be shutting off her cable but would like to be able to watch the nightly news. Call Darin with Winds of Change at 532-2036 if you can help. *** A young, disabled and recently homeless man just moved into his own apartment, but is in need of furniture. He is requesting donations of a small sofa or love seat, bedside table and a small table with a few chairs (new or used in good condition). Gift cards to a clothing store would be greatly appreciated as well. Call Emily Dillow, social work case manager, at 327-4593, if you are able to donate. *** A disabled, low-income mother needs help with newborn needs. She could use clothing for a newborn boy, diapers, wipes and a baby swing. If you can help, call Francis at Winds of Change, 721-2038, ext. 242. *** An adult male needs assistance with Greyhound bus fare from Missoula to Butte or possibly all the way to Great Falls for medical care. From Missoula to Butte averages $42-$49, from Missoula to Great Falls averages $75-$90. If you are able to help with the purchase of this ticket, call Lauren at 543-2202, ext. 2109. Strong quake shakes Ecuador QUITO, Ecuador (AP) A strong earthquake shook the northwestern coastal area of Ecuador late Sunday night. Authorities say there were no immediate reports of damage or injuries. The U.S. Geological Service said the quake was measured at magnitude 5.9 and was centered about 25.5 miles south of Propicia. The tremor struck about 22 miles below the surface. Some slight shaking was felt in Ecuador's capital of Quito, which is 94 miles to the southeast. 3 Indonesians kidnapped by suspected militants JAKARTA, Indonesia (AP) Three Indonesians were kidnapped at sea by suspected Abu Sayyaf militants on the weekend in the latest in a series of incidents highlighting weak security in the Cerebes Sea that borders Malaysia, Indonesia and the Philippines. The head of Indonesia's intelligence agency said Monday that the men were working on a Malaysian fishing boat off Lahad Datu in the Malaysian part of northern Borneo. Sutiyoso, who goes by one name, said the kidnappers fled with their hostages into Philippine waters. Several crew on the fishing boat escaped and are now in Malaysia. Indonesia, Malaysia and the Philippines agreed in May to carry out coordinated patrols in border waters following a spate of kidnappings of Indonesian tug boat and barge crews by Abu Sayyaf militants based in the southern Philippines. The men were later released and the Indonesian government denied it had been involved in paying a ransom. The Philippines, meanwhile, has stepped up its military offensive against Abu Sayyaf, which earlier this year beheaded two Canadians it had held hostage since September. Japan coalition scores big election win TOKYO (AP) Japan's ruling coalition scored a stronger-than-expected victory in parliamentary elections, results showed Monday, as voters chose stability and hopes for economic revival over opposition pleas to stop the prime minister from building a more assertive military. Half of the seats of the less powerful upper house, or 121 seats, were up for grabs in Sunday's vote. There had been no possibility for a change of power because the ruling coalition, headed by Prime Minister Shinzo Abe's Liberal Democratic Party, already controls the more powerful lower house, but the balloting was a key gauge of how much support Abe's coalition has among the public. The Liberal Democrats won 56 of the 121 seats, official results showed on Monday. The party's coalition partner Komeito won 14 seats. The total of 70 seats was far better than the goal of a combined 61 seats set by Abe. That number may grow if independent candidates join the coalition, common in Japanese elections, and if there are defections from the soundly defeated opposition less common but what analysts are predicting might happen. Australian leader claims election victory SYDNEY (AP) Eight days after Australia's general election ended in uncertainty, the prime minister finally claimed victory Sunday for his conservative coalition, bringing an end to the country's political paralysis at least for the moment. Though the question of who won the July 2 election was answered, the question of exactly how the conservatives will rule the fractured Parliament was not. With official results still days or even weeks away, it was unclear whether Prime Minister Malcolm Turnbull's Liberal Party-led coalition had won enough votes to govern in its own right, or whether it would need the support of independent and minor party lawmakers to form a minority government. Either way, Turnbull faces a rough road ahead with a divided party, a splintered Senate and a politically weary public that has endured five changes of prime minister in as many years. Though millions of votes still need to be counted, there was no way for the opposition center-left Labor Party to win a majority of seats in the House of Representatives, where parties form governments. That prompted opposition leader Bill Shorten to formally concede the race on Sunday, which in turn triggered Turnbull to announce that the coalition had won a second three-year term. Yet the election was not entirely resolved. Parties are required to hold at least 76 seats in the 150-seat House of Representatives to form a majority government, and the coalition has not yet reached that number. With around a quarter of the votes still left to be counted, the Australian Electoral Commission said the coalition was leading in 74 seats, the center-left Labor Party in 71 seats and minor parties and independents in five. It could take weeks to determine the final tally. The coalition entered the race with a comfortable majority of 90 seats, and few had predicted it would suffer such steep losses. A limited number of openings are available for students and teachers from Montana middle schools for the 2016 Montana Preserve America Youth Summit-Next Generation Stewards program July 20-21 in Deer Lodge. Summit activities will be centered at the Grant-Kohrs Ranch National Historic Site. Students 13 to 18 years old and teachers interested in participating should contact Ann Alexander Pritzlaff at Conservation Legacy at 303-475-9403 or youthsummits@conservationlegacy.org by 5 p.m. Friday, July 15. Participants will help celebrate the National Park Services upcoming centennial and the 50th anniversary of the national historic Preservation Act. The Preserve America Youth Summit is a national program that offers unique immersion in the saving and sharing of our nations historic places. Participants are provided scholarships to cover costs and can also earn community service hours as they gather to directly address current preservation challenges. Summits are not field trips, service projects or history lessons, but immersive, sensory-based, multi-disciplinary experiences. Youth are treated as consultants providing ideas and producing recommendations on critical issues, as well as learning about history, culture, and preservation. The Montana event will be the third of three 2016 youth summits. Others were held in June at LBJ National Historical Park in Texas and the Colorado National Monument in Fruita, Colorado. More information is available at preservationyouthsummit.org. The past few weeks, Montanans have seen a debate develop regarding U.S. Congressman Ryan Zinkes vote for a proposal that would create a pilot program for states to manage portions of U.S. Forest Service land. As a nationally certified professional forester and current state senator who represents a district surrounded by Forest Service land, I am completely frustrated by the tone, tenor and scare tactics being promulgated by fringe environmental groups. As the senator for the southern Bitterroot, I sat in Zinkes address to the Montana Legislature when he promised that he would not support the sale or transfer of public land. This promise has often ruffled the feathers of some within Republican ranks, but he has remained consistent. However, he has simultaneously advocated for management proposals that give Montanans expanded authority to make decisions affecting the land within our communities. That is why he helped co-author and pass the bipartisan Resilient Federal Forests Act last year, which would incentivize local collaboration to manage Forest Service land. I find it ironic that the same groups who griped about Zinkes bill last year are regurgitating the same failed talking points today. The reality is that these groups have no interest in any management solutions. They would rather issue scare tactics to maintain the status quo and promote their own political agenda and fund raising abilities. Theyd rather try and convince you that the forest will be clear-cut, your access will be cut off and environmental law will be skirted, even though those claims are false. The reality is that the status quo has created some of the worst forest fires in our states history. Last years forest fires cut everyones public access, burned down countless trees without discrimination, dirtied everyones air and water, and most importantly, put Montanans life and property at severe risk. As a forester I can guarantee you that with proper management, much of this could have been avoided. The federal government is failing us, and Montanans should be part of the solution. The idea of state management is not extreme, its common sense. Imagine a landowners home-building project. The federal government as the landowner, the Forest Service the contractor. The blueprint for the house is the forest management plan. The plans have all been created and approved by the federal government, but the Forest Service cant get the job done so they hire a subcontractor: the state. The state cant change the rules or blueprints, but they dont have the same backlog or bureaucracy so they finish the job more efficiently. The state doesnt bypass the rules, they simply have the ability to put people with the skills on the ground to get the job done! There is a fundamental flaw in the oppositions argument. They believe that the federal government is the savior in this scenario, saying Washington bureaucrats can manage Montanas interests better than actual Montanans. The inference is that the only thing saving Montana from itself is the federal government, as if we all want to clearcut our forests, lose precious wildlife, and dirty our air and water. The reality is that Washington didnt make Montana the last best place on Earth, we did. Our state is special because we value the true intent of multiple uses. We understand that responsible resource development and a clean environment are not mutually exclusive goals. As a Montanan, a forester who worked in Montanas Forest Industry over four decades, and current state senator, I hate seeing our timber mills shuttered and pink slips issued. Federal timber is available, yet under the status quo it continues to decay as Montana is turned into a giant tinder box. This is unacceptable. We only have to remember the constant cloud of smoke we lived through in past years to know that something must change. I applaud Congressman Zinke for advocating for common-sense management reforms. It will protect our jobs, our forests and our environment. Being the only farmer in the U.S. Senate, one might think it worth listening to Montanas Sen. Jon Tester when it comes to issues involving food production and the use of genetically modified organisms, better known as GMOs, in the American food chain. But while Montanas other senator, Steve Daines, went along with the wishes of herbicide maker Monsanto to keep Americans in the dark about GMOs, Tester stood up for consumers, clean food and our right to know whats in the food that comes off the fields of agricultural producers. When I grew up, I was told that the consumers are always right, Tester told reporters after his vote. We should be empowering those consumers, those American consumers, with more information about the food they purchase, not with less. Dont take it from me, 9 out of 10 consumers say they want labeling required for GMO food. Whats the problem with that? Its already done in 64 countries. The problem, according to the herbicide, pesticide and GMO corporations dumping millions of lobbying dollars and campaign contributions into Congress, is Vermonts mandatory GMO labeling law that took effect on July 1. If you believe their rap, having states require GMO labeling is going to cause some kind of mass confusion among consumers. Their idea? Stick some scan codes that you have to read with a smart phone on the labels and provide a toll-free number consumers can call to find out whats in the food they want to buy. What could be more practical, you might ask, than having to carry a smart phone and scan every product on the shelf to find out if youre feeding your family GMO products? Or hey, maybe just stop in your tracks while youre trying to get the weeks grocery shopping done and dial some toll-free number, work your way through recorded messages, punch some more buttons and maybe, just maybe, find out whether that salmon is a Frankenfish, that canola oil isnt just canola oil, or those corn chips came from a pesticide-drenched field. Fact is, the reason crops are genetically engineered is for herbicide and/or disease resistance. What that means to Monsanto, producer of the worlds most heavily used herbicides containing the active ingredient glyphosate, is that farmers can liberally spray fields with weed-killing herbicides while allowing the GMO crops to survive. Again, if you believe their marketing schtick, the end result is supposed to be a reduction in pesticides and herbicides used. But whats actually happening is that, thanks to the overuse of herbicides and pesticides, we are now seeing what are described as superbugs and superweeds. These are plants and animals that have evolved to resist the herbicides and pesticides, leading to more, not less, use. Just this April a new study published in Environmental Sciences Europe found that glyphosate use has risen almost 15-fold since Monsantos Roundup Ready genetically engineered crops were introduced in 1996. While scientists can argue endlessly over whether or not GMOs are harmful to humans and the environment, theres little debate about the effects such widespread pesticide and herbicide application is having on the planet, its inhabitants and vital resources such as water. Glyphosate was classified as a probable human carcinogen by the World Health Organization last year and has been linked to hypertension and diabetes, as well as allergic and autoimmune inflammation. Considering 75-85 percent of all processed foods in the U.S. contain ingredients derived from GMO corn, soy, canola and sugar beets, its not surprising that diabetes, allergies and autoimmune inflammation are on the rise. Or consider this years testing by the University of California San Franciscos lab that found a disturbing 93 percent of their nationwide participants tested positive for glyphosate in their urine. Bigger bummer is that children had the highest levels, as did people living in the west and mid-west. Topping it off is the enormous hypocrisy of states rights lawmakers until a state decides to require GMO labeling. Then say that particular state right cannot be tolerated, so it must be prohibited by a voluntary federal labeling law. Bottom line is that the herbicide and GMO corporations know that if they are required to clearly label GMO foods, consumers wont buy them. As Tester concluded: Theyre denying people the information they need to know to make the best decision for their family. It makes no sense to me. Well said, Senator Tester. Time for Senator Daines to start representing Montanas consumers instead of Monsanto. POLSON (AP) A Lake County jail inmate who was allowed to attend his father's funeral in handcuffs and leg chains faces new charges after officers preparing to return him to jail found he had a condom containing suspected heroin and cash. Lake County Sheriff Don Bell says a court order was granted to allow Michael Assiniboine Jr. to attend his father's funeral on Friday. He was allowed to hug some family members. When officers began patting Assiniboine down before leaving they discovered he had something in his hand, which he then transferred to his mouth. Bell says Assiniboine bit an officer and officers used a stun gun several times to prevent him from swallowing the contraband. Assiniboine, who is 29, now faces charges of drug possession and assault on a peace officer. Montana Precision Productsan industrial- and aerospace-parts manufacturer located just outside Butte on Rick Jones Wayhas plans to grow by 80 to 100 employees by 2020, says company general manager Chris Eurich. According to Eurich, the expansion will be thanks to a new piece of equipment Montana Precision purchased last month from KEA Automation a company from Telford, U.K., that makes automated systems for the casting industry. Euirch said the $1.5 million piece of equipment will be an aerospace shell line that includes a humidity-controlled environment and a robot than can automate various parts of the casting process. In case youre not privy to world of casting, Montana Precision Products makes parts for jet engines and industrial equipment in a process that involves, among other things, molding, casting and (the best part) pouring liquid-hot metal. Montana Precision was created in 2013 when SeaCast Inc. and General Electric formed a 50-50 joint venture for the purpose of building tubes, ducts and other small structural casts for GEs jet engines. Butte natives and brothers Bert and Mike Robins owned SeaCast at the time, and they continue as the owners of Montana Precision today. Currently the folks at Montana Precision Products are creating parts for a new generation of engines from GE called LEAP. GE rolled out plans for the new LEAP line about four years ago, Eurich said, and at the end of last year the first of the three engines in the series became commercially available. In the years ahead LEAP engines are expected to be installed in Airbuss A320neo, Boeings 737 MAX, and the C919 from Comac. Eurich said what sets LEAP engines apart are their fuel efficiency. He said the engines are 15 percent more fuel efficient compared with todays engines and can save more than $1 million in fuel over the course of the engines lifetime which matters a lot, he said, in the increasingly competitive world of commercial aviation. When you look at the airlines, whats the competitive edge? said Eurich. Fuel savings. Eurich said the new equipment from KEA will allow the company to increase production at the facility, which he said already produces millions of parts and generates $17 million in sales each year. And to increase production and meet the demand for LEAP engines, Montana Precision will have to hire more employees. He said he anticipates the companys machine shop and tube and duct department will grow two times and that staff devoted to casting will increase by three. He added that the jobs available will require a variety of skill levels, thus making local people eligible for many of the positions. And the most important part, Eurich said, is that employees will be able to move up in the company. We dont have jobs here we have careers, said Eurich, noting that he envisions the company lasting a long time and boasting generations of workers. It will be their kids and their grandkids and so forth, said Eurich. Thats what were focusing on. The arraignment for a 52-year-old mental-health counselor accused of soliciting a patient to implicate three Butte residents in drug activity has been continued to July 21 in Butte district court. A reason for the continuance was not stated in court documents. It was initially scheduled for July 7. Dana Ann Trandahl of Butte faces felony charges of solicitation of criminal possession of dangerous drugs and criminal distribution of dangerous drugs. Butte-Silver Bow County prosecutors allege Trandahl supplied money to a patient to purchase methamphetamine and plant it in vehicles owned by her ex-husband Pat Ryan and his wife. Trandahl also allegedly gave the patient a variety of prescription drugs to further incriminate Ryan, his wife and Ryans attorney. Trandahl is free on $100,000 bond. When it comes to water rights in the west, murky may be the only way to describe the issue. Montana Resources extracted copper from Berkeley Pit water for more than 10 years without a permit for beneficial use. MR admits it did not have a permit and willingly sat down with The Montana Standard to discuss the issue. MR has never made a secret of the fact that it extracted copper for profit. It received letters from the Environmental Protection Agency approving MRs copper extraction program in 1998 before the program began. The Berkeley Pit is a Superfund site, and EPA is the federal authority that has oversight. MR and Atlantic Richfield Company are the responsible parties. In addition, Montana Department of Environmental Quality is the supporting agency to the Berkeley Pit Superfund site. While MDEQ did not sign those letters, the state agency received copies of the federal approval. But Department of Natural Resource and Conservation public information officer John Grassy said pumping or diverting for beneficial use requires a water right. Grassy said that if MR plans to extract copper in the future, it will need to apply for a permit. In an emailed statement, MRs manager of environmental affairs Mark Thompson said MR currently has no specific plans to extract copper in the future. As to the past, MR stopped extracting copper four years ago. Thompson said MR believed it did not need a permit. Although MDEQ was aware of the copper extraction, its the DNRC that regulates water rights and water permits for the state of Montana. Grassy said the state does not intervene or get involved in what could be an abuse of water rights unless another water user makes a formal complaint, and no one did. Thompson called the issue, a square peg in a round hole. Thompson said MR did not believe the company needed to apply for a water right permit because of the merits and details of the work it was doing. The merits include that MRs copper extraction resulted in treating some Berkeley Pit water. Details include that MR did not beneficially use the water. In addition, a murky percentage of Berkeley Pit water came from Horseshoe Bend, a stream that flows through MRs property and which MR does have the right to use. A study overseen by Montana Bureau of Mines and Geology in 1994 showed that about 30 percent of Berkeley Pits water came from Horseshoe Bend. Horseshoe Bend water continued to flow into the Berkeley Pit until 1996, when the mine began diverting it to Yankee Doodle Tailings Pond to limit the flow of water entering the Berkeley Pit. When MR closed in 2000, Horseshoe Bend water began to flow again into the Berkeley Pit. That continued until 2003, when MR went back into operation and began using treated Horseshoe Bend water for operational use. How much of the Berkeley Pit could now be considered to have come from Horseshoe Bend no one knows for sure. The pits lake has not been routinely sampled since 2012 due to the instability of the southeast slope. According to an article that appeared in Berkeley Pit Watch in 1999, MR hoped to recover about 4 million pounds of copper each year. That elemental copper did not need to be smelted but did still have to go through refinement. On average, MR produces about 70 to 80 million pounds of copper a year. For all its mineral wealth, Butte is home to just one type locality for a mineral, the place where that mineral was first found and described. Colusite is a rare copper-vanadium-arsenic sulfide named for the Colusa Claim on the east side of the Butte Hill, where Meaderville developed. Colusite was actually first found on the 1200 level of the Leonard Mine, which was named for Leonard Lewisohn, one of the founders of the company that would become the Boston and Montana Mining Co. In 1915, geologist Reno Sales chose to name the mineral for the older, nearby Colusa Claim and East Colusa Mine, since the Leonard Mine was originally begun to exploit the Colusa ore body. Sales preliminary name was based mostly on consistent analyses that showed anomalous amounts of tin in the mineral, and tin is perhaps surprisingly quite rare in Butte. Colusite wasnt formally described until 1933, and today the official chemical formula does not include tin. The Colusa was one of the first four claims W.A. Clark purchased in 1872. Ultimately, at least five mines bore the Colusa name: Colusa, Clarks Colusa, Colusa-Parrot, East Colusa, and West Colusa. All of them are in the Berkeley Pit today, but the East Colusa was probably the best producer of the five. The Lewisohn brothers of New York acquired it from Charles Meader in 1878-79, and the Colusa vein system, mined from the Colusa, Leonard, and other shafts, yielded ore to enrich the Lewisohns and their Montana Copper Company. That name changed to Boston and Montana in 1887, and in Butte it was second in production only to the Anaconda Company. In 1883, the East Colusa produced $1,784,720 in copper and $318,700 worth of silver. Had the Lewisohns lived in Butte, they would certainly have been among the Copper Kings, but the German-born brothers operated from New York. The Boston and Montana became part of the Amalgamated (Anaconda) Copper empire about 1901, when the Lewisohns company employed about 900 men in five major mines. That purchase was engineered by Henry Rogers, an officer of the Standard Oil Company. But it was the Boston and Montana Company, not the Anaconda or its predecessors, that pushed the development of the dam at Great Falls which ultimately provided hydroelectric power to Buttes mines. Boston and Montana built a smelter and concentrator at Great Falls that cost over $2 million in 1890-91. So the mineral colusite was named for the mining claim in Butte. But where did that name come from? Its really challenging to uncover the origin of some mine names. I reviewed several hundred newspaper references to Colusa, back to 1880, and found nothing about the name. Could it be COLorado USA? Not likely, as USA wasnt a common reference to the nation in those days. Maybe the original prospector who established the claim came from Colusa, California, one of that states original counties, created in 1850. There, the name origin is obscure, but it may be a corruption of the name of the Coru Indians who early French explorers reportedly called the Colus. Since many mining claim names were based on others elsewhere, this is a reasonable but unproven supposition for the origin of the name Colusa. Fresh from their Independence Day holidays, members of Congress are scheduled to be working in Washington for less than two weeks. Their long summer recess is on the calendars for July 15 through Sept. 5. Whatever Congress fails to do by the end of next week wont get done at least until September. There are precious few work days in the Capitol after Labor Day. Its election year and politicians are anxious to go back to campaigning for re-election. Among the many important legislative jobs left undone at this writing is improving U.S. veterans health care. The Senate Veterans Affairs Committee gave strong bipartisan support to S.2921, the Veterans First Act, in mid-May. The bill is sponsored by Committee Chairman Johnny Isakson, R-Ga., and cosponsored by the ranking Committee Democrat, Sen. Jon Tester of Montana. The bill has 48 cosponsors, including Sen. Steve Daines, R-Mont. Yet this broadly popular, bipartisan legislation that addresses problems with veterans access to care, health care professional shortages, caregiver support, disability services, education and other veteran needs is stuck. It hasnt been brought to the Senate floor for a vote and time is running out. Even after the Senate votes, the veterans legislation will have to go to a conference committee to reconcile differences with a multitude of House bills. The Senate bill put the various veterans service upgrades into one bill. Despite receiving support from every senator on the Veterans Affairs Committee, the Veterans First Act is now being held up by one senator who is blocking the bill, Tester wrote in a Gazette guest opinion published recently. Arcane Senate rules allow a single member to block any bill. This is usually done without the objecting senator publicly announcing the hold. In this case, the hold is from Sen. John McCain, R-Ariz. We dont know why McCain, a veteran and former POW, would block the Veterans First Act. But we do know that this legislation must pass the Senate before Congress leaves for vacation. VFW, DAV speak up VFW strongly supports the Veterans First Act, Joe Davis, VFW director of public affairs, told The Gazette this week. We want it done before the Senate recesses. The Disabled American Veterans is definitely supportive of this major piece of legislation, said Joy Ilem, DAV national legislative director in Washington, D.C. The DAV issued a member alert on its website that has generated more than 4,000 emails from disabled veterans calling on senators to move forward with S.2921. Everybodys frustrated, Ilem said. Theres a short window of opportunity. Although the Senate bill has broad support, Ilem said that House bills include more accountability measures aimed at firing VA employees, withholding bonuses, encouraging whistleblowers and other provisions that would affect employees due process. It would be outrageous if legislation that would help millions of American veterans is being delayed because it doesnt penalize VA employees. Who would really be penalized by such a delay? Tester, Daines support Its good to see that both Montana senators are strong supporters of the Veterans First Act. We call on Tester and Daines to work with their colleagues to overcome all obstacles to passing this bill before July 15. It would still take a miracle for veterans legislation to reach the presidents desk this summer. But if the Senate delays past next week, veterans will wait till years end or next year. In a statement issued Wednesday, DAV Washington Executive Director Garry J. Augustine agreed with overall conclusions of the just-finalized Commission on Care report, but disagreed with some of its conclusions saying: VA provides high quality health care but has challenges providing access to all veterans seeking care. VA provides high quality health care but has challenges providing access to all veterans seeking care. Thats what Montana veterans generally report: The problem is timely access; care usually is very good when the veteran can get it. The U.S. Senate must not exacerbate unconscionable delay in care for American veterans. -- Billings Gazette Whether it was about honoring a survivor or tuning up for hunting season, the 2016 Shoot for the Cure inspired hundreds of archers to take aim for a good cause near Townsend on Saturday. The first day of the annual two-day charity shoot sent archers prowling the Huth Ranch in search of challenging shots at 3-D targets. Now in its eighth year, proceeds from Shoot for the Cure are donated to the Susan G. Komen Foundation for breast cancer research. We get a lot of donations, so our sponsors have been really good to us, said organizer Al Bodle. Its just amazing to see where this thing has gone. Area residents Ann and Dave White were inspired to launch a Montana Shoot for the Cure after learning about the Pink Arrow Project, an effort to put a single pink arrow in archers quivers to raise awareness about breast cancer. Bodle, his wife Mary Huth, and the Whites co-founded the nonprofit, and in 2009 the Huth Ranch hosted 150 archers at the first shoot. This year Bodle expects close to 400 shooters. Theres no award for first place everyone is here for the cause, he said. Shoot for the Cure Montana has donated more than $62,000 since its founding, with a goal of $11,000 this year. Along with the entry fee, raffles and silent auctions put money toward the donation. While the event takes a lot of time and the efforts of about 30 volunteers, Bodle says organizers are always thinking ahead, looking for sponsors for targets or donated auction items. Getting ready for next year will probably start on Monday, he said. Campers and tents lined a field off Gurnett Creek Saturday with many families planning to stay through the evening barbecue and shoot again Sunday. Archers lined up for a 100-yard shot at a 3-D elk target while families and friends hiked the four 20-target loops. Shoot for the Cure has a different flavor than many 3-D shoots. Competitiveness is limited to friendly challenges between archers, and range finders are allowed, and distances are only a suggestion. The goal is to foster a more casual atmosphere than other more intense archery events, Bodle said. Its a family-friendly event, and we dont want anyone to feel intimidated, he said. Pink was a popular color among the archers, but John Geier of Helena took it a step beyond with a fluorescent tutu and matching angle wings. The ensemble was the loss of a bet at last years shoot, he claimed. This is actually the G-rated version, he joked to several fellow archers. Shoot for the Cure has become an annual outing and a good experience both for archery and atmosphere, Geier added. Many parents and sons and daughters saw the shoot as quality archery practice, but the loss of a loved one to cancer or the life saved by successful treatment remained foremost in many minds. Patrick Bishop of Bozeman shot the course with his three sons, John, Benjamin and Joshua. Bishops mother is a breast cancer survivor and in remission for six years, he said, plus the shoot is a way to teach his kids the importance of hunting ethically. This is the best way to train for hunting season, he said. If (his sons) want to put an animals life on the line, they need to show me they can put an arrow where it needs to be. Tom Cordingleys first appearance on stage came when he was cast as a furniture mover in a 1967 Great Falls community theater production of "A Raisin in the Sun." Cordingleys wordless showing in the acclaimed Civil Rights-era play about a black family struggling to move into an all-white neighborhood in Chicagos South Side was not without its benefits. For one, unlike the main players, Cordingley didnt appear in blackface. For another, the role sparked a decades-long love for theater that, nearly a half-century later, helped see the 66-year-old receive the American Association of Community Theatres David C. Bryant Outstanding Service Award one of fewer than a dozen awards given annually to members of the nationwide theater organization. Cordingley, who retired in 2012 after 30 years spent doing everything from acting to fixing the toilets at Helenas Grandstreet Theatre, still remembers his first on-stage appearance fondly. Even in retirement, the former Grandstreet manager and board member often finds ways to get on stage or to help high school students find their way in the theater world. A statement issued by the AACT lauded Cordingleys work with those students, noting a scholarship backed by he and his wife Lisa that has paid for countless students to attend the Grandstreet Theatre School. We encourage kids to stay in theater because it teaches them life skills, he said on Thursday. It gives them a place to be when they dont have a place to be. We support their choices, and thats a confidence builder. Cordingley needed some confidence of his own to commit to the theater after years spent screenprinting and selling advertisements for the Great Falls Tribune and other Montana publications. He chalked up that dramatic move to a willingness to turn a hobby into a career something they say youre not supposed to do. But I always loved it, he said about being on stage. It just satisfied me. Its an adrenaline rush. Cordingley and his family planned to spend a week in New York after attending the AACTs Saturday awards ceremony, where he said it was a huge honor to be recognized with an award that normally goes to theater managers in much larger markets. For more information on the award, visit www.aact.org/awards. For more on Grandstreet Theatre, visit grandstreettheatre.com. MISSOULA Western Montanans should expect no name changes now that hospitals in Missoula and Polson are part of a newly formed, larger health system, but they can anticipate an added emphasis: Mental health care gets a serious shot of funding. And thats a good direction to move in this area, said Jeff Fee, western Montana regional executive for Providence Health & Services. Mental health across the U.S. has been in a developing crisis for a number of years. Its certainly very acute for us here within our ministries in Providence and in the communities we serve, Fee told the Missoulian on Friday in a telephone conversation. Providence Health & Services, based in Washington state, has joined with California-based St. Joseph Health under a new umbrella organization that allows the systems to share a common governance structure and a common sponsorship structure, Fee said. The new system is called Providence St. Joseph Health. The deal means that the new Providence St. Joseph Health has a presence in seven states through its 50 hospitals including facilities in Missoula and Polson. In an email to the Missoulian, Fee said business will continue as usual at those hospitals under the names people already know. I want to clarify: Providence St. Patrick Hospital in Missoula and Providence St. Joseph Medical Center in Polson remain part of the long-standing, not-for-profit Providence Health & Services ministry which began in the West in 1856. Now that we have partnered with the CA-based health care system, the only thing that has changed is the formal parent company name Providence St. Joseph Health, Fee wrote. Later, in a phone conversation, Fee said forming the larger health system is good for business. Its a big deal. Youve got two large organizations coming together to form a much larger organization. It better positions in a lot of the key markets, certainly it better positions us in southern California, to create better systems of care for people in those communities that we serve, he said. A spokeswoman for the health system earlier this week told the Missoulian the larger health system, official as of July 1, 2016, may make it easier to make some health care services available in some locations. Fee added in his telephone interview and email that one of the immediate changes is that the new health system has formed a new institute and foundation to specifically work on improving mental health care. The Institute for Mental Health and Wellness, with oversight from the Foundation for Mental Health and Wellness, will have initial funding of $100 million. Through a formal grant process, entities within the Providence St. Joseph Health system and other organizations in communities served by its member hospitals can put some of those funds to work. But the $100 million will have to stretch over an area served by hospitals in Alaska, California, Montana, New Mexico, Oregon, Texas and Washington. This pool of money, although its large, is meant to serve as a catalyst for us working together with other key partners in our communities, Fee said. Really, addressing mental health in the communities we serve takes a village it takes a collaborative effort across many different functions, many different settings, including the schools. Providence St. Pats has always been a leader in providing behavioral health services, Fee said, but there is unprecedented demand and opportunity for expanded services in western Montana. We hope to collaborate with other organizations to develop solutions. Under the new umbrella organization, Providence will continue to advocate for Montana state legislation to improve mental health, he said. We support the direction our legislation is taking on community-based services, and hope to be a part of developing both legislative and non-legislative strategies aimed at finding and implementing meaningful solutions, Fee wrote. Our partnerships will continue to reflect the concept of community-based services and making a fragmented system more functional for all Montanans. "We also need to work toward not only more services, but also to understand more fully why Montanans are in this crisis what is driving our friends, neighbors and family members to reach a crisis point in their mental health. Fee noted that although Montanans dont consider Missoula to be rural, it is what the federal government considers a health professional shortage area for psychiatry and the needs are even greater in more rural parts of the state. The new emphasis on mental health care within Providence St. Joseph Health may indeed be the catalyst for change for those areas, he suggested. Were going to have to come up with creative solutions, Fee said. We are in the process of deploying telepsychiatry through the use of telemedicine infrastructure in some of the rural communities. So there are technological ways we are getting at it, but I think we are going to have to be really creative in deploying appropriate technology so that people in rural communities can access it. The fact that Providence St. Joseph Health is taking the lead with its new institute and foundation, Fee said, is part of a growing realization in the health care industry and the larger society that mental health cant be segregated from overall physical health. We as a society have treated mental health differently than we treat physical ailments," Fee said. "Mental health and physical health are inextricably linked. We have to stop treating them as separate and distinct." MUSCATINE, Iowa -- The Bill Riley Talent Search is hosting a local show at Muscatine's Great River Days on Saturday, July 30, at the Riverfront Community Stage. Young Iowans are invited to register to compete in the Sprout division (ages 2-12) and Senior division (ages 13-21). There will be trophies and cash awards for the winners of each division, who will qualify to compete at the Iowa State Fair. Prize money at the State Fair, held Aug. 11-21, totals over $17,000. The show will start at 1 p.m. with check-in at 12:30. For more information and an entry form, contact show coordinator Judi Connor at (563) 506-1261 or at connorjudi@msn.com or the Great River Days website at http://www.greatriverdays.com/. The Bill Riley Talent Search has showcased Iowa's young talent on stage across the state each summer since 1960. MUSCATINE, Iowa Team MCSA will be riding for its third time in RAGBRAI to raise awareness of homelessness and raise funds for MCSA. The team will consist of 28 riders and about seven members on the support crew. One of the founders, team MCSA member Chris Steinbach, said this will be his ninth time riding RAGBRAI, but his third year riding with team MCSA. RAGBRAI will end in Muscatine on Saturday, July 30. The MCSA team first originated during Steinbachs time as MCSA deputy director. He talked with Muscatine Greater Chamber of Commerce and Industry Membership & Marketing Director Janet Morrow about an idea to raise money during RAGBRAI. The team formed after a few more people said they were interested and agreed to help raise funds during RAGBRAI. The team raises money before the event, and Steinbach said it has raised about $12,000 over the past two years for MCSA. While the team has normally donned a purple and black uniform, this year they will be changing it up by adding a little flair to the purple and black. The uniforms feature a bit of pizzazz with neon orange and green colors. Steinbach said the team has some local members, but also some from different parts of Iowa and the Midwest. We have members from Colorado, Mount Vernon and Iowa City, Steinbach said. Team MCSA has not only helped raise money and promote awareness of homelessness, but it has created strong bonds of friendship between the cyclists. They have become some of my best friends in Muscatine, Steinbach said. Our relationships have gone beyond just a bike ride. Les emplois a Rennes sont abondants et varies. Il y a quelque chose pour tout le monde. Que vous soyez a la recherche dun emploi [] Les blattes ou cafards (Blatta orientalis) sont des insectes qui appartiennent a la famille des Blattoptera. Ils se caracterisent par leur forme allongee, leurs ailes [] ATMs make it possible for consumers to draw cash and transact 24 hours a day. However, they come with risks. Criminals have devised various scams to steal money from ATM users, including card skimming, shoulder surfing, card swapping, and trapping cards. The South African Banking Risk Information Centre (Sabric) warned that millions of rand is stolen each year through ATM card scams. eNCAs CheckPoint interviewed one of the criminals involved in ATM card fraud, also known as Card-Card, to learn more about the practice. How targets are selected According to the scammer, they target ATM users who seem distracted and then offer their assistance. The card stealing criminals do not differentiate on race, age, or gender. As long as we can take your card and steal your PIN, you are a target, he said. Because of the amount of money he makes up to R15,000 per day he does not feel much guilt about his actions. The images below show how an ATM user is assisted by the con artist, who steals her card. The victim looks distracted, which gives the criminal a chance to strike. The criminal offers their help, but is in fact stealing the victims PIN and card. Stealing a targets bank card and PIN The criminal said they work in groups of two or three. No one does it alone, he said. Their daily routine includes planning their crime and executing the plan the next day. They steal cards and money in morning and afternoon shifts. The images below show how the criminals steal the cards of unsuspecting ATM users. The criminal goes to the ATM and inserts his card as if he is drawing money. He then uses the ATM mirrors to look for potential victims behind him. After he spots a potential victim, the criminal pretends to be drawing cash, and takes his card. However, he leaves the slip in the ATM. Before he leaves the ATM, he activates the cardless services option on the ATM, which locks up the card reader. This prevents the victim from inserting their card. The cashless services option asks the person for a PIN when they arrive at the ATM, which the victim enters. The criminal watches, and remembers the PIN of the victim. When the victim tries to insert their card into the ATM, the criminal claims his slip. When the victim tries to insert their card, it is blocked because the ATM is in cardless mode. The criminal now helps the victim, telling them they must press C. They typically ask what is C, and he tells them Cancel. After the cardless mode is cancelled, the ATM goes back to normal. When the ATM goes back to normal, the criminal tells the victim to lift their card up when trying to insert it. This is a distraction. The criminal then helps with the lifting of the card when inserting it into the card slot. The criminal then steals the card from the victim, while their eyes are on the screen. After stealing the card, the criminal covers it with their wallet. How to protect yourself at an ATM Sabric provided the following tips to protect yourself when drawing money at an ATM. Do not ask anyone to assist you at the ATM. Do not insert your card if the screen layout is not familiar to you. Dont use ATMs where the card slot, keypad, or screen has been tampered with. Dont let anyone stand close to you while you use an ATM. If you are disturbed whilst transacting at an ATM, your card may be skimmed. Cancel the transaction immediately and report the incident using your banks Stop Card number. If you have been disturbed whilst transacting, change your PIN or stop the card. Watch the full eNCA interview here More on card fraud How ATM card skimmers work Watch out for these card skimming and PIN theft tricks criminals use The new way criminals are card skimming at ATMs Tannie Evita Bezuidenhout has poked fun at the ongoing censorship controversy at the SABC, congratulating the broadcasters Hlaudi Motsoeneng for keeping the news nice and comfortable. No one quite knows what is going on; so what is going on? Ag mense its called freedom of expression, said the self-proclaimed most famous white woman in SA in a video posted on YouTube on Sunday. Dressed in a fire-engine red top matched by a fetching lipstick in the same shade, a bold gold chain necklace, thick glasses last in fashion in the 1970s and with eyes highlighted by sweeps of Liz Taylor violet blue eyeshadow, Tannie Evita said while the National Party had censorship down to a fine art, Motsoeneng too was managing to ensure all the news [is] nice and comfortable. In May this year, SABC COO Motsoeneng announced that the broadcaster would no longer show the destruction of property during protests. He argued showing such footage would encourage others to do the same. In the wake of this decision, acting SABC CEO, Jimi Matthews, resigned and eight SABC journalists were suspended, civil society protests erupted and Icasa held public hearings into the apparent censorship. ANC upset On Sunday, Bezuidenhout urged South Africans not to get upset about the shenanigans at the SABC. The ANC is getting a little bit upset because its attacking freedom of expression which they stand for and there is an election which is coming up so you know gaan die poppe a bietjie dans [Will the dolls dance a little]? During an ANC media briefing last week, ANC NEC sub-committee on communications chairperson, Jackson Mthembu, suggested the broadcaster had contravened the Constitution as well as ANC policy. He also said its management was lacking. Nevertheless, Bezuidenhout said in her broadcast, Motsoeneng had some supporters due to his insistence on local content quotas. All the actors are saying Viva Hlaudi; let every dark Hlaudi have a silver lining. The tannie said Motsoeneng would have done well in the old South Africa. He [Motsoeneng] could have worked for the SABC: he would have been tea boy for the SAUK or the parking attendant because you didnt need matric for either of those jobs. Censorship different today But, she said, censorship today was different to that of the apartheid era because now especially with the rise of the internet and the social media South Africans had choice. Today there is something very, very special that you and I can celebrate: Firstly, it is called delete; secondly, it is called switch off; thirdly, it is called find another channel. You dont have to be terrorised by a sense of SABC. Bezuidenhout said she was waiting for advertisers to pull out of the SABC but worried then about what the broadcaster would do for petty cash. She extended her concern to President Jacob Zumas finances as well following a report by National Treasury that he needed to repay R7.8m for non-security upgrades to his Nkandla homestead in compliance with a Constitutional Courts ruling about the matter made in March this year. Zuma family bankrupt Siestog! Did you know that President Jacob Zumas family are bankrupt? lamented Bezuidenhout. They dont have any money to pay back the money. Pulling out a glass jar labelled #1, and filled with coins, Tannie Evita said that she had now started a fund to support number 1. Ending her broadcast, Bezuidenhout said that she was off to watch TV. Now I am going to watch the television news, the BBC, to find out what is happening down the road. News24 More on the SABC SABC journalists want suspensions lifted SABC mum on disciplinary hearings Internet ride-sharing app Uber is looking to branch out from transporting passengers in South Africa to delivering take-away food parcels as well. Job ads on the Uber website indicate that the company is looking for the likes of a marketing manager, general manager and restaurant operations manager for UberEATS in South Africa. UberEATS is an on-demand service that taps Ubers network of drivers to deliver meals from restaurants. UberEATS is also its own standalone app and to date has only been available in London, Melbourne, Paris, Singapore and multiple cities in the US and Canada. The job posts for UberEATS in South Africa are for Johannesburg and Cape Town based candidates, indicating that these two cities could form part of the services global expansion. Uber did not immediately comment on the local launch of UberEATS but the job post for the general manager role in Johannesburg briefly reveals some details about the venture. This is an intense business side startup role, and you will work with the UberEATS operations teams along with headquarters-based engineering, product, and process teams, reads the job advertisement. You will have direct responsibility for the growth of the UberEATS business, adds the job advertisement. UberEATS is also advertising job posts in Dubai in the United Arab Emirates (UAE). Meanwhile, the imminent launch of UberEATS in South Africa comes after Uber launched its ride-sharing app in South Africa in 2013. Since then, the service has grown to have over 4 000 partner drivers across Johannesburg, Pretoria, Cape Town, Durban and Port Elizabeth. Fin24 More on Uber Uber drivers join workers union to stop exploitation Uber to improve driver safety Messaging app WeChat is heavily focused on the take-up of its wallet technology in South Africa this year, says the apps head of Africa Brett Loubser. WeChat, which is owned by Chinese internet giant Tencent, rivals competitors such as Facebook owned WhatsApp. But the Chinese-built app has differentiated itself by enabling the development of apps within its ecosystem, such as local delivery service Picup, and payment technologies. In November last year, WeChat South Africa launched its wallet tech which allows electronic cash transfers and the ability to transact via cards verified by Visa and MasterCard. READ: WeChat in SA digital wallet play This month, WeChat South Africa has partnered with Stuff Magazine to allow readers of the print title to buy featured Incredible Connection products by scanning a QR code via the app. If you like what you see, you scan a code, you pay for it with your WeChat Wallet, input your address and the product is delivered to your house, Loubser told Fin24. A lot of companies like Incredible Connection already have e-commerce environments set up. We just become the glue between the physical media channel, in this case Stuff Magazine, and their existing e-commerce store. For us its a great way to expose the exciting functionality in our wallet, Loubser said. The Stuff-WeChat tie-up is part of a wider focus of driving up demand for the messaging apps payment technologies in South Africa, Loubser told Fin24. Depending on how the Stuff-WeChat partnership does, the use of WeChats wallet technology could be applied to other magazines, newspapers and online publications. Our focus for the rest of this year is 100% on the wallet, and services related to that. The initial signs after launch are very encouraging. So, we want to try and maximise that and grow that audience as quickly as we can, Loubser told Fin24. And of course the mobile wallet, payment space in South Africa is pretty interesting at this stage. So, weve got to move as quickly as we can in that regard. Our primary focus will be there, but I think were able to do some new and interesting things that maybe some of the other products out there arent able to do, Loubser said. Globally, WeChat has over 700 million users. Owing to company policies, WeChats Loubser said he is unable to provide user numbers for the service in South Africa. But researchers last year said that WeChat in 2015 had over 5 million users in the country at that stage. WeChat South Africa forms part of multinational media and internet giant Naspers [JSE:NPN]. Cape Town headquartered Naspers further has a 34% stake in Tencent. Fin24 More on WeChat WeChat is catching up to WhatsApp You cant compare us to WhatsApp: WeChat On 21 January 2016, at approximately 14:20, Akamai saw its traffic levels to South Africa suddenly dip by about 40%. Traffic remained at reduced levels for about two hours. Dyn Research noted that roughly 20% of network prefixes were down in South Africa as part of a larger set of outages throughout Africa. According to African cable operator Seacom, these outages were due to construction activity in Egypt which led to multiple damaged Internet cables. The disruption caused connectivity problems for the entire continent, as Seacoms cables in and around Egypt provide connectivity for much of Africa to Europe, Asia, and the Middle East. The chart below shows Akamai traffic to South Africa on 21 January 2016. More on broadband Highest ADSL and fibre broadband speeds in South Africa Biggest-ever broadband speed jump in South Africa Comsol launches open-access high-speed network in South Africa WASHINGTON For President Barack Obama, the decision to return early from an overseas trip after a series of shocking shootings will prove to be easy compared to his next challenge: Comforting an America rattled by the violence. After arriving from Spain late Sunday, Obama will fly Tuesday to Dallas, the scene of the massacre of police officers that, on the heels of two caught-on-video police shootings, has emerged as a tipping point in the national debate about race and justice. Obama is due to deliver remarks at an interfaith memorial service and is expected to meet with victims' families and with local law enforcement officials mourning their own. Former President George W. Bush, his wife, Laura, and Vice President Joe Biden will also attend, and the ex-president will deliver brief remarks. To some degree, the trip is a familiar ritual for a president who has embarked in recent years on similar consolation missions with relentless frequency. But it's clear that Obama views the moment as distinct. In choosing to the deliver a high-profile speech, the president has tasked himself with ministering to Americans as they make sense of a frustrating cloud of issues swirling around the shootings. The president sees delivering this sort of guidance a core part of his leadership, so much so that some of his memorable speeches were in honor of mass shooting victims, including his challenge to protect children from guns in Newtown, Conn. "We're not doing enough." and his singing of "Amazing Grace" after the shooting in a black church in Charleston, S.C. But it's far from clear whether these moments fostered movement either on legislation or race relations and Obama has had to face the limits of his rhetoric. As he has in the past, Obama will search this week for a way to break through. As he traveled to Poland and Spain last week for meetings with European leaders, the president was publicly working through his thoughts. At times, he acknowledged "anger" and "confusion" in the public, and at other times he seemed to downplay the enormity of events. On the shootings by police of black men in Minnesota and Louisiana, Obama called for more activism and reforms. And he sought to impress upon white Americans what he said he and other African Americans already know: The problem is real. On the shooting in Dallas, Obama cast Micah Johnson, the sniper killed in a standoff with police, as "demented" and his motives as unknowable. People should not believe that "the act of a troubled individual speaks to some larger political statement across the country," he said. "It doesn't." Obama also pointed to other forces driving discontent at home and in Europe lone-wolf terrorism or economic instability wrought by globalization and tried to sell his policies aimed at each. The comments highlighted this president's rationality and a tendency to analyze people's fears rather than validate them both traits that at times have limited his ability to connect. Asked Saturday about rising worries about safety, Obama cited crime statistics. He bluntly dismissed comparisons to the domestic turbulence of the 1960s as overblown. "That's just not true," he said. Obama's remarks also captured the president continuing to try to serve as bridge builder between white and black Americans, protesters and police. It's a role that helped catapult him to political stardom, but one he's struggled to inhabit as president during a period of sharp political polarization and continued racial tensions. Still, Obama wasn't about to cede the role this week. White House officials said the decision to trim his trip to Spain by one day was driven in part by not wanting other, divisive voices to fill the void left in his absence. On Sunday, a few hours before returning home, Obama tried again to walk a center line, as he issued a plea for better understanding between police and demonstrators taking part in the protests across the country. "I'd like all sides to listen to each other," he said. ST. HELENA Back in the day, as they say, many a little boy you asked wanted to grow up to be a fireman. Wear a big hat. Hang on the back of the truck. Swing down a pole to race to a fire. Or climb up a pole to rescue a kitten. When the siren sounded, in the middle of a family dinner, you got to suit up, race out and join your neighbors in the most dramatic form of community service there is: saving lives, limbs and property. Dave Lider, lifelong St. Helena resident and 30-year volunteer with the St. Helena Fire Department, retired from the force four years ago, and still looks back with a big beaming smile at how he made his childhood dream come true. There was a gentleman named Oliver Puffer Eisan, he said. He owned Mels clothing, and I used to just go in and talk to him about how Id like to work with the fire department. Then one day, the door opened. Puffer came to me and said, Dave, your time has come. The veteran firefighter knew it was about time he moved aside and let the younger, more fit fellow have his chance. So, he left, and I came in. Just like that. Dave said the requirements at the time consisted of one piece of paper with two questions: Your name, and why you want to help the community. Both were easy for him. Of course, he knew his name, and as for the second, I said I just wanted to help the community to do things. Big smile. Not that he didnt have some qualms, wondering how he would act in a situation of extreme danger. But he jumped in and learned quickly. His first real fire was the burning of the original Meadowood restaurant. Id been out spraying vineyards all night, and I got home, was in my nice cozy bed, and then, the alarm went off, and I went out and saw this big plume of smoke and I thought, uh-oh. Im going to be out there all day! He remembers another fire, at Stone Bridge, by Napa Valley CollegeS Upper Valley campus. The temperature was probably 101 degrees, he said with a laugh. I probably lost 5 to 10 pounds just in sweat. Volunteering was a family affair. The families were all close, said Sandra Lider, his wife of 30 years (as of this August). Wed have dinners together and family holiday parties, and all of us were having kids at the same time. So it was really fun. She smiles remembering the Firemans Ball. It was a big dance, at the Native Sons Hall. A small-town thing, and thats how they would raise money. Theyd sell tickets door to door, Dave adds, and people would invite us in for refreshments and people who were ex-firemen would say, Hey, give us a call when youre coming around again! It was fun, he said. You knew everybody and people knew who we were. Nobody did it for the money. When I first started, he said, every call, we got $2. So that means, if you went on the call at, say, 8 a.m. and youre out there till 8 p.m., you got $2. After a while, they got a raise of a few dollars, which was paid in one lump sum at the end of the year. So maybe at the end of the year, youd get a check for three or four hundred dollars, he said. By the time he left, four years ago, after 30 years in the force, the volunteers pay had escalated to a grand $14 per call. Now, as of this year, firefighters are no longer volunteers but are part-time employees of the city and work for an hourly rate with a limit of just under 1,000 hours. There are other changes. Theres no pole, said Lider, and they cant ride on the back of the truck anymore. Too dangerous, with traffic coming right up behind. Beer, too, has gone the way of mature reason. It used to be, if somebody made a mistake, theyd have to donate beer to the firehouse, said Sandra. Then, one day, it was decided that alcohol and safety did not mix. So they had to switch to ice cream. The thought makes Dave burst out laughing, remembering one volunteer who happened to own a towing company, who amassed a stack of fines, and owed a lot of ice cream. One day he got a call to tow an ice cream truck, said Lider, laughing. So he says to the guy, I wont charge you anything to tow your ice cream truck, if youd just drop off a batch of ice cream at the firehouse! For Dave and Sandra, its all about community service. Jim Lider, Daves dad, was always involved in the community, said Sandra, so Dave grew up with someone who was involved. Sandras cousin, Ed Beard, owned St. Helena Insurance. Together, he and Jim ran Lider-Beard Vineyard Management, a business Dave worked in until his dad died. Now Dave keeps busy with his own business, Lider Trucking. Everything connected with the wine industry, I move, he said. Its just another aspect of Daves lifelong passion for serving the community which keeps him busy helping others now that hes not out fighting fires. Lai Zhiming, vice-president of Tencent, made a keynote speech at the Inaugural China Fintech Conference held by Tsinghua University PBC School of Finance in Beijing on July 10, 2016. [Photo provided to chinadaily.com.cn] China's leading internet companies claimed at a conference held by Tsinghua University PBC School of Finance that they are more willing to cooperate with, rather than challenge or even overthrow, traditional financial institutions, to meet people's unsatisfied financial needs in the country. Lai Zhiming, vice-president of Tencent, said at the Inaugural China Fintech Conference in Beijing on Sunday that his company wants to bank on its finance cloud to further connect traditional banks, fund companies and securities firms. The finance cloud, whose computing capability proved its power by successfully handling deliveries of 13.28 billion virtual red envelopes with actual money in them on Feb 7, the eve of China's Lunar New Year of the Monkey. He said that Tencent's Licaitong wealth-management platform has helped fund companies gain 60 million customers since it went online in January 2016. This means the number of customers this platform has acquired in one year is equivalent to the number of customers these companies could have acquired in traditional ways over 10 years, he added. Licaitong, a part of the finance cloud, connects Tencent's huge customer base, including Wechat and QQ users, with various fund companies. In addition to this, Tencent has saved 4 billion yuan in costs for Chinese banks which have opened accounts on its WeChat platform to serve their customers, including enabling credit card payments. Last monthss 25-hour sit-in on the floor of the U.S. House of Representatives was an embarrassing day for democracy, and serves as a lesson to us locally about what happens when hyper-partisanship and ideology trump statesmanship. Were not taking sides on the gun control debate. Were talking about process, and how crucial it is for politicians and citizens alike to talk to one another like adults, even when especially when we disagree. Gone from Congress or at least politically marginalized are the skilled legislative wheeler-dealers like Lyndon Johnson and the congenial brokers of compromise like Tip ONeill. Theyve been forced out by political polarization. Theyve been shouted down by a new breed of ideologues who equate compromise with weakness and cater to their political bases by demonizing their opponents as the dreaded other. That same polarization is sometimes felt here in St. Helena, where our passionate views about tourism, wineries, business and housing sometimes make us lose sight of the underlying goal that we all share: maintaining our identity as a small-town agricultural community where residents love to live and visitors love to spend money. But one recent incident gives us reason to be optimistic. In June, Citizens Voice St. Helena and the St. Helena Chamber of Commerce circulated dueling petitions reflecting their starkly differing views on the General Plan update. It seemed like we were headed for another us-vs.-them showdown, the equivalent of a shouting match between talking heads on cable news, and just as productive. Instead, reason won the day. Susan Kenward of Citizens Voice met privately with members of the Napa Valley Vintners. The two sides listened to each other, expressed themselves in a respectful manner, and came away with a better understanding of the other sides views. The end result: Citizens Voice seems to have conceded that some of its ideas about defining wineries would be better addressed in St. Helenas zoning ordinances, not the General Plan. Showdown averted. Friendships preserved. A happy day for democracy. Kenward, John and Beth Novak Milliken, and the rest of the people who organized the meeting have earned our gratitude and respect for showing that we can be passionate without being irrational. With the General Plan update almost complete, St. Helena is at a turning point on a lot of issues. If we dont sit down and talk openly about our differences if we talk at each other instead of with each other the end product is political dysfunction, economic stagnation, and a misanthropic social atmosphere that, psychologically speaking, is just as corrosive to the small-town charm that everyones trying to preserve as a dozen winery event centers. True leadership puts compromise above ideology. For the sake of the small-town character we all cherish, lets hope that local leaders step up who will nurture productive public dialogue, build consensus, and recognize that the political forces that separate us are no match for the social bonds that unite us. And lets hope we never see a sit-in at Vintage Hall. When you see a car with a European country sticker on it that says CH, that means Switzerland because Switzerland used to be called Confoederatio Helvetica. Helvetica originally comes from Helvetii, a Gaulish tribe living on the Swiss plateau before the Roman era. Today we see it written Switserland (German), Suisse (French), Svizzera (Italian), Svizra (Romansh the fourth Swiss language). Before going on a trip to Switzerland, I wanted to have a few Swiss francs to start with, so I called the Wells Fargo currency office to buy some, and when they answered, their voice tree told me five times that they can acquire most currencies, but not Iraqi dinars. It was very annoying since I had no need for any dinars, and so when the agent came on the line I immediately told him I wanted to buy $5 million of Iraqi dinars. He wasnt amused. Since we were in Zurich for a couple of days before our trans-Swiss rail trip organized by Trafalgar Travel, we wanted to visit the Principality of Liechtenstein. Wedged between Switzerland and Austria, Liechtenstein has never been in any armed conflict. There are more businesses registered than the number of people that live in the capital city of Vaduz (more than 4,000), and more than 50 percent of the people who work there are cross-border workers, who come and go each day. That is largely due to a robust financial services sector. Although Vaduz is small, Ive never seen so many late-model Porsches, Ferraris and Lamborghinis in one place. A highlight was visiting the Vaduz Castle, which is still occupied by the family of the reigning Prince Hans-Adam II, so no entrance is allowed. You can walk around its perimeter, and although the climb from the city center is steep, the views of the city and the surrounding mountains make it well worth it. I always like to get my passport stamped in countries we visit, but alas, your passport is stamped upon your initial entrance into the EU and when you exit. There are occasional opportunities to have it stamped, like in Vaduz, where you can go to the tourist office and for two euros get an official Liechtenstein passport stamp, which, of course, we did. Walking around Vaduz is delightful, and the first thing you see is the new parliament building, shaped like a Toblerone candy bar. It attracts you magically. And then you find yourself in the Kunstmuseum Liechtenstein Museum, which has caused a sensation ever since it opened. In we went, and saw the strangest modern art. For example, displayed in the middle of a big room was an art piece consisting of two front porch mats partially glued together. It went downhill from there. But wed paid our money so we went through the whole place. There is not much else to see in Liechtenstein. But one of the reasons we went there was to complete our visits to all six of the small countries in Europe, the rest being Andorra, Monaco, Luxembourg, the Vatican and San Marino. Were missing San Marino. So if somebody has been there, they can tell me all about buying stamps there which is the only thing to do and thatll be good enough for me. Back in Zurich, we wanted to visit one of the few remaining buildings that the Swiss architect le Corbusier had built. So, with a couple of tram rides and some effort, we found it and were impressed with the big, bold, open colorful building that we saw. Ironically, on a city tour the same day, we drove right by the house, which would have saved us a lot of time. On the tour we visited the ninth century church, the Fraumunster, which has several claims to fame: (1) the giant organ has 5,793 pipes and (2) in the 1970s, Marc Chagall designed five huge stained glass windows for the choir portion of the church. Not far from the Fraumunster is St. Peters Church, known for having the largest clock face on its tower in Europe, some 25 feet in diameter. The tour also took us around part of Lake Zurich, which included a ferry ride across the lake, after which we rode a cable car to the top of the mountain, where we had a view overlooking the entire valley, lake and Zurich. Leaving Zurich, we started our official series of train rides by going to St. Moritz, the high-end ski resort where two Olympics (1928 and 1948) have been held. Throughout the entire trip, the scenery was incredible, taking us past snow-capped mountains and sparkling lakes, amazing vistas, Swiss chalets, and cows with giant bells around their necks, and everything was efficiently run. Once in St. Moritz, we rode the Bernina Express, a narrow gauge railway up over the Bernina Pass through the Southern Alps, viewing glaciers and the waterfalls they produce. The glacier water was a turquoise blue that Ive never seen before. On this train we crossed the much-photographed Landwasser Viaduct, built in 1902, which is 213 feet high above the Landwasser River, 446 feet long, and it exits straight into the Landwasser Tunnel. When our ride ended, we were 20 kilometers from Italy. At the end of the ride, we went postal by going back to our hotel in a Swiss Postale Bus. A real highlight of the entire trip was riding the Glacier Express, connecting to two major mountain resorts, St. Moritz to Zermatt, and going over the highest peaks and glaciers of the southeastern Alps. The Swiss joke that it is the slowest Express in the world, taking eight hours to go less than 200 miles, but it was scenic and relaxing, and included a delicious three-course lunch. When the train link opened in 1928, the danger of winter blizzards and snow drifts required that many of the most isolated mountain bridges be dismantled every October and then reassembled the following May. So during the winter, the trains had to take a lengthy detour through Zurich and northern Switzerland. Finally, in 1982, an 8-mile-long tunnel was drilled beneath the Furka Mountain, so trains can now run on that route year-round, traversing 91 viaducts and tunnels and crossing 291 bridges. There are miles of mountain tunnels in Europe, especially in the mountains of Switzerland, Italy and France, yet we cant seem to drill through the San Gabriel Mountains in Southern California for the proposed high-speed train in California. Once we arrived in Zermatt, we had one major objective to see and visit the Matterhorn which wasnt climbed until 1865, by Edward Whymper and four others, led by two Zermatt guides. Four of the climbers were killed on the descent when one of them slipped and pulled three others with him down the north face. Whymper and the guides, who lived, were later accused of having cut the rope below to ensure that they were not dragged down with the others, but the subsequent inquiry found no proof of this. Although later acquitted, the survivors were haunted by the story for the rest of their lives. The local church graveyard is full of climbers who challenged the mountain and didnt make it. The Matterhorn isnt the tallest peak in the area, at 14,691 feet, but the unusual shape at the top, like a needle sticking up into the sky, makes it so interesting. From Zermatt, we took first a cable car and then a gondola to see the magnificent snow-capped top of the world, and we were very lucky to have a picture-taking day. We were truly awed! On to Geneva via a high-speed train. French-speaking Geneva was where the League of Nations was established after the Paris Peace Conference in 1920 ended World War I. It was created to maintain world peace, but then along came Mussolini and Hitler, who dropped out of the League. Ultimately, since the League was toothless, having no army, it folded in 1946. The United Nations then replaced it and inherited a number of agencies and organizations founded by the League. The Red Cross was also started here, and there are some 200 nongovernmental and governmental agencies located here due to the countrys renowned neutrality. Geneva is famous for the Jet dEau water fountain shooting 500 liters of water a second 1,100 feet up out of Lake Geneva. We were told it is spectacular to see, but it was shut down due to high winds while we were there. Lucerne has always been on the Grand Tour of Europe for people like Mark Twain, Queen Victoria, and of course, us. When you travel, do you wonder if you could live there? This is one of those places where you think I could survive here. The first things you see in Lucerne are the two famous bridges. Chapel Bridge (Kapellbrucke), going across the river at an angle, connects the citys fortifications, and is covered on the outside with beautiful planters of geraniums. The rafters contain 100 colorful 17th-century paintings showing historic scenes. The second bridge, Mill Bridge (Spreuerbrucke), also hung with 17th-century paintings. One of the paintings shows Judgment Day, with some going to heaven and others to hell. Its up to you to decide your individual fate! The highlight of the city tour was the Lion Monument (Lowendenkmal). The huge sculpture is 33 feet long by 20 feet tall, carved right into a cliff face over a reflecting pool. The powerful lion rests his paws on a shield, with his head cocked to one side, tears streaming down his cheeks. There is a broken-off end of a spear sticking out of his side slowly killing the beast. This monument lion represents the Swiss mercenaries who were killed or executed defending the French king during the French Revolution. Dont you wonder why Switzerland was never invaded by Germany during World War II? The secret has recently been declassified. Clear back in 1880, the Swiss spent billions of dollars to build a national defensive system against invaders called the National Redoubt, which referred to the fortifications to secure the mountainous center of Switzerland around Lucerne. During WWII, the Germans had every intention of invading Switzerland. The Germans invasion plan, Operation Tannenbaum, was to capture Geneva and Lucerne while Italy would capture the Alps, and then the two countries were to divide the country. The Swiss, however, wanted Hitler to know that an invasion by the Third Reich would have a high cost. They made it clear that they would lightly defend the flatter areas of Switzerland, eventually leading the enemy into a trap through narrow passes in the Alps into an area totally surrounded by secret fortresses built into the sides of Mount Rigi, overlooking Lake Lucerne. Thousands of soldiers would be holed up there ready, with cannons, to shell the invaders with 105-millimeter cannons. Ultimately, Hitler was tied up with things like the Allied landing at Normandy and difficulties in invading Russia, so Switzerland was never invaded. During this same period, due to an abundance of caution as attorneys say, the gold reserves of the Swiss National Bank in Zurich were moved farther away from the German border to Bern for safekeeping. To see any of these fortifications today, a cable car brings tourists to the top of Mount Rigi overlooking Lake Lucerne. There, a large camouflage-green door carved into the mountainside opens onto a world that has been secret for years: the mountain fortress, and a vast network of defensive bunkers. The whole system wasnt something trivial; thousands of people worked night and day to tunnel into mountains and construct hiding places for weapons and men. Then the entrances were covered over to blend with surrounding foliage. Were talking here about the construction of 70 medium-sized redoubts, but another 10,000 smaller bunkers and command posts, along with three gigantic command fortresses, each holding 1,000 soldiers. To this day, Switzerland has mandatory military training, and they are in the reserves until they are 60 with 29 percent of Swiss households having government-issued machine guns in case of a military emergency. Australia to send 70 soldiers to UK to help train Ukrainian troops Scholz condemns Turkey's stance questioning Greek sovereignty Dollar, euro lose value in Armenia Turkish Central Bank raises inflation forecast for the end of 2022 to 65.2% U.S. State Department official visits Tsitsernakaberd Memorial Complex in Yerevan Prime Minister Pashinyan sends letter of condolence to Seyyed Ebrahim Raisi Secretary of Armenian Security Council and representatives of French Ministry of Defense discuss cooperation prospects Israel and Turkey to resume defense cooperation Scholz says solidarity is the only way to deal with the energy crisis Israeli and Turkish defense ministers meet in Ankara Turkey to rewrite inflation forecasts again after rate cut Azerbaijan does not want checkpoint on border with Armenia, it wants only 'corridor' Putin plans to attend meeting of CSTO leaders CSTO special session to be held Friday, assistance to Armenia to be discussed Estonia urges Rishi Sunak to increase UK defense spending Moscow perplexed by information about ban to enter Armenia for Konstantin Zatulin and Margarita Simonyan Armenia PM honors October 27, 1999 parliament tragedy victims U.S. and Western officials finalize plans to limit Russian oil prices EU seeks Armenia-Azerbaijan peace for its own energy interests? World economy is approaching recession US Armenians demand Senate member candidate Mehmet Oz to stop his Armenian Genocide denial Azerbaijan president, Russia deputy PM discuss prospects for unblocking South Caucasus communications Armenia opposition MP: Azerbaijan attempting to fulfill much bigger task with its attacks of aggression Armenia opposition pledges to become active again Syria MFA: Terrorist attack in Shiraz shows that terrorism has become U.S. policy main tool Lebanon and Israel approve maritime border agreement Pashinyan to Sunak: Armenia attaches great importance to further development of cooperation with UK U.S. accelerates deployment of modernized version of nuclear bomb at NATO bases in Europe Armenian Foreign Ministry expresses condolences to Iran over Shiraz terrorist act Premier: Armenia set new absolute record in income-salary jobs Armenia premier: We need to ensure 7% economic growth in 2023 also Gazprom: Creating gas hub will benefit Russia, Turkey, Europe and Azerbaijan Ruling force MP: Azerbaijan must withdraw its troops from sovereign territory of Armenia Armenia parliament speaker: We hope Uzbekistan will also remain part of building peace in our region CNN: CIA Director visits Ukraine OSCE needs assessment mission briefs deputy FM on their work in Armenia European Parliament report amendment condemns Azerbaijan policy of erasing Armenian cultural heritage in Artsakh Armenia to provide around $50M loan to Artsakh EU monitors in Armenia set off on first patrol on Azerbaijan border Armenia to introduce system of transition from compulsory to contractual military service Newsweek: American troops are preparing for war with Russia Azerbaijan and Russia discuss increasing number of checkpoints on border between 2 countries Ombudsperson to attorneys of Frances Montpelier: POWs trials in Azerbaijan are aimed at terrorizing Armenian society Karabakh parliament to convene special session Sunday Today marks 23rd anniversary of Armenia parliament tragedy Newspaper: October 31 trilateral meeting in Russias Sochi to not be groundbreaking US State Department: Armenia-Azerbaijan direct dialogue is key to resolving issues, reaching lasting peace Armenia MOD: No wounded soldiers in military hospitals who are in severe or critical condition Ukraine Presidents Office: Kherson direction situation changing unpleasantly for Kyiv Raisi: Terrorist attack in Shiraz will not go unanswered Turkey arrests doctor who called for investigation into chemical weapons use in northern Iraq Blinken: China has decided that the status quo in Taiwan is no longer acceptable Steven Mnuchin says China will face significant economic downturn that will affect rest of world German government allows Chinese company to buy reduced stake in Hamburg port terminal 'Corridor' between Armenia and Azerbaijan becomes subject of heated debate in European Parliament Awkward lunch: Macron humiliates Scholz in Paris Polish government prepares for 'potential use of nuclear or chemical weapons' by Kremlin Iran: Unknown shoot and kill 2 IRGC members EU calls on defense ministers of bloc countries to coordinate arms purchases What will Israeli defense minister discuss in Turkey Erdogan: We cannot allow 'terrorist organizations' to take the issue of Sweden's membership in NATO hostage KGB: Opponents of authorities will begin to rock situation in country in November-December Finance Ministry: Armenia plans to increase pensions in July next year Terrorist who carried out shooting in Shiraz is foreigner Saudi Arabia slams countries for using emergency oil reserves to manipulate prices Azerbaijani who fought in ranks of AFU killed in Kiev as result of Iranian drone strike Konstantin Zatulin: You don't have to be Armenian to love Armenia and Armenians Biden's approval rating approaches lowest level of his presidency just 2 weeks before election White House tones down its previous optimism about the midterm elections Ford Motor leaves Russian market by selling its stake in Sollers joint venture Council of Lazarev Club considers ban on Konstantin Zatulin to enter Armenia outrageous trick The New York Times: Saudi Arabia pissed off U.S. by derailing a secret deal Samvel Karapetyan: Various forces are pushing Armenia away from Russia, this cannot be allowed Dubai Silicon Oasis interested in cooperation with Armenia in IT sector Jens Stoltenberg announces his intention to visit Turkey Wiktorin: EU observation mission will ease tensions Saudi Aramco: European embargo on Russian oil increases uncertainty in global oil market Commander of Lithuanian Armed Forces against transfer of howitzers and air defense systems to Ukraine Armenian Finance Ministry gives outlook on economic activity and debt ratio Minister: Rehabilitation works after Azerbaijani Armed Forces' invasion continue The worst scenario for Russia in the context of the Karabakh conflict would be a war between Azerbaijan and Armenia, Russian Ambassador to Azerbaijan Vladimir Dorokhin told ANS TV of Azerbaijan, reported Haqqin.az news agency of the country. As per the Russian ambassador, the four-day war in Karabakh showed that this conflict is not frozen, and it once again stressed the need for taking major steps to resolve this conflict. Our friendship with Armenia has deep roots, the Russian diplomat said commenting on the query regarding a settlement to the Karabakh conflict. We are military and political allies. We are also in various integration unions. This conflict can be resolved solely by way of maintaining a balance of interests. There is a need to go to a compromise that the [conflicting] parties are able to trust each other. We dont pressure the conflicting parties; we seek a conflict resolution formula which would equally satisfy the two [conflicting] parties. Dorokhin also stated that a possible deployment of peacekeepers in the conflict zone is a figment of imagination by political scientists and journalists. The Russian ambassador argued that no discussions were held on the matter of peacekeepers. YEREVAN. Armenia stands ready for the Nagorno-Karabakh talks, even on the basis of the Madrid Principles. National Assembly Vice President Eduard Sharmazanov, who is also spokesperson of the ruling Republican Party of Armenia, on Monday told the aforesaid to reporters. This [i.e. the Madrid Principles] is not the document of Armenias dreams, but Yerevan accepts it as a basis, he said. Several working documents, including the Kazan document which Azerbaijan has rejected, were drawn up on its basis. The main [thing] for Yerevan is the [Karabakh peoples] right to self-determination (). Its a difficult road, but we need to go on that road. Sharmazanov stressed that the self-determination of peoples is a precept, whereas territorial integritya means. The precept shall serve the means, but not vice versa, he added. And we are saying: the people of Nagorno-Karabakh shall decide, and not you or I. If Azerbaijan is speaking about a phased option [for the resolution of the Karabakh conflict], the right to self-determination of Nagorno-Karabakh shall be recognized in the first phase; and the status quo can thus change. YEREVAN. Armenian Ambassador to Georgia Yuri Vardanyan had telephonic conversations with Governor Akaki Machutadze and Police Chief Teimuraz Kalandadze of the Samtskhe-Javakheti Province of Georgia, in connection with the tragic murders in Vachian village in the Akhalkalaki Region of the country. The Ministry of Foreign Affairs of Armenia informed Armenian News-NEWS.am that the Georgian side responded that an investigation is underway into this incident, and the suspect is detained. As reported earlier, four members of an Armenian familythe mother, Ofelya, 37, and the three children: Seryozha, 7, Asya, 16, and Armine, 18, Kachotyanon Sunday were found dead in their home in the aforesaid village in Javakhk. JavakhkGeorgian name: Javakhetiis a predominantly-Armenian-populated part of Georgias southeastern Samtskhe-Javakheti Province. Their neighbors had found the Kachotyans dead. According to preliminary information, the assailant(s) had killed the mother and her daughters with a blunt instrument, and strangled the boy to death. The table and chairs of the house were lying on the floor, and the house was sooty with smoke. The father of the family, Vaghinak Kachotyan, works in Russia. A newly opened Turkish-Georgian state border runs along Vachian village. Armenian News-NEWS.am has learned that the Georgian police on Monday detained three youth from the same village. They had entered the said Armenian familys home to carry out burglary. After killing four victims, they had set the house on fire to hide their tracks. Identity of the detained murder suspects, however, is not yet disclosed. Several Nagorno-Karabakh Republic (NKR/Artsakh) Government members, led by Prime Minister Arayik Haroutyunyan, were at the NKR National Assembly, where they presented the quarterly report of the operational headquarters of the government. The report also included information on the spending of the monetary donations that were transferred to the special bank account of the Artsakh government, since April 4. Accordingly, a total of 6,831 individuals and legal entities transferred money equivalent to 4,562,175,600 Armenian drams (approx. US$9,569,939) to the aforesaid special bank account, as of July 1. The NKR government spending of this amount included acquisition of military tools and equipment, engineering works, expenses for the needs of the NKR Defense Army, and monetary assistance to conscripted people and volunteers. The respective fundraising continues. Aside from monetary donations, the government of Artsakh also received considerable amount of food assistance, which was provided to the needy and the NKR Ministry of Defense. The NKR Government also thanked everyone who contributed to the strengthening of Artsakhs frontline and rear during and after the Azerbaijani aggression in early April. The operational headquarters of the NKR Government has coordinated the monetary, material, and other types of assistance that was sent to Artsakh in those days, from all over the world. The co-chairs of the OSCE Minsk Group intend to re-visit the region, but the exact date of the trip has not been determined, co-chair Igor Popov told RIA Novosti. According to him, the date of the visit is being negotiated with parties. He said that a regular meeting of all members of the Minsk Group with the participation of Russian, American and French ambassadors, as well as Personal Representative of the OSCE Chairman Andrzej Kasprzyk, took place in Vienna on Monday. On the whole, this meeting was devoted to the latest assessment of the mediation efforts that have been made by co-chairing countries, as well as to the results of the summit in St. Petersburg. My colleagues, the French and the American, told about conversations of [French President Francois] Hollande, as well as Secretary of State [United States John] Kerry. We also talked about how to proceed with further mediation work, the diplomat added. 22:13 Military service changed the Dallas gunman from an extrovert into a hermit, his parents said in an interview. Micah Johnson's mother, Delphine Johnson, told TheBlaze website in an interview published today that her son wanted to be a police officer as a child and that his six years in the Army Reserve, including a tour in Afghanistan, were "not what Micah thought it would be ... what he thought the military represented, it just didn't live up to his expectations." His father, James Johnson said haltingly and through tears: "I don't know what to say to anybody to make anything better. I didn't see it coming." Micah Johnson, a black 25-year-old who was accused of sexually harassing a female soldier while deployed in Afghanistan, fatally shot five officers in Thursday's attack while hundreds of people were gathered in downtown Dallas to protest recent fatal police shootings, and wounded at least nine officers and two civilians. Eleven officers fired at Johnson and two used an explosive device, Dallas Police Chief David Brown said today. He noted that the investigation will involve more than 170 hours of body camera footage and "countless hours" of dashcam video. Brown also said that Dallas police are taking all threats seriously in the wake of the shootings. "Bravery is not a strong enough word to describe what they did that day," Brown said of officers' response to Thursday's events. The police chief again defended the decision to kill Johnson with a bomb delivered by remote-controlled robot, had "already killed us in a grave way, and officers were in surgery that didn't make it." "This wasn't an ethical dilemma for me," he said. "I'd do it again. I do it again to save our officers lives." Authorities have said Johnson had plans for a larger assault, possessed enough explosive material to inflict far greater harm and kept a journal of combat tactics. "We're convinced that this suspect had other plans and thought that what he was doing was righteous and believed that he was going to target law enforcement make us pay for what he sees as law enforcement's efforts to punish people of color," Brown told CNN's "State of the Union" yesterday. The requested page is currently unavailable on this server. Back to [RTHK News Homepage] Cicadas are the Barry White of the insect world Summer days resonate with the sound of cicadas trying to make a love connection. But like a lot of singles, male cicadas dont always attract the kind of mates theyre hoping for. Cicada calls, it turns out, attract not just female cicadas, but sarcophagid flies in the mood for love, according to a study by Brian J. Stucky, a post-doctoral researcher at the Florida Museum of Natural History at the University of Florida. Heres where it gets weird. The love song also attracts pregnant sarcophagid flies looking to deposit maggots that burrow into the cicada and feed on its insides until they eat their way out. The cicada, as you might expect, does not survive. Previous studies have found that female parasitic flies sometimes use sound to find their hosts, but Stucky was surprised to find that both female and male flies were attracted to cicada calls. He investigated the role sound played by broadcasting cicada calls, then observing flies arriving and hanging out. Some of the female arrivals were pregnant, but many were not, so finding a host for their larvae was not the only goal. Indeed, males and females both demonstrated they had procreation in mind. Males repeatedly attempted to mate with other arriving flies, including other males, and some managed to do so with females. Stucky reaffirmed his observations by catching and counting flies that responded to the cicada calls in three different traps, with varying durations and volumes of the cicada signals. All told, he captured 110 flies, about 75 percent of which were females, including several that were not carrying larvae and thus not looking to find a host. He reasoned that the flies had come to mate when they heard and flew to the cicada sound. Otherwise, the trip would have been a waste of time and energy. The study suggests that hearing plays a more complicated role in insects than researchers might have thought, Stucky said. "Hearing may have originated as a means of finding a host but has become useful in another way as well." Defence ministry sources told IANS here that a group of heavily armed terrorists tried to breach the Line of Control (LoC) to sneak into India in Keran sector, triggering a fierce battle. "A soldier has been martyred in the ongoing operation," an official said. "The infiltration bid has been foiled." --IANS sq/kb/mr/ksk ( 91 Words) 2016-07-11-12:04:02 (IANS) When it comes to making a baby, turns out lying with your legs in the air after sex is unlikely to make a difference. Despite the positive results of small studies and a widely held belief in its benefit, the practice of keeping female patients immobilised after intrauterine insemination has no beneficial effect on pregnancy rates, according to results of a large randomised study. "Indeed," said investigator Joukje van Rijswijk from the VU University Medical Center Amsterdam, "it even tends to the opposite." Behind her conclusions lies a large randomised comparative study in which 479 patients with standard indications for IUI (unexplained or mild male factor infertility) were randomly assigned to 15 minutes of immobilisation immediately after insemination or to immediate mobilisation. With most patients having several cycles of IUI in their treatment course, the comparison was based on a total of 950 cycles of immobilisation and 984 cycles of mobilisation. Results showed that the cumulative ongoing pregnancy rate per couple was comparable between the two groups - a pregnancy rate of 32.2 percent after 15 minutes of immobilisation and 40.3 percent after immediate mobilisation. These differences were not statistically significant, despite the trend, indicating no benefit from a brief period of bed rest after insemination. "In our opinion," said Dr van Rijswijk, "immobilisation after IUI has no positive effect on pregnancy rates, and there is no reason why patients should stay immobilised after treatment." Asked if bed rest might also be of no help in natural conception plans, Dr van Rijswijk said the two insemination techniques are just too different to generalise, and she pointed out that as far as she is aware there have been no randomised trials to test the efficacy of a short period of immobility after the attempt. But according to Nick Macklon of the Southampton University, the findings undermine the "lying still, feet-in-the-air" advice given to many women trying to conceive. The findings have been presented at the European Society of Human Reproduction and Endocrinology annual meeting in Helsinki, Finland. (ANI) A new study from the Warwick University suggested that compounds in fruit and vegetables help us have a sunnier outlook on life, the Daily Mail reported. Researchers asked 12,500 Australians to keep food diaries for up to six years and answer questions on their state of mind. Andrew Oswald, one of the study team, said that eating eight portions of fruit and vegetables a day could bring as much happiness as an unemployed person getting a job. "Our study is consistent with the idea that real food is important for the mind," he said. (ANI) Differences within the Apna Dal party has taken an ugly turn with Anupriya Patel's mother Krishna Patel announcing to snap ties with BJP and even calling for a big rally in Varanasi on August 23. Party president Krishna Patel, had yesterday announced the separation from BJP after alleging that it had not followed the decorum of alliance even after Anupriya Patel was sacked from the party in May 2015. The dispute between the mother and daughter started after Anupriya was elected as the MP from Mirzapur in alliance with BJP and it turned bitter recently when she was made the Union Minister this week. A meeting was held here in Lucknow yesterday to approve the decision to snap ties with the BJP and holding of the rally in Varanasi. The meeting was chaired by senior party leader Chote Lala Maurya and attended by party president Krishna Patel, vice-president Pallavi Patel, Dr Kailash Patel and R B Singh Patel along with others. "BJP has started the policy of divide and rule by making Anupriya Union Minister," said Krishna Patel while her daughter Pallavi reacted that BJP has stabbed them in the back. Krishna Patel further said that her party will go with like-minded parties in the coming assembly polls. The mother and daughter were fighting to get control of the party and the matter is presently with the Election Commission over the claim of the election symbol. Apna Dal was founded in 1995 by Sone Lal Patel and it rose to prominence in the 2014 Parliamentary elections by aligning with BJP and winning its first two Lok Sabha seats Mirzapur and Pratapgarh. After Sone Lal's death in 2009, Krishna was made president of the party, while her younger daughter Anupriya was appointed as general secretary. Anupriya had won the 2012 Assembly election from Rohaniya seat in Varanasi which she vacated this year after getting elected to Lok Sabha from Mirzapur. Due to family feud, Anupriya was expelled by Krishna last year and both of them claimed themselves to be heading Apna Dal, which has two MPs. Meanwhile, UP BJP has termed the infighting within the Apna Dal as a family feud and hoped that the matter would be resolved soon. "We have given full honour to Apna Dal by making one of the two MPs of the party as Union Minister. We are sure that the alliance between the Apna Dal and BJP would continue in the coming assembly polls in the state too," said BJP spokesperson Vijay Bahadur Pathak here today. When asked about the snapping of ties with BJP by Krishna Patel, he said as the matter is purely a family dispute,BJP would not like to comment anything on it but it is sure that they should solve their issues without going in public.UNI MB PS SB 1338 -- (UNI) -- C-1-DL0196-824903.Xml Under this scheme the pious Gangajal, brought from Gangotri and Rishikesh, would be delivered at the doorsteps of people at nominal prices. Minister of State for Communications Manoj Sinha and Union Law Minister Ravi Shankar Prasad launched the initiative at a function in the capital city of Bihar. Prasad said the scheme has been launched within a short span of its conceptualization. He said the plan was mooted on May 30, 2016. Sinha on his part said the credibility of postal department is associated with the delivery scheme of Gangajal and it would fulfill the expectations of the people. As per reports, the plan to provide the holy water has already been implemented by some of the e-commerce websites and for a litre bottle sourced from Gomukh, the e-commerce company charges Rs 299. (ANI) Turns out, the world is not as you have been told. When it comes to journalism, the "truth" is indeed "stranger than fiction." According to a special report, the truth is being suppressed across the world as a variety of methods are being used to stop the journalists from covering the news. Editor Rachael Jolley said in the 'Danger in Truth: Truth in Danger' report that physical violence is not the only method being used to stop news being published. As well as kidnapping and murders, financial pressure and defamation legislation is being used, the report further revealed. Jolley added, "In many countries around the world, journalists have lost their status as observers and now come under direct attack." There's an increasing trend to label journalists as "extremists" or "terrorists" so governments can crackdown on reporting they don't like. According to Index's Mapping Media Freedom project, which tracks attacks on journalists in more than 40 countries, 35 incidents were reported where journalists were being linked to "extremism" to restrict reporting, 11 in Russia and others in Belgium, Hungary, France and Spain. Veteran journalists say certain countries including Syria are becoming almost impossible to cover. And citizen journalists in Syria say they are under enormous pressure to stop reporting but feel a responsibility to carry on despite the risks, particularly since so few international journalists are left in Syria. "All we can do is persevere, coping with the fear and the risks," one told Index. Laura Silvia Battaglia, who trains journalists in Iraq, noted, "In Iraq providing safety training is not only necessary, it's a duty for international originations who care about journalists and activists in dangerous zones. [...] Local journalism is vital if the Iraqi people are to know what is happening in their country, and to do that journalists need to continue to protect themselves." The report appears in the 250th issue of Index on Censorship magazine. (ANI) Following Pakistan's remark that called the killing of Hizbul Mujahideen commander Burhan Wani "deplorable', former external affairs minister Salman Khurshid on Monday said nobody has the right to comment on the internal affairs of India. "I think nobody has any business to comment on anything which is entirely our own internal matter," he said. Pakistan on Sunday condemned the killing of Wahni and Kashmiri protesters who clashed with security forces following Wahni's death. Khurshid asserted that the security forces have the right take any precautionary step to uphold law and order situation in Kashmir state. "If there is a state of emergency situation in which our armed and paramilitary forces have to take action, both to protect their own lives as wells secure law and order in our country, they have to take that action and nobody has the right question it," Khurshid told ANI. He added that proper safeguards have been put in place by Supreme Court, and the state Human Rights to check human rights violations and any foreign entity does not have the right to comment on it. "Our own safeguards that have been placed by Supreme Court, Human Rights commission of Jammu and Kashmir government, by the Government of India itself, those safeguards will be always applied and put in place but I don't think anybody from outside has the right to comment on this," he said. The statement released by Office of the Spokesperson, Pakistan, on Sunday said the extrajudicial killing of Wani and scores of other innocent Kashmiris is deplorable and condemnable. "Pakistan reiterates that the resolution of the Jammu and Kashmir dispute is only possible by the realisation of the right to self determination of the people of Jammu and Kashmir, as per the UNSC resolutions, through a fair and impartial plebiscite under UN auspices," the statement said. "Such acts are a violation of fundamental human rights of Kashmiris and can not deter the people of Jammu and Kashmir from their demand for the realisation of the right to self determination," it said. Islamabad also expressed its concern over the detention of Kashmiri leadership and called upon New Delhi to fulfill the human rights obligations as per the United Nation. "Pakistan also has serious concerns over the detention of Kashmiri leadership in Indian Occupied Kashmir and calls upon the Indian government to fulfill its human rights obligations as well as its commitments under the United Nations Security Council Resolutions," the statement said. . The Kashmir valley has been on a boil as widespread protests were carried out over Wani's killing with many separatists' calling for a shutdown.(ANI) During his tour, Singh will be visiting important defence establishments of the Australian Armed Forces. He is also scheduled to meet a number of high ranking defence officials including the Australian Army Chief and officials of Ministry of Defence of Australia. He will be visiting Australian naval ships Kuttabul and Adelaide, Headquarters Force Command, Headquarters Joint Operation Command, School of Military Engineering, Royal Military College, Land Network Integration Centre (LNIC) and Land Systems Division. (ANI) Sri Lanka's dual-tranche sovereign bonds could raise up to $1.5 billion, three sources close to the deal told Reuters on Monday, as book building began.The dual tranche 5.5-year and 10-year offering was launched early on Monday."The target volume is up to $1.5 billion," a source who has knowledge of the deal told Reuters.Two other sources confirmed the size of the deal.Finance Minister Ravi Karunanayake told Reuters the government saw an opportunity in the capital market through dual-tranche bonds."After the Brexit, investors are looking for safe-heavens and I think dual tranche is an opportunity get these investors attracted to our bond deals."Asian sovereign bonds have rallied this year as investors looked for yields in a low rate environment. According to JACI benchmarks, dollar bonds issued by Sri Lanka produced total returns of 10.11 percent in the year to date, more than established issuers such as the Philippines.Yield guidance for the 5.5-year tranche is indicated in the area of 6.125 percent and around 7.1256 percent for the 10-year.In comparison bonds due 2021 and 2025 are trading at a yield of 5.5 percent and 6.6 percent respectively."It may be absorbed given the lack of supply. We are yet to see if it will perform well in the secondary market given the valuation," said a Singapore-based analyst.He said the 10-year tranche looks fairly valued on the current levels and the 5.5-year bond yield will be a attractive for investors as long as it does not fall below 6 percent.According to Thomson Reuters data, hard currency bond primary issuance volumes in Asia ex-Japan ex-Australia are down 11.3 percent in the first half of the year with high yield volumes down 56.4 percent.Citigroup, Deutsche Bank, HSBC and Standard Chartered are joint bookrunners. The deal is expected to be rated B1/B+/B+, in line with the issuer, and should price on Monday.Last October, the sovereign sold a $1.5 billion 10-year bond at 6.85 percent. REUTERS DS PM1225 -- (Reuters) -- C-1-1-DL0177-829519.Xml Ministry of Development of Northeast Region (DoNER) has released Rs 250 crore to the Ministry of Railway today for laying 15.054 km long railway tracks to connect Agartala with Akhaura in Bangladesh. Transport Minister Manik Dey said here today the Railway Ministry had already released Rs 97 crore towards acquisition of land for setting up rail link between Agartala and Akhaura to West Tripura district administration. Mr Dey said the state government had been preparing for past few months to acquire the land and ensure the beginning of the track laying work in the Indian side. However, release of fund for land acquisition has long been waiting for us. "We have realigned the proposed track, including an elevated corridor spreading across 5 km stretches in Indian part, to avoid problem related to private land acquisition. The alignment has been finalised with the discussion of the private individuals and there is no land related problem in Tripura," Mr Dey said. He, however, stated that the state government had already served notices to the land owners and it will take about three months to complete the entire process and railway tracks laying work connecting Agartala with Akhaura is to be completed by 2017. An elevated corridor of 3.7 km long would be constructed on the Indian side to save cultivable lands, he said, adding that the DoNER had already sanctioned Rs 580 crore for the project. The Agartala-Akhaura railway route would connect Indian Railways with Bangladesh counterpart to improve connectivity and boost trade between the two countries. Also the 1,700 km distance between Agartala and Kolkata which passes the 'chicken's neck' in Siliguri would be reduced to 350 km if passengers could move through Bangladesh, officials said. The entire project cost for laying down the track on Indian side and Bangladeshi side would be borne by India. The two neighbouring countries had agreed to lay the tracks between Akhaura and Agartala during Bangladesh Prime Minister Sheikh Hasina's visit to New Delhi in January 2010.UNI BB AD PR AS1213 -- (UNI) -- C-1-DL0108-829411.Xml As soon as the house assembled, Opposition Leader in theLegislative Assembly Jagadish Shettar demanded taking up the motionmoved under Section 60 and allow discussion. When the Speaker K B Koliwad said that the notice has beenconverted and will be allowed for discussion under Sec 69 after HomeMinister G Parameshwara makes statement on the issue. Taking a strong objection to the Speaker's move, the Oppositionparty members including BJP and JD(S) thronged into the Welldemanding resignation of Mr George and permission forpreliminary discussion. Intervening, Chief Minister Siddaramaiah said that theGovernment has taken the issue seriously and hence Home Minister ismaking suo motu reply and the government is ready for a discussion later. Amidst slogan shouting and opposition members staging a dharna inthe Well of the House, Mr Parameshwara read out the statement andSpeaker adjourned the house for half an hour. Mangaluru Deputy Superintendent of Police Ganapathy committedsuicide by hanging himself from a fan in a lodge at Madikeri last week aftercomplaining about harassment by Minister George, who was then theHome Minister, besides two top police officials A M Prasad andPronab Mohanty. He had given an interview to a local TV news channelbefore committing suicide. Opposition protested soon after the house assembled as therewere no ministers in the front rows except Law Minister T BJayachandra. However, other ministers entered the house one by oneincluding Chief Minister Siddaramaiah. Mr Jayachandra said it was a law and order issue and it couldnot be taken up under adjournment motion but the government wouldnot object if the opposition want to discuss the matter. Opposition members protested against his statement even as MrJayachandra said Home Minister G Parameshwara would make a statementover Ganapathy's suicide incident. Opposition members stated that they are not interested in anystatement by the government as it is safeguarding the Minister anddemanded that Mr George should resign first. Mr Jagdish Shettar accused that it was a ''killer government''.MORE UNI RS MSP CS 1257 -- (UNI) -- C-1-DL0284-829555.Xml Thousands of Amarnath pilgrims, including those who had paid obeisance at the cave shrine, are stranded at Baltal and Nunwan Pahalgam base camps besides at Jammu due to closure of the 300-km-long Srinagar-Jammu national highway, linking the Kashmir valley with the rest of the country. The highway was closed on Saturday for security reasons following widespread violence, particularly in the south Kashmir, in protest against the killing of top commander of Hizbul Mujahideen (HM) Burhan Wani and two other militants in an encounter with security forces on Friday evening in Kokernag area in Anantnag district. About 20,000 pilgrims, who had returned to base camps of Baltal and Pahalgam after paying obeisance at the cave shrine in south Kashmir Himalayas since Friday have been stopped there after the authorities decided to close the highway for security reasons. However, some of the yatris, who had come in their own vehicles, had left for Jammu on Saturday. Officials said that stranded pilgrims are being provided all the facilities, including food and shelter at both the base camps. Thousands of pilgrims, who had come from different parts of the country are also stranded at Jammu as they are not being allowed to proceed towards base camps in Kashmir. Yatris have been stopped and decision to allow them to undertake the journey from here and Jammu will be taken only after assessing the security situation, particularly in south Kashmir as vehicles had to pass through Pulwama, Anantnag and Kulgam districts.UNI BAS PR SB PM1255 -- (UNI) -- C-1-DL0433-829521.Xml "Homage to a great son of Africa, a friend of India. PM lays wreath at Mausoleum of Jomo Kenyatta, 1st Prez of Kenya," tweeted Vikas Swarup, official spokesperson of the Ministry of External Affairs (MEA). PMO India tweeted: "Remembering a towering personality of Africa & 1st President of Kenya, Jomo Kenyatta. PM pays his tributes." Earlier, Modi was given a ceremonial welcome in capital city Nairobi. Modi will hold bilateral talks with Kenyan President Uhuru Kenyatta later in the day. Modi arrived in Kenya from Tanzania on Saturday on the fourth and final leg of his four-nation African tour. Jomo Kenyatta was the first President of Kenya and a politician. Kenyatta was the leader of Kenya from independence in 1963 till his death in 1978, serving first as Prime Minister (1963-64) and then as President (1964-78). --IANS sid/ask/rn/vt ( 171 Words) 2016-07-11-14:10:02 (IANS) Cyberabad West Commissioner V Naveen Chand today felicitated three persons who helped in the detection of gruesome murder of a Congo National Cynthia Vechel Kadima who was murdered by her Indian husband and was caught as an accused . The accused Rupesh Kumar Mohanani was arrested by the police and a vehicle was also seized from the outskirts of Madanapally village in Shamshabad where he burnt the body of Mrs Cynthia by cutting into pieces. The Commissioner maintained that due to the timely action of three citizens -- Kummari Venkatesh(30),. Nenavath Lal Singh Naik (33) and Katta Ramchander( 32), all residents of Madanpally village, the murder case was detected and an embarrassment to the Government more particularly the Cyberabad Police was avoided. Has the three good citizens have not acted on time, the case would have gone undetected as the accused is burning the dead body to screen the evidence,, the Commissioner said in a statement here. There is lot of appreciation from all corners of society for the help done by these three responsible citizens. The Commissioner rewarded the young citizens with cash and presented a good citizen certificate to them. The Commissioner also appealed to all the citizens to help police for their better safety and security in the society.. UNI KNR CS 1316 -- (UNI) -- C-1-1-DL0275-829582.Xml Till now it has been reported that over 25 people, mostly youth have been killed and hundreds injured. Massive people's unrest erupted after the encounter death of Burhan Wani and his associates. '' The brutal force used against protesters by the police and the armed forces that resulted in these unfortunate killings is condemnable,'' CPM Polit bureau said in a statement here. The administration should desist from using such excessive force against the protesters. Those responsible for killing innocent unarmed protesters must be identified and punished, it said. The present growing unrest is also an expression of intense people's alienation, anger against the oppressive policies and killings of unarmed civilians by security forces, it observed. While the activities of the extremist groups need to be checked to maintain law and order and civilian rule, it cannot be an excuse for such use of force against stone-throwing protesters, it said. Both the Central Government and the State Government, in which the BJP is a coalition partner, must immediately initiate the political process of dialogue with all political forces and groups to end this growing strife in the state and to restore peace and normalcy, the Polit Bureau demanded. At the Central level, the Union Home Minister must convene an all party meeting immediately, it also demanded.UNI KNR PY ADG 1430 -- (UNI) -- C-1-1-DL0298-829714.Xml Bollywood heartthrob Ranveer Singh, who is known for giving impromptu dance performances, gave 440 volt 'jhatka' to Indian audience while watching 'Sultan' in Paris theaters when he shook his leg on 'Baby ko bass pasand hai'. Ranveer is known for his eccentric character, both on screen and off it, hence it was no surprise when the actor went on to the stage to shake a leg to 'Baby Ko Bass Pasand Hai', while cheering for Salman. The 31-year-old actor can make anyone groove and this time, the actor could not stop himself and dance on the catchy tune of 'Baby Ko Base Pasand Hai.' As soon as the song started, Ranveer couldn't hold on any longer and went up on to the stage in front of the screen and began dancing, even as Salman and Anushka moved in a synchronised manner, behind him. Many videos were making rounds on internet and Ranveer himself shared the one today. "Check out @AnushkaSharma in the back like "buss Kar yaar!" #Sultan, he tweeted, along with the video on his twitter handle.The 'Bajirao Mastani' actor is currently shooting for 'Befikre' in Paris and is slated to release on December 9.UNI SHS SV SB 1408 -- (UNI) -- C-1-1-DL0329-829676.Xml However, defence ministry spokesperson, when contacted said details will be collected from the field commanders. Sources said troops guarding the LoC noticed a group of militants sneaking into this side from POK in Keran sector under the cover of darkness early this morning. However, when challenged and asked to surrender, militants opened fire with automatic weapons, sources said adding troops also retaliated. However, in the initial fire fight a soldier was hit, who later succumbed. Reinforcement was rushed from nearby camps and entire areas has been cordoned off to foil any militant attempt to escape. The operation was going when the reports last came in, they said.UNI ABS PR AS1413 -- (UNI) -- C-1-DL0433-829670.Xml City police is conducting investigations to establish a possible nexus between those involved in the smuggling of red sanders and antique idols. The Directorate of Revenue Intelligence (DRI) recently seized around 652 logs of red sanders weighing 36 tonnes, hours before before they were about to be smuggled to the United Arab Emirates. Based on a tip-off from this seizure, several artifacts and antiques including stone idols of Gods Mahavishnu and Dhakshinamoorthy and other ivory statues of various Indian Gods and Goddesses were recovered from a godown at Ayanambakkam in Vanagaram - Ambattur Road. The value of the total goods seized is estimated to be around Rs 16.20 crore. DRI officials, meanwhile said that the hunt has been intensified to nab the smugglers and inquiries are underway to know whether the wood was sneaked in from Andhra Pradesh or was previously stored in the godown. 'The redsanders in the containers were to be exported to China from the United Arab Emirates. The value of the wood is estimated to be Rs 8.30 crore and that of the idols is Rs 7.90 crore. We will rope in the Archaeological Survey of India (ASI) for the estimation', DRI sources said. Officials in the Regional ASI said that they have not received any official request from the DRI yet. 'However once the request is made, inspection will be carried out to confirm if these are antique idols and the details will be given to the police', the officials said.UNI XC CS 1455 -- (UNI) -- C-1-1-DL0275-829854.Xml All the reservoirs of 14 irrigation dams of this district have become full while the district administration has given a high alert to village on the banks of various rivers, including Panchanganga,Bhogavati, Kasari, Kumbhim, Warna, Kadvi, Tulshi, following continued overflowing with heavy rains in the district. Various bandharas (weirs) in the district, have come under water. Following incessant rain, many villages have lost contact withtehsils in districts. Meanwhile, the city Shiv Sena MLA Rajesh Khirsasgar has requesteddistrict collector, Dr Amit Saini to call a meeting of elected representatives and government officials, immediately to take stock of thesituation. According to the weather office, the highest rainfall was recorded in Gaganbavda tehsil with 190.50 mm during the last 24 hours ending 0800 hours this morning, followed by Shahuwadi,Panhyala and Radhanagari tehsils with 162 and 91.75 mm respectively. In other tehsils the rain in (mm) recorded was Karvir 76.18, Hatkanangale50.75, Shirol 54.74, Gadhinglaj 34.57, Bhudargad 78.20, Ajara 99.75 and Chandgad tehsil with 73.83 mm rainfall decorded during last 24hours. According to district irrigation department the highest rainfallrecorded in reservoir of Kadvi irrigation dam with 304 mm during the last 24 hours, followed by Kode,Kasari, Kumbhi dams with 246, 230 and 216 mm, respectively. In other irrigation dams including \\\\"Radhanagari 186,Tulshi 187, Warna 160,Dudhganga 155, Patgaon 106, Chikotra 158, chitri 89, Jagamhatti 140, Ghatprabha 140 and Jambhare 178 mm rainfall was recorded.UNI SSS NV PR GC1518 -- (UNI) -- C-1-DL0169-829829.Xml Oracle announced a new collaboration with ICTAcademy of Kerala (ICTAK) that supports Oracle's overarching goal ofeducating 500,000 students in India annually through Oracle Academy,the company's flagship philanthropic educational program.As part of the new partnership, 125 colleges in Kerala will becomemembers of the Oracle Academy program. Over the next three years,ICTAK intends to leverage Oracle Academy resources to create strongcomputer science education pathways for 6,000 students as theyprepare to launch successful careers in the IT field and meetgrowing demands for a highly skilled technology workforce in Kerala.Working with ICTAK, Oracle Academy will train 500 educators on itsacademic curriculum in Java Foundations, Java Fundamentals, JavaProgramming, Database Foundations, Database Design & Programmingwith SQL, and Programming with PL/SQL. After these educators aretrained, they will be tasked to pass on this training to other teachers.Additionally, ICTAK will deliver a customized course in Javafundamentals to students through the Government of Kerala'sAdditional Skill Acquisition Program (ASAP). The technical portionof the course uses Oracle Academy's Java Fundamentals course. Thisprogram aims to educate 500 students annually, in addition to thosestudents who will be educated using Oracle Academy courses.Earlier this year, Oracle CEO Safra Catz unveiled plans to expandthe company's computer science education efforts throughout India insupport of Prime Minister Modi's initiatives, including ''DigitalIndia'' and '' Skill India''.UNI JS NV NP1455 -- (UNI) -- C-1-DL0171-829881.Xml Bihar's most wanted criminal Mukesh Pathak, wanted in the sensational double murder case of engineers in Darbhanga last year, was today arrested by sleuths of the Special Task Forces(STF) from Ramgarh in neighbouring Jharkhand. Pathak, the main accused in the killing of two engineers of a private construction company on December 26, last year in Darbhanga, had been on the run after he escaped from police custody in Sheohar hospital on July 20, last year after offering sweets laced with sedatives to policemen guarding him. He had gunned down the two engineers near Shivram Chowk under Baheri police station in Darbhanga district on December 26,2015 after the private company engaged in road construction refused to pay extortion amount of Rs 75 crores. The sensational killing which took place a month after installation of the grand alliance government, had raised furore in the administrative and political circles with the main Opposition BJP accusing the Nitish Kumar government of compromising with the security of the people by aligning with Lalu Prasad's RJD. The private construction company had requested police to provide security cover to its staff and engineers after they received ransom call from the assailants five days before the two engineers were shot dead in public view. Investigation had revealed that the Santosh Jha gang was involved in killing of the two engineers after Rishi Jha, sharp shooter of the gang and five others were arrested in this connection. Gangster Santosh Jha is presently lodged in Gaya jail in connection with several criminal cases pending against him. Pathak had called at least six times to project manager and other officials of the private construction company to demand Rs 75 crores as extortion. When the company refused to follow his diktat he gunned down the engineers. Pathak had been demanding the amount, 10 per cent of the total contract of Rs 750 crore, awarded to the company, said an official of the construction company. The amount was the highest ever demanded by assailants in Bihar from a private company. UNI KKS IS PL PR AS1506 -- (UNI) -- C-1-DL0211-829824.Xml "He personally checked the rooms of several officers and supporting staff and also checked cleanliness of washrooms in the premises," a ministry statement said. Naidu emphasised on punctuality of staff members and asked joint secretaries to review punctuality and cleanliness in their respective offices on a daily basis. The minister also called for explanations from a few officers and staff not present in the office during his surprise visit. --IANS av/tsb/bg ( 108 Words) 2016-07-11-16:36:00 (IANS) Stating India-Russia bilateral trade has a strong potential for growth, Minister of State for Commerce and Industry Nirmala Sitharaman today said India is moving towards being synonymous to quality, reliability and durability in the field of high-end engineering or manufacturing. "The Indian companies participating at INNOPROM 2016 will highlight the strengths in the area of engineering and innovation under the 'Brand India Engineering' campaign. There is a strong potential for growth in India-Russia bilateral trade. Be it high-end engineering, or manufacturing, India is moving towards being synonymous to quality, reliability & durability," Ms Sitharaman, said at the welcome address of INNOPROM 2016, the largest annual international industrial trade fair of Russia. India is the partner country for INNOPROM 2016. India showcased its strengths in the area of manufacturing and engineering at the Opening Ceremony of INNOPROM 2016 on July 10, 2016, the Ministry said in a statement. Ms Sitharaman also held a bilateral meeting with Minister of Trade and Industry, Russia, Denis Manturov and discussed various specifics to further strengthen India-Russia industrial and trading ties. She also participated in the India-Russia business forum where she stressed on strong business-to-business ties between both countries.The India-Russia business forum is expected to form business associations between various Indian and global firms, it added. UNI ASH SW AE 1627 -- (UNI) -- C-1-1-DL0388-829951.Xml Metropolitan Magistrate Shivani Chauhan today granted bail to environmentalist R K Pachauri, who is accused of sexually harassing a colleague, and allowed him to travel to Mexico and the US. It was alleged that Mr Pachauri had sexually harassed a female colleague in 2015. Mr Pachauri was appointed executive vice chairman of the The Energy and Resources Institute (TERI) on February 8 and he went on indefinite leave from the organisation on February 12 after severe criticism.The woman researcher, who accused him of sexual harassment, quit her job at TERI, alleging she was treated badly. Police have charge sheeted the former chief of TERI under the Indian Penal Code's sections 354A (advances involving unwelcome and explicit sexual overtures), 354B (using criminal force against a woman), 354D (stalking), 509 (word, gesture or act intended to insult the modesty of a woman) and 341 (wrongful restraint). The copy of charge sheet was supplied to Mr Pachauri on the court direction.The court has granted anticipatory bail to Mr Pachauri in the case and he appeared before the court today in pursuance of summons issued against him on May 14.The Magistrate said, " Pachauri was never arrested during the probe in the instant case which goes to show that his custody is not required for the purpose of investigation". After hearing submission on behalf of Mr Pachauri the court granted regular bail to him in the harassment case with the condition to furnishing a personal bond of Rs 50,000 and a surety of like amount observing that the investigation in the case has been completed. The court further said "In these circumstances the accused is permitted to travel according to his itinerary from July 12 to August 14." October 6 is the next hearing. UNI XC RP1613 -- (UNI) -- C-1-1-DL0440-830028.Xml The Supreme Court today sought a detailed report from the Gujarat government after hearing a plea from noted social activist, Teesta Setalvad, who had sought its intervention in defreezing her bank accounts and her two trusts -- Sabrang Trust and Citizens for Justice and Peace (CJP). A division bench, headed by Justice Dipak Misra, also asked Ms Setalvad to hand over a copy of her plea which she had filed in the Apex Court in connection with defreezing her accounts to the Gujarat police and fixed the matter for further hearing on August 17.The Apex Court asked Ms Setalvad to give a copy to the Gujarat police after hearing from the latter that it had not received the copy of her plea on defreezing her and her trusts bank accounts. Senior counsel, Kapil Sibal, appearing for Ms Setalvad, told the Apex Court that the Gujarat police had freezed her two bank accounts and two trusts also. But the fact is that the allegations are against her Sabrang trust only and not against CJP.Ms Setalvad, along with her husband Javed Anand, is accused in connection with the alleged misuse of funds meant for making a museum in the Gulbarga society in Gujarat. The funds meant for the rehabilitation and welfare of the victims of riots in Gujarat in February-March 2002, including construction of "dream museum," were allegedly misused by Ms Setalvad and MrAnand, the Gujarat police had earlier said in an affidavit filed before the Apex Court. Denying any wrongdoing and misuse of funds, Ms Setalvad and Mr Javed claimed innocence in the entire case and had blamed political motives behind it. "I have not committed any offence in the case," she had said. On July 22 this year, the Gujarat police had filed an affidavit in the Apex Court and said that Ms Setalvad and her husband, Anand, as trustees of two trusts -- Sabrang Trust and Citizen for Justice and Peace (CJP) -- have allegedly "misappropriated funds of charity and converted these funds for their own use." An FIR had been filed last year against the activist and others for allegedly misappropriating the Rs 1.5 crore funds meant for the riot victims.UNI XC RP1703 -- (UNI) -- C-1-1-DL0440-830203.Xml An invitation to attend Indo-British Health Protection Summit has been received by him, a statement by the IHMA today said. He would be the lone representative from the country, it said adding the Association state chapter had accorded a send-off the to the doctor here.UNI PCH CS 1806 -- (UNI) -- C-1-1-DL0300-830420.Xml More than 500 Amarnath Yatra pilgrims from two Telugu states continue to be stranded in Jammu and Kashmir even as officials of the state ensured that they are provided food and steps being taken for their safe return. Official sources here today said that as Chief Minister N Chandrababu Naidu who is in Russia directed the officials concerned to take steps for the safe return of pilgrims. Sources said that over 400 pilgrims were stranded near Srinivagar, Pahalgaon and Bhavital. J and K state Principal Secretary Chaudary assured that the administration is keen to send them safely and all steps were being taken in this regard. Pahalgoan CRPF Commandant Raj Kumar told the AP officials that the stranded Telugu pilgrims were accommodated and being provided food. The AP Bhavan officials in New Delhi are maintaining constant touch with the J and K officials . Meanwhile, Joint Secretary to AP Chief Minister, A V Chandramouli confirmed that the stranded pilgrims crossed the Jawahar tunnel between Srinagar-Jammu in 79 buses.UNI DP CS 1907 -- (UNI) -- C-1-1-DL0275-830583.Xml Sri Lanka's first dual-tranche sovereign bond orders exceeded $4.5 billion while the final guidance for both tranches fell at least 25 basis points from the initial guidance, a source close to the deal told Reuters.The source said the final guidance for the 5.5-year tranche was about 5.8 percent +/-5BPS lower than initial guidance which was in the area of 6.125 percent.For the 10-year bond final guidance was around 6.875 percent +/-5BPS lower than the initial guidance of around 7.125 percent.In comparison, bonds due 2021 and 2025 are trading at a yield of 5.5 percent and 6.6 percent respectively.\\"It may be absorbed given the lack of supply. We are yet to see if it will perform well in the secondary market given the valuation,\\" said a Singapore-based analyst.He said the 10-year tranche looks fairly valued on the current levels and the 5.5-year bond yield will be a attractive for investors as long as it does not fall below 6 percent.Three sources close to the deal said the island nation could raise up to $1.5 billion, as book building began in Asia early on Monday.Finance Minister Ravi Karunanayake told Reuters the government saw an opportunity in the capital market through dual-tranche bonds.\\"After the Brexit, investors are looking for safe-heavens and I think dual tranche is an opportunity to get these investors attracted to our bond deals.\\"Moody's assigned a B1 rating to Sri Lanka's global bond offering provisionally, and said it expected to remove provisional status of the rating upon the closing of the proposed issuance and review of final terms.Asian sovereign bonds have rallied this year as investors looked for yields in a low rate environment. According to JACI benchmarks, dollar bonds issued by Sri Lanka produced total returns of 10.11 percent in the year to date, more than established issuers such as the Philippines.According to Thomson Reuters data, hard currency bond primary issuance volumes in Asia ex-Japan ex-Australia are down 11.3 percent in the first half of the year with high yield volumes down 56.4 percent.Citigroup, Deutsche Bank, HSBC and Standard Chartered are joint bookrunners. The deal is expected to be rated B1/B+/B+, in line with the issuer, and should price on Monday.Last October, Sri Lanka sold a $1.5 billion 10-year bond at 6.85 percent.REUTERS RSD BL1842 -- (Reuters) -- C-1-1-DL0356-830527.Xml Stating that Congress leader Jairam Ramesh is undermining the contribution of the party, which ushered in the era of liberalisation, Adani Group Founder Gautam Adani today asked why Mr Ramesh has sought an explanation over the mines allocated by the Congress government itself to the company. ''Adani Group does not own any mine in Chhattisgarh. The mine which has been referred to by Mr Ramesh was allocated to Rajasthan government during the UPA regime and the forest clearance was granted by Mr Ramesh himself during his tenure as the Minister of Environment and Forests. Incidentally during that period, State government of Rajasthan was also ruled by the Congress,'' Mr Adani said in a statement.The billionaire industrialist also said that the mine is owned by the Rajasthan government. ''The clearance was issued by the Chhattisgarh government to Rajasthan government. Hence, we are surprised and fail to understand, why Mr Ramesh is seeking an explanation from us on getting any benefit or favour in the said case?,'' he added.Mr Adani further said the Adani Group is proud about its contribution in nation building. This was made possible because of the opportunities provided, due to the opening-up of the Indian economy.He also praised former Prime Minister Rajiv Gandhi for opening up of the Indian economy, which was further strengthened by the Congress government in 1991. Most leading Corporate of today's India, including Adani Group, owe their success to this, he added.UNI ASH RJ 2026 -- (UNI) -- C-1-1-DL0388-830749.Xml BJP today slammed Delhi Chief Minister and AAP Chief Arvind Kejriwal for seconding a statement that BJP Chief Amit Shah had a deal with AIMIM Chief Asaduddin Owaisi for polarising voters during the Bihar Assembly polls. ''All allegations levelled by the AAP are baseless,'' BJP National General Secretary Shrikant Sharma said. The party general secretary issued a statement asking Mr Kejriwal and company to tell when and where Mr Shah and Mr Qwaisi met. Mr Sharma said the Delhi Chief Minister and his party are issuing misleading statements, just to divert public attention from various scams and cases of corruption, in which they have been found involved.UNI NY CJ RJ 2206 -- (UNI) -- C-1-1-DL0099-830967.Xml While exchanging views at a dialogue session at Press Institute of Bangladesh, Inu said the terrorist attacks were carried out as part of the mega plan made for toppling the government, Dailystar.net reported. "Those who carried out the attacks are enemies of Islam, religion and the country," he said adding that the government's "main aim now is to uproot the militants". The Information Minister also urged the media to extend their support to the government to curb militancy in the nation. Four people, including two policemen died in the attack near Sholakia field of Kishoreganj town where hundreds of thousands had gathered for Eid congregation on Friday morning. The attack came days after the terror attack on Holey Artisan Bakery in Dhaka's upscale Gulshan area, claimed 22 lives, including 17 foreigners. The attack drew worldwide condemnation. (ANI) Senior U.S. lawmakers have called for a joint committee hearing on Tuesday, titled "Pakistan: friend or foe in the fight against terrorism," during which they will decide their stance on Islamabad. The hearing held jointly by the House Subcommittees on Terrorism, Nonproliferation and Trade and Asia and the Pacific, will debate the alleged 'double game' of Pakistan and once again ask if it is Washington's friend or enemy. The meeting would determine how United States further wants to deal with Pakistan, an old ally that many in the Congress no longer trust, reports the Dawn. Republican lawmaker and Congressman Ted Poe, who has brazenly displayed his dislike for Pakistan, is the the chairman of one of the subcommittees. "This hearing will give members the opportunity to learn more about Pakistan's longstanding ties to terrorist groups and allow for a more informed reassessment of US foreign policy priorities vis-a-vis Islamabad," said Poe while explaining why he had called the hearing. Former U.S. ambassador to Afghanistan and United Nations Zalmay Khalilzad, Senior Editor Bill Roggio of Long War Journal and Assistant Professor Tricia Bacon of American University are the three witnesses for the hearing, who have been asked to explain Pakistan and its policies to the lawmakers. In a recent statement, Khalilzad had urged the United States to adopt a policy of total isolation against Islamabad and turn it into a "second North Korea" to force it to cooperate for peace in Afghanistan. "The United States has spent tens of billions of taxpayer dollars in aid to Pakistan since 9/11. Now, 15 years later, Pakistan's military and intel services are still linked to terrorist organisations and little success has been made to stabilise the region," said Subcommittee Head on Asia and Pacific Matt Salmon. "We must take a closer look at U.S. goals, expectations and our aid spending in the region. In this hearing, we will discuss the administration's failed policy towards Pakistan and debate the best way forward," he said.(ANI) ''Kabul is continuously blaming Pakistan for whatever is happening there [in Afghanistan] due to social, economic and political instability,"Adviser to Pakistan Prime Minister on foreign affairs Sartaz Aziz yesterday said during an interview to Radio Pakistan. Islamabad wants peace in Afghanistan since it is imperative for stability in Pakistan and the region, he said, a report published in Pakistan Daily Express Tribune said. Afghan President Ashraf Ghani has accused Islamabad of continuing to distinguish between 'good and bad terrorists' as he excluded Pakistan from countries with whom Afghanistan has forged successful peace initiatives. He said Pakistan is taking indiscriminate action against all terrorists during operation Zarb-e-Azb. He reiterated a statement from the Foreign Office on Friday which warned Mr Ghani against blaming Pakistan for all of Afghanistan's failures. Commenting on the violence in Kashmir, Mr Aziz said, ''New Delhi cannot bury the issue till Kashmiris are granted right to self-determination.'' "Pakistan strongly condemns the Indian forces brutalities' in Kashmir during the last two days." He added that India was committing serious human rights violations in the disputed valley by suppressing the voice of Kashmiris. Mr Aziz, though, insisted that Islamabad wants to resolve all issues with New Delhi via talks. "Pakistan wants to resolve all outstanding issues with India through composite dialogue."UNI XC SV SB 1147 -- (UNI) -- C-1-1-DL0103-829415.Xml In a scathing editorial written by the European Parliament's Vice President Ryszard Czarneck titled 'Wake up call to Anti-terrorism Ayatollahs', Pakistani links to the recent Ramzan terror attacks in Saudi Arabia have signaled the arrival of the Lashkar-e-Toiba's "humanitarian" NGO Falah-e-Insaniyat Foundation (FIF) as being the source for terror attack on Medina. Czarneck mentions in his article that ever since ISIS's exponential proliferation in the middle east, the activities of Pakistani linked Lashkar-e-Toiba (LeT) and its sister concern Falah-e-Insaniyat (FIF) have also picked up. However, both their activities were earlier dismissed as being primarily focused on India. But,the recent terror attacks in Medina however, have changed that opinion. "The arrest of 12 Pakistanis for the suicide attacks in Medina, the western city of Jeddah, and the eastern city of Qatif, has made even the Saudis sit up and take note. One of the arrested ring leaders is Abdullah Qalzar Khan (34), a driver by profession and a resident of Jiddah for over a decade. His arrest shows FIF's quiet ways of radicalisation of the Pakistani diaspora, to pump prime the LeT's campaign for a new Islamist world order based on Sharia - religious and temporal practices that date back to the days of Prophet Muhammad," writes Czarneck. The FIF is a 'charitable' organization started by the perpetrator of the Mumbai terror attacks in 2008, Hafiz Saeed. Czarneck writes that the FIF in its operations doubles up as a recruitment agency for affected, radicalized youth. Whilst distributing blankets in Syria, distributing knick-knacks during prayer time in Gaza or distributing relief material during the Nepal Earthquake organizations like LeT soon follow their FIF comrades into an indoctrination/recruitment campaign. An example of FIF founder Hafiz Saeed's indoctrination tool can be seen in the recruitment of Ghulam Mustafa Rama, the man behind the 2001 shoe bomber, Richard C. Reid. From a butcher shop in Northern Paris, Rama graduated to becoming the French face of the Hafiz Saeed floated Markaz Dawa ul-Irshad. He remained in touch with his Pakistani handlers via a call center operated by his countryman and eventually provided logistical support to the 'shoe bomber' Richard Reid in December 2001. Hafiz Saeed has tapped the Pakistani diaspora abroad to recruit terrorists in the past, in 2003 Faheed Khalid Lodhi was planning a series of bomb blasts to attack the National Electricity Grid in Australia among other Australian Defense installations. Lodhi's arrest led to a direct link with LeT. The FIF/JuD supremo's recruitment prowess hasn't waned as the years have passed. According to The Sunday Times, three months ago, Hafiz Saeed tapped into one of the accused in the Mumbai 2008 attacks, Muhammad Ghani Usma, a veteran bomb maker for LeT and sent Usman via the refugee route into Europe. Luckily, Usman was nabbed at a Austrian refugee center before he could carry out the task he was assigned. "From Paris to Salzburg, from Sydney to Kathmandu, and from Italy to Belgium it has been a long footprint for the Falah-e-Insaniyat Foundation, and its master Hafeez Saeed and the LeT. Yet, the Western anti-terrorism Ayatollahs have not given its apparatchiks a run for their money - a sad commentary by itself on the way the world looks at the 21st century phenomenon of terrorism, that has no parallels when viewed through the tinted glasses of a bygone era," writes European Parliament Vice President RyszardCzarnecki. LeT and other Pakistani terror organizations that are spawned by ideologues who believe in a militant Sharia world order are working overtime in recruitment and are a threat that needs to be tackled head on wrote the European Parliament Vice President. (ANI) Peoples Party of Pakistan chairman Bilawal Bhutto Zardari has alleged that "Sharif-Modi friendship" is causing irreparable damage to the Kashmir cause."While Muslims celebrated Eid-ul-Fitr across the world, our Kashmiri brethren marked the day of festivities amid violence carried out by the Indian army," daily Dawn reported quoting Mr Bilawal's statement yesterday. "Scores of people, including women, were injured on a day that was supposed to be an occasion of joy and celebrations. Kashmiri leaders were denied the right to offer Eid prayers and people were traumatised by brutality of Indian army. Such aggression by Indian authorities has exposed the sham democracy prevailing in India," Mr Bilawal said.An aide to the PPP leader said that Mr Bilawal would return from London this week and address rallies where he would speak against Indian 'brutalities' in Kashmir and criticise Prime Minister Nawaz Sharif for taking a `soft stance' towards India.Mr Bilawal said it seemed as if Mr Sharif and Indian Prime Minister Narendra Modi had taken a similar stance over the killings in Kashmir.He accused Mr Sharif of damaging the country's foreign policy by giving his Indian counterpart a "certificate of friendship"."Mr Sharif is jeopardising the Kashmir issue by building his association with the Indian prime minister," the PPP leader alleged.Reacting to Mr Bilawal Bhutto's remarks, federal Information Minister Pervaiz Rashid said that the PPP leader should not hurl stones at others while "sitting in a glass house".Elaborating, he said that the PPP chairman should recall the Kashmir policy of his grandfather, mother and father, adding that as prime minister Benazir Bhutto had welcomed her Indian counterpart Rajiv Gandhi (on his arrival in Pakistan).UNI XC ADG RP1356 -- (UNI) -- C-1-1-DL0177-829369.Xml The shooting at a bus station in the southeastern Turkish city of Sanliurfa, was not a terror attack, Xinhua news agency reported. The 17-year-old shooter was travelling with his family and had taken his father's gun, an official said. He opened fire at three police officers as they asked people at the bus station for their identification papers and then fled before opening fire on another group of police. Three of the police officers later died in hospital. --IANS py/vt ( 114 Words) 2016-07-11-14:56:06 (IANS) India and Kenya today signed seven agreements in various fields, including in defence cooperation and revised double taxation avoidance. The agreements were inked after Prime Minister Narendra Modi held bilateral talks with Kenyan President Uhuru Keyatta. An agreement to grant 15 million dollars of Line of Credit to Kenya for the development of its small and medium enterprises and another 29.5 million dollars for upgrade of Rift Valley Textile Factory were signed. Boosting the India-Kenya defence partnership, the Prime Minister also handed over keys of 30 field ambulances gifted to Kenya for the use of its defence forces. Addressing a joint media briefing with Kenyan President, Mr Modi said the closer cooperation in maritime security occupies an important place in the defence and security engagement. More UNI MK SW AE 1519 -- (UNI) -- C-1-1-DL0090-829911.Xml The European Union's trade chief described populist movements in the United States and elsewhere as "a recipe for isolation and failure" today even as she sought to allay fears about Britain's exit from the EU during a trip to China.Cecilia Malmstrm, the commissioner for trade, made the remarks before the EU's annual summit with China, where the two sides are expected to make progress on a major investment deal and discuss issues from steel overcapacity to China's island-building in the South China Sea.Asked by a student about the possibility that presidential candidate Donald Trump could become the the United States' next leader, Malmstrm said that populists coming to power around the world could lead to the closing of borders."The debate in the US today personified by candidate Trump is one of strong criticism against globalization, against trade. The effects of the global crisis have hit many people really, really hard," Malmstrm said. "Many populists around the world prey on these feelings, on these fears."If populists come to power, "that is a clear recipe for isolation, for failure, and for less prosperity," she added.However, she said that China and the EU must maintain close ties with the US whether Trump or Democrat Hillary Clinton wins the White House.Opposing trade deals has generated controversy within Trump's own Republican Party, but won him supporters among American voters concerned about the loss of manufacturing jobs at home.Trump has also repeatedly lambasted China's trade and currency policies as damaging to US interests, raising concern in Beijing.Also today, Malmstrm called Britain's decision to leave the European Union a "little moment of uncertainty", and she sought to allay fears it would hurt the EU's relationship with China.The Brexit vote will not impact the EU's trade commitments to China in future, she said, adding that Britain will remain part of the EU for at least two years.Malmstrm also criticised China, saying the country had to provide a level playing field for European companies before a free trade agreement could be put on the table.New rules on cybersecurity, non-governmental organisations and national security were "steps backward", she added, saying the business environment for European companies had worsened in the country. REUTERS RSD AS1605 -- (Reuters) -- C-1-1-DL0435-829976.Xml The shocking shooting deaths of five Dallas police officers have magnified the challenge that Hillary Clinton faces as she tries to reassure both voters jittery about social unrest and activists angry about law-enforcement abuses that she is on their side.For Clinton, the likely Democratic presidential nominee, the political concerns going forward are two-fold. She can't afford to alienate black and progressive voters she needs to show up in large numbers in the November election by taking too strong a stand against the protests like those recently in Louisiana and Minnesota that resulted in hundreds of arrests.At the same time, Clinton can't allow more moderate voters worried about the violent images on their TV screens to gravitate to her Republican rival, Donald Trump, who has attempted to use the tragedy in Dallas to argue that he is the better law-and-order candidate.Clinton herself recognizes the fine line she is trying to navigate. In remarks Friday following the Dallas sniper attack that left five officers dead, she acknowledged she was sending a mixed message in advocating for reform to curb police misconduct while at the same time praising the honor and bravery of police officers."I know that, just by saying all these things together, I may upset some people," Clinton said in Philadelphia.Polling by Reuters/Ipsos has revealed sharp differences in how Trump and Clinton's supporters view the police when it comes to African-American suspects. Just 24 per cent of Trump voters believe that black people are treated worse than whites compared to 55 percent of Clinton voters, according to a poll conducted between May 13 and June 7.African-Americans were also almost twice as likely as whites to describe the police as "too violent," according to Reuters polling.The slain Dallas gunman, Micah Johnson, shot a dozen Dallas officers because he wanted to "kill white people," authorities said.Prior to the attack, Clinton had tried to demonstrate her solidarity with the Black Lives Matter movement after black men were killed by police in a suburb of St. Paul, Minnesota and Baton Rouge, Louisiana. "White people" need to start listening, she said, "to the legitimate cries that are coming from our African-American fellow citizens."Clinton's words were seized upon yesterday by retired Lieutenant General Michael Flynn, a top contender to be Trump's vice-presidential pick. Flynn, the former head of the Defense Intelligence Agency, called Clinton's comments "irresponsible" in an interview with ABC News because she "talked about white people being to blame."Trump argued on Twitter Sunday that the United States is a "divided nation" due in part to the leadership of Clinton and President Barack Obama. Reports had him now strongly considering Flynn as a running mate in part because of Americans' growing concerns over public safety.DEBATE OVER DEATH PENALTYBut Clinton has also had problems with black activists. Two Black Lives Matter advocates crashed a fundraiser in February, complaining about Clinton's past comments about youth gangs. And in April, protesters sparred with her husband Bill Clinton, the former president, over the 1994 bill he signed into law that put non-violent offenders in prison for longer terms.Clinton has spoken at length about criminal-justice reform and scaling back gun rights, but still is viewed by many progressives, who have increasing sway in the party, as too centrist. Democrats, for example, are expected to formally call for the abolition of the death penalty for the first time at the party's nominating convention later this month.While Clinton has been critical about racial bias in the application of capital punishment, she has come short of saying it should be done away with. Trump has argued the death penalty should be automatic for anyone found guilty of murdering a police officer.Steve Schale, a Democratic strategist in Florida, said he believes Clinton can find a message that can appease both moderates and liberals on the issue of police violence."She can talk to the types of voters who strongly support their local law enforcement, but who also understand there are very troubling stories coming out of certain communities and real issues that need to be addressed," he said.Clinton may be able to utilize Vice President Joe Biden, well-liked by law enforcement, as a surrogate to reach out to police groups. Clinton and Biden were scheduled to campaign together Friday, but the event was cancelled in the wake of the Dallas incident.However, Biden, as a US senator, was an advocate of the 1994 crime bill that's now the object of scorn among black activists, underscoring the thorny politics of the issue within the party.Trump continues to enjoy the same kind of advantage among white voters that Mitt Romney, the 2012 Republican nominee, held. The most recent Reuters tracking poll shows Trump leading Clinton among white likely voters by two points, while Trump trails Clinton among all likely voters by 13 points.That makes African-American turnout particularly critical for Clinton. In 2012, Romney won almost 60 percent of the white vote but still lost handily to Obama, who drove minorities to the polls in high numbers.REUTERS RSD AS1605 -- (Reuters) -- C-1-1-DL0435-829995.Xml Iran's judiciary has referred the cases of four detained Iranian dual-nationals to court, the Tasnim news agency quoted a senior judiciary official as saying today.Several Iranian dual nationals from the United Sates, Britain, Canada and France have been detained in the past few months and are being kept behind bars on various charges, including espionage or collaborating with a hostile government."After the issuance of indictments, the cases against British-Iranian Nazanin Zaghari-Ratcliffe, American-Iranian Siamak Namazi, Canadian-Iranian Homa Hoodfar and US-Lebanese Nizar Zekka ... have been referred for processing to court," Tehran prosecutor Abbas Jafari Dolatabadi said.He stopped short of saying whether the court was a Revolutionary Court, which handles security-related cases.Iran does not recognise dual nationality and treats detainees only as Iranian, depriving them of consular access.Zaghari-Ratcliffe, 37, a programme coordinator with the London-based charity Thomson Reuters Foundation, was detained in early April before boarding a flight back to Britain with her two-year-old daughter. Her British husband, Richard Ratcliffe, says their daughter has been put in the care of Zaghari-Ratcliffe's family in Iran.Iran's elite Revolutionary Guards Corps IRGC accused Zaghari-Ratcliffe in a statement published last month of trying to "overthrow" the government. Her husband has dismissed the accusation.Dubai-based businessman Siamak Namazi, a dual US-Iranian citizen, was detained by the IRGC last October while in Iran visiting his family.Dolatabadi did not mention the case of Namazi's 80-year-old father, Baquer Namazi, another dual American-Iranian national who his family said was detained in February. The Iranian authorities have not confirmed the elder Namazi's detention.Hoodfar is the most recent dual national to be arrested in Iran. The IRGC detained the 65-year-old Canadian-Iranian scholar on June 6 in Tehran. She had travelled to Iran in February for personal reasons, but had also been continuing her academic research while in the country, her family said.Iranian state media said in November that American-Lebanese IT expert Nizar Zekka had been detained in Iran, accusing him of having links to the US military and intelligence agencies.Lebanese media reported that Zakka had disappeared on September 18 after attending a conference in Tehran.The Iranian judiciary has not commented on their cases or made the charges against them public. But Iran's judiciary spokesman said in January that most of the detained dual nationals were facing espionage charges.In January, Iran released four Iranian-American dual nationals and an American in a prisoner swap negotiated between the United States and Iran coinciding with the implementation of a landmark nuclear deal with six major powers in 2015 aimed at curbing Tehran's nuclear programme in return for the lifting of economic sanctions.The United States also released seven Iranians, six of whom also had dual US nationality.REUTERS RSD AS1607 -- (Reuters) -- C-1-1-DL0435-830015.Xml Militant groups smuggled some of their members into Europe in the wave of migrants who have fled from Syria, German Chancellor Angela said today."In part, the refugee flow was even used to smuggle terrorists," Merkel told a rally of her Christian Democrats in eastern Germany.More than 1 million migrants arrived in Germany last year, many of them Syrians. REUTERS SDR BD1712 -- (Reuters) -- C-1-1-DL0431-830252.Xml Japan today ordered three C-130 military transport planes to an air base in east Africa to prepare to evacuate Japanese trapped by fighting in South Sudan's capital, Juba."We want to have the aircraft on standby as soon as possible to conduct any evacuation," Japan's Minister of Defence Gen Nakatani said after issuing the order."The situation there is very fluid."Japan's Chief Cabinet Secretary Yoshihide Suga said earlier some 47 government aid workers had been ordered back to Japan with between 20 to 30 other Japanese civilians in the capital.The three transport planes would stand by at Japan's Self Defense Force base in Djibouti, about 3,000 km 1,865 miles east of Juba.While Japan has chartered commercial aircraft and used the prime minister's Boeing 747 jumbo in the past to evacuate Japanese in danger overseas, it would be the furthest and only the second rescue mission by military aircraft.The rescue would be another sign that Japan's defence force is increasing its ability to conduct operations far from home, as the government considers a relaxation of constitutional constraints on the military.A contingent of 350 Japanese army engineers in South Sudan, who are rebuilding roads and other infrastructure as part of a peace keeping operation, will remain for now, Nakatani said.At least 272 people have been killed in renewed fighting in South Sudan which erupted today.REUTERS RSD AS1700 -- (Reuters) -- C-1-1-DL0435-830217.Xml Biswal met Canadian High Commissioner Benot-Pierre Laramee, acting British High Commissioner Mark Clayton and acting Australian High Commissioner Sally-Anne Vincent at US Ambassador Marcia Bernicat's residence in Gulshan around 8:30 a.m., reports the Daily Star. Later, she also visited the Holey Artisan Bakery where militants killed 22 people, including 17 foreigners and paid tributes. Biswal will also hold a meeting at the Prime Minister's Office to meet with Major General (retd) Tariq Ahmed Siddique, Security Adviser to Prime Minister Sheikh Hasina and Dr Gowher Rizvi, PM's International Affairs adviser. She is also expected to call on Prime Minister Sheikh Hasina in the evening and meet Home Minister Asaduzzaman Khan. Biswal arrived in Dhaka yesterday on a two-day visit to Bangladesh. On Tuesday, she will leave for Colombo to meet senior government officials and political leaders to discuss bilateral economic cooperation as well as democratic governance and reconciliation. (ANI) Amid spurt in terror attacks, especially by Islamic State, Prime Minister Narendra Modi today said terrorism and the rapid spread of radical ideologies pose a common challenge to the entire humanity. Wrapping up his four-nation visit of the African continent, during which he raised voice against terrorism, Mr Modi underscored the need all countries to come together to combat the menace. "Terrorism and the rapid spread of radical ideologies pose a common challenge to our people, our countries, to the region and to the whole world. We have agreed to deepen our security partnership including in the fields of cyber security, combating drugs and narcotics, and human trafficking," said the Prime Minister at a joint media briefing with Kenyan President Uhuru Kenyatta. The joint address came after both the leaders held restricted talks, followed by the delegation level discussions and signing of the seven agreements, including one in the field of defence cooperation. A strong pitch against the threat posed by terrorism, focus on defence cooperation and the emotional bond, left by the legacy of Mahatma Gandhi, have the template of Mr Modi trip to Mozambique, South Africa, Tanzania and Kenya. During the day-long hectic engagements, the Prime Minister started his Kenya visit by laying a wreath at the mausoleum of Mzee Jomo Kenyatta, the first President of the east African nation. In a boost to defence partnership, the Prime Minister also handed over keys of 30 field ambulances gifted to Kenya for the use of its defence forces.He also emphasised on closer cooperation in maritime security, which he said, occupies an important place in the defence and security engagement. In health and nuclear cooperation, the Prime Minister presented Bhabhatron to Kenya, which is a state-of-art nuclear medicine cancer therapy machine, developed and manufactured in India. UNI MK SW RP1827 -- (UNI) -- C-1-1-DL0090-830393.Xml Iran's judiciary has indicted three detained Iranian dual-nationals and a Lebanese citizen also held in the Islamic Republic, the judiciary's official news website Mizan said today.Several Iranian dual nationals from the United Sates, Britain, Canada and France have been detained in the past few months and are being kept behind bars on various charges, including espionage or collaborating with a hostile government."After the issuance of indictments, the cases against (British-Iranian) Nazanin Zaghari-Ratcliffe, (American-Iranian) Siamak Namazi, (Canadian-Iranian) Homa Hoodfar and (U.S.-Lebanese) Nizar Zekka ... have been referred to court for processing," the website quoted Tehran prosecutor Abbas Jafari Dolatabadi as saying.He did not provide details about the charges brought against the four. Dolatabadi also did not say whether the court was a Revolutionary Court, which handles security-related cases.A judiciary source told Reuters their cases had been referred to the court "within the past two weeks"."But it does not mean that they will stand trial soon. Iran's judiciary is reviewing their cases very carefully," said the official, who asked not to be named because of the sensitivity of the matter.Iran does not recognise dual nationality and treats detainees only as Iranian, depriving them of consular access.Zaghari-Ratcliffe, 37, a programme coordinator with the London-based charity Thomson Reuters Foundation, was detained in early April before boarding a flight back to Britain with her two-year-old daughter. Her British husband, Richard Ratcliffe, says their daughter has been put in the care of Zaghari-Ratcliffe's family in Iran.ACCUSATIONSIran's elite Revolutionary Guards Corps (IRGC) accused Zaghari-Ratcliffe in a statement published last month of trying to "overthrow" the government. Her husband has dismissed the accusation.Dubai-based businessman Siamak Namazi, a dual US-Iranian citizen, was detained by the IRGC last October while in Iran visiting his family.Dolatabadi did not mention the case of Namazi's 80-year-old father, Baquer Namazi, another dual American-Iranian national who his family said was detained in February. The Iranian authorities have not confirmed the elder Namazi's detention.Hoodfar is the most recent dual national to be arrested in Iran. The IRGC detained the 65-year-old Canadian-Iranian scholar on June 6 in Tehran. She had travelled to Iran in February for personal reasons, but had also been continuing her academic research while in the country, her family said.Iranian state media said in November that American-Lebanese IT expert Nizar Zekka had been detained in Iran, accusing him of having links to the US military and intelligence agencies. Lebanese media reported that Zakka had disappeared on September 18 after attending a conference in Tehran.The Iranian judiciary has not commented on their cases or made the charges against them public. But Iran's judiciary spokesman said in January that most of the detained dual nationals were facing espionage charges.In January, Iran released four Iranian-American dual nationals and an American in a prisoner swap negotiated between the United States and Iran coinciding with the implementation of a landmark nuclear deal with six major powers in 2015 aimed at curbing Tehran's nuclear programme in return for the lifting of economic sanctions.The United States also released seven Iranians, six of whom also had dual US nationality. REUTERS SDR AS1754 -- (Reuters) -- C-1-1-DL0431-830375.Xml A group of Israelis and Americans bereaved in Palestinian attacks said today they would seek 1 billion dollar in damages from Facebook Inc. for alleged complicity, as part of a suit filed in the United States against the social media giant.The plaintiffs, relatives of four Israeli-US dual nationals and one visiting US citizen who died in attacks in Tel Aviv, Jerusalem or the occupied West Bank between 2014 and 2016, accused Facebook of helping Hamas militants operate.Asked to comment on the lawsuit, Facebook's Israeli PR firm said the company "does not respond on any issue currently subject to legal procedure".The private legal initiative follows censure from Israel's security minister over what he deemed the firm's reluctance to help track potential Palestinian militants and curb incitement to violence. In response, Facebook defended its regulations against online abuse.Hamas formally claimed responsibility for one of the attacks cited in the lawsuit. The plaintiffs' Israeli lawyer, Nitsana Darshan-Leitner, said they had expert assessments linking Hamas to the other attacks.The lawsuit, filed with the US District Court for the Southern District of New York, argued the platform "knowingly provided material support and resources to Hamas ... facilitat(ing) this terrorist group's ability to communicate, recruit members, plan and carry out attacks, and strike fear in its enemies".Hamas is designated a terrorist organisation by the United States. The lawsuit was brought under the Anti-Terrorism Act of 1992 which prohibits American businesses from providing any material support, including services, to designated terrorist groups and their leaders.Sami Abu Zuhri, a Hamas spokesman in Gaza, called the lawsuit an Israeli attempt to blackmail Facebook and accused Israel of trying to turn the social network into a spy tool against Palestinians.He said some Israeli politicians and soldiers had "expressed pride at the killing of Palestinians" on Facebook and other social media."The real test for the owners of Facebook is to reject this (Israeli) pressure," Abu Zuhri said.Darshan-Leitner, of the Shurat HaDin-Israel Law Center, filed a class action suit in October for an injunction against Facebook to stop carrying alleged Palestinian incitement. She said proceedings were still under way in that case.The 1 billion dollar in damages sought in the new suit was a sum consistent with awards in comparable US cases, Darshan-Leitner told Reuters. REUTERS SDR BD1808 -- (Reuters) -- C-1-1-DL0431-830432.Xml Heavy fighting involving tanks and helicopters raged in South Sudan today between troops loyal to the president and those backing the vice president, risking a return to civil war and further instability in a volatile and poor region of Africa.Clashes between the forces of President Salva Kiir and Riek Machar - the former rebel leader who became vice president under a deal that ended a two-year civil war - have killed hundreds of people since they broke out in the capital Juba four days ago.The violence intensified on Monday; a Reuters witness saw two helicopters overhead firing in the direction of Machar's headquarters, while residents reported tanks on the street. A United Nations official said heavy gunfire had erupted around UN bases where hundreds of civilian have fled to shelterThe fighting broke out last week as the world's newest nation prepared to mark five years of independence from Sudan at the weekend. The UN Security Council yesterday demanded Kiir and Machar to rein in their forces and end the clashes.It was not immediately clear what the objective of either side was, but the violence has raised fears of a return to the civil war that erupted in late 2013 and broadly ran along ethnic lines, pitting Kiir, an ethnic Dinka, against Machar, a Nuer.The conflict killed thousands of people, forced more than 2.5 million people from their homes and left almost half the population of 11 million people struggling to find food. Oil production, by far the biggest source of government revenue, has plummeted.A new flare-up risks driving yet more people to refugee camps in neighbouring nations and further destabilising a region in the centre of Africa already plagued by myriad woes.Central African Republic is riven by conflict, the eastern region of the Democratic Republic of Congo is contending with a patchwork of militias and rebels groups, and Burundi is embroiled in a violent political crisis.Much is not clear about the latest violence in Juba, where mobile communication is unreliable and officials have proved difficult to contact. This includes whether either side is gaining an upper hand or how much control Kiir and Machar have over their forces.Machar blamed the president for a heavy helicopter bombardment of his forces today."This tells (us) that our partner is not interested in peace," he wrote on Twitter, but he also said he had not lost hope for the future of a nation that "needs all of us.""I urge calm and restraint throughout these skirmishes. I'm safe. No one should take laws in their own hands to destabilise this country," he added.There was no immediate comment from Kiir's side. Yesterday, the president's information minister, Michael Makuei, had said the situation was under control and urged people to return home.There has been no official death toll but at least five soldiers died on Thursday and a Health Ministry source said 272 people, including 33 civilians, were killed on Friday alone.UN OUTRAGEDUnited Nations mission UNMISS said gunfire had erupted today around the UN headquarters in the Jebel area of Juba and also around a base near the airport. UN bases were hit by small arms and heavy weapons yesterday, when one UN Chinese peacekeeper was killed."We urge an end to these hostilities and hope they (political leaders) will return back to taking up all the action points of the peace agreement," UNMISS spokeswoman Shantal Persaud told Reuters by telephone.UNMISS said it was "outraged" by the violence.The UN Security Council, after yesterday's emergency meeting, told the two leaders to "do their utmost to control their respective forces, urgently end the fighting and prevent the spread of violence" and commit themselves to their peace deal.Attacks on civilians, UN personnel and UN premises might amount to war crimes that would need investigation, it said.Neighbouring Kenya has urged South Sudan's leaders to end the clashes. The chairman of the ceasefire monitoring group Joint Monitoring and Evaluation Commission (JMEC), Festus Mogae, called for the peace deal signed last August to be implemented.But through the two-year civil war world powers and regional states struggled to find leverage over the warring factions, despite US and European sanctions on some military leaders and African threats of punitive actions.Kiir and Machar have long been rivals for power, both in politics and on the battlefield. The civil war that erupted in December 2013 came a few months after Kiir sacked Machar as deputy.The pair signed a peace deal in August 2015, but then spent months wrangling over details. Machar finally returned to Juba in April, at the time seen as step towards cementing peace.But experts say the failure to implement swiftly key elements, such as the re-integration and demobilisation of combatants, has allowed tension to fester and risked igniting a new conflict. REUTERS SDR VN1822 -- (Reuters) -- C-1-1-DL0431-830460.Xml Irish Prime Minister Enda Kenny resisted a call today from a lawmaker of his Fine Gael party to step down over the next two months, rejecting the first open challenge to make good on a promise to allow a change of leadership.Kenny returned to office in May as head of a minority government that is due to run until the end of 2018. He has said he will not lead his centre-right party into the next election but not specified when he will stand down.Pressure for Kenny to announce a timeline has risen since an opinion poll last week showed his party had fallen nine percentage points behind its main rival, Fianna Fail."The best opportunity for the country for stable government is a change of leadership. Particularly in the context of Brexit, we need to have a stronger Fine Gael," party legislator Brendan Griffin told national broadcaster RTE today.He added that the only opportunity for "an orderly transition" was the summer parliamentary recess starting this month and running into September.In a speech broadcast by RTE, Kenny said he had "no intention of being diverted from ... that responsibility that I've undertaken and which I have received a mandate to fulfil."After suffering heavy losses in elections in February, Fine Gael returned to power with the backing of a group of independent lawmakers and facilitated by Fianna Fail, theoretically putting in the latter in a position to trigger a snap election.Griffin said he was considering filing a motion of no confidence in Kenny at Fine Gael's weekly party meeting on Wednesday, but senior ministers came out in support of the prime minister."This is not the time for a leadership challenge. In the light of Britain's vote to leave the European Union, which is a big body blow, we need the experience of the Taoiseach (prime minister) and his relationships in Europe," Education Minister Richard Bruton, who led a challenge against Kenny in 2010, told RTE. REUTERS SDR VN1833 -- (Reuters) -- C-1-1-DL0431-830499.Xml The US State Department today warned Americans to consider carefully whether they need to travel to Bangladesh following a series of attacks claimed by Islamist militants."The US government assesses that the terrorist threat is real and credible," the department said in a statement.On July 1, at least five Bangladeshi men stormed the Holey Artisan Bakery, a cafe popular with foreigners, businessmen and diplomats, and killed 20 customers, including Italians, Japanese, Indians and a US citizen. Islamic State said it was responsible for the attack.The department said it also authorized the voluntary departure of family members of US government personnel posted to the US Embassy in Dhaka. The embassy remains open, the statement said.Islamic State and al Qaeda have made competing claims for a series of killings of liberals and members of religious minorities in Bangladesh in the past year. The government has dismissed those claims and insists that the violence is homegrown.REUTERS RSD BL1922 -- (Reuters) -- C-1-1-DL0356-830643.Xml My Kenya visit was a memorable one. It has led to further cementing economic and cultural ties between India and Kenya, Modi said as he emplaned for New Delhi. On Monday, India and Kenya signed seven agreements following bilateral discussions headed by Modi and Kenyan President Uhuru Kenyatta. Modi later addressed students in the University of Nairobi and visited the UN offices in Nairobi. He then addressed the Kenya-India Business Forum. Modi also interacted with members of the Bharatwallah Alumni Association, people who had studied in various Indian institutions under Indian government programmes and scholarships. This was the first prime ministerial visit from India to Kenya in 35 years after the visit of then Prime Minister Indira Gandhi in 1981. Apart from Kenya, Modi's five-day African sojourn, also took him to Mozambique, South Africa and Tanzania. This was the Prime Minister's first official visit to the African mainland. --IANS ab/vd ( 176 Words) 2016-07-11-23:30:04 (IANS) MINQING, July 10, 2016 (Xinhua) -- Residents clear rubbish after flooding in Minqing County, southeast China's Fujian Province, July 10, 2016. Nepartak, the first typhoon of the year, brought heavy rain to Minqing, causing 57,593 mu (3,836 hectares) of crops flooded and 3,600 houses destroyed. More than 1,000 people were trapped and 34,324 residents of the county were relocated. Rescue and relief works are underway at present. (Xinhua/Zhang Guojun) FUZHOU, July 10 (Xinhua) -- Six people were killed and eight others remain missing after Typhoon Nepartak made landfall and swept through east China's Fujian and Jiangxi provinces, authorities said Sunday. As of 5 p.m., 203,000 residents in ten cities had been temporarily relocated as the typhoon destroyed close to 1,900 houses, the Ministry of Civil Affairs said on late Sunday. A total of 449,000 people were affected. Nearly 15,800 hectares of crops were damaged by the typhoon, of which 1,600 hectares were totally destroyed. Direct economic losses were estimated at 860 million yuan (about 128.7 million U.S. dollars). Earlier reports said Nepartak made first landfall early on Friday in eastern Taiwan, packing winds of up to 190 km per hour, gusting up to 234 km per hour. It landed in Fujian province on Saturday afternoon and faded into a tropical depression early on Sunday. But meteorological authorities say rainfalls are forecast to continue in Fujian and in provinces including Jiangxi and Zhejiang, bringing more risks to the already-weather battered area. SRINAGAR, Indian-controlled Kashmir, July 10 (Xinhua) -- Clashes in Indian-controlled Kashmir between protesters and government forces continued for the second straight day Sunday despite curfew, pushing death toll to 19 including a policeman, officials said. The clashes and violent anti-India demonstrations triggered following the killing of a popular militant commander Burhan Muzaffar Wani in a gunfight. Wani was killed along with two associates. "There has been no respite in the ongoing clashes and we have information that so far 19 people (18 protesters and one policeman) were killed since yesterday," an official said. "The dead include a policeman as well, who drowned after the vehicle he was driving was pushed into a river by protesters." Officials said the armoured police vehicle was pushed into river by angry protesters at Sangam village in southern district of Anantnag. Meanwhile, local government spokesman Nayeem Akhtar appealed people not to agitate and sought the support of the mainstream and separatist political parties to restore calm in the restive region. "The cabinet which met under the chairmanship of Chief Minister Mehbooba Mufti expressed grief and anguish over the loss of precious human lives and made a fervent appeal to all shades of political opinion, including the mainstream and the separatists, to help restore calm as the violence has neither served any purpose in the past nor is it going to do so in the prevailing circumstances," Akhtar said. Akthar, who is also a minister in the local government walked out of the press conference and refused to answer questions from media. Reports of fresh clashes were received from several places of Anantnag, Kulgam, Pulwama and Budgam districts with many reported injured. Officials said about 150 people were injured during the two days of violence. The irate youth threw rocks and brickbats on contingents of Indian troops and police, who respond by firing tear smoke shells, pellets and bullets. Police officials said protesters attacked several police and paramilitary posts in the region. According to police, around 90 policemen were also injured in the crowd control. Police describes Wani's killing as a "major setback" to local militants and see it as "success". Sensing trouble the authorities soon after Wani's killing suspended cellphone, internet and train services in the region, and indefinitely halted an annual Hindu pilgrimage to Amarnath. The pilgrimage to the mountain cave attracts about half a million people every year. Thousands of Indian troops and policemen in riot gears carrying automatic rifles have been deployed across towns and villages in the region to prevent protests. India's state-run broadcaster - All India Radio (AIR) said a reinforcement of 12 companies of paramilitary troopers have been rushed for deployment in southern districts. Local newspaper reports said heath officials at Srinagar's main hospital -SMHS have declared a medical emergency in wake of increasing number of injured admitted at the hospital, with majority of them admitted with firearm wounds. The hospital authorities have cancelled routine surgeries in the hospital because of rush of injured people. The 22-year-old Wani was poster boy of of HM, the region's indigenous militant outfit. He had featured in videos - wearing combat fatigues and brandishing automatic weapons - circulated on social networking websites aimed at encouraging new generation of Kashmiris to join militant groups and warning policemen to confine themselves in police stations. The fresh killings are likely to fuel further anger in the volatile region. Anti-India sentiment runs deep among some Kashmiris. A separatist movement and guerilla war challenging New Delhi's rule is going on in Indian-controlled Kashmir since 1989. Kashmir, the Himalayan region divided between India and Pakistan is claimed by both in full. Since their Independence from Britain, the two countries have fought three wars, two exclusively over Kashmir. The local government has placed key seperatist leaders under house arrest fearing their participation in demonstrations would intensify anti-India protests and mobilize people in large numbers. Enditem by Grandesso Federico Brussels, July 10 (Xinhua) -- Shada Islam, director of policy at the Brussels-based think-tank Friends of Europe, said that the Asia-Europe Meeting (ASEM) has performed well in terms of bringing together the two continents. "The revitalization of this important forum is to a large extent a consequence of the fact that China is very much engaged into it," said Islam in a recent interview with Xinhua. Islam explained that ASEM is very flexible but needs to be updated, revitalized with new energy and she thought that a lot of discussions on connectivity are going to provide that kind of new dynamism. "Considering the challenges of today's world, ASEM is the unique forum where Asia and EU talk very frankly to each other, but I think that if we want to make it flourish then we should invest more time and energy into it," said the expert. According to Islam, one of the good element is that currently Asian countries and especially China, are more committed to this Eurasian dialogue. Another important point of discussions between Europe and Asia is the demographic issue, Islam said that "if you don't involve the young people in the discussions on the future, they will feel left out of the society." "An element that came out from Brexit was the fear of globalization, a challenge we noticed around the world but not so much in China," said Islam. She praised the Chinese strategy on this issue, explaining that Beijing was very powerful and active on such a movement therefore the population, thanks to the improvement of their living conditions, have seen the benefit of globalization. "China's prosperity is really a result of a very active participation in the whole globalization while in other Asian countries this issue still a problem," she said. Islam underlined the key role of connectivity, she said that "research and development, innovation and digitization are a key topic in China's development plan therefore digital connectivity is going to be a very important issue in EU-China and EU-Asia relations." The expert said that China is a very important player in ASEM and Beijing as a global driver has put connectivity very firmly on the ASEM agenda. According to Islam, it is important to put in place and integrate multiple inclusive mechanisms and in this framework the Belt and Road initiative, when fully implemented, will be a very good tool for connectivity in ASEM. "This ambitious Eurasian tool is a good contribution from China that confirm its enthusiasms and commitment," she said. "I see a lot of parallelism between the EU-China strategies in terms of innovation, connectivity and research which can be reflected in a wider ASEM framework," she concluded. Mongolia will host the 11th ASEM Summit of Heads of State and Government during July 15-16. This year also marks the 20th anniversary of ASEM since it was inaugurated in 1996 in Bangkok, Thailand. Enditem JOHANNESBURG, July 10 (Xinhua) -- South African police have arrested four people for suspected involvement in terror-related activities in the Johannesburg area, it was revealed on Sunday. The arrests followed prolonged investigations by special anti-crime unit, the Hawks, and state security agencies, into possible terror related activities, Hawks spokesperson Brigadier Hangwani said. He did not identified the names of the suspects but said they are between 20 and 24-years-old. Hangwani said the National Intervention Unit, the Bomb Squad and other law enforcement agencies aided in the arrests. The four suspects were identified after they attempted to travel to Syria in 2015. "Investigations showed they intended to join terrorist group ISIS," Hangwani told News24, a local news outlet. The Hawks swooped on the suspects' locations, seizing several items for analysis. Meanwhile, Hawks national head, Lieutenant General Mthandazo Ntlemeza, said the arrests were a "very big step forward in the fight against terrorism." "But we cannot say that the problem has been solved. (These) terrorist organizations are recruiting in our country... law enforcement agencies will continue to work around the clock to prevent any form of recruitment on our soil," he was quoted as saying. Ntlemeza said authorities would remain on high alert and diffuse any attempts to form any terrorist groups in the country. "Our people should also be vigilant and report any suspicious activities to the police," he said. Last month, the U.S. Embassy in Pretoria said the U.S. government had received information that terrorist groups were planning to carry out near-term attacks against places where U.S. citizens congregate in South Africa, such as upscale shopping areas and malls in Johannesburg and Cape Town. But the South African government downplayed the alert at that time, saying there is no need to panic. The terror alert by the Americans was "sketchy, dubious and unsubstantiated," said Clayson Monyela, spokesperson of the South African Department of International Relations and Cooperation. Enditem Chinese scholars to spread legal argument to high-profile contacts around globe A group of Chinese scholars of international law plans to send an open letter to international organizations and universities around the world to challenge any ruling of the Arbitral Tribunal on the South China Sea on Tuesday, when it is scheduled to issue a decision. "We will send the letter to influential contacts and the tribunal as well to tell the arbitrators how they have damaged the spirit of international law by accepting the unilaterally initiated case on the South China Sea dispute," said Peng Qinxuan, a doctoral candidate at Utrecht University in the Netherlands. Peng spoke to China Daily in front of the Peace Palace in The Hague, where members of the group gathered on Saturday. The case, initiated by the Philippines, challenges Beijing's territorial sovereignty and maritime delimitation in the area. Up to 30 scholars, lawyers and students have been drafting the 12-page open letter since April, explaining why the tribunal has no authority in the case. "When the ruling is given, our open letter will be made public and this is our professional ruling against the tribunal's award." They have been working long days searching for the contact information of international organizations, leading law schools and Chinese student associations at overseas universities. "We aim to search for support as much as possible to spread the valid message of Chinese youth," Peng said. They held copies of the United Nations Convention on the Law of the Sea in their hands as they gathered Saturday in front of The Hague, where the tribunal is based. They called the UNCLOS the bible for dealing with maritime disputes. But the document does not cover territorial sovereignty or boundaries, said Xu Qi, a doctoral candidate at Groningen University in the Netherlands. "China believes the nature of the dispute is about sovereignty and so the tribunal has no jurisdiction over the case," he said. Xu said the open letter, to be sent in English, Chinese and Dutch, sets out clear reasons why the pending ruling is invalid. "The letter consists of four parts," he added. Zhang Tong, a master's degree student at Leiden University in the Netherlands, said under the framework of international law, state sovereignty is fully respected and state consent is the starting point for any international arbitration. "I feel disappointed that the tribunal has not respected China's sovereignty claim in the dispute, though international law recognizes China's right to refuse to authorize the tribunal to work as a go-between," Zhang said. "I am doubting the so-called rule of international law because of illegal involvement of the tribunal in the South China Sea dispute."By Fu Jing in The Hague, the Netherlands ARUSHA, Tanzania, July 10 (Xinhua) -- Tanzania has called on Indian investors to invest in large-scale farming and other potential sectors for the east African nation to realize its ambition of becoming a middle-income country before 2025. Tanzanian Prime Minister Kassim Majaliwa made the call on Sunday when addressing a one-day forum involving Indian business community and Tanzanian counterparts. "Our dream is to become a middle-income nation in the near future, and we can only realize this by working close with investors. And I am using this forum to welcome the Indian business community, to think of Tanzania when they want to invest in Africa," he told the Indian businessmen. The Indian business community was represented by 50 businessmen, who are among of the delegation of Indian Prime Minister Narendra Modi, who landed in the east African nation Saturday. Majaliwa informed the Indian business community that Tanzania has a wide-range of investment opportunities, from infrastructures, agriculture, tourism, industries, and mining. "As the government, we're intending to lure as many investors as possible. We also want more investors in fisheries and tourism sectors which are not well developed," the Tanzania premier stressed. According to him, Tanzania has a Territorial Sea of 64,000 sq. km, an Exclusive Economic Zone (EEZ) covering an area of about 223,000 sq. km. and a stretch of a coastline of about 1,424 km long all in the Indian Ocean, and other inland water bodies (major and minor lakes, rivers, dams, ponds, and wetlands), covering about 5,000 sq. km. "That's why I am encouraging our colleagues from India to come and invest in Tanzania for the benefit of our people," the Tanzanian leader said, citing livestock as an area that needs more investors for the country to produce more dairy products and meat to meet local and regional markets. Majaliwa said trade imbalance between the nations, will only be addressed by Indian investors to invest in Tanzania. Currently, India sells more to Tanzania than what Tanzania export to India. Minister for Industry, Trade and Investment Charles Mwijage said the two countries are depending on each other when it comes to trade. "It is true that India sells more in Tanzania, but on the other hand, India is one of the top ten countries which buys products from Tanzania." Harshavardhan Neotia, President of the Federation of Indian Chambers of Commerce and Industries (FICCI), said trade between the two nations continues to grow. "We need to tap the current business opportunities as soon as possible, for the well-being of our people," said Neotia. Enditem NAIROBI, July 10 (Xinhua) -- Kenya Airways says it has suspended its flights to South Sudan's capital Juba where renewed fighting between rival army factions erupted on Sunday. The airline said in a statement that its daily flights to Juba would remain halted until the situation there normalized. Sunday's fighting between forces loyal to President Salva Kiir and Vice President Riek Machar came less than two days after clashes between the rival factions outside the presidential palace killed at least 271 people. A spokeman for Vice President Machar earlier said there was heavy fighting at Juba International Airport while there are unconfirmed reports that Machar's forces have taken control of the airport. Kiir and Machar called for calm after the Friday clashes. They said they were meeting at the presidential palace over a previous shootout between their troops that left five soldiers killed when the gun battle broke out. There is no word on casualties in Sunday's fighting. Enditem KHARTOUM, July 10 (Xinhua) -- Sudanese President Omar al-Bashir urged the two parties in the conflict in South Sudan to exercise self-restraint and stop the fighting which started last week in the capital Juba, official SUNA news agency reported Sunday. Al-Bashir made the remarks during telephone conversations with South Sudan President Salva Kiir Mayardit and First Vice-President Riek Machar, the report said. The president reiterated the importance of ending the bloodshed so as not to drag the area to tribal and political conflicts that would cripple the procession of peace and development of South Sudan. Last Thursday, violent clashes broke out between forces loyal to president Kiir and Machar respectively around the Presidential Palace in Juba and extended to neighborhoods around and places near the airport. On Sunday the UN reported the use of mortars, rocket-propelled grenades and heavy ground assault weaponry, and a helicopter gunship was also reported above Juba. Machar's spokesman James Gatdet Dak was said to have blamed the government troops for the fighting. "Our forces have been attacked at Jebel base," said Dak, pointing out that the attack had been repulsed. Enditem BRATISLAVA, July 10 (Xinhua) -- Slovakia won't withdraw lawsuit against mandatory quotas for distributing migrants among individual European Union countries, Slovak Prime Minister Robert Fico announced on Sunday. "If there's still pressure and a threat of quotas, the lawsuit must remain in place as an effective tool for our protection. We offer a mechanism that has proven its worth in Gabcikovo," said Fico in reference to the provision of accommodation to migrants who are actually seeking asylum in Austria. According to Fico, migrants generally don't want to stay in Slovakia. "The solidarity of each member state should have its original features. Each state should be able to choose how to contribute towards mastering the crisis," added the Slovak prime minister. Commenting on the beginning of the Slovak Presidency of the EU Council as of July 1, Fico said that it's been a success. On the other hand, when considering the policies of the EU as a whole, Fico said that the Union must act in a "more sovereign" manner on security issues. "The EU needs to be more sovereign in its opinions... Nevertheless, people want to see more action and dependability from this institution," said Fico, adding that if the EU doesn't change in this respect, more people will begin to favor leaving the community. Concerning Brexit, Fico said there's still a theoretical possibility that the results of Britain's June 23 referendum won't be implemented, but he doesn't believe that British politicians would dare to go against the people's will. "They're talking about a 2-percent cut in economic growth annually following an EU exit. Several lies from the campaign have come to the surface. Public opinion seems to be suddenly changing. Perhaps if a referendum were held now, it would end up differently," explained Fico. Enditem Photo taken on July 21, 2015 shows the Intergovernmental Authority on Development (IGAD) flag during the IGAD-Plus peace process meeting in Addis Ababa, capital of Ethiopia. The meeting which was held here on Tuesday was aimed at deliberating over a newly developed document by the IGAD on how to reinforce the peace process, to put the crises in South Sudan an end. (Xinhua/Michael Tewelde) NAIROBI, July 10 (Xinhua) -- Foreign ministers from member states of East African bloc IGAD are due to meet in the Kenyan capital Nairobi on Monday over renewed fighting in South Sudan's capital Juba. An official from Kenya's foreign ministry told Xinhua on Sunday the ministers would discuss ways of ending the fighting between forces loyal to President Salva Kiir and his deputy Riek Machar. The rival factions clashed in Juba on Friday, killing at least 271 people, among them 32 civilians and 234 soldiers from both sides. Heavy fighting resumed on Sunday but the scale of casualties is not yet known. The Intergovernmental Authority on Development (IGAD) groups Djibouti, Eritrea, Ethiopia, Kenya, Somalia, Sudan, South Sudan and Uganda. Participants attend the mediation peace process of South Sudan peace negotiation in Addis Ababa, capital of Ethiopia, Aug. 6, 2015. Under the mediation of theIGAD-Plus, the South Sudan peace negotiation kicked off here on Thursday. The East African bloc, the Intergovernmental Authority on Development (IGAD), has been mediating the peace process of South Sudan towards ending the crisis erupted since mid-December in 2013 in the world's youngest nation. (Xinhua/Michael Tewelde) In another development, Paul Malong Awan, Chief of General Staff of Sudan People's Liberation Army (SPLA) -- the government troops, on Sunday warned all armed groups in Juba against attacking civilians. Malong said the army would not tolerate such activities and would act accordingly to protect civilians. "The SPLA is hereby giving this stern warning that any group or individual found in a position to carry such barbaric actions or acts will be dealt with in the harshest term possible," Malong said. The international community has condemned the resurgence of violence amid reports that situation in Juba has calmed down. TOKYO, July 10, 2016 (Xinhua) -- Japan 's Prime Minister and leader of the ruling Liberal Democratic Party (LDP) Shinzo Abe (1st R) puts a rosette on the name of a candidate who is expected to win in the upper house election, at the LDP headquarters in Tokyo, Japan, July 10, 2016. The Japanese ruling camp led by Prime Minister Shinzo Abe is expected to win a majority in Sunday's upper house election, according to exit polls by local media. (Xinhua/Ma Ping) TOKYO, July 11 (Xinhua) -- The Japanese ruling camp led by Prime Minister Shinzo Abe retained its majority in the parliament's upper house through a victory on Sunday's election in the chamber and paved way to Constitution amendment as upper house lawmakers who support to review the country's war-renouncing Constitution reached two-thirds majority, final election result showed early Monday. Half seats in the 242-member chamber was contested in the election and the ruling camp secured XX seats, with the prime minister's Liberal Democratic Party (LDP) gaining 55 seats and its small ruling partner of the Komeito Party winning 14 seats. After acknowledging that the ruling coalition won the election and retained the majority in the upper house, Abe told a press briefing during the vote counting that the result showed that his decision on sales tax hike postponement was correct and vowed to promote economy in the future. In a very cautious way, the prime minister said that the Constitution amendment should be discussed more. "Different parties have different viewpoints on the issue, therefore more discussions should be done, even among the parties that support the amendment," said Abe, but adding that the issue should be raised to the Japanese public. To launch a Constitution review motion requires approval by two-thirds majority in both chambers of the Japanese bicameral parliament. The Abe-led ruling bloc already secured the overwhelming majority in the lower house. The prime minister is a well-known historical revisionist who expressed his eagerness to review the Japanese pacifist Constitution many times. He indicated before the election that he will try to discuss the Constitution review during the autumn parliament session. Abe also expressed his disappointment over the election failure of incumbent Minister in charge of Okinawa affairs, but he added that the ruling camp will continue push the planned relocation of the key U.S. Futenma airbase within the Japanese southernmost prefecture of Okinawa. The failure of the Okinawa affairs minister, to some extent, showed serious divergence between the Japanese central government and the Okinawa prefectural government over U.S. base relocation issue, especially after a recent notorious murder case that a U.S. former marine corps killed an Okinawan woman and abandoned her body. The prime minister will also plan to reshuffle its cabinet based on the election outcome and to compile a new stimulus package so as to push his "Abenomics." The largest opposition party here, the Democratic Party, gained 32 seats on Sunday, marking an improved performance than what three years ago. Katsuya Okada, head of the Democratic Party, said that the party is recovering but not sufficient, adding his party will pay more attention to citizen's involvement in the politics. Okada said he will continue his work as the party's leader until September, but he stopped short that whether he will run for the party's head election to be held in the same month. However, voters here voiced their contradictory feeling over the election. A 30-year-old voter who identified herself as Shibata told Xinhua outside a polling office at Shibuya earlier the day that "I am against amending the Constitution, because it's likely to drag Japan into war. But I still voted for the LDP, because I don't like the opposition parties either. People say that the LDP is going to change the constitution. I really don't know what to do." For Shibata, however, the problem is, if she did not vote for the LDP, who could she vote for, as in her eyes, the opposition parties seemed to have also failed to offer feasible solutions to the problems that Japan is faced with. Shibata's words were echoed by Yamaguchi, a 20-year-old company employee who voted for the first time on Sunday. "I don't know much about these candidates. And I don't know what constitutional amendment really means to us," she said, after casting her ballots. Voter turnout was estimated at 53.66 percent, slightly higher than the 52.61 percent in the previous upper house election in 2013. The Japanese Kyodo News said that the additional 2.4 million new voters aged between 18 to 19 have done little to boost turnout. Related: News Analysis: Pro-revision forces' victory in Japan's Upper House election paves way for constitutional amendment by Yan Lei, Liu Tian TOKYO, July 11 (Xinhua) -- The Japanese ruling camp led by Prime Minister Shinzo Abe has won a majority in Sunday's upper house election, which means Abe's coalition and like-minded parties managed to take thetwo-thirds majority needed to try to revise the nation's post-war pacifist Constitution. The result came as no big surprise to the public, but as Abe sweeps away obstacles to amending Japan's pacifist Constitution, the development of the situation might still surprise voters, as with the ruling coalition controlling both chambers of the parliament, Abe now faces little political resistance in carrying out his political agenda. Full story Japan starts upper house election with constitutional review under spotlight TOKYO, July 10 (Xinhua) -- Voting for the Japanese parliament's House of Councillors, or the upper house, kicked off on Sunday with the main focus on whether or not the constitution-amending forces could take an overwhelming majority in the 242-member chamber. PYONGYANG, July 11 (Xinhua) -- The military of the Democratic People's Republic of Korea (DPRK) issued a warning Monday that it would take "physical measures" to cope with U.S. deployment of Terminal High Altitude Area Defense (THAAD) in South Korea. The physical counter-measures will be taken the moment the location and place of the THAAD deployment are chosen, said the DPRK. The warning was issued by the Artillery Bureau of the General Staff of the Korean People's Army and carried by the official KCNA news agency. The joint decision by the United States and South Korea to deploy the THAAD in U.S. Forces Korea (USFK) stationed on the Korean Peninsula is a direct product of U.S. ambition to dominate the world and South Korea's confrontation moves with the fellow countrymen in the north in a bid to invade the DPRK, it said. Related: Deployment of THAAD far exceeds Korean Peninsula's defense needs: Chinese FM COLOMBO, July 9 (Xinhua) -- Chinese Foreign Minister Wang Yi said here Saturday the planned deployment of U.S. THAAD anti-missile system in South Korea far exceeds the Korean Peninsula's defense needs. The visiting foreign minister told reporters that China had the reasons and rights to question the behind-the-scenes motives of this move, and any excuse for the deployment would be unjustified. Full story Russia warns to deploy missile units in response to THAAD in S. Korea MOSCOW, July 8 (Xinhua) -- Russia's Arms Committee at the Russian Federation Council, or the upper parliament house, on Friday warned that missile units could be deployed in eastern Russia in response to the deployment of the U.S. missile defense system called Terminal High Altitude Area Defense (THAAD) in South Korea. SAN FRANCISCO, July 10 (Xinhua) -- A new supercomputer simulation has shown how stars and clusters form within filaments of gas over a period of 700,000 years. The simulation is based on computer code created by University of California, Berkeley, astrophysicist Richard Klein to capture the effects of radiation, magnetic fields, gravity and other physical phenomena and paint a realistic portrait of star formation. The evolution of a young star cluster beings with a giant cloud of interstellar gas and dust collapsing under the force of gravity. Inside the cloud, turbulent clumps of gas form and then collapse. The collapsed clumps form star clusters, and then the magnetized, swirling cores further evolve to form individual or small groups of stars. Klein, who has an appointment at Lawrence Livermore National Laboratory, worked with Chris McKee, a UC Berkeley professor of physics and of astronomy, research specialist Pak Shing Li and their students at UC Berkeley, according to a news release from the school. The team is enhancing the code to produce new simulations that will allow them to zoom in on the formation of stellar disks. Stellar disks are pancake-shaped, consisting of gas and dust surrounding protostars that are believed to be the first stage of planet formation. The researchers have coupled real observations from the Hubble Space Telescope and other space-based observatories with their state-of-the art three dimensional (3D) simulation code. "Our simulations," said Klein, "were critical to obtain important new results that match with Hubble's high-resolution images and other observations made by a variety of space and Earth-based telescopes." The simulation is run on the most powerful supercomputer, Pleiades, of the U.S. National Aeronautics and Space Administration (NASA) at the Advanced Supercomputing facility of its Ames Research Center, and is expected to help answer fundamental scientific questions about the processes involved in the formation of individual stars and stellar clusters in our own galaxy and other galaxies. UNITED NATIONS, July 10 (Xinhua) -- The UN Security Council said here Sunday that several UN peacekeepers from China and Rwanda were killed or injured in attacks in the wake of the recent escalating fighting in Juba, capital of South Sudan. The exact number of casualties is yet to be confirmed. In a statement issued here following an emergency session, the Security Council "condemned in the strongest terms the escalation of fighting in Juba, South Sudan that started on July 7." The 15-nation UN body expressed sympathies and condolences to the families of Chinese and Rwandan peacekeepers who were killed or injured in the attacks. They also expressed "particular shock and outrage" at the attacks on UN compounds and protection of civilians sites in Juba. Related: South Sudan says 271 killed in Friday clashes amid renewed fighting JUBA, July 10 (Xinhua) -- South Sudan's Health Ministry has confirmed 271 people were killed in Friday's clashes between rival army factions outside the presidential palace in the capital Juba and there is renewed heavy fighting on Sunday. PYONGYANG, July 11 (Xinhua) -- The Democratic People's Republic of Korea (DPRK) warned Monday that it will take "physical measures" to cope with the U.S. deployment of Terminal High Altitude Area Defense (THAAD) in South Korea. The countermeasures will be taken once the location of the deployment is decided, said the Korean People's Army in the warning carried by the official KCNA news agency. Pyongyang stressed that the United States and South Korea, which claim the DPRK's self-defense is a "severe threat" and their aggressive means of warfare is "defensive," were just confusing black with white. The two countries' joint THAAD deployment decision results from the U.S. ambition to dominate the world and South Korea's confrontation with the DPRK, Pyongyang said, warning that the DPRK army will "make merciless retaliatory strikes to reduce South Korea to a sea of flames, debris once an order is issued." In a joint statement Friday, Seoul and Washington said the two allies decided to deploy THAAD in South Korea to protect the country and its people from the DPRK's nuclear threats, weapons of mass destruction and ballistic missiles. Regional countries including China and Russia have repeatedly voiced their serious concern over the move due to its far-reaching negative impact. Related: Deployment of THAAD far exceeds Korean Peninsula's defense needs: Chinese FM COLOMBO, July 9 (Xinhua) -- Chinese Foreign Minister Wang Yi said here Saturday the planned deployment of U.S. THAAD anti-missile system in South Korea far exceeds the Korean Peninsula's defense needs. The visiting foreign minister told reporters that China had the reasons and rights to question the behind-the-scenes motives of this move, and any excuse for the deployment would be unjustified. Full story Russia warns to deploy missile units in response to THAAD in S. Korea MOSCOW, July 8 (Xinhua) -- Russia's Arms Committee at the Russian Federation Council, or the upper parliament house, on Friday warned that missile units could be deployed in eastern Russia in response to the deployment of the U.S. missile defense system called Terminal High Altitude Area Defense (THAAD) in South Korea. Xiong Qinghua works in his studio. (Web Pic) By Shen Xiaobo BEIJING, July 11 (Xinhua) -- His drawings were mocked by his peers when he was six years old, but his art teacher at middle school expected him to be "a great painter." However, his day didn't come until 20 years later when his paintings went viral online and he was called China's Van Gogh by netizens. For almost two decades, Xiong Qinghua was regarded as a "loafer" in Yongchanghe Village of Xiantao in central China's Hubei Province. The man dropped out of school against the will of his parents in 1992 when he was 16. At school, he was interested in nothing but painting. His talent was recognized by his art teacher who was astonished by Xiong's drawing of a tree. "You will be a great painter," the teacher told him. But Xiong's passion for painting did not extend to other school subjects. He said being forced to go to school "just drove me crazy." Back home Xiong became a farmer. He had to help his parents with farmwork, but he would lock himself in the storage room, which later became his homemade studio, as soon as all the daily work was done. Xiong's studio packed with his paintings (web pic) Cynicism soon came. Villagers called him a "loafer" as they believed that a farmer was a farmer, and painting was not his business. But Xiong stuck to his painting brushes. His father, a carpenter, gave him support, while his mother felt worried about her son. Picture-story books and New Year paintings at home all became what he would copy. He would also ride more than 40 kilometers on his bicycle to the nearest city to buy painting books. There were so many books he wanted to buy, but without enough money, he had to stay at the bookstore to read them until it was closed. A painting by Xiong Qinghua (web pic) Faced with the pressure of supporting his family, Xiong tried twice in 2004 and 2006 to work in cities as a migrant worker after he was married and had a child to support. He got a job at an assembly line in Shenzhen, a booming industrial city and business center neighboring Hong Kong, but the repetitive work soon drove him crazy. Just four days later, he quit. To Xiong, the bustling in the metropolis was nothing different than mere noise. He also tried to seek a job in Dafen, a village near Shenzhen that is known for deals in oil painting, especially replicas. He was rejected as he "had no college diploma but still didn't want to be a copycat." The employers there told him that what they wanted was not art but something that would sell. A painting by Xiong Qinghua (web pic) He went back and became a milkman for a living in a town near his village. The job didn't pay well but Xiong was satisfied. "I just need work in the morning for two or three hours, and rest of the day was for my painting," said Xiong. For quite a long time, Xiong was regarded as a loser by his fellow villagers, but he believed "there is always something more important than feeding the face." His talent scout appeared in 2010, when a middle school classmate of Xiong came to see him and found those paintings in his studio. The former classmate took pictures of the paintings with his mobile phone and shared them online. Surprisingly, those posts received over a million clicks and changed Xiong's life. Soon collectors and art institutions came to Xiong's home asking for his paintings. A painting by Xiong Qinghua (web pic) In 2015, Xiong held a solo exhibition in the 798 Art Zone in Beijing, where assemble a group of famed and promising artists of the country. An author has written a biography for Xiong. In this book, to be published via crowd funding, the man is called "a wild potato," a metaphor for his unconventional way of success. "In my days of poverty, painting was a way for pleasure. I had never thought of getting anything in return, but there was one thing I would never give up," said Xiong. "That is to go my own way." A painting by Xiong Qinghua (web pic) BANGKOK, July 11 (Xinhua) -- The Thai navy arrested 29 crew members of three Vietnamese trawlers for allegedly illegal fishing in Gulf of Thailand Sunday, Thai media reported Monday. The arrests took place about 12 nautical miles northeast of natural gas platform Muda A in the exclusive economic zone while the Navy's Region 2 was on patrol, the Bangkok Post reported. Naval personnel, who questioned the trawlers' captains, said the boats sailed from Ca Mau province of Vietnam and entered the Thai waters to catch fish. The Vietnamese were brought to Songkhla Naval Base on Sunday, while two of the boats later sank after weathering rough waters. The crew members were charged with violation of the law governing the right to fish in Thai waters and the royal ordinance on fisheries, according to the navy. The Vietnamese embassy in Thailand will be notified about the incident, while the fishermen will be sent to Muang Songkhla police to face charges and deportation. This is the 26th time the Navy's Region 2 have detained the crew of Vietnamese trawlers allegedly catching fish in Thai maritime territory in the 2016 fiscal year. A total of 59 fishing boats have been seized and 401 crew arrested, the navy said. BEIJING, July 11 (Xinhua) -- A UN peacekeeper from China was killed and six others were injured when the armored vehicle carrying them was hit by a shell during a mission in Juba, capital of South Sudan, according to China's Ministry of National Defense. The incident happened on Sunday evening local time as fighting between government and anti-government forces continued in Juba. Three were severely injured, said the ministry's information office, adding that the peacekeepers' armored vehicle was hit by a shell during a mission to keep guard at a refugee camp. "The Chinese military is deeply shocked and strongly condemns the attack, and it expresses deep condolences to the victims and their families," said a statement. The Chinese military initiated emergency measures immediately after the incident, it said, adding that the Chinese peacekeeping troop has made full efforts to treat the injured and has stepped up precautions to ensure security. Related: South Sudan says 271 killed in Friday clashes amid renewed fighting JUBA, July 10 (Xinhua) -- South Sudan's Health Ministry has confirmed 271 people were killed in Friday's clashes between rival army factions outside the presidential palace in the capital Juba and there is renewed heavy fighting on Sunday. In a statement, the Health Ministry said those killed included 32 civilians, 5 police officers, 44 government troops and 190 soldiers of the Sudan People's Liberation Movement-In Opposition (SPLM-IO) led by Vice President Riek Machar. Full story UN peacekeepers from China, Rwanda killed, injured in South Sudan attacks UNITED NATIONS, July 10 (Xinhua) -- The UN Security Council said here Sunday that several UN peacekeepers from China and Rwanda were killed or injured in attacks in the wake of the recent escalating fighting in Juba, capital of South Sudan. The exact number of casualties is yet to be confirmed. In a statement issued here following an emergency session, the Security Council "condemned in the strongest terms the escalation of fighting in Juba, South Sudan that started on July 7." Full story WELLINGTON, July 11 (Xinhua) -- New Zealand Trade Minister Todd McClay on Monday hailed the success of the G20 Trade Ministers Meeting in Shanghai, saying it would likely be extremely important for New Zealand trade interests. "This was a positive meeting for our core interests in trade and investment," said McClay, who was one of only two non-G20 trade ministers invited by China to attend the meeting at the weekend. "There is a clear political will to build on the successes of the World Trade Organization (WTO) Nairobi Ministerial last year, which agreed to eliminate agricultural export subsidies, and to make progress towards concluding an Environmental Goods Agreement," McClay said in a statement. In respect of the WTO, G20 ministers had committed to advance negotiations in a number of areas, including on the trade-distorting effects of agricultural domestic support, which was vitally important to New Zealand's export interests and would be welcome news to its rural sector. "While much remains to be done, this meeting has been a useful step in the process toward the next WTO ministerial in 2017," said McClay. G20 ministers negotiating the WTO Environmental Goods Agreement also reaffirmed their intention to conclude an ambitious agreement and to do so quickly, seeking to finish later this year. "New Zealand has been a strong advocate of the Environmental Goods Agreement and I have pushed for an outcome that has clear benefits for both trade and the environment whilst in Shanghai. A successful outcome in this area could be beneficial for New Zealand trade," he said. At the meeting, McClay had bilateral discussions with counterparts from China, Argentina, the United States, South Korea, Saudi Arabia, Indonesia, Mexico, Laos and Russia. MELBOURNE, July 11 (Xinhua) -- Australia's top scientists and health experts have declared that Acquired Immune Deficiency Syndrome (AIDS) is no longer a public health issue, with Australia joining the small number of countries worldwide to have successfully overcome the epidemic. The number of AIDS cases diagnosed now is so low that researchers from the Australian Federation of AIDS Organisations (AFAO), Melbourne's Peter Doherty Institute and New South Wales' Kirby Institute, have announced that the syndrome in Australia is now "over". AIDS cases in Australia have dropped significantly since the introduction of anti-retroviral medications in the mid-1990s, which stops HIV from advancing to AIDS - where the immune system is so badly damaged that it cannot fight off the infection. The infection is contracted when a person has bodily fluids (usually through unprotected sex or by sharing needles/syringes) passed into their bloodstream. At the peak of the epidemic through the 1980s and 1990s, AIDS killed about 1,000 people each year. Professor Sharon Lewin, director of the Peter Doherty Institute in Melbourne, told the Australian Broadcasting Corporation (ABC) that anti-retroviral drugs had been the key to the epidemic's decline, allowing people with HIV to live a long and healthy life. "I've actually seen a dramatic transformation of HIV from a universal death sentence to now a chronic, manageable disease," Lewin said on Monday. AFAO CEO Darryl O'Donnell said the number of AIDS-related deaths in Australia was now so low that it was not recorded. "AIDS is over in the way we knew it. We've got access to treatment that has had extraordinary effect, and community activism since the very early years of AIDS in the '80s and '90s has helped the efforts to fight it," O'Donnell told Fairfax Media on Monday. However, despite researchers announcing the remarkable progress with the syndrome, they said the end of AIDS did not spell the end of HIV. According to the ABC, 1,000 new cases of HIV are reported in Australia each year. Lewin said 10 percent of new HIV diagnoses in Australia comprised were made of people with advanced HIV infection. "One of the problems we still have in Australia is people not getting tested, not knowing they're infected with HIV, and turning up for their first test when they already have AIDS, or already have significant immune damage," she said. AIDS advocates will now target the 35 million people around the globe who are living with HIV, particularly those countries the Asia-Pacific region, where 180,000 cases of AIDS and 1.2 million cases of HIV are reported each year. SAN JOSE, July 10 (Xinhua) -- Christiana Figueres, Costa Rica's nominee for the top UN job, is to visit China on July 18 to promote her candidacy. Figueres, who aims to succeed UN Secretary General Ban Ki-moon after his term ends at the end of this year, will be accompanied by Costa Rican Foreign Minister Manuel Gonzalez, La Nacion daily reported on Sunday. The two also plan to visit Russia and France before July 21, the date by which the UN Security Council is to present its first shortlisted candidates. These three countries, along with the United States and Britain, are the five permanent members of the UN Security Council, which will select and recommend a candidate to the UN General Assembly. Figureres is considered as a viable candidate thanks to her role as Executive Secretary of the UN Framework Convention on Climate Change. She is credited for helping lead 195 countries to the Paris Agreement on climate change in December. The daily asked whether Costa Rica's decision to compete for the UN position is a divisive move for Latin America, as Argentina nominated its Foreign Minister Susana Malcorra for the same post. Gomzalez said it is a pride that they can represent two or more women of such high caliber. TOKYO, July 11 (Xinhua) -- Legal teams in Japan will file lawsuits across the country on Monday seeking the nullification of the results of an upper house election, which saw the ruling Liberal Democratic Party-led (LDP) coalition win a landslide victory. The two separate groups of lawyers will argue that an incorrect disparity in the weight of votes among constituencies meant that the election was held in a state of unconstitutionality. The teams believe that the significant disparity in the weight of votes, with those from the least populated constituency involved in Sunday's election worth around 3 times as much as those in the most populated, is in contravention of the constitution which decrees that all votes should be equal. A law was enacted last year to address the disparity and while the number of seats in the upper house was kept at 242, four two-seat constituencies were merged into tow two-seat constituencies, and the number of seats were also cut from four to two in 3 prefectures, while five other prefectures had their number of seats raised from two to five. The law was intended to have narrowed the vote weigh disparity from as much as 4.77 times as it was in the upper house election in July 2013, that was deemed by the Supreme Court to have been held in a state of unconstitutionality, but the lawyers believe that the law has been inadequate in addressing the imbalance. Calling for the ruling LDP-led bloc's overall landslide victory to be nullified, one set of lawyers filed their case at the Hiroshima High Court on Monday, aimed at the court overturning the result in the prefecture, and a similar case will be filed at the high court in Tokyo later in the day. A separate group of lawyers will petition all of Japan's 14 high courts and their respective branches, seeking the overall upper house election results to be nullified. Japan's Supreme Court has urged politicians on numerous occasions to correct the vote disparity after finding previous elections to have been held in a state of unconstitutionality, but has ruled not to nullify the results. Sources close to the matter indicated Monday, however, that unprecedented action could be taken and the election voided if Japan's highest court upholds a ruling that the inequality in votes has not been adequately addressed by lawmakers and the electoral system not duly reformed as instructed in past cases to ensure equality as guaranteed by the constitution. MELBOURNE, July 11 (Xinhua) -- The Australian state of Tasmania will soon take delivery of a new, world-class underwater robot which will help scientists explore new parts of the Antarctic environment. The autonomous underwater vehicle (AUV), known as the "Explorer", is capable of diving to depths of 5,000 meters and travelling more than 100 kilometers under meters of thick ice. The AUV, built by Canadian firm International Submarine Engineering (ISE), will arrive in Tasmania in early 2017. The robot will be programmed to collect physical data from floating sheets of ice, which hug the coast of the Antarctica. This will help scientists reveal some of the mysteries of the Antarctic environment, including its impact on the global climate. The AUV is funded by the Antarctic Gateway Partnership, an 18 million-U.S.-dollar initiative that aims to provide new insights into the role of Antarctica and the Southern Ocean in the global climate system, and by the Australian Maritime College (AMC), a specialist institute of the University of Tasmania. AMC Principal Professor Neil Bose said the ISE contract would put Tasmania in a great position to become a global leader for underwater robotics. "We are very pleased to have awarded the contract for our new flagship AUV to ISE. Their Explorer AUV is the most capable in the world for use under sea ice and will allow us to capitalize on the robotic age of Antarctic exploration," Bose said in a media release on Monday. "The Explorer will join a fleet of similar underwater robots in a 750,000-U.S.-dollar state-of-the-art facility due to open at AMC in spring 2016. This world-class AUV hub will put AMC and Tasmania at the cutting-edge of research in this field and enable us to undertake a range of academic, defense and industry partnered projects." AUV researcher Dr Damien Guihen said the unique features of the Explorer would help further scientists' understanding of the Antarctic environment. "The new AUV will allow us to answer important questions about the past, present and future of the Antarctic continent and fringing ice shelves, as well as their role in the global climate system," he said on Monday. "The ability to bring back physical samples from beneath ice shelves is something that has not been possible before and is necessary to cast light on the complex interactions of the ice, land and sea." GUIYANG, July 11 (Xinhua) -- Countries involved in the Belt and Road Initiative must put green development high on their regional cooperation agendas to ensure pollution is managed before, rather than after, think tanks suggested at the Eco Forum Global Annual Conference Guiyang 2016. Environmental protection is a good place to begin exploring policy coordination as all cooperation would have an environmental impact, said Li Lailai, China country director for the World Resources Institute (WRI), at the forum which has just concluded in Guiyang City, southwest China. The primary goal to enhance facility connectivity along the land-based Silk Road Economic Belt and the 21st Century Maritime Silk Road, known as the Belt and Road Initiative, for instance, will result in more infrastructure projects. Mutual authentication of green produce could also support the realization of unimpeded trade, while knowledge-sharing on green credit could facilitate financial integration across the region. Citing WRI water resources data and satellite images, Li said quite a number of Belt and Road countries face a potential water shortage and have sparse forest coverage, therefore, the environment deserves more attention now than ever. Another report from the Chinese Academy of Sciences estimates that the countries under the initiative account for more than 70 percent of the world's population, 40 percent of the world's land, and they discharge more than 55 percent of the world's greenhouse gas emissions. "As a lot more countries have begun to value green growth, collaboration on outdated technology just for the pursuit of profits will not work. Environmental knowledge will be the yardstick by which we measure the competence of enterprises engaged in cooperation projects," said Liu Shijin, vice president of China Development Research Foundation, suggesting the central government tighten supervision of those companies planning to invest abroad. GREEN TREND "Many years ago, when we first talked about green growth, we simply factored environmental issues into the cost, and our basic idea for the calculation of green GDP was to take out expenses on environmental protection from the overall GDP. Now many realize it is a good engine for innovative growth and represents a new way of life," said Liu. The Belt and Road must follow the green development trend and spread knowledge and advanced systems through cooperation, he said. Despite the existence of development disparities, a large number of countries under the initiative have established strategies and policies to boost green development, including Nepal, India, Mongolia and Central Asian countries. "When China reaches out to countries under the initiative, it offers smart power grids, high-speed rail and intelligent robots, the leading systems offered by Chinese firms," said Wei Jianguo, vice president of China Center for International Economic Exchanges. In January, China Nuclear Engineering Group Corp signed a memorandum of understanding with Saudi Arabia's King Abdullah City for Atomic and Renewable Energy. Shu Weiguo, chief economist of China Nuclear Engineering Group Corp., said the cooperation was a major Belt and Road project. Invented by Chinese researchers, the high temperature gas cooled reactors (HTR) addresses the risk of radiation leaks as the reactor cores will never melt due to the use of a special spherical fuel element. Shu disclosed that his company has been in talks with Indonesia, the United Arab Emirates, South Africa and the ASEAN Center for Energy on potential HTR cooperation. Gao Hongbin, deputy director of the Systems Engineering Bureau of China Atomic Energy Authority (CAEA), said the CAEA will improve its nuclear security capacity building from a global perspective, conduct more clean energy technical exchanges and join the international community to build a fair, cooperative and win-win nuclear security system for the world. Chinese companies invested 14.8 billion U.S. Dollars in the Eurasia region under the initiative in 2015, up 18.2 percent from a year earlier. Peter Medgyessy, former prime minister of Hungary, commended China for its participation in the construction of the high-speed railway linking Serbia and Hungary when attending the forum. It was the first European railway project China has participated in and has been hailed as a flagship Belt and Road project. Upon completion of the renovation, travel will be cut from eight hours to less than three and the rail line originally built in 1882 will be powered by electricity. Medgyessy said he hoped the initiative could introduce more environmentally-friendly processes and systems to Europe. GREEN PUSH Since the initiative was launched in 2013, China has been pushing to facilitate its implementation. The Silk Road Fund, established in late 2014, with first-phase capital of 10 billion U.S. dollars, for instance, gives priority to green projects. In June, China signed a memorandum of cooperation with the government of the Republic of Serbia on new energy projects including, but not limited to, hydro, wind, solar and biomass. Another memorandum of cooperation was signed the same month for an equity investment in a German company specializing in power and heat generation from waste. In October 2015, China promised a 20-billion-yuan South-South cooperation fund to help other developing countries cope with the effects of climate change. As South-South cooperation crosses with the Belt and Road Initiative, experts say the fund might help green transformation projects among developing countries under the initiative. A pressing issue facing Belt and Road countries, however, relates to the lack of unified green standards and an incentive mechanism, said Li Lailai. Since all projects have environmental impacts and influence the sustainability of the relevant parties, there must be effective ways to implement the political view of pursuing green development. Having an incentive mechanism encouraging enterprises to shoulder their responsibilities would be a good choice as more social forces need to be mobilized, Li said. Given environmental policies vary with countries, experts say having a unified environmental standard would be tough. Zou Ji, deputy chief of the National Center for Climate Change Strategy and International Cooperation, said that enhancing coordination among each country's environmental watchdog is feasible.X "Such coordination will also allow relevant parties to integrate projects with their own climate change commitments and the 2030 Agenda of the United Nations for Sustainable Development," said Zou. HANOI, July 11 (Xinhua) -- The Vietnamese foreign ministry has sent a diplomatic note denouncing shooting at Vietnamese fishing boats and urged Thailand to probe the incident. The Thai navy opened fire on three Vietnamese fishing boats with 18 fishermen on board, according to the Vietnamese Embassy in Thailand. The firing, at around 14:00 local time (0700 GMT) on Friday, injured two fishermen and left another missing while two of the boats sank in collision with Thai naval vessels. The fishing boats were chased by Thai naval police after they were allegedly found illegally operating in Thai waters, Nguyen Hai Ngoc, first secretary of the Vietnamese Embassy, was quoted by Vietnam's state-run news agency VNA on Monday. Nguyen Van Teo, 28, who was shot in the right leg, and Nguyen Van Linh, 25, injured in the shoulder, were brought to the shore by Thailand's helicopter while the remaining 15 crew members were taken ashore by Thai naval vessels, Ngoc added. He noted that the fishermen were in custody at Naval Zone 2 of Thailand's Royal Navy in Songkhla province and expected to stand trial on Monday for illegally intruding into Thai waters and fishing without permission. However, the diplomat stressed that shooting at foreign fishing boats that violating a country's territorial sea is against international law and practice. A group of officials from the Vietnamese Embassy have flown to Songkhla to settle the incident. It was the second time that Thai navy shot at Vietnamese fishing boats. In September 2015, they opened fire on Vietnamese boats, killing a fisherman. KUNMING, July 11 (Xinhua) -- Tseri Yangzom, 7, is the pride of her family. The daughter of a Tibetan inn-keeper and farmer, she has an important role in her family unit as a translator. Tseri's father runs a hotel in Deqen County, Diqing Tibetan Autonomous Prefecture of southwest China's Yunnan Province. Growing up, Tseri loved the Tibetan tale of King Gesar, as well as the Journey to the West, a classic written in Chinese. At school, she excels at languages and speaks Tibetan and Mandarin (standard Chinese) well and a little English. Free bilingual education in Tibetan and Chinese is available to Tibetan-speaking children in Tibet Autonomous Region, and the provinces of Yunnan, Sichuan, Gansu and Qinghai. "I want to be a pianist when I grow up. I want to travel the country and play music," she said. Tseri's family do not have to pay school tuition fees for her, thanks to state funding, meaning she is much better educated than her older relatives. "Children of Tseri's generation are multilingual. She is the pride of our family," said her uncle Ashi, 48. About 100 kilometers away from Tseri's school, in Benzilan Township of Deqen, is another school attended by more than 1,000 children. Yeshe Lhamo, a third-grader, hopes to attend one of the top universities in Beijing. "I want to go to the best medical school in China. There are huge differences among Tibetan, western and traditional Chinese medicine, I want to explore this," Yeshe said. "My teacher said I should work hard and learn Tibetan, Chinese and English if I want to be a doctor," she said. Tenzin Norbu, 33, has worked at Yeshe's school for nine years. He was the first college graduate from his village. Now the Duotong Village of around 60 households has produced nearly 10 college students. "People now understand the importance of education, and dropouts are rare," he said. KABUL, July 11 (Xinhua) -- About 13 militants of the Islamic State (IS) were killed when Afghan army launched an airstrike in the country's eastern province of Nangarhar, the Defense Ministry said on Monday. "Based on a confirmed tip-off, the Afghan army warplanes struck an IS militants' hideout in Lagharjoy locality, Kot district of Nangarhar on Sunday. The attack also injured five militants and destroyed several rounds of weapons and ammunition," the ministry said in a statement. One IS local commander named Mira Jan was among the killed, according to the statement. The latest airstrike was continuation of a military operation in Kot which aims at eradication of local and foreign militants from the restive district. No casualty on civilians or security force members were reported during the attack, the statement noted. Nangarhar province with Jalalabad city as its capital, 120 km east of Kabul, has been the scene of clashes between security forces and IS militants since the emergence of IS in early 2015. The IS militant group has yet to make comments. DAMASCUS, July 11 (Xinhua) -- Territory controlled by the Islamic State extremist group shrunk by 12 percent in the first six months of 2016, according to an analysis released by the research group IHS on Sunday. The analysis said that "in 2015, the Islamic State's caliphate shrunk by 12,800 square kilometers to 78,000 square kilometers, a net loss of 14 percent." "In the first six months of 2016, that territory shrunk again by 12 percent. As of July 4, 2016, the Islamic State controls roughly 68,300 square kilometers in Iraq and Syria," it said. IHS senior analyst Columb Strack said the losses were likely to mean the IS would redouble its attempts at "mass casualty attacks." "As the Islamic State's caliphate shrinks and it becomes increasingly clear that its governance project is failing, the group is reprioritizing insurgency," he said. "As a result, we unfortunately expect an increase in mass casualty attacks and sabotage of economic infrastructure, across Iraq and Syria, and further afield, including Europe," he added. The group's revenue also dropped from 80 million U.S. dollars a month in mid-2015 to 56 million dollars a month by March 2016, according to IHS. "This figure has probably continued to decrease since March by at least another 35 percent," said another senior analyst at IHS Ludovico Carlino. "Combined with the military setbacks on the ground, this is having an impact on the internal cohesion of the group as indicated by a marked increase in defections and desertions since January 2016," he said. IHS is headquartered in Englewood, Colorado, the United States, and employs about 9,000 people in 33 countries around the world. JUBA, July 11 (Xinhua) -- Gunfire erupted again in Juba, capital of South Sudan, on Monday, raising fears of an escalation in fighting between soldiers loyal to President Salva Kiir and those supporting First Vice President Riek Machar, according to media reports. At least 271 have been killed in the fighting since its eruption on Thursday, and the United Nations Security Council has urged the rival leaders to rein in their forces and end the violence. ANKARA, July 11 (Xinhua) -- Three policemen were shot dead and three others wounded by an assailant with mental problems late Sunday in southeastern Turkey, Dogan news agency reported Monday. The shooting, which happened at a bus station in the southeastern Turkish city of Sanliurfa, was not a terror attack, Dogan said, quoting Sanliurfa Governor Gungor Tuna. The 17-year-old shooter was travelling with his family and had taken his father's gun, Tuna was quoted as telling the media. The youth opened fire on three police officers as they asked people at the bus station for their identification papers and then fled before opening fire on another group of police. Three of the police officers later died in hospital. JUBA, July 11 (Xinhua) -- Casualties among UN peacekeepers including the death of a Chinese blue helmet occurred during the renewed fighting in South Sudan's capital Juba on Sunday, a spokeswoman with the UN Mission in South Sudan (UNMISS) has confirmed. "The casualties involved one Chinese and Rwandese," deputy spokesperson Shantel Persaud told Xinhua on Monday without disclosing the name of the dead. The Chinese blue helmet was killed on duty at the UNMISS Jebel base, while several other Rwandese peacekeepers were critically injured at the Tomping base near the airport, she said. She described the fighting on Sunday as "intensive," and condemned the fresh violence in Juba that she said caused panic among civilians. The UNMISS reported some 1,000 internally displaced people fled from one of the UNMISS protection of civilians sites to its compounds in Jebel, which suffered small arms and heavy weapons fire. The UNMISS has run six such sites in various parts of Juba in the past two years, accommodating nearly 170,000 people seeking safety. The UN Security Council on Sunday issued a statement that "condemned in the strongest terms the escalation of fighting in Juba, South Sudan that started on July 7." With sympathies and condolences to the families of Chinese and Rwandan peacekeepers killed or injured in the attacks, it expressed "particular shock and outrage" at the attacks on UN compounds and protection of civilians sites in Juba. Related: UN peacekeeper from China killed in South Sudan mission BEIJING, July 11 (Xinhua) -- A UN peacekeeper from China was killed and six others were injured when the armored vehicle carrying them was hit by a shell during a mission in Juba, capital of South Sudan, according to China's Ministry of National Defense. The incident happened on Sunday evening local time as fighting between government and anti-government forces continued in Juba. Full Story South Sudan says 271 killed in Friday clashes amid renewed fighting JUBA, July 10 (Xinhua) -- South Sudan's Health Ministry has confirmed 271 people were killed in Friday's clashes between rival army factions outside the presidential palace in the capital Juba and there is renewed heavy fighting on Sunday. BEIJING, July 11 (Xinhua) -- Aluminum Corp. of China (CHALCO), the country's biggest producer of alumina and primary aluminum, posted profits of 330 million yuan (49.4 million U.S. dollars) during the first half of the year, the highest level in almost five years. The company attributed its excellent performance largely to reduced production costs. Costs of electrolytic aluminum, alumina and electrolytic copper fell 400 yuan, 2,500 yuan and 5,200 yuan per tonne, respectively, said Yu Dehui, general manager of CHALCO. Although prices of the three products dropped year on year during the first half, the company managed to improve profitability. CHALCO also expanded cooperation with auto producers and builders during the first half to further tap aluminum market opportunities, Yu added. BAGHDAD, July 11 (Xinhua) -- U.S. Defense Secretary Ashton Carter on Monday arrived in the Iraqi capital of Baghdad on an unannounced visit to meet with Iraqi leaders, Iraqi official television reported. Carter's visit came as Iraqi forces are fighting against the Islamic State (IS) militant group and advancing to free Iraq's last major IS stronghold in Mosul, some 400 km north of Baghdad. Carter is expected to meet with Iraqi leaders and top U.S. military officials to discuss coordination of military campaign against the IS in the country, and means to enhance U.S. support by the international coalition to Iraqi forces fighting to free Mosul, the state-run Iraqiya channel said. The visit came in less than three months after Carter's previous one in April when he discussed with Iraqi leaders America's offer to deploy extra troops to Iraq as advisors to Iraqi forces. Hundreds of U.S. marines are already in Iraq, serving as trainers and advisers, in an attempt to help the country win the battle against IS extremists in Iraq's western province of Anbar as well as in northern Iraq. Iraq's security situation has drastically deteriorated since June 2014, when bloody clashes broke out between Iraqi security forces and IS militants. The IS took control of country's northern city of Mosul and later seized territories in Nineveh and other predominantly Sunni provinces. A U.S.-led international coalition has been conducting air raids against IS targets in both Iraq and Syria. Many blame the current chronic instability, cycle of violence, and the emergence of extremist groups such as the IS group on the United States, which invaded Iraq in March 2003 under the pretext of seeking to destroy weapons of mass destruction (WMD) in the country. The war led to the ouster and eventual execution of former Iraqi president Saddam Hussein, but no WMD was found. By Yoo Seungki SEOUL, July 11 (Xinhua) -- South Korean government officials on Monday sought to tout the need for deploying the U.S. missile defense system, called Terminal High Altitude Area Defense (THAAD), in its territory despite oppositions and controversies at home and abroad. President Park Geun-hye told a meeting with her senior advisors that the THAAD deployment would be a defensive measure to protect her country from the Democratic People's Republic of Korea (DPRK)'s nuclear and missile threats. Park said the U.S. interceptors will neither target any third-party nations nor infringe on security interests of any other country. Her comments came amid strong oppositions from neighboring countries. China and Russia have opposed to the THAAD deployment on the South Korean soil as its X-band radar can spot Chinese and Russian territories. Seoul has claimed that it will adopt the terminal mode radar with a maximum detectable range of 600 km, but it can be converted at any time into the forward-based mode as the two modes use the same hardware. The forward-based mode can range targets as far as 2,000 km. The THAAD will be operated by U.S. Forces Korea (USFK) no matter where it is deployed, and the operation will not be made transparent. The deployment itself would mean South Korea joins the U.S. missile defense program, a part of U.S. Pivot-to-Asia strategy. It is absurd to say that the THAAD deployment can help prevent the DPRK from conducting another nuclear test or test-firing ballistic missiles. The DPRK test-fired a submarine-launched ballistic missile (SLBM) in a show of force on Saturday, a day after the decision was made to deploy U.S. interceptors. The Korean People's Army said in a warning, carried on Monday by the official KCNA news agency, that it will take"physical measures"once the THAAD location is decided upon. The decision would raise tensions further on the Korean Peninsula. Defense Minister Han Min-koo said during a report to the parliamentary defense committee that where to deploy the THAAD is in the process of making a final decision among candidate sites, indicating the site nearing to a final conclusion. Among potential sites are Pyeongtaek in Gyeonggi province, Wonju in Gangwon province, Eumseong in South Chungcheong province, Gunsan in North Jeolla province and Chilgok in North Gyeongsang province. People living in the candidate sites expressed strong oppositions to the deployment in their hometown as the THAAD radar emits super-strong microwave detrimental to human body. Locals rallied nationwide in protest, while lawmakers claimed their respective candidate constituencies are not suitable for the deployment. The governor of North Gyeongsang province, one of the candidate sites and the traditional home turf for the ruling party, said on Friday that if the site is decided upon without fair and transparent procedures, he and his provincial people will not sit idle with it. If the THAAD radar is deployed northward, it will inevitably face a densely populated region. Forced deployment could cause public backlashes and may even kindle anti-American sentiment. Due to potential harms, the U.S. Army deployed its THAAD batteries in Guam, surrounded by sea, and in the middle of deserts in Texas. One of the batteries in Texas is expected to be moved to South Korea by the end of next year. Opinions on the political scene remained split. The ruling Saenuri Party continued to argue for the need to deploy U.S. interceptors, but the minor People's Party, which plays a casting vote between the ruling party and the main opposition Minju Party, has persistently opposed to it. The Minju Party indicated the deployment causing more losses than gains, citing strong oppositions from China and Russia. Defense Minister Han, however, showed a neglecting attitude toward the oppositions, saying during the parliamentary defense committee meeting that the THAAD deployment will not depend on response or oppositions from neighboring countries. ULAN BATOR, July 11 (Xinhua) -- More and more Mongolians think the China-proposed Belt and Road Initiative provides a good chance to boost the landlocked country's economy. In a recent interview with China Radio International, Mongolian Ambassador to China Tsedenjav Sukhbaatar said China is Mongolia's largest foreign investor and trading partner, adding that the plan to build a China-Mongolia-Russia economic corridor is a milestone in history and that Mongolia is planning to develop special foreign investment zones to attract investment. "Mongolia will contribute to the implementation of the Belt and Road Initiative" and "will also greatly benefit from the increased trade turnover and good shipment," he said. Mongolian Minister of Road and Transportation Zorigt Munkhchuluun highlighted in local media the country's geographic location, saying that most of the planned infrastructure projects in Mongolia under the economic corridor plan and Mongolia's Steppe Road infrastructure construction program are related to the transportation sector. The Steppe Road program is designed to boost the Mongolian economy through trans-border transportation. Mongolian economists and public figures believe the Belt and Road Initiative will offer more export opportunities for Mongolia to boost its logistics and transportation between China and other countries through its territory. They say Mongolia has abundant raw materials and mineral resources such as coal, copper and iron ores needed by China, which serves as the basis of the mutually beneficial cooperation between the two countries. Mongolia's agriculture is also expected to benefit from the Belt and Road Initiative. Mongolia boasts 73 million heads of livestock farmed by about 200,000 herder households in the vast countryside. Currently, the country's agricultural products lack market access and the government wants to sell them to big consumer markets including China, according to Mongolian media. However, the country's poor infrastructure and ineffective veterinarian and vaccination services have proved to be a big hindrance. "I heard that mutton is expensive in China. I want to sell meat to Chinese consumers. The meat I have is organic without any chemical substance," said Batbold Erdene, a herder with 1,000 heads of goats and sheep. With the Belt and Road Initiative, these herders face a better prospect of shipping their meat and dairy products to China in a better and fresh condition, media reports said. SHANGHAI, July 11 (Xinhua) -- "Xuelong" (Snow Dragon), China's research vessel, left a Shanghai port on Monday for the Arctic, the country's seventh expedition to the polar region. Xia Limin, from the State Oceanic Administration, said that scientists will undertake research on marine chemistry, ecology, geology, geophysics and sea ice dynamics. Xia, who is also research team leader, said that American and French scientists had been invited to join the 128-member research team on a 10,000-sea-mile journey that is expected to take 78 days. American and French scientists will join China's Arctic expedition team in ocean and environment research, a move Xia said that will help "promote peace, stability and sustainable development in the Arctic region." In addition to areas covered by Xuelong's previous Arctic expeditions, the ship will also visit the Mendeleyev Ridge in the Arctic Ocean. The ship is equipped with two helicopters, research support systems and emergency equipment, he said. SEOUL, July 11 (Xinhua) -- South Korea's exports, which account for about half of the export-driven economy, posted a double-digit decline for the first 10 days of July, boosting worries about the delayed recovery, customs data showed Monday. During the July 1-10 period, the exports tumbled 21.6 percent from the same period of last year at 10.35 billion U.S. dollars, according to the Korea Customs Service (KCS). The country's exports kept the longest monthly reduction for 18 months through June. The June exports inched down 2.7 percent, but the July shipments posted a double-digit fall, boosting worries about the economy's growth engine. Adding to the concerns, Seoul and Washington decided last Friday to deploy the U.S. missile defense system, called the Terminal High Altitude Area Defense (THAAD), in South Korea's soil by the end of next year. Cheong Seong-Chang, a senior researcher at the private Sejong Institute, said that the THAAD decision would cause a drop in Chinese tourists visiting South Korea, a cooling in the popularity of the so-called Korean Wave and the possible boycotting of South Korean products in China. The customs agency said that the July exports increased 4.5 percent in consideration of less business days in the month compared with a year earlier. NANCHANG, July 11 (Xinhua) -- Migrant worker Zhang Suorong has returned to his rural hometown, but not for a holiday, wedding or funeral. This time, he is home to fight the flood. Zhang is from Jiangxinzhou, Jiangxi Province, but he lives and works 500 kilometers away in Yangzhou, Jiangsu Province. Jiangxinzhou, a township-level settlement on a large sandbank in the Yangtze River, is the registered home of about 50,000 people. However, like Zhang, many from the town have left for jobs in big cities. Facing a lack of manpower and devastating summer floods, the town has called its migrant workers home to battle the forces of nature. Last week, the local government sent a notice to migrant workers from Jiangxinzhou in other cities via social media. "Dear townsfellows, we are facing a critical period as floods devastate our home," it said. "We need our 50,000 residents to work together to win this war against the natural disaster." Days after the notice was issued, more than 3,000 migrant workers returned home, said Zhu Wenbin, a local Party official. "I just had to come back," Zhang said. "It is our home. If we do not return to protect it, who will?" "My house stands right beside the Yangtze and is in danger of being submerged," he said. "I am worried about my family." WATER INFLOW, WORKER OUTFLOWH Since the high water season began in early June, floods, hail and landslides have killed 164 people in China, mainly along the Yangtze River and its tributaries, and left 26 missing, the Ministry of Civil Affairs said Friday morning. Floods have swept away three dams and an embankment in Jiangxi. Four people have been killed and another remains missing. More than 300,000 hectares of crops have been damaged and 4,252 houses have been destroyed, leading to a direct economic loss of 6.2 billion yuan (928 million U.S. dollars), according to government figures. According to the Office of State Flood Control and Drought Relief Headquarters, water levels in the middle and lower reaches of the Yangtze and major freshwater lakes such as Poyang and Dongting are all rising, exceeding warning lines in many spots. Zhang's hometown of Xinzhouchang, administered under Jiangxinzhou, is in desperate need of hands to reinforce dams, patrol embankments and fix possible leaks along the barriers. According to official statistics, of Xinzhouchang's 6,000-plus registered residents, more than 5,000 are migrant workers in big cities. Most of those who remain in Xinzhouchang are "left-behind" seniors, women and children. "The situation is similar in many other localities in Jiangxi, which is one of the worst-hit areas by this year's floods," said Xu Yaochun, Party head of Jiujiang County, which administers Jiangxinzhou. According to the Jiangxi branch of the National Bureau of Statistics, Jiangxi's migrant workers topped 10 million in 2013, a year-on-year increase of 2 percent. TOUGH JOURNEY, TOUGHER BATTLE For Zhang, even making the journey home was difficult. Floods have halted direct rail services from Yangzhou to his hometown, so he had to transfer in neighboring Anhui Province. He spent a night in a relative's house in Anhui's capital, Hefei, and caught the first bus home the next day. Work began almost the moment he arrived. Zhang has moved to temporary quarters at a middle school near an embankment, where he stays with others in the classrooms. They work in groups of three to patrol the embankment several hours per day. "I work in shifts, sometimes from midnight until the afternoon of the next day. It's quite intense," Zhang said. "If any dangerous situation arises, we go there to place sandbags." In addition to heavy rain over the past month, temperatures in Jiangxinzhou have reached almost 40 degrees Celsius in the past two days. Zhang and the other workers have endured the hot weather to cut wild grass on the embankment. The arms of many are red and peeling. "There are mosquitoes, bugs and snakes," Zhang said. "But we have to face the danger -- we are protecting our families." More challenges are on the way. Typhoon Nepartak, which has left two people dead and 17 missing after it made landfall in Fujian Province, will continue to bring wind and rain to Jiangxi and Zhejiang provinces. "It will be a tough battle," Zhang said. "But I believe we will win the war." PYONGYANG, July 11 (Xinhua) -- The army of the Democratic People's Republic of Korea issued a warning Monday that it will take "physical measures" against the deployment of the Terminal High Altitude Area Defense (THAAD) in South Korea by the United States. Seoul and Washington announced Friday the deployment of the THAAD as part of the U.S. Forces Korea (USFK) stationed in South Korea to better tackle the so-called nuclear and missile threats from the DPRK. Countries in the region including China and Russia have repeatedly voiced opposition to THAAD on the Korean Peninsula, whose radar can locate missiles far beyond the DPRK territory. DEPLOYMENT AMID OPPOSITION Under the operational control of the USFK commander, the allied forces of the United States and South Korea reportedly aim to deploy one THAAD battery by the end of next year. Its site would be announced within weeks. A THAAD battery is composed of six mobile launchers, 48 interceptors, an airborne radar and a fire control system. THAAD, designed by the U.S. defense company Lockheed Martin, can shoot down missiles in a terminal phase using a hit-to-kill approach. The X-band radar can spot a missile as far as 2,000 km with a forward-based mode and 600 km with a terminal mode. As the two have the same hardware, the terminal mode, which South Korea allegedly plans to adopt, can be changed into a radar with a much longer detectable range. Seoul, allegedly having no plan to purchase the THAAD system estimated at about 1.5 trillion won (1.3 billion U.S. dollars), would provide site and infrastructure for the deployment, while Washington would pay the costs for operation and maintenance of the system. The two countries have been engaged in consultations since February on whether to deploy the THAAD system, which they say would enhance defense against DPRK threats. However, civic group activists in South Korea warned that the deployment of THAAD will trigger arms race in Northeast Asia and escalate regional tensions. DPRK WARNED OF "MERCILESS RETALIATORY STRIKES" In the warning carried by the official KCNA news agency, the DPRK's army voiced its "steadfast will" to "make merciless retaliatory strikes" against South Korea. Deploying THAAD is a direct product of U.S. ambition to dominate the world and South Korea's confrontational moves against the DPRK, it noted. One day after the THAAD announcement, the DPRK reportedly conducted test-firing of a submarine-launched ballistic missile in waters southeast of the DPRK's eastern port city of Sinpo. Media reports said the test appeared to have failed. Yonhap news agency cited South Korea's Joint Chiefs of Staff as saying that the missile was successfully ignited but later exploded in mid-air. South Korea later denounced the test-firing as another round of provocation by Pyongyang, saying that successful or not, it has once again breached UN Security Council resolutions. The South Korean Foreign Ministry said the country will never tolerate its northern neighbor conducting such provocations, vowing to continue applying sanctions against it and strengthening a combined deterrence with the United States. REGIONAL COUNTRIES VOICED SEVERE OPPOSITION Although the United States and South Korea said that THAAD will not target any other third country, the U.S. missile defense system has far exceeded South Korea's defense needs and would directly threaten the strategic security of China and Russia. On Friday, China said it is "strongly dissatisfied with and firmly opposes to" the THAAD deployment, warning the move may further destabilize the Korean Peninsula and hold down efforts to achieve denuclearization through dialogue. The Chinese Foreign Ministry urged Washington and Seoul to terminate the deployment, which will severely harm the security interests of regional countries as well as the "strategic balance" in the region. One day later, Chinese Foreign Minister Wang Yi noted that China was within its right to question the behind-the-scenes motives of the move. Wang called on South Korea to be cool-headed and think over what the deployment could actually bring for its security, for the realization of peace and stability on the Korean Peninsula, as well as for the settlement of the Korean Peninsula nuclear issue. In Russia, the Foreign Ministry on Friday voiced "serious concern" over and condemnation against the THAAD deployment, saying such "ill-considered" actions will bring "tragic and irreparable consequences for the situation in Northeast Asia and beyond," and undermine the global strategic balance. Evgeny Serebrennikov, deputy chairman of Russia's Arms Committee at the upper parliament house, also said Friday that the committee would work with the Defense Ministry to decide on military plans including deployment of missiles and ground units to counter THAAD. Related: News Analysis: S.Korea seeks to defend THAAD deployment despite oppositions at home, abroad By Yoo Seungki SEOUL, July 11 (Xinhua) -- South Korean government officials on Monday sought to tout the need for deploying the U.S. missile defense system, called Terminal High Altitude Area Defense (THAAD), in its territory despite oppositions and controversies at home and abroad. ZAMBOANGA CITY, Philippines, July 11 (Xinhua) -- The military reported at least 18 Abu Sayyaf militants killed and nine others wounded in the more than a week offensive operation in southern Philippines, according to official on Monday. The fighting continued to erupt Monday afternoon in the areas of Tipo-Tipo town of Basilan Province. Maj. Filemon Tan Jr., spokesman of Western Mindanao Command (Westmincom), said the relentless offensive launched since July, 4 by the Joint Task Force Basilan (JTFB) against Abu Sayyaf Group(ASG) led to the huge militant's casualty figure. Tan said based on the ground forces reports, at least 18 Abu Sayyaf members were killed and nine were wounded in the series of encounters in the areas of Tipo-Tipo, Al-Barka town and Ungkaya Pukan town. "Heavy firefight still ongoing as of the moment," Tan said. He said ground forces have involved armored vehicles, artillery fires and close air support against the militants who attempted to hoist black flags of Islamic States in the area since the other week. The 400-strong Abu Sayyaf Group, founded in the early 1990s by Islamic extremists, is a violent Muslim terrorist group operating in the southern Philippines. The ASG is notorious for a series of kidnappings, bombings and even beheadings in southern Philippines over the past decades. The ASG operates mainly in Basilan, Sulu, and Tawi-Tawi Provinces in the Sulu Archipelago and has a presence on Mindanao. Members also occasionally travel to Manila. Local residents take part in a protest against the decision to deploy the Terminal High Altitude Area Defense (THAAD), in Seoul, South Korea, July 11, 2016. (Xinhua/Yao Qilin) SEOUL, July 11 (Xinhua) -- South Korean government officials on Monday sought to tout the need for deploying the U.S. missile defense system, called Terminal High Altitude Area Defense (THAAD), in its territory despite oppositions and controversies at home and abroad. President Park Geun-hye told a meeting with her senior advisors that the THAAD deployment would be a defensive measure to protect her country from the Democratic People's Republic of Korea (DPRK)'s nuclear and missile threats. Park said the U.S. interceptors will neither target any third-party nations nor infringe on security interests of any other country. Her comments came amid strong oppositions from neighboring countries. China and Russia have opposed to the THAAD deployment on the South Korean soil as its X-band radar can spot Chinese and Russian territories. Seoul has claimed that it will adopt the terminal mode radar with a maximum detectable range of 600 km, but it can be converted at any time into the forward-based mode as the two modes use the same hardware. The forward-based mode can range targets as far as 2,000 km. The THAAD will be operated by U.S. Forces Korea (USFK) no matter where it is deployed, and the operation will not be made transparent. The deployment itself would mean South Korea joins the U.S. missile defense program, a part of U.S. Pivot-to-Asia strategy. It is absurd to say that the THAAD deployment can help prevent the DPRK from conducting another nuclear test or test-firing ballistic missiles. The DPRK test-fired a submarine-launched ballistic missile (SLBM) in a show of force on Saturday, a day after the decision was made to deploy U.S. interceptors. The Korean People's Army said in a warning, carried on Monday by the official KCNA news agency, that it will take"physical measures"once the THAAD location is decided upon. The decision would raise tensions further on the Korean Peninsula. Local residents take part in a protest against the decision to deploy the Terminal High Altitude Area Defense (THAAD), in Seoul, South Korea, July 11, 2016. (Xinhua/Yao Qilin) Defense Minister Han Min-koo said during a report to the parliamentary defense committee that where to deploy the THAAD is in the process of making a final decision among candidate sites, indicating the site nearing to a final conclusion. Among potential sites are Pyeongtaek in Gyeonggi province, Wonju in Gangwon province, Eumseong in South Chungcheong province, Gunsan in North Jeolla province and Chilgok in North Gyeongsang province. People living in the candidate sites expressed strong oppositions to the deployment in their hometown as the THAAD radar emits super-strong microwave detrimental to human body. Locals rallied nationwide in protest, while lawmakers claimed their respective candidate constituencies are not suitable for the deployment. The governor of North Gyeongsang province, one of the candidate sites and the traditional home turf for the ruling party, said on Friday that if the site is decided upon without fair and transparent procedures, he and his provincial people will not sit idle with it. If the THAAD radar is deployed northward, it will inevitably face a densely populated region. Forced deployment could cause public backlashes and may even kindle anti-American sentiment. Due to potential harms, the U.S. Army deployed its THAAD batteries in Guam, surrounded by sea, and in the middle of deserts in Texas. One of the batteries in Texas is expected to be moved to SouthKorea by the end of next year. Opinions on the political scene remained split. The ruling Saenuri Party continued to argue for the need to deploy U.S. interceptors, but the minor People's Party, which plays a casting vote between the ruling party and the main opposition Minju Party, has persistently opposed to it. The Minju Party indicated the deployment causing more losses than gains, citing strong oppositions from China and Russia. Defense Minister Han, however, showed a neglecting attitude toward the oppositions, saying during the parliamentary defense committee meeting that the THAAD deployment will not depend on response or oppositions from neighboring countries. COLOMBO, July 11 (Xinhua) -- Sri Lanka's investment agency, the Board of Investments (BOI), has signed 46 new agreements totalling a record 982 million U.S. dollars last month in order to convert into an international hub in the Indian Ocean region, local media report said here on Monday. The investment projects cover a wide range of sectors such as manufacturing, construction, mixed development, hotel, mini hydropower, trading house, solar power, apparel, research and development and education. In addition, once in operation, these projects will generate an estimated 8,000 new direct employment opportunities, a BOI spokesman stated in the report said. Among the agreements signed are 11 new apartment complexes worth 259 million U.S. dollars in investments and 10 agreements for manufacturing projects worth 296 dollars in investments. Others include seven hotel projects, six related to mixed development projects in the capital Colombo and four for setting up trading houses and agreements to develop several mini hydropower projects. The government earlier this year said that the island nation aimed to attract foreign direct investments (FDIs) worth 5 billion dollars within the next three years, stating that Sri Lanka was now ripe for investments. Sri Lanka achieved a meagre 750 million dollars in FDIs in 2015, compared to peers such as Vietnam, which achieved over 13 billion dollars in FDIs. The slowdown in FDIs was attributed to the change in the political landscape which led the majority of the investors to adopt a "wait and see" approach. The FDIs achieved last year put an end to the positive growth streak achieved since 2011, where for the first time FDIs crossed the 1 billion U.S. dollars mark reaching 1.06 billion dollars. NEW DELHI, July 11 (Xinhua) -- India Monday suggested its nationals not to travel to South Sudan. "Indian nationals are advised not to travel to South Sudan. Please register yourself with Indian Embassy and do not panic," Indian External Affairs Minister Sushma Swaraj tweeted. Meanwhile, Indian Ambassador to South Sudan Srikumar Menon has said that all Indian nationals in that country are safe and that the Indian Embassy is providing rescue and relief to trapped Indians. "There are about 250-300 Indians in Juba. According to the reports, all of them including the staff working at Indian establishments and Indian peacekeeping contingent, are safe," he said. Relations between the African country's president and vice president have been fractious since South Sudan came into existence in 2011 after winning independence from Sudan. More than 200 people have been killed so far in clashes between forces loyal to that South Sudan's Vice President Riek Machar and President President Salva Kiir's troops since Friday. SINGAPORE, July 11 (Xinhua) -- Swiss President Johann Schneider-Ammann will make a state visit to Singapore from Monday to Wednesday at the invitation of Singapore's President Tony Tan Keng Yam, said the Ministry of Foreign Affairs (MFA) in a statement on Monday. MFA noted that this will be the first state visit by Switzerland to Singapore, and President Schneider-Ammann's visit will reaffirm the warm and long-standing relationship between the two countries. President Schneider-Ammann will meet with President Tan and Prime Minister Lee Hsien Loong after the official welcome ceremony on Tuesday, said MFA in the statement. Swiss President will also have meetings with Singapore's Deputy Prime Minister and Coordinating Minister for Economic and Social Policies Tharman Shanmugaratnam and Minister for Trade and Industry (Trade) Lim Hng Kiang. At the Switzerland-Singapore Business Forum: "SkillsFuture -- Developing a Future Ready Workforce" on Tuesday afternoon, President Schneider-Ammann will deliver the keynote address. SHENYANG, July 11 (Xinhua) -- Chinese tourists are being offered the chance to visit the Democratic People's Republic of Korea (DPRK) without a passport, thanks to a new tour package launched in northeast China's Liaoning Province. Since July 9, the program has allowed tourists to travel from the border city of Dandong to have a half-day stay in Sinuiju of the DPRK. They only need to apply for an entry permit with their ID cards in Dandong and go through border inspection in the DPRK before starting the trip, according to the organizer, Dandong China International Travel Service. Visitors need to pay 350 yuan (52 U.S. dollars) for each trip and can travel around a designated zone measuring 30,000 square meters. During the first three days of the deal being offered, some 1,000 Chinese have applied for an entry permit, according to Quan Shunji, general manager of Dandong China International Travel Service. Quan said the travel zone will be expanded to 130,000 square meters in the future, allowing it to receive 10,000 tourists per day during peak seasons. Facing Sinuiju across the Yalu River, Dandong sees over 10,000 tourists traveling to the DPRK from its port every year. CAPE TOWN, July 11 (Xinhua) -- South African President Jacob Zuma on Monday expressed great concern over the shooting incidences that took place in the past few days in South Sudan. These shooting incidences threaten to draw back the promising gains made through the compromised Peace Agreement and the recently formed Transitional Government of National Unity, Zuma said in a statement issued by the Department of International Relations and Cooperation. Over 100 people were killed and many others injured in the shooting accidents. Zuma called on the two leaders, President Salva Kirr and First Vice President Riek Machar, to provide the required leadership under these difficult circumstances. The president, on behalf of the government and the people of South Africa, extended his condolences to the families of those who lost their lives and wished the injured a speedy recovery. Zuma called on the people of South Sudan to remain calm and committed the South African government to provide continued support to the Transitional Government to ensure sustainable peace, stability and development. Photo taken on April 5, 2016 shows the lighthouse on Zhubi Reef of Nansha Islands in the South China Sea, south China. (Xinhua file photo) BEIJING, July 11 (Xinhua) -- Major Chinese newspapers have slammed the Philippines' so-called South China Sea arbitration, saying it impairs the impartiality and authority of the international legal system. The People's Daily, the Communist Party of China's official newspaper, carried a commentary on Monday, saying the arbitration is "a ruse against China which was instigated and manipulated by the United States, initiated by the Philippines, and with cooperation from the arbitral tribunal." Nearly 70 countries and regional organizations have voiced support for China's stance of not accepting or participating in the arbitration, and not recognizing the so-called "award," it said. They did this because this stance is with full legal basis, while the arbitral tribunal's illegal expansion and abuse of jurisdiction, on the other hand, is sabotage of international law, the article read. "By abusing the compulsory arbitration procedures, the Philippines and arbitral tribunal have eroded the authority of the United Nations Convention on the Law of the Sea (UNCLOS). Its damage to the UNCLOS and impact on the international legal order should not be underestimated," it read. The article quoted Bruce Fein, a veteran U.S. constitutional lawyer, as saying that there is a dangerous "psychology of the empire" in the U.S. policy on the South China Sea. Despite its reluctance to accede to the UNCLOS over past decades, the United States has kept preaching UNCLOS and used it as an excuse to point fingers at other countries, it said, "The U.S. only considers international law applicable when it serves its own interests and would abandon it when it does not," it read. The contradictory and double-standard attitude exposes the hypocritic nature and deep-rooted "psychology of the empire" of the United States, which, in essence, is hegemonism, according to the article. In an article carried by Sunday's Guangming Daily, Wang Hanling and Peng Sixiang, researchers with the Chinese Academy of Social Sciences, described an arbitrator and an expert witness connected to the arbitration as "chameleons" who reversed their previous position as stated in published papers. Citing Arbitrator Alfred H.A. Soons from the Netherlands as an example, the article said Soons has expressed his opinion publicly at least twice over the years that when two countries have overlapping claims over waters, issues about th legal status and maritime rights of islands and reefs cannot be separated from the maritime delimitation disputes between the two countries, and they form an integral part of maritime delimitation. However, when the tribunal ruled on jurisdiction and admissibility, Soons reversed his position and joined with four other arbitrators in saying that the tribunal has the right to decide on the Philippines' submissions concerning legal status and maritime entitlement of certain islands, according to the article. The disregard of facts and self-contradiction of some arbitrators and expert witnesses is sure to cast doubts on the impartiality of the tribunal's "award", the article said. In another article in Sunday's People's Daily, Yi Xianhe, an expert on international law from China's Wuhan University, said the arbitral tribunal has damaged the impartiality of the international law system and people will realize in the end that the only solution to the South China Sea dispute is negotiation between the disputing parties. Related Commentary: U.S. should stop treating South China Sea as next Caribbean MEXICO CITY, July 10 (Xinhua) -- The United States should stay away from the South China Sea issue and avoid repeating its history of military intervention and political manipulation in the Caribbean in the past century. The Permanent Court of Arbitration (PCA) in The Hague will announce its award on Tuesday in an arbitration case filed unilaterally by the Philippines against China on disputes over the South China Sea. Full Story Commentary: U.S. cold-war mentality not solution to South China Sea issue BEIJING, July 9 (Xinhua) -- The South China Sea arbitration initiated by the Philippines and without China's consent is a political farce. NEW DELHI, July 11 (Xinhua) -- The High Court in Kerala on Monday freed on bail 41 accused in a temple tragedy in the southern state's Kollam town in April this year, in which over 110 people were killed. The High Court granted bail to 41 people, including the temple trust officials, after the police failed to file the charge sheet against them within the mandatory 90-day deadline. The court had earlier refused bail to all of them and observed that Kerala has developed a very unhealthy cultural practice that any religious festival or ceremony must be glamorised by fireworks. "It is high time we banned or controlled the use of fireworks, explosive substances and elephant parades in connection with festivals. No religion will promote such explosive ceremonies," it said. More than 110 people were killed and over 1,000 others were injured after a stray spark from fireworks triggered a massive explosion and fire at the Puttingal Devi temple in April this year. The mishap happened when thousands of people gathered near the temple during a display of fireworks in the wee hours of Sunday. MANILA, July 11 (Xinhua) -- The military on Monday claimed to have killed around 40 members of the Abu Sayyaf Group (ASG) in skirmishes in the southern Philippines since last week as part of the military's efforts to end the terrorist group. Maj. Filemon Tan, spokesman of the military's Western Mindanao Command, said 25 other Abu Sayyaf men were also wounded based on intelligence information gathered by government troops. "Focused military operations are intensified, in coordination with the police and the local governments of the provinces of Basilan and Sulu Province, to neutralize the Abu Sayyaf Group," said Tan. The fighting in Basilan, particularly in the towns of Unkayan Pukan and Albarka, broke out last Wednesday, the military said. In Sulu, the fighting erupted last Thursday in Patikul town. Artillery rounds were delivered to known positions of the Abu Sayyaf in the process. The military initially reported the killing of nine Abu Sayyaf men and wounding of 13 others in the Sulu skirmishes. Tan said the military casualties remained at one soldier killed and six others wounded, all from the Sulu firefight. Tan said the number of Abu Sayyaf casualties increased Sunday to 40 dead and 25 wounded based on reports gathered -- 18 dead and nine wounded in the Basilan fighting; and 22 killed and 16 wounded in the Sulu fighting. In Basilan, Tan said "heavy firefight still ongoing involving armored vehicles, artillery fires and close-air support against the ASG" as of around 4:30 p.m on Monday. In his assumption speech last July 1, AFP chief Gen. Ricardo Visaya vowed a 24/7 or non-stop operations against the Abu Sayyaf, in keeping with the thrust of President Duterte to end the ASG. Visaya said the the military will be unrelenting in the operations against the Abu Sayyaf and its allies. Army chief Lt. Gen. Eduardo Ano has said the goal is to end the Abu Sayyaf within the term of Visaya who is retiring on Dec. 8. The Abu Sayyaf is keeping a dozen of foreign and Filipino hostages in Sulu, including seven Indonesian tugboat crew members abducted off the Sulu Sea last June 22. The group is also known for its beheading and bombing activities. Authorities are still in the process of validating if the Abu Sayyaf was also responsible for kidnapping three more Indonesian tugboat crew members in the Malaysian waters last Saturday. BEIJING, July 11 (Xinhua) -- China is expected to contribute more to the Asia-Europe Meeting (ASEM) by promoting greater relations between the two regions at an upcoming summit. Chinese Premier Li Keqiang will unveil new proposals in deepening cooperation between Asia and Europe during his first foreign visit this year. Li will attend the 11th ASEM summit in Mongolian capital Ulan Bator from July 15 to 16, after an official visit to the country from July 13 to 14. BETTER CONNECTIVITY, DEEPENING COOPERATION ASEM has provided an important platform for political dialogue, economic cooperation, and cultural and social exchange between Asia and Europe. Launched in 1996, the biennial ASEM summit has served as a venue for dialogue between countries in Asia and Europe. ASEM now includes 53 Asian and European members. The 11th ASEM summit to be held in Mongolia marks the 20th anniversary of the group's founding. Shada Islam, director of policy at the Brussels-based think-tank Friends of Europe, said that ASEM has performed well in terms of bringing together Asia and Europe. "The revitalization of this important forum is to a large extent a consequence of the fact that China is very much engaged into it," said Shada Islam in a recent interview with Xinhua. She explained that ASEM is very flexible but needs some fresh energy, and said she believes that discussions on connectivity will provide that kind of new dynamism. "ASEM members are expecting more from China, the second largest economy in the world. It should show more courage and wisdom and allocate more resources in leading the interconnectivity between Asia and Europe," said Cui Hongjian, director of the Department for European Studies of the China Institute of International Studies. Cui noted the importance of linking China's Belt and Road Initiative to existing ASEM projects such as the Asia-Europe continental bridge as well as to more new projects to boost their connectivity. China attended all ASEM summits ever since its birth, and the proposals it made in the past 20 years have borne witness to its contributions to the platform. The Mongolian summit is highly looked to as it will work out the plan for priorities in the next 10 years. The ASEM faces the challenge to enhance its relevance in looking for more spots of common interests between Asia and Europe, said Ding Yifan, an economist with the Development Research Center of the Chinese State Council. Ding suggested more cooperation between Asia and Europe in technology and environmental protection, as well as increased efforts in dealing with possible differences among Asian and European members. Ding expects the Chinese premier will touch upon such topics during the summit in a bid to bring out more momentum from the ASEM in the next decade. Meanwhile, Li is also likely to discuss with other Asian and European leaders the Brexit issue, the South China Sea arbitration unilaterally initiated by the Philippines, and other hot topics across the region. MORE COOPERATION WITH MONGOLIA The upcoming visit by Li is expected to seek more convergence between China's Belt and Road Initiative and Mongolia's Steppe Road program. More cooperation in production capacity, major projects and finance is expected to inject more vitality in China-Mongolia ties. There's opportunity for Mongolia's Steppe Road program to get aligned with the Belt and Road Initiative, which will greatly benefit the Mongolian economy as well as the construction of a tri-party economic corridor involving China, Mongolia and Russia, said Gao Shumao, the former Chinese ambassador to Mongolia. On efforts to aligning the Belt and Road Initiative and the Steppe Road program, Gao said there is huge potential for the two sides to cooperate in rail and highway construction, clean energy, tourism, health care and people-to-people exchanges. Chinese President Xi Jinping's visit to Mongolia in 2014 laid a sound foundation for China-Mongolia relations, and Li is expected to strengthen those ties during his trip, said Gao. Related Chinese premier to visit Mongolia, attend ASEM BEIJING, July 8 (Xinhua) -- Chinese Premier Li Keqiang will pay an official visit to Mongolia from July 13 to 14, Chinese Foreign Ministry spokesperson Hong Lei said on Friday. At the invitation of Mongolian President Tsakhiagiin Elbegdorj, Li will also attend the 11th Asia-Europe Meeting (ASEM) summit in Mongolian capital Ulan Bator from July 15 to 16, according to Hong. Full Story ASEM performs well in bringing together Asia, Europe: European Expert Brussels, July 10 (Xinhua) -- Shada Islam, director of policy at the Brussels-based think-tank Friends of Europe, said that the Asia-Europe Meeting (ASEM) has performed well in terms of bringing together the two continents. JERUSALEM, July 11 (Xinhua) -- Israeli security forces arrested four Palestinian men on Sunday night for manufacturing and selling illegal weapons in the West Bank, the Israeli Security Agency said in a statement on Monday. The four Palestinians were arrested outside of Nablus in the West Bank in a joint operation, according to the Israel Defense Forces (IDF) and the Shin Bet Security Agency. Two of those arrested were members of the Palestinian security services, the statement said. The two, Assem Najah Sharif Safadi and Ali Najah Shariif Safadi, are both intelligence officers in the Palestinian Authority security services, residing in the Palestinian village of Urif near Nablus. In the overnight operation, Israeli security forces recovered carl gustav submachine guns, pistols and parts of an M-16 assault rifle, as well as ammunition, according to a Shin Bet statement. Such overnight operations have become quite common, after 10 months of ongoing violence which has claimed the lives of 34 Israelis and 215 Palestinians. Israel accuses the Palestinian Authority of inciting violence, whereas the Palestinians say it is the result of 49 years of Israeli occupation of the West Bank, east Jerusalem and the Gaza Strip, where they wish to establish their own state. BUENOS AIRES, July 11 (Xinhua) -- Military bands from 10 countries took part in Argentina's Independence Day celebrations on Sunday, marking the first military procession in such celebrations in the country in some 15 years. Organized by the Argentine Defense Ministry, the parade marking the bicentennial of Argentina's independence from Spain featured some 1,000 military musicians from Bolivia, Chile, Spain, the United States, France, Italy, Morocco, Paraguay, Peru and Uruguay. The military bands marched from the intersection of Libertador and Salguero avenues in the district of Palermo to the national polo field in Buenos Aires. Defense Minister Julio Martinez stressed the "cultural" aspect of the parade, saying it helped cement relations with the guest countries. It "allows us to bolster integration with the participating countries" and "strengthen the connection between the armed forces and civil society," he said. The country's history of military dictatorship in the late 1970s and early 1980s had discouraged military procession of this kind. Argentina's new government, headed by President Mauricio Macri, decided to revive the tradition. The bands were escorted by about 4,000 Argentine troops on foot from the army, navy and air force, as well as war veterans. Starting at 11 a.m. local time (14:00 GMT), the parade concluded with a salute from Macri. Some of the military contingents wore uniforms from previous periods in history. The parade drew applause from civilians, many of them watching from balconies festooned with flags. "It's very exciting," Maria Perez Salvadores, a 61-year-old civilian, told Xinhua. "In the past, such parades took place regularly, but then the tradition died out." Perez said the parade reminded her of "the parades of my childhood" and "my grandfather, Antonio Perez, who took part in the Conquest of the Desert," a military campaign in the 1870s that established Argentina's control over the southern Patagonian region. South Sudanese troops were seen on the street of capital Juba on July 10, 2016. (Xinhua) JUBA, July 11 (Xinhua) -- Heavy fighting erupted again on Monday in South Sudan's capital Juba between government troops of President Salva Kiir and forces loyal to Vice President Riek Machar. Witnesses told Xinhua that heavy artillery shelling and mortars were heard on Monday morning in parts of the capital city including Hai Cinema, Jebel, Gudele, Tomping and the airport. "As we are talking now, there are heavy explosions all over Juba. The rival factions are using heavy artillery and mortars to attack each other," a witness told Xinhua by phone. A South Sudanese military armoured vehicle was seen on the street of capital Juba on July 10, 2016. (Xinhua) Another witness said he saw civilians fleeing their homes as mortars, grenades, and heavy ground assault weaponry were used by both sides. The Health Ministry says at least 271 people were killed in clashes between the rival factions on Friday in Juba. Fighting resumed on Sunday in the capital city. The exact number of those killed in fighting since Sunday is not known, but an UN source says two Chinese peacekeepers have been killed. Foreign Ministry spokesperson Lu Kang (Source: fmprc.gov.cn) BEIJING, July 11 (Xinhua) -- China on Monday strongly condemned Sunday's attack in Juba, capital of South Sudan, in which two Chinese peacekeepers were killed and five Chinese were injured. The incident happened Sunday evening local time as fighting between government and anti-government forces continued in Juba, according to China's Ministry of National Defense. A peacekeeping armored vehicle, which was guarding a refugee camp, was hit by a shell. China is deeply shocked and strongly condemns the attack, and extends deep condolences to the victims and their families, Chinese Foreign Ministry spokesperson Lu Kang told a daily news briefing. The Chinese Embassy in South Sudan is supporting the injured, Lu said, noting that China had asked the government of South Sudan to launch a thorough investigation and to protect Chinese citizens in South Sudan. China is deeply concerned about the escalating situation in South Sudan, Lu said, after the attack, the Chinese Foreign Ministry and Chinese Embassy in South Sudan initiated emergency measures to protect Chinese citizens and organizations. The Chinese side called for a ceasefire, the cessation of violence, and the implementation of the peace deal in South Sudan to restore stability and avoid more casualties, Lu said. The Chinese Foreign Ministry has issued a safety warning, advising Chinese citizens to avoid South Sudan, and calling on those in South Sudan to avoid conflict areas, Lu said. Related Chinese UN peacekeeper killed in escalating fighting in South Sudan JUBA, July 11 (Xinhua) -- Casualties among UN peacekeepers including the death of a Chinese blue helmet occurred during the renewed fighting in South Sudan's capital Juba on Sunday, a spokeswoman with the UN Mission in South Sudan (UNMISS) has confirmed. NAIROBI, July 11 (Xinhua) -- The United Nations, African regional bloc IGAD and African countries have called on South Sudan's warring parties to stop the escalating fighting in capital Juba that has claimed hundreds of lives. Civilians, police, government and former rebel soldiers, and at least three UN peacekeepers were among the causalities whose exact number could not be confirmed at the moment. After an emergency meeting on Sunday, the 15-nation UN Security Council issued a statement to condemn the attacks and express condolences to the families of the peacekeepers. It warned that attacks against civilians and UN premises and personnel may constitute "war crimes" and assailants must be held accountable and could be subject to sanctions. The council urged an immediate end to the fighting in South Sudan, demanding President Salva Kiir and First Vice President Riek Machar do their utmost to control their respective forces and genuinely implement their peace agreement, "including the permanent cease-fire and redeployment of military forces from Juba." Earlier Sunday, UN Secretary-General Ban Ki-moon said he was "shocked and appalled by the heavy fighting" in Juba, and strongly urged the leaders of the youngest country in the world to "do everything within their power" to de-escalate the hostilities. "This senseless violence is unacceptable and has the potential of reversing the progress made so far in the peace process," said the UN chief. The Intergovernmental Authority on Development (IGAD), an eight-nation East African bloc, has also urged concerned parties to refrain from violent acts in South Sudan. "IGAD condemns in the strongest terms possible these violent acts which have once again placed the long suffering people of South Sudan in unspeakable harm's way," said the statement. "IGAD abhors the loss of lives and fears for civilian casualties if the situation is not contained immediately, and urges all concerned to exercise restraint and demonstrate true leadership by doing all they can to stop the violence," it said. Sudanese President Omar al-Bashir urged the two parties in the conflict in South Sudan to exercise self-restraint and stop the fighting which started last week in the capital Juba, official SUNA news agency reported Sunday. Al-Bashir made the remarks during telephone conversations with South Sudan President Salva Kiir Mayardit and First Vice-President Riek Machar, the report said. The president reiterated the importance of ending the bloodshed so as not to drag the area to tribal and political conflicts that would cripple the procession of peace and development of South Sudan. In a statement, the Sudanese government expressed deep concern over the conflicts in South Sudan. "Sudan government would like to express its deep concern over the recent unfortunate events which took place in the sisterly Republic of South Sudan, and which led to military clashes that resulted in the killings of a great number of people from both parties in the conflict, disturbed the security and created a state of instability," said Sudan's Foreign Ministry in a statement. South African President Jacob Zuma on Monday expressed great concern over the shooting incidences that took place in the past few days in South Sudan. These shooting incidences threaten to draw back the promising gains made through the compromised Peace Agreement and the recently formed Transitional Government of National Unity, Zuma said in a statement. Meanwhile, foreign ministers from member states of East African bloc IGAD are due to meet in the Kenyan capital Nairobi on Monday over the crisis in South Sudan. An official from Kenya's foreign ministry told Xinhua on Sunday the ministers would discuss ways of ending the fighting between forces loyal to President Salva Kiir and his deputy Riek Machar. IGAD groups Djibouti, Eritrea, Ethiopia, Kenya, Somalia, Sudan, South Sudan and Uganda. The rival factions clashed in Juba on Friday, killing at least 271 people, among them 32 civilians and 234 soldiers from both sides. Heavy fighting resumed on Sunday and continued on Monday. South Sudan gained independence on July 9, 2011 from Sudan after more than two decades of war. In December 2013, Kiir accused his deputy Machar of plotting a coup, which was denied by the latter but led to a cycle of retaliatory killings. In August 2015, Kiir and Machar, who was a former rebel leader, signed a peace deal which paved the way for the formation of a transitional unity government. JERUSALEM, July 11 (Xinhua) -- An Israeli court on Monday handed a Palestinian man three life sentences over a bus attack in Jerusalem last October that killed three Israelis. The Jerusalem District Court gave Bilal Abu-Ghanem, 21, from the east Jerusalem Palestinian village of Jabel Mukaber, three life terms plus 60 years in prison. He was convicted last month of three counts of murder, seven counts of attempted murder, and of aiding the enemy during war. The Palestinian will also have to pay 250,000 shekels (83,000 U.S. dollars) to the families of the murdered victims and 150,000 shekels (nearly 40,000 dollars) to the families of the wounded. Abu-Ghanem was one of two Palestinians who carried out a combined shooting and stabbing attack on a Jerusalem bus in October 2015. Other than the three Israelis killed, seven others were wounded. The other attacker was killed by Israeli police forces. Israelis and the Palestinians have been mired in a wave of violence since October that has claimed the lives of 34 Israelis and 215 Palestinians. Israel accuses the Palestinian Authority of inciting violence, whereas the Palestinians say it is the result of 49 years of Israeli occupation of the West Bank, east Jerusalem and the Gaza Strip, where they wish to establish an independent state. SHANGHAI, July 11 (Xinhua) -- An ASEAN Regional Forum (ARF) seminar on urban emergency rescue was held in Shanghai Monday. The event, from Monday to Thursday, was co-organized by China and Malaysia and attended by delegates from countries including India, Indonesia, Pakistan, the Republic of Korea, Singapore, and Thailand in addition to representatives from international organizations, such as the ASEAN Secretariat. Academic exchanges, training and field trips will be carried out to train attendees on how to handle fires in high-rise buildings and subways as well as incidents that involve dangerous chemicals or bodies of water, according to China's Ministry of Public Security. In addition, joint drills will simulate rescue conditions for petrochemical complexes. Founded in 1994, the ARF is a regional forum for security dialogue in Asia. BANDAR SERI BEGAWAN, July 11 (Xinhua) -- The Royal Brunei Police Force detained a man over alleged bomb threat in the sultanate Monday afternoon. The police detain the man to assist in investigation after receiving a bomb threat, it said. The police said that they received a phone call on their 993 hotline, with the caller saying there was a bomb at a commercial building in the business-centered Gadong area. Local witnesses told Xinhua that the police cordoned off the entire stretch of road in Gadong area from noon to 17:30 local time. "I have been in Brunei for over 20 years. I never heard of things of this kind in decades," Fidzman, one witness whose dry-cleaning business located just across the road from the commercial building, told Xinhua. "This bomb event has cause lots of scare in Brunei. Luckily, it has proved to be a false threat," he added. Enditem BEIJING, July 11, 2016 (Xinhua) -- A screenshot shows a local militant opening fire in Juba, capital of South Sudan, on July 10, 2016. Heavy fighting erupted again on Monday in South Sudan's capital Juba between government troops of President Salva Kiir and forces loyal to Vice President Riek Machar. (Xinhua) JUBA, July 11 (Xinhua) -- Heavy fighting erupted again on Monday in South Sudan's capital Juba between government troops of President Salva Kiir and forces loyal to Vice President Riek Machar. Witnesses told Xinhua that heavy artillery shelling and mortars were heard on Monday morning in parts of the capital city including Hai Cinema, Jebel, Gudele, Tomping and the airport. "As we are talking now, there are heavy explosions all over Juba. The rival factions are using heavy artillery and mortars to attack each other," a witness told Xinhua by phone. Another witness said he saw civilians fleeing their homes as mortars, grenades, and heavy ground assault weaponry were used by both sides. The UN mission in South Sudan (UNMISS) reported heavy fighting in Juba, including in areas close to its compounds in Jebel and Tomping. It said more than 1,000 internally displaced people had fled a UN Protection of Civilian site to the UNMISS compound in Jebel. Both UNMISS compounds in Juba sustained impacts from small arms and heavy weapons fire, the UN mission said. South Sudan's Health Ministry says at least 271 people were killed in clashes between the rival factions on Friday in Juba. Fighting resumed on Sunday in the capital city. The exact number of those killed in fighting since Sunday is not known, but an UN source says two Chinese peacekeepers have been killed after the armoured vehicle they were travelling in on duty was hit by a mortar shell on Sunday. The violence has raised fears that the war-torn country could descend into war again. President Kiir and former rebel leader Machar have fought a civil war which broke out in December 2013 and left tens of thousands dead. A peace deal signed by the two men last August under UN pressure led to the formation of a national government in April with Machar returning to his old post. TEHRAN, July 11 (Xinhua) -- The Iranian Foreign Ministry on Monday dismissed concerns raised recently by NATO members over its missiles program. "The concerns posed in the latest meeting of the NATO is the repetition of the baseless allegations and is rejected," Foreign Ministry Spokesman Bahram Qasemi said. He was referring to a NATO statement released on July 9 that expressed "serious concern over the development of Iran's ballistic missile program and continuing missile tests." "As it has been announced repetitively, our country's missile capabilities are within the framework of legitimate defense program of the Islamic republic and have not been developed to carry nuclear warheads," Qasemi said. Iran's missile program has nothing to do with the country's nuclear deal with major powers and does not violate any United Nations resolution, he added. South Sudanese troops were seen on the street of capital Juba on July 10, 2016. (Xinhua) JUBA, July 11 (Xinhua) -- Heavy fighting erupted again on Monday in South Sudan's capital Juba between government troops of President Salva Kiir and forces loyal to Vice President Riek Machar. Witnesses told Xinhua that heavy artillery shelling and mortars were heard on Monday morning in parts of the capital city including Hai Cinema, Jebel, Gudele, Tomping and the airport. "As we are talking now, there are heavy explosions all over Juba. The rival factions are using heavy artillery and mortars to attack each other," a witness told Xinhua by phone. A South Sudanese military armoured vehicle was seen on the street of capital Juba on July 10, 2016. (Xinhua) Another witness said he saw civilians fleeing their homes as mortars, grenades, and heavy ground assault weaponry were used by both sides. The UN mission in South Sudan (UNMISS) reported heavy fighting in Juba, including in areas close to its compounds in Jebel and Tomping. It said more than 1,000 internally displaced people had fled a UN Protection of Civilian site to the UNMISS compound in Jebel. Both UNMISS compounds in Juba sustained impacts from small arms and heavy weapons fire, the UN mission said. International aid personnel were seen at the Juba International Airport on July 10, 2016. (Xinhua) South Sudan's Health Ministry says at least 271 people were killed in clashes between the rival factions on Friday in Juba. Fighting resumed on Sunday in the capital city. The exact number of those killed in fighting since Sunday is not known, but an UN source says two Chinese peacekeepers have been killed after the armoured vehicle they were travelling in on duty was hit by a mortar shell on Sunday. The violence has raised fears that the war-torn country could descend into war again. President Kiir and former rebel leader Machar have fought a civil war which broke out in December 2013 and left tens of thousands dead. A peace deal signed by the two men last August under UN pressure led to the formation of a national government in April with Machar returning to his old post. TEHRAN, July 11 (Xinhua) -- Iran and Bulgaria signed three memoranda of understanding (MoUs) here on Monday to boost cooperation in agriculture, transport and nuclear energy, Press TV reported. The documents were inked in the presence of visiting Bulgarian Prime Minister Boyko Borisov and Iranian First Vice President Es'haq Jahangiri. The agreements call for scientific and technological exchanges, particularly in the field of information technology and telecommunication. Joint investment and cooperation between small and medium-sized enterprises were also subjects of the agreements. Heading a high-ranking political and economic delegation, Borisov arrived in the Iranian capital on Sunday. He is scheduled to meet with President Hassan Rouhani and other senior Iranian officials. Members of the accompanying trade and economic delegation will also meet with their Iranian counterparts, and a number of agreements on private sector cooperation are expected to be signed. Foreign Ministry spokesperson Lu Kang (Source: fmprc.gov.cn) BEIJING, July 11 (Xinhua) -- More countries have voiced their support for China's position on the South China Sea issue "despite pressure," a spokesperson for Chinese Foreign Ministry said here on Monday. Lu Kang's remarks at the ministry's routine press briefing came after Cambodia reiterated on Saturday that the country would not support the arbitration court's upcoming decision over the South China Sea issue. Lu said China appreciates Cambodia's stance on the Declaration on the Conduct of Parties in the South China Sea signed by China and members of the Association of Southeast Asian Nations (ASEAN) in 2002. Angola, Liberia, Madagascar, Papua New Guinea, Senegal, among others, have also expressed their support for China's stance since early July, according to Lu. Individual countries who are trying to discredit China through the arbitration cannot claim to represent the international community, Lu said. The Arbitral Tribunal is slated to issue its opinion on Tuesday. Related Commentary: U.S. should stop treating South China Sea as next Caribbean MEXICO CITY, July 10 (Xinhua) -- The United States should stay away from the South China Sea issue and avoid repeating its history of military intervention and political manipulation in the Caribbean in the past century. The Permanent Court of Arbitration (PCA) in The Hague will announce its award on Tuesday in an arbitration case filed unilaterally by the Philippines against China on disputes over the South China Sea. Full Story Commentary: U.S. cold-war mentality not solution to South China Sea issue BEIJING, July 9 (Xinhua) -- The South China Sea arbitration initiated by the Philippines and without China's consent is a political farce. NAIROBI, July 11 (Xinhua) -- Two Chinese UN peacekeepers were killed in renewed fighting between South Sudan's rival army factions in capital Juba, a UN official confirmed to Xinhua on Monday. The official at the UN Mission in South Sudan (UNMISS), who asked not to be identified, said the armoured vehicle that a group of Chinese peacekeepers were travelling in on duty was hit by a mortar shell on Sunday, leading to the deaths and injuries. "I can confirm that two Chinese were killed on Sunday evening and eight others injured. Four of the injured are in a critical condition," the UN official said. Fighting between government troops of President Salva Kiir and forces loyal to Vice President Riek Machar resumed on Monday. "The situation in Juba is still tense as heavy artillery and explosions could be heard," the UN official said. The UN mission in South Sudan on Monday reported heavy fighting in Juba, including in areas close to its compounds in Jebel and Tomping. The latest round of violence followed deadly clashes between the rival factions on Friday and Sunday in the capital city. South Sudan's Health Ministry says at least 271 people were killed in Friday's clashes. The exact number of those killed in fighting since Sunday is not known. There are fears that the war-torn country could descend into civil war again. President Kiir and former rebel leader Machar have fought a civil war which broke out in December 2013 and left tens of thousands of people dead. A peace deal signed by the two men last August under UN pressure led to the formation of a national government in April with Machar returning to his old post. DUBAI, July 11 (Xinhua) -- dnata, an air services subsidiary of the United Arab Emirates' (UAE) international carrier Emirates Group, said on Monday it has agreed to purchase a majority stake in Britain-based Air Dispatch. The firm did not disclose the sum of the deal between dnata and Air Dispatch, which is part of the Chapman Freeborn Group. Air Dispatch was founded in 2007 and provides centralized load control planning (CLC) services, calculating the weight and balance conditions for aircraft prior to flight, said dnata in an e-mailed statement. "This latest acquisition will allow us to further broaden our portfolio to our airline customers and strengthen our position as a leading global air services provider," said dnata's Divisional Senior Vice President of International Airport Operations Stewart Angus. Air Dispatch currently has 200 employees at its operations centers in Prague and Warsaw, as well as a small head office team in Britain. Its clients include Air Berlin, which is partly owned by the UAE's Etihad Airways in Abu Dhabi, Cathay Pacific, Finnair, LOT, SAS, Qantas and Qatar Airways. "We are excited to be entering the next phase of Air Dispatch CLC's development," said Air Dispatch CLC CEO Nick Yeadon. "dnata is an excellent home for our growing business." In May, Emirates Group said dnata's profit for the fiscal year that ended on March 31 stood at 1.054 billion dirham (287.2 million U.S. dollars), up 16.3 percent year on year. The firm handled 389,412 aircraft in that period. DHAKA, July 11 (Xinhua) -- The Bangladeshi government on Monday issued an order cancelling operation of a Mumbai-based TV channel run by controversial Islamic Preacher Zakir Naik's Islamic Research Foundation. In the wake of earlier this month's first-ever hostage crisis in which 18 foreigners have been killed, the Bangladeshi government's cabinet committee on law and order on Sunday decided to close down Naik's Peace TV. Bangladesh's Ministry of Information issued the order which reads"Based on the cabinet committee's decision, free-to-air TV channel Peace TV's downlink permission has been cancelled for violating downlink conditions." The ministry order also asked all relevant authorities to stop the broadcast of the channel across the country. The measure has been taken as a section of media reported that at least two of the attackers who killed the hostages inside the Spanish cafe in Dhaka on July 1 were followers of Naik on social media. Naik, who is based in Mumbai, has, however, said that he "totally disagreed" that he had inspired the terror act in Dhaka. "There is not a single talk of mine where I encouraged one to kill another, whether Muslim or non-Muslim," he has said in a statement. LONDON, July 11 (Xinhua) -- Britain's Conservative politician Andrea Leadsom on Monday announced withdrawal from the Tory leadership race, saying she will back the only remaining candidate Theresa May to be the next party leader and British prime minister. In a statement, Leadsom said she had the support of less than 25 percent of the parliamentary party. That is not enough to run a strong, stable government, she said, adding that the interests of the country are best served by having a strong prime minister. She also offered her support to the only remaining candidate Theresa May, the Home Secretary and frontrunner in the Tory leadership race. CANBERRA, July 11 (Xinhua) -- Australian doctors urged people with diabetes to have regular eye examination as study showed a person with diabetes is 25 times more likely to go blind than other people. With 1.7 million Australians currently living with diabetes, the strain that diabetic related eye disease has on Australian health services is immense, said The Eye Surgeons' Foundation on Monday. The Foundation issued a statement to mark the National Diabetes Week from July 10 to 16, calling Australians to donate 1 dollar every year to help fund research and treatments to address the alarming rate of diabetes-related eye diseases. Diabetic Retinopathy is the most common diabetic eye disease and is the leading cause of blindness in working age adults. Over 90 percent of vision loss in people with diabetes can be prevented with optimal management and effective treatment of their diabetes 2, which includes regular eye checks for early diagnosis. The longer a person suffers from diabetes, the more likely they are to fall victim to an eye disease, despite their type or age. "Diabetes can cause progressive damage to the eye's retina, triggering the blood vessels at the back of the eyes to leak fluid, causing swelling of the retina. 44 percent of people with diabetes will develop Diabetic Retinopathy at some stage in their lives," said Professor Mark Gillies, who specialises in emerging treatments for diabetic eye disease. Research shows that 40 percent of Australians living with diabetes still neglect regular eye examinations, with one in three admitting to never having had their eyes tested. Effective treatment is available for those who do detect it early. "In order to reduce the risk of blindness, people with diabetes should not wait for symptoms to occur as there are usually no warning signs. Treatment is designed to prevent loss of vision -- by the time vision is affected, permanent damage may have already been done," warns Professor Gilles. "The increased incidence of diabetes and the potential for vision loss continues to highlight the need for national collaborative research project into the causes, treatment and prevention of eye disease such as Diabetic Retinopathy." Jacinta Spurrett, CEO of The Eye Surgeons' Foundation said. "We need to alleviate the suffering and distress caused by eye disease and to do this we need to put the cleverest minds in eye health to work and that takes funding." BEIJING, July 11 (Xinhua) -- China will end its anti-dumping duties on trichloroethylene (TCE) imports from Russia and Japan on July 21, the Ministry of Commerce said on Monday. In 2005, China levied anti-dumping duties ranging from 3 percent to 159 percent on TCE imported from the two countries and extended the measures in 2011 for another five years. Since no applications for expiry reviews have been received from domestic TCE producers 60 days prior to the expiration of the measures, the ministry will end the anti-dumping duties. JAKARTA, July 11 (Xinhua) -- The Indonesian government on Monday called on the governments of the Philippines and Malaysia to step up security in their waters following the kidnapping of three Indonesian sailors by suspected Abu Sayyaf militants over weekend, an official said. Indonesia also asked the government of the Philippines to carry out an operation to release 10 Indonesian nationals being held hostage by the militants, Indonesian Foreign Minister Retno Marsudi said. "This kind of incident cannot be tolerated. We ask the government of Philippines and Malaysia to work hard to secure their waters,"Minister Retno said at the foreign ministry. "For the government of the Philippines, we ask it to take serious efforts to immediately free our citizens," she stressed. Three Indonesian sailors were abducted by alleged Abu Sayyaf militants on Saturday in waters of Malaysia, bringing the total of Indonesian nationals kidnapped by the militants in the waters of the Philippines and Malaysia to 24 since six months ago. Fourteen of them have been released. "These hijackings took place in the waters of Malaysia and the Philippines, meaning that their waters are unsafe,"Indonesian Military Commander General Gatot Nurmantyo said at the State Palace on Monday. The general confirmed that Abu Sayyaf militant is the abductors and stressed that the military is ready to take actions to release the hostages should it is allowed to come into the Philippines' territory. Last month, Indonesian Defense Minister Ryamizar Ryacudu met with his Philippines'counterpart Voltaire Gasmin, saying that Indonesian military is allowed to enter the Philippines territory to free hostages on the next kidnapping situation. The weekend's incident is the fourth kidnapping of Indonesian nationals by the Abu Sayyaf militants this year, which occurs amid the government efforts to release seven Indonesian seamen who are being taken hostage by them. On June 20, seven crews of Tugboat Charles 001 and Robby barge ship 152, were taken hostages in the Sulu Sea of the southern Philippines. The kidnappers released six out 13 people on boards, according to Indonesian foreign ministry. The abduction occurred after the governments of Indonesia, Malaysia and the Philippines reached an agreement in May to conduct a joint patrol to secure the waterways along the borders of the nations. MUMBAI, July 11 (Xinhua) -- Indian stocks hit the highest level in 11 months, joining a global rally buoyed by strong U.S. jobs data and gradually moving past Brexit jitters. The benchmark S&P BSE Sensex closed at 27,626.69, 499.79 points or 1.84 percent up from previous close of 27,126.90, with a turnover of 889.49 crore rupees (about 132.5 million U.S. dollars). Chinese UN peacekeepers in South Sudan are seen helping refugees return to a sanctuary site. (Xinhua/Jiang Bo) NAIROBI, July 11 (Xinhua) -- Two Chinese UN peacekeepers were killed in renewed fighting between South Sudan's rival army factions in capital Juba, a UN official confirmed to Xinhua on Monday. The official at the UN Mission in South Sudan (UNMISS), who asked not to be identified, said the armoured vehicle that a group of Chinese peacekeepers were travelling in on duty was hit by a mortar shell on Sunday, leading to the deaths and injuries. "I can confirm that two Chinese were killed on Sunday evening and eight others injured. Four of the injured are in a critical condition," the UN official said. Fighting between government troops of President Salva Kiir and forces loyal to Vice President Riek Machar resumed on Monday. "The situation in Juba is still tense as heavy artillery and explosions could be heard," the UN official said. A Chinese UN peacekeeper in South Sudan is seen giving medical treatment to a wounded refugee. (Xinhua/Jiang Bo) The UN mission in South Sudan on Monday reported heavy fighting in Juba, including in areas close to its compounds in Jebel and Tomping. The latest round of violence followed deadly clashes between the rival factions on Friday and Sunday in the capital city. South Sudan's Health Ministry says at least 271 people were killed in Friday's clashes. The exact number of those killed in fighting since Sunday is not known. There are fears that the war-torn country could descend into civil war again. President Kiir and former rebel leader Machar have fought a civil war which broke out in December 2013 and left tens of thousands of people dead. A peace deal signed by the two men last August under UN pressure led to the formation of a national government in April with Machar returning to his old post. DAMASCUS, July 11 (Xinhua) -- The newly-formed Syrian government was sworn in before President Bashar al-Assad on Monday, state news agency SANA reported. Earlier this month, al-Assad endorsed the new government, which maintained many of the original ministers. On June 22, al-Assad issued a decree naming then minister of electricity Imad Khamis as new prime minister, replacing Wael al-Halqi. The new 32-seat cabinet headed by Khamis has 14 new ministers and four ministers of state, including Information Minister Ramez Tarjaman, the former head of the national Syrian TV. Ministers at the Electricity Ministry, the Industry Ministry, the Economy Ministry, the Oil Ministry, and the Ministry of Finance have all been replaced. Defense Minister Fahed Jassem al-Fraij, Foreign Minister Walid al-Moallem, Interior Minister Muhammad al-Sha'ar, and Justice Minister Najm al-Ahmad as its minister retained their old positions in the new cabinet. The new government didn't include any of the home-based opposition figures. Formation of the new government came weeks after the Syrian parliament was elected. The opposition boycotted the elections. The new government is the sixth to be formed under the presidency of al-Assad, who succeeded his father in ruling the country in 2000. The last government was formed in August 2014, following the re-election of al-Assad. KUNMING, July 11 (Xinhua) -- A series of ancient maps drawn by Persian and Arabian geographers from the ninth to 17th centuries show the disputed waters and islands of the South China Sea have been Chinese territory since ancient time, according to a joint study by Chinese and Iranian researchers. "These maps are evidence from a third party, confirming China's sovereignty over the islands and the related sea area," said Yao Jide, research team leader from Yunnan University. Yao, whose area of expertise spans China-Iran relations, the ancient Silk Road and Iran, was a former visiting scholar at the University of Tehran, Iran, and also served as head of the university's Confucius School. This particular study started in March 2012, he explained, when history professor M.B. Vosoughi from the University of Tehran visited Beijing and talked about the ancient maps. "I was told that these areas were marked with 'China Sea' and 'China Islands' in Persian or Arabic," Yao recalled. Vosoughi collected more than 50 ancient maps, which referenced Chinese territory, and had these maps translated into Chinese. The maps span around 800 years, when Persian and Arabian navigators sailed the Mediterranean, Indian Ocean, the South China Sea and finally the southeast coast of China. According to Yao, four of the maps were annotated in Arabic, while the others were in Persian. The maps, in spherical, grid or plane patterns, were designed "the south at the top, the north at the bottom, the east on the left and the west on the right," opposite to those in modern times. "Although the ancient maps are not as precise as our modern maps, we can still clearly see that on some of the maps, the waters between the West Pacific and the Indian Ocean are annotated with 'China Sea,' 'China Islands' and 'China Gulf,'" he said, adding such names have demonstrated China's sovereignty over the area. "We can safely conclude that these waters and islands are part of Chinese territory based on the naming principle of maps and semantics," he said. Lin Wenxun, president of Yunnan University, said these ancient maps were of great value as they offered information spanning centuries of communication between the East and West and the history of navigation. The research team is translating the maps into English so that they can publish an atlas in Persian, Chinese and English. BEIJING, July 11 (Xinhua) -- Chang Xiaobing, former chairman of China Telecom, one of China's big three telecom firms, has been expelled from the Communist Party of China (CPC) and removed from office for a catalog of offenses ranging from accepting bribes to ignoring frugality rules. Announcing the decision on Monday, the CPC Central Commission for Discipline Inspection (CCDI) said in a statement that Chang was also found to have obstructed anti-graft inspection and taken advantage of his post to seek promotions for others. He conspired with his relatives, allowing them to use his influence to seek profits for themselves, according to the statement. The decision to expel Chang from the CPC and to remove him from public office was approved by the CPC Central Committee. Chang's case has now been transferred to judicial organs, and his suspect assets seized, the CCDI said. MOGADISHU, July 11 (Xinhua) -- At least seven soldiers were killed on Monday in a raid on a military base in southern Somalia by the militant group Al-Shabaab, police have confirmed. Senior police officer Omar Abdullahi confirmed to Xinhua the death of the soldiers. "We have established the death of seven soldiers during the military ambush this morning. Our soldiers fought bravely and inflicted heavy casualties on the enemy," said Omar. The militants attacked the base at around 1:30 a.m. Monday local time, killing the soldiers and seizing weapons and military trucks. The attack began with a suicide car bomb at the main entrance of the base, followed by massive attack by hundreds of heavily armed militants. Earlier, Lower Shabelle mayor told Xinhua at least five soldiers were killed in the morning raid at Lanta Bure military base in Lower Shabelle region. Omar said there were more military reinforcements in the region to push the militants. Lanta-Bura in Lower Shabelle region Somalia is about 40 Km SW of the Somali capital Mogadishu. A file photo of the 15-nation UN Security Council holding a meeting at the UN Headquarters in New York. (Xinhua) NAIROBI, July 11 (Xinhua) -- The United Nations, African regional bloc IGAD and African countries have called on South Sudan's warring parties to stop the escalating fighting in capital Juba that has claimed hundreds of lives. Civilians, police, government and former rebel soldiers, and at least three UN peacekeepers were among the causalities whose exact number could not be confirmed at the moment. After an emergency meeting on Sunday, the 15-nation UN Security Council issued a statement to condemn the attacks and express condolences to the families of the peacekeepers. It warned that attacks against civilians and UN premises and personnel may constitute "war crimes" and assailants must be held accountable and could be subject to sanctions. The council urged an immediate end to the fighting in South Sudan, demanding President Salva Kiir and First Vice President Riek Machar do their utmost to control their respective forces and genuinely implement their peace agreement, "including the permanent cease-fire and redeployment of military forces from Juba." United Nations Secretary-General Ban Ki-moon speaks to journalists during a press encounter at the UN headquarters in New York, June 9, 2016.UN Secretary-General Ban Ki-moon said here on Thursday that he has to remove temporarily Saudi-led coalition from UN's blacklist of committing violations against children due to "undue pressure." (Xinhua/Li Muzi) Earlier Sunday, UN Secretary-General Ban Ki-moon said he was "shocked and appalled by the heavy fighting" in Juba, and strongly urged the leaders of the youngest country in the world to "do everything within their power" to de-escalate the hostilities. "This senseless violence is unacceptable and has the potential of reversing the progress made so far in the peace process," said the UN chief. The Intergovernmental Authority on Development (IGAD), an eight-nation East African bloc, has also urged concerned parties to refrain from violent acts in South Sudan. "IGAD condemns in the strongest terms possible these violent acts which have once again placed the long suffering people of South Sudan in unspeakable harm's way," said the statement. "IGAD abhors the loss of lives and fears for civilian casualties if the situation is not contained immediately, and urges all concerned to exercise restraint and demonstrate true leadership by doing all they can to stop the violence," it said. Kenyan State House spokesperson Manoah Esipisu addresses journalists in Nairobi, capital of Kenya, July 10, 2016. Manoah Esipisu on Sunday said that Kenyan President Uhuru Kenyatta has spoken to South Sudan's President Salva Kiir and his Vice President Riek Machar and criticized the renewed clashes between rival army factions in Juba, in which more than 100 people mostly soldiers were killed. (Xinhua/John Okoyo) Sudanese President Omar al-Bashir urged the two parties in the conflict in South Sudan to exercise self-restraint and stop the fighting which started last week in the capital Juba, official SUNA news agency reported Sunday. Al-Bashir made the remarks during telephone conversations with South Sudan President Salva Kiir Mayardit and First Vice-President Riek Machar, the report said. The president reiterated the importance of ending the bloodshed so as not to drag the area to tribal and political conflicts that would cripple the procession of peace and development of South Sudan. In a statement, the Sudanese government expressed deep concern over the conflicts in South Sudan. "Sudan government would like to express its deep concern over the recent unfortunate events which took place in the sisterly Republic of South Sudan, and which led to military clashes that resulted in the killings of a great number of people from both parties in the conflict, disturbed the security and created a state of instability," said Sudan's Foreign Ministry in a statement. Photo taken on July 21, 2015 shows the Intergovernmental Authority on Development (IGAD) flag during the IGAD-Plus peace process meeting in Addis Ababa, capital of Ethiopia. The meeting which was held here on Tuesday was aimed at deliberating over a newly developed document by the IGAD on how to reinforce the peace process, to put the crises in South Sudan an end. (Xinhua/Michael Tewelde) South African President Jacob Zuma on Monday expressed great concern over the shooting incidences that took place in the past few days in South Sudan. These shooting incidences threaten to draw back the promising gains made through the compromised Peace Agreement and the recently formed Transitional Government of National Unity, Zuma said in a statement. Meanwhile, foreign ministers from member states of East African bloc IGAD are due to meet in the Kenyan capital Nairobi on Monday over the crisis in South Sudan. An official from Kenya's foreign ministry told Xinhua on Sunday the ministers would discuss ways of ending the fighting between forces loyal to President Salva Kiir and his deputy Riek Machar. IGAD groups Djibouti, Eritrea, Ethiopia, Kenya, Somalia, Sudan, South Sudan and Uganda. The rival factions clashed in Juba on Friday, killing at least 271 people, among them 32 civilians and 234 soldiers from both sides. Heavy fighting resumed on Sunday and continued on Monday. South Sudan gained independence on July 9, 2011 from Sudan after more than two decades of war. In December 2013, Kiir accused his deputy Machar of plotting a coup, which was denied by the latter but led to a cycle of retaliatory killings. In August 2015, Kiir and Machar, who was a former rebel leader, signed a peace deal which paved the way for the formation of a transitional unity government. KATHMANDU, July 11 (Xinhua) -- Opening Zhangmu (Khasa)-Tatopani border point between China and Nepal could be further delayed due to swollen Bhotekoshi River and landslides triggered by rainfalls, according to Nepali officials Monday. The border point, located on a main trade route between the two countries, has remained closed since the deadly earthquake of last year. As the two countries have been making efforts to reopen the border point at an early date, the swollen river and several landslides damaged the road and other infrastructure facilities in the border area. This could dash any hope of early reopening of the border point, the Nepali officials said. The area around the border point has been very risky as there were several landslides and the Bhotekoshi River has been eroding nearby soil severely, said the officials. The flood in Bhotekoshi has already swept away 45 houses in the area and 150 others are in high risk, they added. Home Secretary Theresa May launches her leadership campaign in London, Britain, June 30, 2016. Five contenders emerged Thursday in the race to become the next prime minister of Britain following David Cameron's decision to quit. (Xinhua) by Larry Neild LONDON, July 11 (Xinhua) -- Britain's energy minister Andrea Leadsom dropped out of the race on Monday to be leader of the ruling Conservative Party and the next prime minister. Leadsom's announcement came after the other contender in the race, Home Secretary Theresa May, launched her leadership manifesto. It now seems likely May will become prime minister in a matter of days after a proposed ballot of the Conservative Party membership was called off. Leadsom said May had won support from 60 percent of Conservative MPs at Westminster, and she had won support from 84 MPs, less than 25 percent of the total. On Monday, she wrote to Graham Brady, who chairs the 1922 committee of backbench Conservative MPs to officially withdraw from the contest. She cited the urgent need for strong leadership, adding a nine-week leadership campaign was highly undesirable. Leadsom said it was important for the country to have a new prime minister in place as soon as possible, adding May was ideally placed to implement Britain's Brexit decision. She welcomed May's promise to carry out the wishes of the majority to bring the country out of Europe. The result of the contest had been due to be announced on Sept. 9, but with no ballot now necessary, May will become prime minister earlier. Talks will now take place with the Conservative Party board, government officials, and Buckingham Palace about a timetable for the handover from David Cameron to May. Brady said there would now be no contest following Leadsom's decision, and he expected to declare Theresa May as leader as soon as possible. He said there would be constitutional processes to go through and he planned to make statements later, and agreed the handover would no longer take the expected nine weeks, but would come much earlier. Political commentators expect May to become prime minister in a matter of days. But she will first have to have an audience with Queen Elizabeth to approve her appointment. Cameron announced on June 24 he was resigning from his position as prime minister following the referendum decision for Britain to withdraw from EU membership. Britain has not had a woman prime minister since Margaret Thatcher became the country's first ever woman head of government in 1979. Leadsom supporters reacted with dismay at her decision, believing she would have been a popular choice among 150,000 party members who would have decided the leadership question. Meanwhile, Labour MP Angela Eagle announced she would stand for the leadership of the Labour Party, challenging current leader Jeremy Corbyn who is estranged from the vast majority of Labour MPs. THE HAGUE, July 11 (Xinhua) -- The threat of a terrorist attack in the Netherlands remains substantial, the Dutch counter-terrorism service announced Monday, warning of the likelihood of new terrorist attacks occurring in European countries in the short term. "The chance of an attack in the Netherlands is real. However, there are no concrete indications that terrorists are making preparations to commit an attack," said the Dutch National Coordinator for Counter-terrorism and Security (NCTV) in a new report published Monday. The Dutch counter-terrorism service warned there were increasing links of trans-national terrorist networks with the Netherlands. The current threat level in the country is level 4 on a scale of 5. The "jihadist" movement has hundreds of supporters and a large number of sympathizers in the Netherlands. A total of approximately 260 Dutch nationals have travelled to Syria or Iraq since 2012. About 40 of them have returned and 42 have been killed. At present, there are still about 170 Dutch nationals in Syria and Iraq, including women and minors. The "jihadist" threat remains the main terror threat, although the likelihood of violent incidents from left and right-wing extremism has increased since 2015. Factors such as the the arrival of refugees and the fear of terrorism have contributed to the increase of violent acts, the report said. Referring to the Islamic State (IS) attacks in Paris in November 2015, Brussels in March this year, and in Istanbul in June, the report warned, "attacks like these, but smaller-scale attacks can take place again in the short term." Even after arrests of individuals from the network that carried out the Paris and Brussels attacks, there are probably several IS cells present in Europe said the report, noting also that IS operatives appeared to remain undetected for a long time by the European authorities. According to the report, arrests and house searches conducted in various European countries have led to valuable information on the "jihadist" networks in Europe, but it warned that the perpetrators of new attacks might avoid these specific networks. It also noted there was still not much known about the people in Syria who play a coordinating role in carrying out attacks in Europe. As well, non-jihadist, often criminal, networks played an important role in the preparation or execution of attacks by "jihadists", the report noted. Although it is mainly adult men who carry out attacks in both Syria and Iraq as well as in the West, the possibility that women and children will carry out attacks in the West is increasing due to the increasing pressure IS is under. Despite the decrease in IS-controlled territory in Iraq and Syria, the terror organization is far from defeated, the report said. Related: Threat level of terrorist attack in the Netherlands remains substantial THE HAGUE, March 16 (Xinhua) -- The threat of a terrorist attack in the Netherlands remains substantial, the Dutch counter terrorism service announced Wednesday. Home Secretary Theresa May launches her leadership campaign in London, Britain, June 30, 2016. Five contenders emerged Thursday in the race to become the next prime minister of Britain following David Cameron's decision to quit. (Xinhua) LONDON, July 11 (Xinhua) -- Britain's energy minister Andrea Leadsom dropped out of the race on Monday to be leader of the ruling Conservative Party and the next prime minister. Leadsom's announcement came after the other contender in the race, Home Secretary Theresa May, launched her leadership manifesto. It now seems likely May will become prime minister in a matter of days after a proposed ballot of the Conservative Party membership was called off. Leadsom said May had won support from 60 percent of Conservative MPs at Westminster, and she had won support from 84 MPs, less than 25 percent of the total. On Monday, she wrote to Graham Brady, who chairs the 1922 committee of backbench Conservative MPs to officially withdraw from the contest. She cited the urgent need for strong leadership, adding a nine-week leadership campaign was highly undesirable. Leadsom said it was important for the country to have a new prime minister in place as soon as possible, adding May was ideally placed to implement Britain's Brexit decision. She welcomed May's promise to carry out the wishes of the majority to bring the country out of Europe. The result of the contest had been due to be announced on Sept. 9, but with no ballot now necessary, May will become prime minister earlier. Talks will now take place with the Conservative Party board, government officials, and Buckingham Palace about a timetable for the handover from David Cameron to May. Brady said there would now be no contest following Leadsom's decision, and he expected to declare Theresa May as leader as soon as possible. He said there would be constitutional processes to go through and he planned to make statements later, and agreed the handover would no longer take the expected nine weeks, but would come much earlier. Political commentators expect May to become prime minister in a matter of days. But she will first have to have an audience with Queen Elizabeth to approve her appointment. Cameron announced on June 24 he was resigning from his position as prime minister following the referendum decision for Britain to withdraw from EU membership. Britain has not had a woman prime minister since Margaret Thatcher became the country's first ever woman head of government in 1979. Leadsom supporters reacted with dismay at her decision, believing she would have been a popular choice among 150,000 party members who would have decided the leadership question. Meanwhile, Labour MP Angela Eagle announced she would stand for the leadership of the Labour Party, challenging current leader Jeremy Corbyn who is estranged from the vast majority of Labour MPs. PYONGYANG, July 11 (Xinhua) -- The Democratic People's Republic of Korea (DPRK) on Monday denounced the United States for making the situation on the Korean Peninsula "extremely tense" through a series of moves, and vowed to take stronger countermeasures to defend the country. In a statement carried by the state news agency KCNA, a spokesman for the DPRK Foreign Ministry slammed the United States for recent military moves, including dispatching strategic assets such as the nuclear-powered submarine USS Mississippi and B-52H bombers to South Korea or nearby. "The U.S. seeks to turn the peninsula into a theater of a thermonuclear war and thus implement its aggressive 'pivot to Asia-Pacific' strategy in real earnest," the statement said. The recent decision by Washington and Seoul to deploy the Terminal High Altitude Area Defense (THAAD) in South Korea was aimed to achieve this goal, it added. It said the United States intends to maintain its military advantage in the region while staging aggressive war games and stepping up military buildup and the creation of a military bloc. On the same day, the Artillery Bureau of the Korean People's Army of the DPRK warned that it will take "physical measures" to cope with the U.S. THAAD deployment in South Korea, saying the countermeasures will be taken once the location of the deployment is decided. In a joint statement issued on Friday, Seoul and Washington said the two allies decided to deploy THAAD in South Korea to protect the country and its people from the DPRK's nuclear threats, weapons of mass destruction and ballistic missiles. Related DPRK warns of "physical measures" against U.S. deployment of THAAD in S.Korea PYONGYANG, July 11 (Xinhua) -- The army of the Democratic People's Republic of Korea issued a warning Monday that it will take "physical measures" against the deployment of the Terminal High Altitude Area Defense (THAAD) in South Korea by the United States. Volunteers take out a wounded person from an ambulance outside a hospital in Srinagar, summer capital of Indian-controlled Kashmir, July 11, 2016. (Xinhua/Javed Dar) SRINAGAR, Indian-controlled Kashmir, July 10 (Xinhua) -- Clashes in Indian-controlled Kashmir between protesters and government forces continued for the second straight day Sunday despite curfew, pushing death toll to 21 including a policeman, officials said. The latest killing took place in the evening at Tengpora in Srinagar, where policemen fired upon a youth. A senior health official at SKIMS Medical College Bemina Dr Reyaz Untoo told media the youth was brought dead to the hospital. "He had bullet injuries in abdomen," Untoo said. The clashes and violent anti-India demonstrations triggered following the killing of a popular militant commander Burhan Muzaffar Wani in a gunfight. Wani was killed along with two associates on Friday. Kashmiri protesters clash with Indian paramilitary troopers and police (unseen) in Srinagar, summer capital of Indian-controlled Kashmir, July 10, 2016. (Xinhua/Javed Dar) "There has been no respite in the ongoing clashes and we have information that so far 21 people (20 protesters and one policeman) were killed since yesterday," an official said. "The policeman was killed due to drowning after the vehicle he was driving was pushed into river by protesters." Officials said the armoured police vehicle was pushed into river by angry protesters at Sangam village in southern district of Anantnag. Meanwhile, local government spokesman Nayeem Akhtar appealed people not to agitate and sought the support of the mainstream and separatist political parties to restore calm in the restive region. "The cabinet which met under the chairmanship of Chief Minister Mehbooba Mufti expressed grief and anguish over the loss of precious human lives and made a fervent appeal to all shades of political opinion, including the mainstream and the separatists, to help restore calm as the violence has neither served any purpose in the past nor is it going to do so in the prevailing circumstances," Akhtar said. Akthar, who is also a minister in the local government walked out of the press conference and refused to answer questions from media. Reports of fresh clashes were received from several places of Anantnag, Kulgam, Pulwama, Budgam and Srinagar districts with many reported injured. Officials said about 200 people were injured during the two days of violence. The irate youth threw rocks and brickbats on contingents of Indian troops and police, who responded by firing tear smoke shells, pellets and bullets. Police officials said protesters attacked several police and paramilitary posts in the region. According to police, around 90 policemen were also injured in the crowd control. Police describes Wani's killing as a "major setback" to local militants and see it as "success". A Kashmiri protester throws a piece of brick at an Indian policeman during clashes in Srinagar, summer capital of Indian-controlled Kashmir, July 10, 2016. (Xinhua/Javed Dar) Sensing trouble the authorities soon after Wani's killing suspended cellphone, internet and train services in the region, and indefinitely halted an annual Hindu pilgrimage to Amarnath. The pilgrimage to the mountain cave attracts about half a million people every year. Thousands of Indian troops and policemen in riot gears carrying automatic rifles have been deployed across towns and villages in the region to prevent protests. India's state-run broadcaster - All India Radio (AIR) said a reinforcement of 12 companies of paramilitary troopers have been rushed for deployment in southern districts. Local newspaper reports said heath officials at Srinagar's main hospital -SMHS have declared a medical emergency in wake of increasing number of injured admitted at the hospital, with majority of them admitted with firearm wounds. The hospital authorities have cancelled routine surgeries in the hospital because of rush of injured people. The 22-year-old Wani was poster boy of of HM, region's indigenous militant outfit. He had featured in videos - wearing combat fatigues and brandishing automatic weapons - circulated on social networking websites aimed at encouraging new generation of Kashmiris to join militant groups and warning policemen to confine themselves in police stations. The fresh killings are likely to fuel further anger in the volatile region. PHNOM PENH, July 11 (Xinhua) -- Cambodian Prime Minister Samdech Techo Hun Sen will lead a delegation to attend the 11th Asia-Europe Meeting (ASEM) summit in Mongolian capital Ulan Bator from July 15 to 16, a Foreign Ministry statement said on Monday. "The summit is expected to discuss many important issues, namely assessment of ASEM's past 20 years' experience, building hard and soft connectivity between Asia and Europe, and future generation of ASEM," the statement said. Also, it will touch on climate change, sustainable development and multilateral trading systems, disaster risk reduction and management, energy security, food security, water management, and regional and international issues. Hun Sen will address the plenary session of the summit on the topic "Soft Connectivity," it said. According to the statement, on the sidelines of the summit, the prime minister will have bilateral meetings with leaders from other countries. Former British prime minister Tony Blair speaks to media reporters after making a presentation on countering violent extremism through education during an open sessin of the United Nations Security Council Committee on Counter-Terrorism, at the UN headquarters in New York, on Nov. 21, 2013. (Xinhua/Niu Xiaolei) by Larry Neild LONDON, July 11 (Xinhua) -- Former British Prime Minister Tony Blair faced mounting pressure Sunday in the wake of the devastating Chilcot report into the 2003 Iraq war. Lord John Prescott, who served under Blair as his deputy prime minister, said Sunday the 2003 invasion of Iraq by military forces from Britain and the United States was illegal. Labour politician Prescott, said he would live with the catastrophic decision for the rest of his life. "A day doesn't go by when I don't think of the decision we made to go to war. Of the British troops who gave their lives or suffered injuries for their country. Of the 175,000 civilians who died from the Pandora's Box we opened by removing Saddam Hussein," said Prescott. The veteran politician, who now serves in the House of Lords, outlined his views in his column in the Sunday Mirror newspaper. Meanwhile, leading Conservative MP David Davis said Sunday he plans to submit a motion to the House of Commons on Thursday to hold Blair in contempt of Parliament over the Iraq war. Such moves are rare in the British parliament. Davis' move could provoke a debate in the House of Commons to discuss whether Blair had misled parliament. Following the release of the long awaited report last week into the war, Blair apologized, but said he stands by his decision to join the United States in the campaign which saw the toppling of Iraq's president Saddam Hussein. Most of Britain's national newspapers focused after the report on a message Blair sent to U.S. president Bush before the invasion saying "I am with you, whatever..." Photo taken on April 30, 2005 shows rescuers carrying a corpse of a civilian who was killed in a car bomb attack in Baghdad, Iraq. In his article Sunday, Prescott described that statement by Blair as devastating, with Prescott adding he agreed with the former UN secretary general of the United Nations, Kofi Annan, that the war was illegal. The troubles within Britain's main opposition Labour party continued with embattled leader Jeremy Corbyn responding Sunday to the proposed challenge to his leadership by Labour MP Angela Eagle. Corbyn urged Eagle to think again about mounting her challenge, which she is scheduled to do Monday morning local time. Instead he called for the party to unite. Eagle said deputy party leader Tom Watson, party chief whip Rosie Winterton and Parliamentary Labour Party chairman John Cryer had all tried to get Corbyn to stand down, but he had refused. Virtually all of Corbyn's shadow cabinet resigned after a vote of no confidence by his own MPs, making it difficult to fill all of the vacancies in his front bench team. A critical moment could come later this week when the Labour Party's ruling body, its NEC, meet to decide whether Corbyn should automatically see his name on a leadership ballot paper, or whether, like Eagle, he will need to win backing from a percentage of Labour MPs and MEPs (Members of the European Parliament). Corbyn insisted Sunday his name would automatically go forward as serving leader, adding he would challenge a decision that stated he needed to be nominated. Political commentators said Sunday that the civil war between Corbyn and his Labour MPs could threaten the future of Britain's so called working class political party. Meanwhile, in the governing Conservative Party it is not all sweetness and roses, with one of the contenders for the leadership of that party under fire. Home Secretary Theresa May and energy minister Andrea Leadsom are involved in a head-to-head for the leadership, with the winner becoming Britain's second ever female prime minister. A furious row has erupted after mother-of-three Leadsom was accused of saying that having children made her a better choice of leader. Her rival, May, has no children. Some Conservatives have called on Leadsom to quit the leadership race in view of the comments she made. The Sunday Times reported Sunday that around 20 MPs are ready to form a breakaway party if Leadsom is elected as Conservative leader. Leadsom supporter, former cabinet minister Iain Duncan Smith commented: "Project Fear from the EU referendum campaign has morphed into 'Project Smear'." He said in one interview: "The establishment are ganging up... it's all about 'let's gang up on Andrea'." SRINAGAR, Indian-controlled Kashmir, July 11 (Xinhua) -- The death toll of civilians in clashes between government forces and protesters in Indian-controlled Kashmir Monday rose to 30, local media reports said. Over 300 people were injured in the ongoing clashes that started on Saturday. "Nine more people, including a girl, have succumbed to their injuries, taking the death toll in the Kashmir Valley following the killing of HM commander Burhan Wani to 30," a local newspaper The tribune reported, citing officials. India's federal minister heading home affairs Rajnath Singh held a meeting with senior officials in New Delhi and reviewed the situation of the restive region. Life in Muslim majority areas of the region including capital city Srinagar remains paralyzed for the third straight day due to curfew and separatist call for shutdown. Hundreds of Indian paramilitary troopers and police personnel remain deployed across towns and some villages to enforce restrictions and prevent clashes. However, reports of clashes between irate youth and government forces continue to pour in from various places. The youth threw stones and brickbats on contingents of police and paramilitary, who responded by firing tear smoke shells, pellets and bullets, which often proved fatal. About 350 people are reported injured in the violent clashes since Saturday with majority of them having firearm wounds. Police officials said protesters attacked several police and paramilitary posts in the region. According to police, around 90 policemen were also injured in the crowd control. Health officials at Srinagar's main hospitals have declared medical emergency in the wake of increasing number of injured admitted at the hospitals. The hospital authorities have cancelled routine surgeries because of rush of the injured. The cellphone and Internet services continued to remain suspended in the region in a bid to prevent people from mobilizing. The local government has placed key separatist leaders under house arrest fearing their participation in demonstrations would intensify anti-India protests and mobilize people in large numbers. The fresh killings are likely to fuel further anger in the volatile region. Separatist groups have extended shutdown call for two more days to protest what they described "killing spree" at the hands of police and paramilitary. Kashmir, the Himalayan region divided between India and Pakistan, is claimed by both in full. Since their independence from Britain, the two countries have fought three wars, two exclusively over Kashmir. LAGOS, July 11 (Xinhua) -- A Nigerian High Court on Monday adjourned hearing into the case of alleged forgery of the Senate's Standing Rule leveled against the President of the Senate, Bukola Saraki, his deputy, Ike Ekweremadu, and two others. Justice Haliru Yusuf adjourned hearing in the case to September 28. Saraki, Ekweremadu, a former Clerk of the National Assembly, Salisu Maikasuwa and his deputy, Benedict Efeturi, were accused of illegally altering the Senate's Standing Rule used in electing them into office in June 2015. They were arraigned before Justice Yusuf on June 27, but they pleaded not guilty to the charges against them. The court, situated in the Federal Capital Territory (FCT) granted them bail shortly after, and ordered that they provide sureties with properties in Asokoro, Garki, Wuse and Maitama areas of Abuja. The trial was to continue on Monday, but the court registrar, Victor Ifeachor, told the court that the case had been adjourned till September 28 following the ongoing vacation of Federal Capital Territory High Court which began on Monday, July 11. Saraki is also being prosecuted by the government before the Code of Conduct Tribunal (CCT) on 16 counts, including false and anticipatory declaration of asset, which he allegedly made between 2003 and 2011 when he served as the Governor of Kwara State. He is also accused of operating foreign accounts as a public officer and of receiving governor's salary in addition to his salary as a senator for some periods after completing his two terms as governor and got elected as a senator. WUHAN, July 11 (Xinhua) -- Rain in the Yangtze River basin has left 161 dead with 61 people unaccounted for since China entered the flood season in March. The basin has been through 27 rounds of strong rainfall since March this year, with precipitation nearly 20 percent more than average, said Chen Min, an official with the Yangtze River flood control headquarters, at a press conference. The casualties have been caused mostly by collapsing houses and floods. The rain led to two flood peaks on July 1 and 3, pushing water levels beyond alert levels. A total of 10 provinces and municipality have been hit by floods, which have damaged 4.17 million hectares of crops. Total damage amounts to an estimated 103 billion yuan (about 15 billion U.S. dollars). Over 60 percent of the damage occurred between June 30 to July 10, according to Chen. As of Monday, 2.9 million people living in the basin have been evacuated and 870,000 people have been rescued. More rain will hit on Wednesday, mostly in the lower reaches, where 4 million people are involved in the fight against floods, including 100,000 soldiers. By Alex Osei-Boateng ACCRA, July 11 (Xinhua) -- Ghana has taken steps to control the proliferation of small arms and weapons in unauthorized hands. It is estimated that there are 2.3 million weapons in civilian hands in Ghana, with only 1.2 million of that number having been officially registered. The number of illegal firearms in wrong hands means that for Ghana's 27 million people, the ratio of illegal arms to the population stands at 1:25. Security experts believe weapons which have not been captured on the Police database might end up in the hands of rascals who might use them to commit crimes, terrorize society or ignite communal violence. In the last few years, the security agencies in Ghana have arrested a number of arms smugglers and seized thousands of weapons. Last Saturday, the National Commission for Small Arms and Light Weapons burnt some 1,300 range of illicit locally manufactured weapons seized across the country in 2015. The arms were seized by the various security agencies from smugglers and suspected armed robbers and during conflicts and raids on small arms manufacturers. The destruction of the weapons formed part of activities to mark the United Nations International Day for Destruction of Weapons, which seeks to eliminate gun-fueled violence. Ghana's Minister for the Interior Prosper Bani said the government, through the security agencies, had adopted a multifaceted approach to address the numerous threats posed by the uncontrolled use of small arms. The measures include the adoption of effective means to settle disputes to maintain peace and stability; tightening security at national armories; enhancing weapon marking and tracing; as well as tightening domestic controls to prevent small arms from flowing into wrong hands. He said as Ghana prepares for this year's general election, the Commission was working with stakeholders to reduce the number of illegal weapons in circulation. "Even though Ghana has not experienced any major election conflict since the return to multi-party democracy, we cannot be complacent, neither can we afford to take our eyes off the ball," said Bani. The 1,300 weapons seized and destroyed were just a portion of what was already in the system, according to the Executive Secretary of the Small Arms Commission, Jones Applerh. He, however, assured Ghanaians that his outfit would continue the fight to retrieve illegal weapons in unscrupulous hands. LONDON, July 11 (Xinhua) -- Prime Minister David Cameron on Monday announced a new strategic partnership between U.S. aerospace giant Boeing and Britain, as well as nearly 516 million U.S. dollars for new aerospace research and development (R&D) projects. He said the measures would ensure Britain remained a global aerospace industry leader. Ahead of Cameron opening this year's Farnborough international air show on Monday , Boeing confirmed the company would create 2,000 new jobs in Britain and increase their R&D spending. Boeing is to make Britain its European base for training, maintenance, repair and overhaul across its defence fixed-wing and rotary platforms. The company will also make Britain a base for defense exports to Europe and the Middle East. As well, Boeing will continue to grow its commercial aviation services business in Britain. A spokesman at 10 Downing Street said Monday: "To ensure the UK's continued position at the forefront of global aerospace, 365 million pounds worth (473 million U.S. dollars) of aerospace R&D projects have been approved. These are jointly funded by industry and government. These are part of the work of the Aerospace Growth Partnership, a new strategy setting out plans to maintain the UK aerospace sector's leading position." "This underlines the UK's determination to deliver on its strategic defense and security review commitments and providing a clear sign of the UK delivering on its pledge to maintain defense spending at 2.0 percent of GDP," added Downing Street. Cameron said: "Whatever uncertainties our country faces, I want the message to go out loud and clear: the UK will continue to lead the world in both civil and defense aerospace. We aren't just open for investment: we are a place the global aerospace industry wants to do business, as Boeing's long-term partnership with the UK proves." As part of the initiative, Boeing will increase overall bid opportunities offered to British suppliers and work with the British government to enhance the competitiveness of suppliers in Britain. The aim of the initiative is to create the opportunity for British companies to double their supply work with Boeing and to win higher proportions of content on future Boeing aircraft. NAIROBI, July 11 (Xinhua) -- India and Kenya on Monday signed seven agreements that will see the Asian nation provide 45 million U.S. dollars in financing, during Indian Prime Minister Narendra Modi's visit to Kenya. Among them are a line of credit agreement of 15 million dollars for Kenya's state-run IDB Capital Limited to support the development of small and medium enterprises, and another line of credit agreement of 29.95 million dollars to upgrade Kenya's Rift Valley Textiles factory. Cooperation agreements on defence, housing policy development and management, and health services, and a deal between the Bureau of India Standards and Kenya Bureau of Standards were also signed. Others are about the exemption of Visa for diplomatic passports holders, avoidance of double taxation and prevention of fiscal evasion with respect to taxes on income. Kenyan President Uhuru Kenyatta and Prime Minister Modi witnessed the signing of the agreements. Speaking at a joint press conference in Nairobi after talks, Modi said his government would donate equipment used in manufacturing pharmaceuticals like antiretroviral drugs to Kenyan public hospitals. "This will make Kenya the regional medical hub," Modi said, describing Kenya as a true and trusted partner of India. "Our historical people-to-people ties provide a strong basis to our wide-ranging partnership," Modi added. Modi said India will finance the construction of a cancer facility in Kenya which will offer "affordable" health care. Modi arrived in Nairobi on Sunday accompanied with a delegation of 80 businessmen. India is a major trading partner and investor for Kenya, yet Modi said: "There is potential to achieve much more." "India is ready to share its development experiences, expertise and capacities to assist in Kenya," he said. India is renowned for a relatively well developed healthcare system with many Kenyans flying to New Delhi to seek treatment every year. On his part, President Kenyatta expressed optimism that the bilateral agreements will boost cooperation between the two countries in defence, tourism, immigration, agriculture and trade. The president appreciated the offer by India to fund the construction and equipping of a cancer hospital in Kenya. "The government of India has also offered support to Kenya in the area of health; an area in which their expertise and leadership is globally respected," he said. He said the talks with Modi begun the dialogue on knowledge transfers and pharmaceutical technology between the two nations. "One of the other things we hope to do, eventually, is create a pharmaceutical drug manufacturing capacity here in Kenya, with the support of India," he said. "Such an effort would help us produce life-saving drugs needed by so many of our citizens, including ARVs (antiretroviral drugs)," he added. President Kenyatta also spoke about people-to-people exchanges. "India also plans to host the Festival of India here in Kenya later this year, and we look forward to supporting that exciting initiative, and to strengthening the people-to-people interactions that are truly the bedrock of our bilateral relationship," he said. JAKARTA, July 11 (Xinhua) -- An Indonesia's senior minister said on Monday that the nation may see 5 percent growth in the first half of this year, thanks to the delay of harvesting period. Coordinating Minister for the Economy Darmin Nasution estimated that increasing contribution from the agriculture sector to the nation's growth by the end of second quarter this year would be significant with the upcoming harvesting period. He estimated that the growth may reach between 5 to 5.1 percent, or higher than 4.92 percent recorded in the first quarter this year. "Should the harvesting period occur in the first quarter, I estimated that the growth would reach 5 percent in the first three months," he said in his office here, describing significant impact of harvesting period for the growth. He added that the escalating of public spending during Muslim festivity of Idul Fitri would not affect the growth in the second quarter, saying that it would be accounted in the third quarter this year. "It would not affect the first half growth," he added. Despite the continuing economy slowdown in global markets, Darmin believed that Indonesia's economy would still prevail, thanks to the massive infrastructure projects and issuance of government's economic reform policies. LONDON, July 11 (Xinhua) -- Britain's Ministry of Defense Monday announced a deal worth almost three billion U.S. dollars to secure 50 of the latest generation Apache attack helicopters for the British Army. The MOD said the new fleet of Apaches are much more capable than their predecessors. Flown by Army Air Corps pilots from the Joint Helicopter Command, the helicopters will continue to give the British Army the edge over any future adversaries, said the MOD. The AH 64E model of the helicopter can also carry more weapons while being more fuel efficient, allowing it to operate in more demanding conditions for longer. The new Apache AH-64E helicopters, built by Boeing and already in service with the U.S. Army, are being purchased via a Foreign Military Sale with the United States Government. Defense Secretary Michael Fallon said Monday: "It is part of our plan for more ships, more aircraft, more troops available at readiness, better equipment for special forces, more being spent on cyber. That plan, backed by a rising defense budget will enable us to deal with the increased threats to our country." Although being built in the U.S., the new Apaches will also bring benefits to Britain, with companies in Gloucestershire, Hampshire, Bedfordshire, Cheshire and Gwent awarded subcontracts by Boeing that collectively represent around five percent of the global Apache supply chain. The MOD said it is envisaged that the future arrangements will support around 350 jobs in Britain. Leonardo Helicopters (formerly AgustaWestland) will continue to lead the arrangements to support existing military Apache helicopters until they are retired from service in 2023/24. Defense Secretary Fallon added: "In the longer term, I want these new Apaches to be maintained in the UK, and for UK companies to do most of the work. Buying the AH-64E 'off the shelf' allows the MOD to take advantage of the US Government's larger production program in Mesa, Arizona, with the UK benefiting from economies of scale." The first British helicopters are due off the U.S. production line in early 2020 and will begin entering service with the British Army in 2022. SINGAPORE, July 11 (Xinhua) -- The suspect involved in the robbery at Standard Chartered Bank branch along Singapore's Holland Avenue on July 7 has been arrested by the Royal Thai Police (RTP), announced Singapore Police Force (SPF) in a statement on Monday. SPF said the police were informed of the robbery after the suspect had left the scene. Through investigations, the police managed to establish the identity of the suspect on the same day, but the suspect had already left Singapore for Bangkok, shortly after the crime was reported. SPF have been in communication with the RTP, and obtained their assistance in locating and arresting the suspect. The RTP located the suspect and arrested him in Bangkok on Sunday. Major General Apichart Suriboonya, who heads Thailand's Interpol unit, revealed more details about the arrest, according to the Straits Times. The suspect is a Canadian, who flew from Singapore to Thailand on July 7, arriving at 5:08 p.m., and he was arrested at noon in Bangkok. "The Singapore authorities are currently engaging our counterparts in Thailand to see whether the suspect can be released into our custody," the police said. SPF added that the police didn't release further details beyond the initial police statements for operational reasons to ensure the arrest operation was not jeopardized. About 30,000 Singapore dollars (22,248 U.S. dollars) in cash were robbed from the branch of Standard Chartered in Holland Village around noon. LAGOS, July 11 (Xinhua) -- The Nigerian government on Monday attributed most road accidents in the country to the use of fake and expired tyres by vehicle owners. Fake and expired tyres were responsible for more than 3,000 road crashes in the last five years, Ikechukwu Igwe, the southeast Cross River Sector Commander of Federal Road Safety Commission (FRSC) told reporters in Calabar. He expressed regrets that most Nigerians still prefer used tyres in spite of the regular sensitization campaign carried out by the commission on the need to stop purchase of fake and expired tyres. According to him, the FRSC would not relent in its sensitization campaign with a view to educating car owners on the dangers of patronizing fake and expired tyres. "We will intensify efforts in collaboration with the Standard Organization of Nigeria (SON) to raid outlets that trades in fake and expired tyres," he said. He advised car owners to always check the expiry date of any tyre they intended to buy, saying that such checks would prevent them from buying fake ones. Nigeria is among countries of the high death rates in traffic accidents, mainly due to careless driving, crumbling roads or invalidity of some vehicles used in public transportation. BEIJING, July 11 (Xinhua) -- China's Xinhua News Agency and Japan's Tokyo Broadcasting System (TBS) agreed on Monday to increase cooperation as a bridge of China-Japan friendship. The pledge came as President of Xinhua News Agency Cai Mingzhao met with President of TBS Toshichika Ishihara in Xinhua headquarters on Monday afternoon. Cai said the exchanges and cooperation between Chinese and Japanese media are conducive to understanding and friendship between the two peoples. Xinhua News Agency attaches great importance to exchanges with Japanese media, said Cai, promising closer contact with TBS and other Japanese media. Ishihara expressed his hopes for cooperation and friendship with Xinhua. This picture taken on June 30, 2016 shows cloves left by airport employees next to killed airport employees pictures at Ataturk airport international terminal in Istanbul on June 30, 2016 two days after the triple suicide bombing and gun attack occurred at Istanbul's Ataturk airport. (Xinhua/AFP) ISTANBUL, July 11 (Xinhua) -- Seven more suspects were detained overnight for their links to the bombing attacks on Istanbul's main airport last month, Turkish media reported on Monday. The arrests were made in Istanbul following risk analysis and profile assessment reports by anti-terror police, the state-run Anadolu Agency said, citing judicial sources. The suspects face charges of "being a member of armed terrorist group" and "assisting premediated homicide," the agency noted. Three foreign nationals opened fire at crowds and then blew themselves up at the international terminal of the Ataturk Airport on June 28, leaving 45 people dead and more than 200 others injured. No one has claimed responsibility for the attack, but Turkish officials said they believe it was conducted by the Islamic State group. As of now 37 suspects, many of them foreign nationals, have been arrested. File photo shows U.S. Defense Secretary Ash Carter addressing a news conference at the Pentagon in Washington, U.S., February 29, 2016. (Reuters photo) BAGHDAD, July 11 (Xinhua) -- U.S. Defense Secretary Ashton Carter on Monday arrived in the Iraqi capital of Baghdad on an unannounced visit to meet with Iraqi leaders, Iraqi official television reported. Carter's visit came as Iraqi forces are fighting against the Islamic State (IS) militant group and advancing to free Iraq's last major IS stronghold in Mosul, some 400 km north of Baghdad. Carter is expected to meet with Iraqi leaders and top U.S. military officials to discuss coordination of military campaign against the IS in the country, and means to enhance U.S. support by the international coalition to Iraqi forces fighting to free Mosul, the state-run Iraqiya channel said. The visit came in less than three months after Carter's previous one in April when he discussed with Iraqi leaders America's offer to deploy extra troops to Iraq as advisors to Iraqi forces. Hundreds of U.S. marines are already in Iraq, serving as trainers and advisers, in an attempt to help the country win the battle against IS extremists in Iraq's western province of Anbar as well as in northern Iraq. Iraq's security situation has drastically deteriorated since June 2014, when bloody clashes broke out between Iraqi security forces and IS militants. The IS took control of country's northern city of Mosul and later seized territories in Nineveh and other predominantly Sunni provinces. A U.S.-led international coalition has been conducting air raids against IS targets in both Iraq and Syria. Many blame the current chronic instability, cycle of violence, and the emergence of extremist groups such as the IS group on the United States, which invaded Iraq in March 2003 under the pretext of seeking to destroy weapons of mass destruction (WMD) in the country. The war led to the ouster and eventual execution of former Iraqi president Saddam Hussein, but no WMD was found. Syria's President Bashar al-Assad speaks during an interview with Australia's SBS News channel in this handout picture provided by SANA on July 1, 2016. (Reuters photo) DAMASCUS, July 11 (Xinhua) -- The newly-formed Syrian government was sworn in before President Bashar al-Assad on Monday, state news agency SANA reported. Earlier this month, al-Assad endorsed the new government, which maintained many of the original ministers. On June 22, al-Assad issued a decree naming then minister of electricity Imad Khamis as new prime minister, replacing Wael al-Halqi. The new 32-seat cabinet headed by Khamis has 14 new ministers and four ministers of state, including Information Minister Ramez Tarjaman, the former head of the national Syrian TV. Ministers at the Electricity Ministry, the Industry Ministry, the Economy Ministry, the Oil Ministry, and the Ministry of Finance have all been replaced. Defense Minister Fahed Jassem al-Fraij, Foreign Minister Walid al-Moallem, Interior Minister Muhammad al-Sha'ar, and Justice Minister Najm al-Ahmad as its minister retained their old positions in the new cabinet. The new government didn't include any of the home-based opposition figures. Formation of the new government came weeks after the Syrian parliament was elected. The opposition boycotted the elections. The new government is the sixth to be formed under the presidency of al-Assad, who succeeded his father in ruling the country in 2000. The last government was formed in August 2014, following the re-election of al-Assad. TOKYO, July 11 (Xinhua) -- The Japanese government on Monday deployed three Self-Defense Forces aircraft to evacuate aid workers from South Sudan who have been trapped due to an escalating conflict between government and opposition forces. The defense ministry here said that three C-130 transport planes had departed from the Air Self-Defense Force Komaki Base in Aichi Prefecture, in central Japan, on Monday evening. The ministry said that around 70 Japanese nationals are currently at risk in South Sudan, with more than 40 currently stranded in the capital Juba, due to fierce gun battles between pro-government troops and opposition forces. The Japanese Embassy there has confirmed, however, that currently all of its nationals have been accounted for, although the situation is growing more precarious. At a press conference earlier in the day, the government's top spokesperson, Chief Cabinet Secretary Yoshihide Suga, said that of the 70 nationals, around 50 were in the region working for the Japan International Cooperation Agency (JICA) as aid workers. BEIJING, July 11 (Xinhua) -- A lot of misunderstandings need to be clarified to continue the peace in the South China Sea now threatened by an arbitration from the outside, according to an article carried recently in the newspaper South China Morning Post. "Throughout its history, the South China Sea has remained a 'sea of peace' untouched by a large-scale battle," said the article bylined by Wang Wen, noting that the arbitration, with an award due on Tuesday, "is turning this region into a powder keg." The article published on Sunday in the newspaper based in Hong Kong, China, listed major myths about China's stance, legal claims and activities in the region. China's stance against the South China Sea arbitration unilaterally initiated by the Philippines in 2013 violates no international law, it argued. China's stance, summarized as "non-acceptance, non-participation, non-recognition, and non-execution," is based on the 2002 Declaration on the Conduct of Parties in the South China Sea, signed between China and members of the Association of Southeast Asian Nations, and China's 2006 statement to exclude maritime delimitation from compulsory dispute settlement procedures in accordance with Article 298 of the United Nations (UN) Convention on the Law of the Sea. The Declaration on the Conduct of Parties states that disputes should be resolved by those countries directly involved, through friendly consultations and negotiations, while the nature of the Beijing-Manila dispute involves the sovereignty claim over the Nansha Islands and maritime rights -- territorial issues that are beyond the scope of the Law of the Sea. In his article, Wang calls the Philippine initiation of compulsory arbitration "illegal." It "violates the fourth article of the Declaration on the Conduct of Parties, abuses the Law of the Sea arbitration procedures, and infringes China's right to choose the means of dispute settlement." Nor does the Permanent Court of Arbitration in The Hague have the jurisdiction to adjudicate on the case, said the article, which is an edited translation of a speech the scholar gave at the recent U.S.-China dialogue on the South China Sea. Wang is the executive dean of the Chongyang Institute for Financial Studies at Renmin University of China. His latest bestseller is "Anxieties of U.S.A." The accusation that the "nine-dash line" in the South China Sea marking China's sovereignty and relevant rights does not comply with the Law of the Sea does not hold water, the article further pointed out. It criticized using the Law of the Sea as a basis to judge the nine-dash line, citing that the line predated the Law of the Sea, and the latter embodies respect for historical rights and territorial sovereignty. China insists on its indisputable sovereignty over the South China Sea islands and their adjacent waters, never on that over the whole South China Sea -- a misunderstanding blamed on incorrect media reports. The article also refuted the alleged China threat to freedom of navigation and overflight in the South China Sea, citing the shipping lanes there as being among the busiest in the world, in addition to China's constant efforts to seek peace, stability and joint development in the region. It reaffirmed China's rejection of the so-called "status quo" -- the illegal occupation of China's islands and reefs by the Philippines and other countries. That term, it noted, did not exist until Washington began to apply its strategy of rebalancing to the Asia-Pacific. China's construction activities in the South China Sea are fundamentally different from the "artificial islands, installations and structures" defined in the Law of the Sea. They are conducted on natural features as part of the Nansha Islands, mainly for civilian purposes and without causing environmental damage, according to the article. In accordance with international law, China's sovereignty over the Nansha Islands also covers its components and various natural features and related waters. The claim by some countries against the territorial status of related natural features "is nothing but an out-of-context interpretation of international law," the article said. Describing it as "groundless" to accuse China of militarizing the South China Sea, the article cited the deepened U.S. intervention in the region, "high-profile displays of military strength and frequent and large-scale military drills by certain countries and their allies" there. The article also defended China's actions in the South China Sea as "necessary" versus "assertive" as claimed by many people. China's moves are "necessary to protect its legitimate interests, and are justified reactions to provocations by other claimant states," it said. "The tensions in the region can be attributed to collusion between the U.S. and regional claimant states. It is popularly believed that, without Washington's backing and high-profile policy of 'returning to Asia,' regional states would not be so eager to challenge China's interests in the South China Sea," concluded the article. BEIJING, July 11 (Xinhua) -- Chinese Premier Li Keqiang's upcoming visit to Mongolia will encourage closer alignment of China's Silk Road Economic Belt initiative with Mongolia's Prairie Road program, according to a senior official from the Chinese Foreign Ministry. Li's visit to Mongolia from July 13 to 14 will be the first by a Chinese premier in six years, and the first by any Chinese leader since the recent formation of Mongolia's new government. Assistant Minister of Foreign Affairs Kong Xuanyou told a press conference on Monday that the trip will strengthen bilateral relations and lead to more cooperation between the two neighbors. Li will hold talks with Mongolian Prime Minister Jargaltulga Erdenebat and they will jointly meet the press, said Kong. Li will also meet with Mongolian President Tsakhiagiin Elbegdorj and Chairman of the State Great Hural (Mongolia's parliament) Miyegombo Enkhbold. Li and Erdenebat will exchange views on dovetailing the two countries' economic corridor initiatives, and more cooperation in trade, industrial capacity, energy, finance, agriculture and animal husbandry. The two sides will also discuss people-to-people exchanges and international and regional issues of common concern, said Kong. A number of cooperative agreements will be signed during Li's visit, which will inject new impetus into the development of bilateral ties and benefit people of the two nations, he added. Li will also attend the 11th Asia-Europe Meeting (ASEM) Summit in Mongolian capital Ulan Bator from July 15 to 16. This year marks the 20th anniversary of ASEM since it was inaugurated in 1996 in Bangkok, Thailand. ASEM is now an intergovernmental forum with 53 members. The Chinese premier will deliver keynote speeches during the summit to elaborate China's proposition on ASEM's future development, Asia-Europe cooperation, as well as major international and regional issues, Kong said. During the summit, Li will also held bilateral meetings with leaders of some ASEM members, he added. As a founding member of the ASEM, China has put forward 28 proposals on Asia-Europe cooperation in fields including infrastructure connectivity, technology innovation, environmental protection, trade and investment, and urbanization. The members should foster a sense of community, enhance communication and coordination on policies and actions, so as to build the ASEM into an effective framework for coping with global challenges, including climate change, terrorism, refugee problems and infectious disease, said Kong. Related: Chinese premier to visit Mongolia, attend ASEM BEIJING, July 8 (Xinhua) -- Chinese Premier Li Keqiang will pay an official visit to Mongolia from July 13 to 14, Chinese Foreign Ministry spokesperson Hong Lei said on Friday. At the invitation of Mongolian President Tsakhiagiin Elbegdorj, Li will also attend the 11th Asia-Europe Meeting (ASEM) summit in Mongolian capital Ulan Bator from July 15 to 16, according to Hong. SINGAPORE, July 11 (Xinhua) -- Singapore's water industry is set to grow further with a 200 million Singapore dollars (148 million U.S. dollars) boost from the National Research Foundation (NRF) under the Research, Innovation and Enterprise (RIE) 2020 Plan. According to a press release by PUB, a statutory board under Singapore's Ministry of the Environment and Water Resources on Monday, with the new injection, the total such funding for water will reach 670 million Singapore dollars (496 million U.S. dollars) over 15 years. With this, Singapore aims to achieve 2.85 billion Singapore dollars (2.1 billion U.S. dollars) of annual value-added contribution and 15,000 jobs in the water industry by 2020, PUB added. The water industry has had a total funding of 470 million Singapore dollars (348 million U.S. dollars) over the last 10 years, with the number of jobs in the water industry more than doubled to 14,000. The water industry has also generated more than 2.2 billion Singapore dollars (1.6 billion U.S. dollars) in annual value-add for the economy, exceeding the 2015 targets. Actors replicate the combats against the first British invasion and the recapture of Buenos Aires in 1806, in Plaza de Mayo in Buenos Aires, capital of Argentina, on July 8, 2016. July 9 is the independance day of ARgentina. (Xinhua/Victor Carreira/TELAM) BUENOS AIRES, July 11 (Xinhua) -- Military bands from 10 countries took part in Argentina's Independence Day celebrations on Sunday, marking the first military procession in such celebrations in the country in some 15 years. Organized by the Argentine Defense Ministry, the parade marking the bicentennial of Argentina's independence from Spain featured some 1,000 military musicians from Bolivia, Chile, Spain, the United States, France, Italy, Morocco, Paraguay, Peru and Uruguay. The military bands marched from the intersection of Libertador and Salguero avenues in the district of Palermo to the national polo field in Buenos Aires. Defense Minister Julio Martinez stressed the "cultural" aspect of the parade, saying it helped cement relations with the guest countries. It "allows us to bolster integration with the participating countries" and "strengthen the connection between the armed forces and civil society," he said. The country's history of military dictatorship in the late 1970s and early 1980s had discouraged military procession of this kind. People wave the Argentine national flag as they watch a parade marking the bicentennial anniversary of Argentina's independence from Spain, in Buenos Aires July 10, 2016. (Xinhua/Martin Zabala) Argentina's new government, headed by President Mauricio Macri, decided to revive the tradition. The bands were escorted by about 4,000 Argentine troops on foot from the army, navy and air force, as well as war veterans. Starting at 11 a.m. local time (14:00 GMT), the parade concluded with a salute from Macri. Some of the military contingents wore uniforms from previous periods in history. The parade drew applause from civilians, many of them watching from balconies festooned with flags. A boy takes part in a parade of military bands at Argentinean Field of Polo, as part of the Independence Day commmemoration, in Buenos Aires, Argentina, on July 10, 2016. Argentina won its independence on July 9, 1816. (Xinhua/Martin Zabala) "It's very exciting," Maria Perez Salvadores, a 61-year-old civilian, told Xinhua. "In the past, such parades took place regularly, but then the tradition died out." Perez said the parade reminded her of "the parades of my childhood" and "my grandfather, Antonio Perez, who took part in the Conquest of the Desert," a military campaign in the 1870s that established Argentina's control over the southern Patagonian region. by Matthew Rusling WASHINGTON, July 11 (Xinhua) -- Human rights groups are criticizing a recent White House report on the civilian deaths caused by U.S. drone strikes abroad for missing key information as the numbers are far too low. The report released on July 1 revealed that U.S. drone strikes, aimed at terrorists, may have been linked to between 64 to 116 civilian deaths from 2009 to 2015 in countries where the United States is not at war. Critics say the numbers of civilian deaths reported are far too low to be accurate, with some watchdog groups estimating that the actual number could be as high as 800 since 2009. They also blast the report for not containing enough details on when and where the deaths occurred. Elizabeth Beavers, policy and activism coordinator at Amnesty International USA, said it's true that Amnesty International has continued to voice questions and concerns about the U.S. drones program, and it believes these disclosures are incomplete. "We'd like to see a year-by-year breakdown, information about who is being targeted and why, and names and identities of victims," Beavers told Xinhua. "But we also recognize these disclosures (are) ... a remarkable shift for the Obama administration, which until now has operated its drones program under the shroud of secrecy," she said. "This should be the beginning of the conversation, not the end," she added. Amnesty International has long been concerned that U.S. drones could be carrying out unlawful strikes under international law. In its 2013 report "Will I Be Next?," the group identified egregious cases, such as the story of a woman killed by a drone strike in front of her grandchildren while standing alone in a field. "The U.S. government has never acknowledged or explained this and other strikes," Beavers said. Last year, U.S. President Barack Obama did acknowledge and apologize for the deaths of an American citizen and an Italian citizen who were inadvertently killed by U.S. drones. "Amnesty International simply wants the same dignity for non-Western victims," Beavers said. Laura Pitter, senior national security counsel at Human Rights Watch, said that without the administration having made clear what year and in what country the strikes took place, it's very difficult, if not impossible, to evaluate the accuracy of their figures. Indeed, the organization has investigated strikes in Yemen, for example, and found that there were 57 civilians killed in that country alone. "In our investigations we found 57 killed just in Yemen over a period of several years," Pitter told Xinhua. "That should be changed," she said, adding that her organization will ask the U.S. government to provide more information, such as breaking down civilian deaths by country. Pitter said she believes the Obama administration has the missing figures. "They must have them themselves," she said. "The entire reason they are putting this out is because they're claiming that their strikes are incredibly accurate." "I can't speculate as to the reason why, but it certainly makes it difficult for anyone to put a lot of stock in the figures," she said. Pitter added that it is a positive step that the Obama administration is now required to acknowledge strikes going forward and also to provide some form of compensation for civilian casualties in these strikes. "That is really important," she said. "I think that one of the problems in the past was that they would not even acknowledge the strikes, let alone compensate victims. So the fact that they are doing that going forward is a really positive, important step," she said. Beavers echoed those thoughts, saying: "We are very happy to see the executive order that prioritizes civilian protection, requires future disclosures, and condolence payments." She hoped that this would spark a national conversation about transparency and accountability in drone strikes. Enditem A night view of Potala Palace in Lhasa, Tibet, July 6, 2016. The 13-story palace has 1,000 rooms, 10,000 shrines and soars 115 meters on top of Marpo Ri, the red hill. The Dalai Lama and his government moved into the palace in 1649. Lhasa hosted the 2016 Forum on the development of Tibet from July 7-8. [Photo/Faisal Kidwai] BEIJING, July 11 (Xinhua) -- Western media have hyped up the South China Sea issue for a long time, with reports full of prejudice and distortion. They have purposely created rumors, smeared China and deliberately overlooked voices of justice. However, the truth is apparent. China has gained wide support from politicians, experts and scholars in the South China Sea arbitration unilaterally initiated by the administration of former Philippine President Benigno S. Aquino III. They reached consensus that the so-called arbitration on the South China Sea is unreasonable and unlawful, that the U.S. involvement hampers resolving the issue, and that China's proposal of dialogue is vital to the stability in Asia. Makoto Taniguchi, former Japanese ambassador to UN -- Even if the Philippines proposes the arbitration request to the Permanent Court of Arbitration (PCA), but its counterpart China does not participate in it, the tribunal should not hear the case. Unfortunately, the United States is backing the Philippines. John Ross, well-known columnist in Britain, former deputy mayor of London -- You can not have arbitration if one side says it does not participate, because arbitration is between two parties who want to participate. This is particularly ridiculous when it comes to the United States because it does not adhere to a large number of international treaties. This is ridiculous that the United States comes to the South China Sea about 8,000 km from the U.S. shore for political motives. It's deliberately trying to create problems. Li Mingjiang, associate professor of S. Rajaratnam School of International Studies at Nanyang Technological University in Singapore -- The core disputes in the South China Sea will still need to be resolved through negotiations. An international legal mechanism is unlikely to resolve these disputes, unless all the parties concerned are willing to take this approach. The fact China does not participate in arbitration is entirely legal. International laws and even the UN Convention on the Law of the Sea (UNCLOS) allow countries not to participate. So there is really no disagreement, no dispute on that observation. Zheng Yongnian, director of East Asian Institute at National University of Singapore -- The U.S. navy, the strongest in the world, will go wherever it wishes. There are hostile forces in the United States, and they work together with the Philippines to make the arbitration case. The United States is not part of the UNCLOS yet, but China has been a part of it. The Philippine leadership made a mistake and misjudgment. China and Vietnam have successfully solved the border dispute issue and Beibu Gulf issue. The same principle can be applied in the South China Sea issue. Juan Carlos Capunay Chavez, Peruvian ambassador to China -- The base and beginning of any dialogue or negotiation is the bilateral dialogue, because definitely when you go through some bilateral negotiation there are many details the court does not know, which is not part of the problem. Let's solve the Asian problems through Asian dialogue. Masood Khalid, Pakistani ambassador to China -- China advocates a peaceful neighborhood. The South China Sea dispute should be addressed by the sovereign states which are directly concerned through negotiation and peaceful means. Catherine West, shadow secretary of state for Foreign Affairs of the British Labour Party -- We need to have a grown-up approach to dialogue. We just need to see the Middle East to understand how hard it is once we go down a particular route to get back to normal life. Syrians look at the damage in a street in the ancient Syriac Quarter of the regime-held area of the northern Syrian city of Aleppo following rocket attacks by rebels on July 11, 2016. (Xinhua/AFP) DAMASCUS, July 11 (Xinhua) -- Rebels in Syria's northern city of Aleppo unleashed multiple offensives against government-controlled areas in retaliation to the Syrian army attack on key rebel supply route there, a monitor group reported. An array of jihadi groups waged attacks on four fronts against government-controlled districts in the western part of Aleppo on Monday, in response to the military severing of the only supply route the rebels use in northern Aleppo countryside, said the Syrian Observatory for Human Rights. The UK-based watchdog group said the rebels also fired hundreds of mortar rounds on residential areas west of Aleppo, as part of their attack. The Syrian army on Thursday effectively cut off the Castillo road, the last remaining supply line for the rebels between rebel-held areas in the northern countryside of Aleppo, with rebel-controlled eastern district of Aleppo. The al-Qaida-linked Nusra Front and likeminded groups launched an offensive over the past two days to re-open Castillo, but their attack failed, with tens of them killed or wounded. Meanwhile, state news agency SANA said at least eight people were killed Monday as a result of the intense rebel shelling, while the Observatory said a Syrian airstrike against a rebel-held area east of Aleppo killed 16 people. Aleppo, strategically located near the borders with Turkey, is Syria's largest city and once an economic hub. It has been a focal point of clashes between the Syrian army and the rebels. Syrian emergency personnel carry the bodies of children after removing them from the rubble of a damaged building following reported air strikes on July 7, 2016, in Aleppo's rebel-held neighbourhood of Tariq al-Bab. (Xinhua/AFP) In the summer of 2012, thousands of armed militants stormed residential districts of Aleppo from its countryside, striking the economic nerves of the Syrian government, which has repeatedly accused Turkey of supporting the rebels for undeclared interests in Aleppo. The rebels captured several districts in eastern Aleppo city and tried repeatedly to expand their presence to government-controlled areas in the west. The rebels laid siege to western Aleppo districts after cutting the international road to Aleppo in 2014, a siege broken later by the Syrian army, with the help of Hezbollah. Military experts say the initial aim of the new Syrian army's battles in Aleppo is to lay a siege on the rebel-held areas and cut off their supply lines to force a surrender. Another aim is to ensure the security of government-controlled areas and to prevent the militant groups from blocking the main road connecting Aleppo with the Syrian coast and other provinces in central and southern Syria. Observers say the Syrian military campaign also aims to recapture areas in the northern and southern countryside of Aleppo in the face of Turkey's perceived attempts to establish a safe zone in northern Syria. US Secretary of Defense Ashton Carter announces that the military will lift its ban on transgender troops during a press briefing at the Pentagon in Washington, DC, June 30, 2016. (Xinhua/AFP) BAGHDAD, July 11 (Xinhua) -- U.S. Defense Secretary Ashton Carter met here Monday with Iraqi leaders, during which they discussed means to increase support to the Iraqi forces to recapture a strategic city from the Islamic State (IS) group. "Prime Minister Haider al-Abadi discussed with Ashton Carter the military operations in Iraq against IS, in addition to updating security plans," said a statement released by the prime minister office. The Iraqi Defense Ministry said in a separate statement that Defense Minister Khalid al-Obeidi also met with Carter. "The U.S. will provide all the required support to meet the requirements of the Iraqi forces and to speed up the operations to liberate Mosul," the ministry's statement said. Obeidi also asserted that the Iraqi preparations have reached an advanced stage for the liberation of Mosul, the capital of Iraq's northern province of Nineveh, the statement said, adding the two sides discussed the international support to liberate Nineveh province after the latest victory in Qayyara area. During his visit, the Pentagon chief said that 560 more U.S. troops will be deployed in Iraq to help establish a newly retaken airbase of Qayyara, some 55 km south of Mosul, as a staging hub for the long-awaited battle to recapture Mosul from the IS extremist militants. Once known as Saddam airbase, it is one of the largest airbases in the Middle East region and was widely used by the former Iraqi air force during the Iraqi-Iranian war in 1980s. The airbase is vital for the Iraqi security forces in the coming battles that aimed to free Mosul and other areas. Most of the new U.S. troops will be devoted to the build-up of the Qayyara airbase, including engineers, logistics personnel and other forces to help the Iraqi security forces plan to encircle and eventually retake Mosul. Earlier in the day, Carter arrived in Baghdad on an unannounced visit. Hundreds of U.S. marines are already deployed in Iraq, serving as trainers and advisers, and were helping the country to win the battle against the IS extremists in Iraq's western province of Anbar as well as in northern regions. Iraq's security situation has drastically deteriorated since June 2014, when bloody clashes broke out between Iraqi security forces and IS militants. The IS took control of the country's northern city of Mosul and later seized territories in Nineveh and other predominantly Sunni provinces. A U.S.-led international coalition has been conducting air raids against IS targets in both Iraq and Syria. Many blame the current chronic instability, cycle of violence, and the emergence of extremist groups such as the IS on the U.S. that invaded and occupied Iraq in March 2003. HAIKOU, July 11 (Xinhua) -- Lu Jiabing does not understand how the sea where his family has fished for generations could be claimed by another country. Talking about the South China Sea, the 66-year-old fisherman from Tanmen, Hainan Province, had his voice filled with emotion: "We live there and we die there. We earn our living and build our temples there. We inherited this sea from our ancestors." Tanmen is a small township in the east of Hainan Island, with nothing like enough arable land. Where Lu lives, more than 4,200 villagers have to share 120 hectares. The land is also too sandy to grow vegetables and fruit. Villagers have to turn their eyes to the sea. "All the grain produced in the village would only feed us for a month," said Zheng Zaiyong, another villager. "For the other eleven months, we have to buy rice from elsewhere." While women and children go to the beach as tide recedes to gather shellfish, fishermen dive for fish and sea cucumber. Lu's grandfather's grandfather started fishing in the South China Sea during the reign of Emperor Guangxu (1875-1908). Voyages could last up to six months, landing on islands like Taiping, Zhongye and Ganquan where fresh water was available. Wells can still be found today that were dug by long-forgotten Chinese fishermen. They found their way thanks to a compass and "Geng Lu Bu": the book of routes. "With the book, they reached the Nansha, Xisha and Huangyan islands," said Zheng Qingzhi, vice mayor of Qionghai city, whose great grandfather was a captain during the Qing Dynasty (1636-1912). Handwritten copies of the book of routes have been handed down since the Yuan Dynasty (1271 - 1368). Some old fishermen still keep copies, yellowed by age, although new navigational aids have rendered it obsolete and fishing is now a much safer lifestyle. Of course this does not mean our voyages are not dangerous. "Many fishermen die on the sea," Lu said. He can still recall when, 41 years ago, a fishing boat was flipped over in a storm and all 30 on board lost their lives. "People erected a monument," he said. On it is inscribed, "the old and young alike were deep in grief, with their wailing still to be heard even after a month." On 17th of July, 1996, Captain Xu Shengwen sent out a distress signal. "We have met gales and the waves are tall," he said, then his final words, "Dangerous... I cannot report anymore." The ship was lost and the eighteen souls on board never came home. "Each time we go to sea, we pray for safety," Lu said. "We pray in our boats and we pray on the islands, where we build little shrines." Apart from gales and waves, fishermen from Tanmen fear foreign coast guards who can seize their vessels and confiscate their catch. "Before the 1950s, only Chinese fishermen were seen on the Nansha Islands," said Su Chengfen, 82. "Fishing in the area stopped between 1956 and 1985. When we resumed, dozens of islands and reefs were occupied by other countries." Almost all Tanmen villagers know which islands are involved in the disputes. Since the 1970s, the Nansha Islands have been invaded, illegally occupied by force and military facilities built. Wang Shumao, 58, another Tanmen fisherman knows the exact location of every island in the Sea. "But we cannot go near many islands and reefs today because they are occupied by other countries," he said. "If we approach, we are warned or expelled. Sometimes, they even shoot at us." Mai Yunxiu, 79, still recalls his detention in 1995 when he was fishing at Nansha. "Our crew were taken by policemen from the Philippines and detained for up to 10 months," he said. As captain of the ship, Mai was told to sign a piece of paper admitting that he had crossed a boundary. "They said if I signed, they would let me go." He refused. "This is the sea left to us by our ancestors. Had I signed, I would have sinned against our ancestors." Mai paid a high price for his obduracy. He stayed in jail for 10 months and lost his ship. He had to sell everything he had to his debts. Unable to accept their misfortune, his wife took her own life. Chen Zebo had been detained three times and was beaten by police. "The only [foreign] word I know is China, which I repeated again and again," he said. According to the government of Tanmen in 2013, since the 1990s more than 170 fishermen have been detained, fired upon or abused by foreign forces on the sea, but not one signed anything or admitted to any "transgression." Fishermen from Tanmen are rightly concerned by a situation which threatens their very livelihood. On January 22, 2013, the Philippines unilaterally initiated arbitration on the disputes between China and the Philippines in the South China Sea. The tribunal will issue its ruling on July 12. Lu Jiabing does not know what to expect. "No matter what, the result won't change anything," he said. "The South China Sea is where our ancestors made their living," he continued. "There we fished, and there we fish still." European Union High representative for Foreign Affairs and Security Policy Federica Mogherini arrives for the EU summit meeting at Brussels, Belgium on June 28, 2016. (Xinhua/Gong Bing) by Grandesso Federico BRUSSELS, July 11 (Xinhua) -- The foreign policy chief of the European Union Federica Mogherini said China is essential to achieving better global governance. "Beijing is a crucial interlocutor towards a more multilateral and cooperative world order," explained Mogherini during an exclusive interview with Xinhua before the 18th China-EU summit taking place in the Chinese capital from July 12 to 13. The foreign policy chief highlighted the EU's new five-year strategy for China, saying: "Our relationship has become much stronger and much more vital. There is no doubt the EU needs to step up engagement with China -- this is the core principle of our strategy." Mogherini said Europe is China's biggest trading partner, while China is the EU's second biggest. "We both have a strong interest in reaching an ambitious comprehensive agreement on investment, which would open so many new opportunities for our firms, both in Europe and China," she said. The European Union has become an important player for Asia's security, while China is much more engaged in the Middle East and in Africa, Mogherini added. "We need each other, we need stronger cooperation on foreign and security policy," she stated. "About China's role at the global level, I have witnessed at first-hand the positive impact of China's responsible engagement at the negotiations on Iran's nuclear program. On climate change, on sustainable development, on peacekeeping operations, on migration -- on all this, Europe and China truly need to work together," Mogherini said. Asked about the key topic of the upcoming summit, Mogherini said: "I will focus in particular on our international agenda and Afghanistan. This October, we will host an international conference in Brussels, and we hope China will play an important role at the meeting." Apart from the focus on Iran, Syria, Libya and Myanmar, Mogherini said she would also explore new fields of cooperation such as defense and security in Africa. Chinese State Councilor Yang Jiechi (L) shakes hands with European Union (EU) High Representative for Foreign Affairs and Security Policy Federica Mogherini at the 6th round of China-EU high-level strategic dialogue in Brussels, Belgium, June 10, 2016. (Xinhua/Ye Pingfan) "We can build on our successful cooperation against counter-piracy off the Horn of Africa, and discuss how to support peace and security onshore, for instance in Mali and Somalia," she said, adding the fight against terrorist financing and radicalization was also on the agenda. According the EU high representative, the Paris climate agreement, the UN's Sustainable Development Goals and the current migration crisis will also be addressed. On improvements in cooperation with China in foreign affairs and defense, Mogherini said that after numerous positive experiences in different areas, Beijing's nomination of a special envoy for Syria is a sign that China is willing to play a more active role in ending the conflict. On EU-China ties, Mogherini said: "Europe's ties with China are crucial for our present and our future. Its economic development remains fundamental to our economy, and our exchanges can make both our economies stronger." "For this to happen, we need to make sure that we all play by the same set of rules. This includes, on China's side, the need to address issues such as its industrial overcapacity. For us, this is critical not least to maintain public support for open trade," she added. "European Union has a strong interest in building a common platform with China in areas such as growth strategies, investment in interconnected infrastructure, climate finance, and global anti-corruption standards," Mogherini said. "We need China's cooperation and leadership on this, as much as we need China's engagement to make the current system of global governance stronger and more efficient," she added. According to Mogherini, a more cooperative global order calls for all global players to respect international law and to manage globalization together. "It also calls for reform of current institutions and rules. Our Union is ready to step up its engagement with China on this," she said. JUBA, July 11 (Xinhua) -- South Sudan's President Salva Kiir and Vice President Riek Machar on Monday evening ordered ceasefire respectively after days of heavy fighting between their forces in the capital Juba. President Kiir directed all commanders to cease hostilities, control their forces and protect civilians, Information Minister Michael Makuei said in a televised speech on the state broadcaster, SSTV. The ceasefire order took effect from 18:00 local time and that any member of the Machar-led forces who surrender must be protected as well, Makuei said. "All the regular forces that were deployed because of whatever, they need to go back to their respective units, any soldier or any member of the regular forces that will be found loitering about with his rifle without reporting to his unit will be arrested and immediate action will be taken," Makuei cited Kiir as saying. Local radio station Eye Radio later reported that Machar also ordered a ceasefire which took effect from 20:00 local time, in response to Kiir's move. Heavy fighting between the rival factions erupted again on Monday. Local residents told Xinhua that heavy artillery shelling and mortars were heard in parts of Juba. It followed deadly clashes on Friday and Sunday in the capital city. The Health Ministry says at least 271 people were killed in Friday's clashes, while the number of casualties in fighting since Sunday is not yet known. The ceasefire orders came after the UN Security Council called for both sides to end fighting. The UN Mission in South Sudan (UNMISS) on Monday deplored the continued fighting in Juba and severe conditions it had imposed on civilians. "In the last 24 hours, 67 people have been injured in or around the (UN) Protection of Civilian sites, eight of whom have died," UNMISS said. Gunfire was reported in areas close to UNMISS compounds in Jebel and Tomping during the days of fighting. "UNMISS compounds are caught directly in the fighting and continue to sustain impacts from small arms and heavy weapons fire," UNMISS said, condemning the deliberate targeting of UN premises and its personnel as a serious violation of international law. The UN mission said more than 7,000 people had sought protection in its compounds. UN peacekeepers have been protecting the UN compounds and Protection of Civilian sites, which house internally displaced people. The violence raised fears that the war-torn country could descend into civil war again. President Kiir and former rebel leader Machar have fought a civil war which broke out in December 2013 and left tens of thousands of people dead. The peace deal signed by the two men last August under UN pressure led to the formation of a national government in April with Machar returning to his old post. Enditem A staff member tests samples at a lab in Jinan Central Hospital, east China's Shandong Province, on Oct. 29, 2010. The hospital was among the 19 hospitals nationwide that moniter superbug. (Xinhua/Guo Xulei) WASHINGTON, July 11 (Xinhua) -- Bacteria carrying the MCR-1 "superbug" gene, which makes the last-resort antibiotic colistin useless against them, have been found in a second U.S. patient, researchers said Monday. The gene was detected in an isolate of Escherichia coli that was originally recovered in 2015 from a patient in New York, according to the research published in Antimicrobial Agents and Chemotherapy, a journal of the American Society for Microbiology. Previously, Escherichia coli bacteria carrying the MCR-1 gene was identified in a urine sample from a Pennsylvania woman with no recent travel outside of the U.S. this May. The mcr-1 gene makes bacteria resistant to the antibiotic colistin, which is used as a last-resort drug to treat patients with multi-drug-resistant infections, including carbapenem-resistant Enterobacteriaceae (CRE). U.S. health experts said the discoveries would be concerning because the mcr-1 gene exists on a plasmid, a small piece of DNA that is capable of jumping between different bacterial species, spreading any resistance genes it carries. In the current study, the researchers, who coordinated the worldwide SENTRY Antimicrobial Surveillance Program, first tested 13,526 Escherichia coli and 7,480 Klebsiella pneumoniae strains that had been collected from hospitals in the Asia-Pacific region, Latin America, Europe, and North America in 2015. They found that 390, or 1.9 percent, were resistant to colistin, and that 19 of these isolates tested positive for mcr-1. The 19 isolates originated in ten countries representing all of the above regions. It involved a New York patient infected with Escherichia coli whose name was not disclosed. The isolates that tested positive for mcr-1 were susceptible to several commonly used antimicrobial agents, including carbapenems, and recently approved anti-microbial agents that can be used against gram negative bacteria, said corresponding author Mariana Castanheira, Director for Molecular and Microbiology at Iowa-based JMI Laboratories. That means these and similar isolates are unlikely to cause hard to treat infections at this time, Castanheira noted. The presence of the mcr-1 gene, however, and its ability to share its colistin resistance with other bacteria such as CRE raised the risk that pan-resistant bacteria could develop, the U.S. Centers for Disease Control and Prevention said earlier. The mcr-1 gene was first isolated from food animals and humans in China in late 2015. Following that, scientists across the globe began searching for other bacteria containing the mcr-1 gene, and the bacteria have since been discovered in Europe and Canada. LONDON, July 11, 2016 (Xinhua) -- File photo taken on June 27, 2016 shows British Home Secretary Theresa May arriving for a cabinet meeting at 10 Downing Street in London, Britain. British Home Secretary Theresa May, the only remaining contender in the Tory leadership bid, is to become the new prime minister by Wednesday evening, Prime Minister David Cameron announced Monday. (Xinhua/Han Yan) by Larry Neild LONDON, July 11 (Xinhua) -- British Home Secretary Theresa May was given a rousing reception in the Houses of Parliament Monday night as she was endorsed as the new leader of the Conservative Party by Conservative MPs. MPs cheered and banged on their desks as the home secretary arrived at what has been one of the most extraordinary and fastest moving days for generations in British politics. In her first speech as successor to David Cameron as leader of the Conservatives, and prime minister-in-waiting, May said she was "honored and humbled" by her new role. She has also vowed to steer Britain through the process of its exit from the EU following last month's historic referendum. May supported the Remain camp in the national referendum, but is determined to see through the will of the majority, saying a new relationship will be forged for Britain in the wake of the Brexit vote. Monday started as a busy working day for May, 60 this year, as she unveiled her leadership manifesto in Birmingham. Her plan had been embarking on a tour of Britain to win support for her leadership bid. Minutes after she spoke in Britain's second largest city, her leadership challenger, Energy Minister Andrea Leadsom dropped a bombshell in a speech 164 km away in London. Leadsom announced she was dropping out of the race, leaving May as the sole leadership candidate. Her surprise announcement stunned her supporters who believed she would have been a potential winner among many of the 150,000 party members set to decide which of the two to choose. Leadsom has been under fire for her front bench experience, facing criticism for an interview in a Sunday paper which was taken as a suggestion that as she was a mother of three, she would make a better candidate than childless May. In her speech, Leadsom pledged her support for May and said she had taken the decision to stand down in the interests of the need for strong leadership. Her opponent May had strong following from Conservative MPs, whereas less than 25 percent backed her bid. May, having so much support from MPs, and the economy needing certainty in the aftermath of the Brexit vote, it was better, said Leadsom, to have a rapid transition than a protracted leadership race. On Tuesday, Cameron will chair his last cabinet meeting at 10 Downing Street as prime minister and on Wednesday he will attend his last prime minister's questions session in the House of Commons. Later on Wednesday, Cameron will be driven to Buckingham Palace to hand his resignation to Queen Elizabeth II. Soon after that May will arrive at the palace to be invited by the Queen to head the government as prime minister. May will then be driven to her new home, 10 Downing Street. Between now and then May will start the task of handing out front bench jobs to form her own cabinet, naming her secretaries of state and various ministers. Whether Leadsom will be in line for a government job is not clear, but many politicians believe she would be a good choice for a role in government. The only clue came in May's praise of her leadership opponent, recognizing Leadsom for the dignity she had shown by stepping down. May said her leadership bid had been based on the need for strong, proven leadership and the ability to unite both party and country and a positive vision for Britain's future. And she emphasized her attitude to the referendum decision, saying: "Brexit means Brexit and we're going to make a success of it". The drama surrounding the endorsement of a new prime minister overshadowed drama in the main opposition Labour group where Angela Eagle announced a challenge to party leader Jeremy Corbyn in a leadership battle. Corbyn, out of favor with most of his own Labour MPs, has said he will stand in a leadership contest. Labour's National Executive Committee (NEC) will announce Tuesday whether Corbyn's name will automatically go forward. If the NEC rules he needs to be nominated by MPs, it could spark a legal challenge. Eagle said her leadership bid was aimed at uniting the party, but it seems the civil war on the Labour benches is far from over. United Nations Secretary-General Ban Ki-moon addresses the press on the on-going situation in South Sudan, at the UN headquarters in New York, the United States, July 11, 2016. UN Secretary-General Ban Ki-moon on Monday condemned the killing of two Chinese peacekeepers in renewed fighting between South Sudan's rival army factions in capital Juba. (Xinhua/Li Muzi) UNITED NATIONS, July 11 (Xinhua) -- UN Secretary-General Ban Ki-moon on Monday condemned the killing of two Chinese peacekeepers in renewed fighting between South Sudan's rival army factions in capital Juba. "I condemn the killing of two Chinese peacekeepers and one UN national staff," the secretary-general told reporters here. "Let me start by expressing my deep condolences to the families and loved ones of all those who have been killed in the fighting that has consumed Juba over the past four days." "Many people have been killed in heavy fighting. There are growing fears that many more could die in another round of violence," Ban said. "The renewed fighting is outrageous. It is yet another grievous setback. It deepens the country's suffering," he said. "It makes a mockery of commitments to peace." The UN mission in South Sudan on Monday reported heavy fighting in Juba, including in areas close to its compounds in Jebel and Tomping. The latest round of violence followed deadly clashes between the rival factions on Friday and Sunday in the capital city. Chinese soldiers arrive at Juba airport in South Sudan, on April 8, 2015. The last batch of the Chinese peacekeeping infantry battalion arrived in South Sudan on Wednesday, concluding the deployment of the first infantry battalion sent abroad for peacekeeping mission. The 130 peacekeeping infantrymen would join other 570 soldiers who have been put in place since the end of February, at a time when the mission area is gradually entering the rainy season. (Xinhua/Sun Jiangchao) South Sudan's Health Ministry says at least 271 people were killed in Friday's clashes. The exact number of those killed in fighting since Sunday is not known. On Sunday, the UN Security Council said in a press statement that the 15-nation UN body "condemned in the strongest terms the escalation of fighting in Juba," and they expressed their sympathies and condolences to the families of Chinese peacekeepers who were killed or injured in the attacks. South Sudan has canceled this year's independence celebrations due to the economic crunch resulting from more than two years of civil conflict. It won independence on July 9 2011 from Sudan after more than two decades of war that ended in a bitter divorce. The country again plunged into conflict in December 2013 after President Salva Kiir accused his deputy Riek Machar of plotting a coup, which the latter denied, leading to a cycle of retaliatory killings. President Kiir and former rebel leader and now First Vice President Machar signed a peace deal in August that paved way for the formation of the transitional unity government to end more than two years of civil conflict. by Mahmoud Fouly CAIRO, July 11 (Xinhua) -- Egypt is likely to play a greater mediation role in reviving the Middle East peace process between the Palestinians and Israel after the recent rare visit of the country's foreign minister to Tel Aviv, said Egyptian political experts. Egyptian Foreign Minister Sameh Shoukry held lengthy talks with Israeli Prime Minister Benjamin Netanyahu on Sunday in the Israeli capital city during the rare visit, the first for an Egyptian foreign minister to Tel Aviv since 2007. EGYPT'S VISION "The Talks included presentation of the Egyptian vision to revive the Palestinian-Israeli peace process and the means to carry out President Sisi's vision on the necessity of reaching a comprehensive, just solution for the Palestinian issue," the Egyptian Foreign Ministry said in a statement Monday. The peace process has been idle since U.S. Secretary of State John Kerry failed to broker negotiations between the Israelis and the Palestinians in late April 2014 after his nine-month quest. In mid-May, Egyptian President Abdel-Fattah al-Sisi urged both the Palestinians and the Israelis to take historic steps towards peace, stressing that if efforts are combined, a solution can be reached "to find hope for the Palestinians and security for the Israelis." "I tell both the Palestinians and the Israelis that there is a great chance for a better future, a better life, more stability and greater cooperation," the Egyptian president added. Tarek Fahmy, a political science professor and expert at the National Center for Middle East Studies, described Shoukry's visit to Israel as "generally successful," expecting Egypt to offer a comprehensive initiative apart from the Arab and French ones. Lasting for about seven decades, the Palestinian-Israeli conflict has long been a major concern in the turmoil-stricken Middle East region. A Saudi-led Arab peace initiative in 2002 urged Israel to fully withdraw from the Palestinian territories occupied since 1967 in return for normal relations with the Arab world. However, Israel rejected the initiative. A recent French initiative to bring both sides to negotiations managed to hold an international conference in Paris earlier in June at the level of foreign ministers, but the whole idea was declined by Israel that prefers direct talks with the Palestinians without international pressures. However, Sisi's mediation seems to appeal more to the Israeli side as Netanyahu did not hesitate to welcome the Egyptian approach to end the Israeli-Palestinian conflict. "The new thing is that Egypt is offering its own approach regardless of the Arab and French initiatives, and I believe that both the Israel and Palestinian sides showed positive response to the Egyptian mediation," the expert told Xinhua. Fahmy expects Cairo's efforts to end up with hosting a regional conference to be attended by Israeli, Palestinian and Arab parties while Egypt is offering "a list of incentives" to encourage the conflicting sides, such as returning the dead bodies of a couple of Israeli soldiers kept by Hamas in the Gaza Strip. The Arab League said Monday that the Palestinian cause will be the focus of the coming Arab summit in Mauritania later this month. SECURITY THREATS "The peace process is no longer restricted to its traditional parties after the so-called Arab Spring uprisings, as there are now regional changes where the security issue is the basic factor," said Ahmed Eliba, a researcher at the Cairo-based Regional Center for Strategic Studies. Eliba added that the current approach between Cairo and Tel Aviv is mainly motivated by security threats, given the ongoing chaos in several regional states including Syria, Iraq, Libya, Yemen and South Sudan and the growing terrorist activities of the regional Islamic State (IS) militant group. "The Palestinian cause is one of the main reasons for terror and security crises in the region as it is used as an excuse by terrorist groups for their operations," Eliba told Xinhua, adding Israel helps some Arab states with information regarding fighting the IS. The expert said the real path for the Middle East peace process has not yet been started, where Egypt will play a key role and Saudi Arabia will also be there, noting the old Arab peace initiative may have a role in the coming negotiations "but will not be the only reference." For his part, Mohamed Gomaa, researcher of Palestinian and Israeli studies at Cairo-based Al-Ahram Center for Political and Strategic studies, said that the stalemated peace process and the relevant political vacancy may lead to violence between the conflicting sides. "Egypt and some Arab parties are concerned that the political vacancy regarding the Palestinian issue may be filled by deadly fighting, and so they exert all possible efforts to return them to the negotiation table," Gomaa told Xinhua. The researcher said that relations between Cairo and Tel Aviv noticeably improved over the past couple of years, yet it is difficult for Egypt to disregard the Palestinian issue that is a source of regional security threats. A couple of months ago, an Israeli military official said that there is "unprecedented level of cooperation" between Israel and Egypt in terms of intelligence. "Egypt cannot afford escalation of violence in the adjacent Palestinian Gaza Strip while it is engaged in an anti-terrorism war in the Sinai Peninsula," Gomaa argued, stressing Egypt and other Arab partners are keen on reviving the Palestinian-Israeli negotiations to avoid eruption of violence even if they do not see a soon settlement in the horizon. Enditem A Syrian man reacts following a reported air strike by Syrian government forces in the rebel-held neighbourhood of Bustan al-Qasr on June 5, 2016. (Xinhua/AFP) DAMASCUS, July 11 (Xinhua) -- The Syrian army announced Monday evening extending a regime of calm for additional 72 hours, the third consecutive extension to take place recently, state news agency SANA said. The new extension will enter into effect on July 12 and will end on July 14, said the report. The first recent 72-hour ceasefire went into effect last Tuesday, and was extended for a similar duration for the second time on Saturday. The regime of silence was planned to be nationwide, but the northern city of Aleppo has seen one of the most violent situation during the last week, when the rebels went on retaliatory offensives on government-controlled areas, to revenge the government troops' control over the last supply line for the rebels in the northern countryside of Aleppo. Over 60 people were killed and hundreds of others wounded over the past few days, when the rebels of the al-Qaida-linked Nusra Fornt and likeminded groups rained down Aleppo with hundreds of mortar shells. On Monday morning, the Nusra and allied militants unleashed simultaneous attacks on government-controlled districts in western Aleppo. Opposition activists said the rebels advanced near the historic citadel of Aleppo, where government forces have for long been stationing. Pro-government reports denied the claim, amid a definitive truth that the city is living a bloody nightmare. Aleppo, strategically located near the borders with Turkey, is Syria's largest city and once an economic hub. It has been a focal point of clashes between the Syrian army and the rebels. In the summer of 2012, thousands of armed militants stormed residential districts of Aleppo from its countryside, striking the economic nerves of the Syrian government, which has repeatedly accused Turkey of supporting the rebels for undeclared interests in Aleppo. The rebels captured several districts in eastern Aleppo city and tried repeatedly to expand their presence to government-controlled areas in the west. The rebels laid siege to western Aleppo districts after cutting the international road to Aleppo in 2014, a siege broken later by the Syrian army, with the help of Hezbollah. Military experts say the initial aim of the new Syrian army's battles in Aleppo is to lay a siege on the rebel-held areas and cut off their supply lines to force a surrender. Another aim is to ensure the security of government-controlled areas and to prevent the militant groups from blocking the main road connecting Aleppo with the Syrian coast and other provinces in central and southern Syria. Observers say the Syrian military campaign also aims to recapture areas in the northern and southern countryside of Aleppo in the face of Turkey's perceived attempts to establish a safe zone in northern Syria. Shot bartender stable Lucas, a bartender at Tropical Bar, Southern Main Road, St Margarets, Claxton Bay was working when a gunman entered the bar and shot her at point blank range, a police report said. The bullet went through her left cheek and is now lodged at the back of her right ear. The gunman is said to have escaped on foot after relieving Lucas of her cell phone and cash, representing bar sales. Speaking to Newsday yesterday, relatives said the young woman has been unable to eat and is experiencing tremendous pain. Doctors are waiting on the swelling in the face to go down to determine whether or not the bullet could be removed, common-law husband Jason Andall said. The search, meanwhile, continues for the shooter who police believe to be one of two men involved in a recent armed robbery in Springvale, Claxton Bay, that left one of them dead when a vigilante group turned on them. Curtis Junior Pierre, 16, succumbed to head injuries at hospital. His accomplice remains on the run. Investigations are continuing. Nigel please come home Posting the reward is his 76-yearold father John Sylvester Hollingsworth who came to Trinidad, against his doctors orders, after Nigel went missing for a second time, several weeks ago. I just dont understand what happened. We have gone to the morgue and to the prisons. We have searched from end to end, both day and night, and we have asked questions of everyone. I dont know where else to turn for help. Said the elder Hollingsworth. He told Newsday yesterday that since today is his last day in the country, he is making one final appeal with reward money for anyone who could tell them where he is. Hollingsworth told Newsday yesterday that he first disappeared from his Sugar Apple, Santa Rosa Heights, Arima home. He was later found in a house in Arima. He was sent to St Anns Medical Facility, but was discharged when his mother, Carol Hollingsworth, came from New York to take care of him. Newsday understands that she gave up her job as a nurses assistant, and left the United States to take care of him. They stayed at a house for years, until Carol died from cancer, a year and a half ago. His mothers death is the only thing that Hollingsworth believes could have caused Nigel to disappear again. But since he returned to this country, weeks ago, Hollingsworth has been scouring the country with the help of a driver, searching for his son and handing out flyers, in areas like Arouca and Tacarigua. He even applied for a bus pass, so that he could travel faster. Nigel is one of seven children, all of whom are in the United States. Newsday understands that since Hollingsworth would have to go back to the United States, several of his children have indicated an intention to come to Trinidad, to pick up where Hollingsworth has left off. Hollingsworth also made one last plea to his son to return. Nigel please come home. We love you and want to get you well. Your brothers and sister miss you dearly. Persons with information on Nigel Hollingsworths whereabouts can contact. John Sylvester Hollingsworth or other relatives at 790-0777 or 790- 0807 Mayaro stakeholders look to diversify local economy Recommendations for investments in non-energy initiatives, to be led by both public and private sectors, were put forward by representatives of non-governmental organisations (NGOs) and contractors during the annual Partnership Conversation with top officials of energy company BP Trinidad and Tobago. The session was held at the bpTT Mayaro Resource Centre last Monday. The inter-action between the Mayaro community leaders and the bpTT team covered a wide range of issues impacting on the southeast region, including the drastic drop in oil and gas prices, diversification, education, development of sports, and arresting a growing brain drain of the regions brightest young talent. Regional President, Norman Christie, led the bpTT team which included Giselle Thompson, Vice President, Corporate Operations; Ronda Francis, Corporate Responsibility Manager; Joel Primus, Community Sustainability and Stakeholder Relations Advisor; Kerneisha Prince-King, Manager, bpTT Mayaro Resource Centre; and Matthew Pierre, community liaison officer. Specific proposals for diversification of the local economy formed the main thread of the conversation after Christie gave a brief analysis of the impacts of the downward spiral of oil and gas prices, including loss of jobs and reduced levels of the companys social responsibility programmes. People operating in oil and gas had to react quickly since prices were falling faster that you could adjust your costs. We must understand, though, that such structural price changes present both good and bad situations. I prefer to look at the good. The current climate offers an opportunity for us not to waste this downturn but to look at ways in which we develop and implement other initiatives. One of our main priorities is to look for long-term sustainable employment beyond oil and gas, Christie advised. Trevor Lynch, founder of service provider Hydro-Tech Limited, said that the people of Mayaro should look at leveraging the assets of the region and securing their full benefits in order to offset the slide in prices in the oil and gas industry. Mayaro depends too much on the energy sector. We are exposed when prices tumble. But we have a lot of assets we can utilise to energise the local economy. Our tourism potential is virtually untapped and we can strengthen agriculture and fishing and build our small business sector, Lynch recommended. Lynch suggested that the Mayaro community convene a stakeholder meeting that would comprise not only bpTT but the other corporate entities in the region to see how we can handle the current situation and go forward together. He commended bpTT for its major investments in the people of the region. While representatives were high in praise of bpTT Brighter Prospects academic programme which offers grants for study up to tertiary level, they felt that other companies could implement similar initiatives to cater to the full complement of students desirous of advancing their studies. One troubling issue centred on successful Brighter Prospects graduates looking outside of Mayaro for employment. It was explained that while some of the graduates are taken up by bpTT, it was impossible for the company to employ the entire annual cohort. There was a call for other companies, including contractors, to step in to stop the brain drain. Closing the session, Christie assured the community representatives and stakeholders that bpTT had listened attentively and took seriously everything that was said. We need to focus clearly on what we have to do, no matter what happens with oil and gas. All the groups and stakeholders in Mayaro must work together to make it happen. Our commitment to your community has not wavered. We thank you for your candid feedback today, Christie added. Dont burn gas, keep and/sell to generate income So suggested Coordinator Officer of the Meeco Group Global based in Germany, Eric Borremans, who promoted the use of solar for energising communities. We at the Meeco Group are motivated to be present on as many fronts as possible with a complete bundle of solutions. This bundle is called the sun2series. We have the sun2flow for the farmer irrigating its crops, the sun2live for residential properties, the sun2safe for hybrid and storage solutions (on grid and/or off grid) and the sun2com for telecom repeaters, Borremans said on Friday. He was delivering the feature address recently at the symposium titled the Renewable Energy Symposium Road Map to 2021: Where are we and are we on track? The event was held at the University of the Trinidad and Tobago, Point Lisas Campus, in collaboration with the Energy Chamber of TT. Borremans explained solar sites are mainly installed on large ground facilities and on roof whether flat or tilted. He noted however that preliminary studies have to be done to assess if the site location, orientation and shadowing are effective to obtain the best power yield. Borremans emphasised solar sites can happen everywhere. He said TT currently uses approximately 150 GW (gigawatts) of power with a target of 150MW (megawatts) of renewable power by 2021. Sharing some of the projects undertaken by the Mecco Group, Borremans highlighted the National Housing Programme at Antigua and Barbuda where workers are building a three MW (megawatts ) solar power project at the VC Bird International Airport . That successful project, he claimed, is the biggest project in the Caribbean and provides 75 percent of the energy at the airport. He suggested this country copy the success the group has in Antigua, so as to generate income. Speaking to Newsday, Borremans said: The most efficient option we can use here in TT would be roof tops and bigger projects like we did in Antigua and Barbuda. You have already solar power in TT. You can install quite a lot of solar power because you have the roofs. If we install solar panels on government and administration buildings, schools, hospitals, universities and so on, that will help generate income. Saying solar energy is not rocket science, Borremans emphasised the importance of educating the population about it. Once that is done, basically it is very easy to do. But, the people has to understand the process and certainly they have to believe in it. This is what we meet all the time in starting new projects about renewable energies in different countries, he explained. The Meeco Group is a family- owned business present in 42 countries with more than 12 years experience in the solar renewable energy market and 85 years in the energy sector (from the dark side of energy -coal and oil- to the light). Today in most remote areas of the world as well as in many urban areas, with daily fuel or energy price increases, photovoltaic (PV) generated electricity is cheaper than energy generated from fossil fuels, he said. The Meeco Groups goal is to promote a progressive reduction in fossil fuel use and Borremans added it has been instrumental in executing and operating PV projects equal to a value of more than 1.3 billion (euro) since 2006 . Minister: Ill help Vitas House Deyalsingh said, Through a third party Vitas House had informally requested that we meet. I told them that I am ready to meet anytime and anyplace. The Minister said that it is now up to Vitas House to contact him to arrange this meeting. Contact me and Ill do it, Deyalsingh assured. I look forward to them contacting me. We will sit down and work out all the issues,. The issue was highlighted at a recent fund-raiser by Vitas House Patron, Her Excellency Reema Carmona, who had lamented that the hospice patients have inadequate assets and limited medication, leaving staff to press on, firm in their belief that each life is valuable, to the very last breath. GATE report for minister tomorrow He made this announcement Friday during a news conference at the ministrys head office, Hayes Street, St Clair. A 16-person task force had been appointed by Cabinet to investigate the programmes operations over the past 11 years and present its report this month. The task force was headed by management consultant Errol Simms, who was appointed as chairman. The investigation was to determine whether the programme was making any significant contribution to the nations economy. The task force was charged with finding ways to reduce the cost of GATE to the State, and to recommend what programmes and which institutions should qualify. Garcia said the report would have to be passed on to Cabinet for consideration before it could be signed off on, and be made public. He said if there were other areas that needed clarification or tweaking, it would be done so before it was signed off. While making the announcement, Garcia praised former prime minister Patrick Mannings contribution to the education system, saying it was his Mannings baby and vision. GATE was introduced by a PNM Government in 2004 and over 190,000 people have benefitted from it so far at a cost of $5.5 billion. There had been concerns that there was a lack of tracking of students funded by GATE. What you need to know about the Octagon Art Festival on Sunday in Ames news The destruction of our legal and political system is complete and change will only come if states stand up (Bugout.news) Fallout if you want to call it that from the pathetic exoneration of Democratic presidential nominee-in-waiting Hillary Clinton by FBI Director James Comey, once believed by many to be an honorable man among scumbags and political opportunists in the sewer that is Washington, D.C., will continue for another couple of days. And then it will stop. But nothing will have changed, Clinton will likely go on to win the presidency in November, and the corruption of our republic, along with the subjugation of all Americans, will be complete. If by now you still believe there is a functioning system resembling anything like the founding fathers envisioned for our country, quite frankly youre just not being serious. The Clinton circus was just the latest, albeit the most in your face example, to come down the pipe, and it shows how far the cabal of ruling elite have come in completely subjugating the U.S. political process, a major prize a century in the making. For whatever reason and whatever his motives, Comeys refusal to recommend an indictment of Clintons obvious malfeasance criminal activities he actually laid out in his statement to the press this week is the formal establishment of a two-tiered legal system in a country where the law is supposed to be blind: The elites and the plebes are now officially being held to two different standards. What is equally as disdainful as Clintons exoneration is the political charade that played out in the lead-up to Comeys statement, a timeline that reeks of corruption not coincidence. In the days prior to the FBI directors press conference: Attorney General Loretta Lynch just happens to meet former President Bill Clinton, the husband of someone the Justice Department has under criminal investigation, on an airport tarmac in Arizona. Oops, right? [Question for the press: Why havent you dogged Bill Clinton and asked him about the chance meeting?] Realizing that, gosh, she made a mistake, Lynch in a noble gesture says she will sort of recuse herself, but not really, but will accept whatever recommendation the FBI makes regarding Hillarys fate. President Obama, who, gee, you know, is aloof from this process and just has no idea whats going on with the investigation (after saying for months Clinton did nothing wrong) announces hell be campaigning with her and will even give her a lift aboard Air Force One to a campaign event something no president would ever do if he knew (or even suspected) that an indictment of his campaign partner were on the way. Comey then steps up to the mike to play his part, recommending no charges in a case that is about as slam-dunk as it gets. And now were all just supposed to accept it, move on, pay our taxes and be damned sure that we dont screw up or well be nailed to a wall or thrown in some dank hole somewhere. Our founding fathers arent rolling over in their graves. They are likely pounding to get out. We, the American people at large, are to largely blame for this. For decades we have sat idly by watching our political system be hijacked by the sleaziest, nastiest, most dishonest people our country could vomit up and, instead of throwing them out on their rear ends, we kept electing them over and over and over again until now they are about as entrenched as a tick on a dogs bum. They are owned in whole or in part by selfish outside interests who have no allegiance to We the People or even to the country. Even a member of Congress has said as much. How long will Americans tolerate this? Indefinitely? The elites seem to think so, otherwise they wouldnt keep pushing us and blatantly violate our trust. At some point states are going to have to take matters into their own hands. Its obvious change isnt possible regardless of who we elect as president. And both parties in Congress seem equally feckless and corrupt. If there is to be political revolution, it will have to begin in statehouses and legislatures all around the country. More: Bugout.news is part of the USA Features Media network. Get caught up on ALL of the days most important news and information here. Submit a correction >> Press Statement by Prime Minister during his visit to Tanzania (July 10, 2016) Tanzania, Mon, 11 Jul 2016 NI Wire His Excellency, President John Magufuli, Members of the media, Thank you, Excellency, for your warm words of welcome. I am also grateful for the generous hospitality extended to me and my delegation. Today, on day four of my visit to four African countries, it is indeed a pleasure to be in this vibrant city of Dar-es-Salaam. Excellency, I am in agreement what you just said about the strengths and future potential of our relationship. Friends, The Eastern coast of Africa, and Tanzania in particular have enjoyed strong links with the India. We are old maritime neighbours. Together, our leaders and our people have fought colonialism and racial oppression. Our merchants have traded since early nineteenth century. And, the vast stretch of Indian Ocean has kept our societies and our people connected. Friends, I am deeply grateful to President Magufuli for agreeing to my visit on a Sunday. It is a tribute to his motto of "Hapa kazi tu, meaning-here work only. President Magufuli has a vision for nation building, development and industrialization a vision that is my dream for India too. Friends, India is already a substantial economic partner of Tanzania. The whole range of our economic ties are healthy and on upswing. Our two way annual trade stands at around US$ 3 billion; Indian investments in Tanzania already total around US$ 3 billion; and Indian businesses in Tanzania continue to grow and expand. We consider it our privilege to be a trusted partner in meeting Tanzanias development priorities. Today, President Magufuli and I held detailed discussions on the full spectrum of our partnership. Our focus was to shape an action oriented agenda of cooperation, so that we talk less of potential and more of achievements on the ground. We agreed that our common desire of economic prosperity for our societies is creating new opportunities for expanding our cooperation. For this, both of us felt that we need to: One, deepen our partnership in agriculture and food security, including through enhanced export of pulses from Tanzania to India; Two, work together in development and use of natural gas; Three, partner in building of industrial economy, capacities and institutions in Tanzania.and Four, deepen our trade and investment partnership by encouraging greater industry to industry ties. Friends, As a developing country, India understands the need and urgency to improve the lives of our people. And, as a friend, what you want to achieve for your people would also be the focus of our efforts. In this regard, the completion of 100 million dollar water supply augmentation project for Dar es Salaam is a good achievement. We have just now also signed an agreement on water supply project in Zanzibar for a line of credit of $92 million. We are also working on a number of other water projects for 17 cities. And for this India is willing to consider an additional $500 million line of concessional credit Public Health is another important area of our engagement. We are ready to meet the healthcare priorities of the Tanzanian government, including of supply of medicines and equipment. I am told that an Indian radio-therapy machine is being installed at Bugando medical centre to treat cancer patients. Education, vocational training and skill development are other areas of your priority and where India is willing to offer all possible assistance. I also understand that the IT Resource Centre at the Nelson Mandela African Institute of Science & Technology, Arusha is close to completion. India's cooperation with Tanzania will always be as per your needs and priorities. Friends, Being neighbours across Indian Ocean, President and I agreed to deepen our overall defence and security partnership, especially in the maritime domain. Our in-depth discussions on regional and global issues reflected our considerable convergence on issues of common interest and concern. We agreed to work closely, bilaterally, regionally and globally, to combat the twin threats of terrorism and climate change. On climate change, India had led the efforts to form an International Solar Alliance at COP 21 in Paris. In this Alliance, which has the support of over 120 countries, we welcome Tanzania as a crucial partner. Friends, We in India have had the honour to receive every President of Tanzania. I look forward to welcoming President Magufuli in India at the earliest possible opportunity. Let me in the end thank you Excellency the President for your friendship and for receiving me so warmly. Thank you. Thank you very much. Source: PIB Banquet Speech by Prime Minister during his visit to Tanzania (July 10, 2016) Tanzania, Mon, 11 Jul 2016 NI Wire Your Excellency, President Magufuli, Distinguished guests, Thank you, Excellency, for your kind remarks, your generous hospitality, and for the warmth with which you have welcomed me and my delegation. Despite today being a Sunday, you have taken out time for us. For this, I am grateful to you. Friends, I am happy to be in this dynamic city of Dar es Salaam the abode of peace. I am told that there is a proverb in Swahili Heri yako heri yangu meaning, Your happiness is my happiness. This is the spirit with which I have come to Tanzania today. The ties between our two countries have deep historical roots. For over centuries, our people have known each other. The waters of Indian Ocean connect us. And, we are two nations with strong maritime traditions. For centuries, we have harnessed the favourable winds to trade across waters of Indian Ocean. We talk of an inter-dependent world today. Way back in the eighteenth century, the Mandvi port of Gujarat and Zanzibar were considered inter-dependent ports. Literature talks of merchants in Mandvi port in Gujarat eagerly awaiting the arrival of Swahili boats." Most early Indian migrants to your great country happened to be from my home state. Today, the Indian origin community in Tanzania is an important link between our people in the fields of business, healthcare, and education. In recent decades, right from the times of President Nyerere, our shared values, common struggles, and a strong desire for the progress and prosperity of our peoples has defined our ties. Friends, In recent years, our ties of commerce, links of trade and investments, and development cooperation have flourished. If today, India has emerged as the World's fastest growing large economy. Then, Tanzania is one of the most important economies on this continent. My detailed discussions with President Magufuli today covered the full range of our partnership. Our comprehensive agenda of cooperation is driven by our key development priorities and our common security needs. These discussions would lay the foundation on which we will construct a twenty first century partnership. Friends, India has been, and will always be, a trusted partner in the developmental journey of Tanzania. And, as you: modernize your agriculture; expand your education and health care system; and industrialize your economy; skill your youth; build your capacities and institutions; secure your people and society. You will find in India a reliable friend. And as a friend, we are ready and willing to share our experiences, our expertise, our capacities and our technology with Tanzania. And, do so based on your needs and priorities. Friends, I request you to join me in raising a toast: To the health and success of His Excellency, President John Magufuli; To the progress and prosperity of the friendly and hospitable people of this great country, the United Republic of Tanzania; and To the enduring friendship and partnership between India and Tanzania. Source: PIB Press Statement by Prime Minister during his visit to Kenya (July 11, 2016) Kenya, Mon, 11 Jul 2016 NI Wire Your Excellency President Uhuru Kenyatta, Deputy President William Ruto, Ladies and Gentlemen, Thank you, Excellency, for your kind words. I am delighted to be here in Nairobi. I thank President Kenyatta the warm welcome and hospitality extended to me and my delegation.I am told, Excellency, that your name "Uhuru means "Freedom. In a way, the journey of your life has also been the journey of an Independent Kenya. It is an honour for me to be with you today. Friends, Kenya is a valued friend and trusted partner of India. The bonds between the two countries are long-standing and rich. We share a common legacy of struggle against colonialism. Our historical people-to-people ties provide a strong basis to our wide-ranging partnership, which extends: * From agriculture and health to developmental assistance; * From trade and commerce to investment; * From closer contacts between our peoples to capacity building; and * From regular political consultations to defence and security cooperation. And, today, President and I reviewed all aspects and full range of our relationship. Friends, India is one of the brightest spot in the world economy. And, Kenya is a land of strong opportunities. India is Kenya's largest trading partner, and the second largest investor here. But, there is potential to achieve much more. President and I agreed that our economies can benefit more: - if we nurture greater intensity of commercial links; -Take steps towards a more diversified trade basket; and - Expand our investment ties further. This would also enable greater regional economic prosperity. And, in this while governments would play their part, it is the businesses of the two countries that have a key role and responsibility to drive our commercial partnership.In this context, I welcome the India-Kenya Business Forum to be held later today. India and Kenya are two developing countries. We are also two innovation societies. And, the important part is that whether it is the process, products or technologies, our innovations are relevant not just to our societies.They also help improve the lives of people in other developing countries. The success of M-Pesa is one such classic innovation that has empowered millions the world over. Both sides have been working together in commercializing innovative technologies, and some of it will be evident at the Business Forum later today. Friends, The multifaceted development partnership is a key pillar of our bilateral relationship. Our development priorities are more or less aligned.As true and trusted partner, India is ready to share its development experiences and expertise, and concessional credit and capacities to assist in Kenya's development objectives. We look forward to early implementation of the Indian lines of credit for projects in agricultural mechanization, textiles and the development of the small and medium sector. We are also encouraged by the progress in the power transmission project under an Indian line of credit of 60 million dollars.Kenya's highly successful geothermal sector, and energy efficiency projects such as LED based smart street lighting, are a couple of new areas where we could build our engagement. I understand that health care is President Uhuru's key priority. India's strength, especially in pharmaceuticals, can join hands with your priorities to shape an affordable and efficient healthcare system in Kenya. This would not only meet the needs of your society. It could also help Kenya become a regional medical hub. In this regard, I am happy that the prestigious Kenyatta National Hospital will soon have the state of the art made in India cancer therapy machine Bhabhatron. We are also donating essential medicines and medical equipment for Kenya's public health system, including for the treatment AIDS. Friends, We recognize that without the opportunities for the success of our youth, our societies cannot grow. For this, we are ready to partner with Kenya in the fields of education, vocational education and skill development. Friends, While we are conscious of our development challenges, President and I also share concerns of security and stability.India and Kenya are connected by the Indian Ocean. We both have strong maritime traditions. As such, our closer cooperation in the field of maritime security occupies an important place in our overall defence and security engagement. The MoU on Defence Cooperation signed just now would strengthen our institutional cooperation between our defence establishments. This would include greater staff exchanges; sharing of expertise and experiences; training and institution building, cooperation in hydrography, and supply of equipment. President and I recognize that Terrorism and the rapid spread of radical ideologies pose a common challenge to our people, our countries, to the region and to the whole world. We have agreed to deepen our security partnership including in the fields of cyber security, combating drugs and narcotics, and human trafficking. Friends, Yesterday, President and I had an unforgettable interaction with the Indian diaspora in Kenya. As President Uhuru said, they are proud Kenyans even as they cherish their Indian roots. As we shape deeper contacts between our economies and societies, they form a trusted bond and a strong bridge. I am also happy to announce that the vibrant Indian culture, which is already a part of Kenya's rich society, will be showcased in a Festival of India in Kenya later this year. Excellency, President Uhuru, In the end, let me once again thank you, the Government of Kenya and its people for the warmest of welcomes that I have received. And, I and the people of India look forward to welcoming you in India. Thank you. Thank you very much. Source: PIB China on Monday strongly condemned an attack on a UN facility in South Sudan in which a Chinese peacekeeper was killed and six others were injured. According to a statement issued by the Ministry of National Defense, the facility was hit by a shell on Sunday evening, killing one Chinese peacekeeper and injuring six, three critically. "The Chinese military are deeply shocked and extend their deepest condolences to the victims and express heartfelt sympathy to the wounded and the families of the victims," the statement said. It said the military has initiated emergency response and follow-up measures to ensure security. Rural Entrepreneurship Development Scheme will be effective from 2016-17: Radha Mohan Singh New Delhi, Mon, 11 Jul 2016 NI Wire Major hike in various scholarships given for agricultural courses Union Agriculture and Farmers Welfare Minister Shri Radha Mohan Singh has said that recommendations to rectify the curriculum as well as its contents by 5th Dean Committee has been approved so as to improve the quality of agricultural education in the country. Shri Singh further said that it would help students as it would provide quality education in agriculture. The Minister said this today at Mahatma Phule Agriculture Vidyapeeth, Rahuri, Ahamednagar, Maharahstra. The Union Agriculture and Farmers Welfare Minister reiterated that all agricultural universities across the country are expected to enforce it at the earliest. Shri Singh added that for the first time the degrees imparted by Agriculture University in Maharashtra have been given professional status. Now, the strength of ameritus scientists and ameritus professors has been increased. The duration of these schemes has been increased from 2 to 3 years. In addition to this, the amount of remuneration has been increased from Rs. 25,000 to Rs. 50,000. The Minister further added that Honble Prime Minister Shri Narendra Modi had launched Rural Entrepreneurship Development Scheme in 2015 and it will be effective from 2016-17. It is a new programme to impart opportunities for attaining experience as well as entrepreneurship skills on part of agriculture graduates. Under this scheme, the agriculture graduation students will be granted scholarship at the rate of Rs. 3000 per month. Shri Singh said that the objective of pilot studies is to augment knowledge as well as skill as per the requirements of private sector. It also aims at to create belief and competency amongst undergraduate students so as to initiate their own enterprise. The Minister observed that it will trigger helping the students for practicable knowledge as well as skill orientation which will be very much conducive to provide quality entrepreneurship for augmenting strength of agriculture graduates. Union Agriculture and Farmers Welfare Minister reiterated that the amount related to National Talent Scholarship has been earmarked for post graduate students for the year 2016-17 every month. Apart from this, the sum of scholarship meant for graduation students has been shot up from Rs. 1000 to Rs. 2000 per month which is an innovative approach to motivate the students concerned. The Department of Agriculture conducts Pan India Admission Examinations to bestow the degrees of graduation, post graduation as well as Ph.D. every year. This year 106321 graduation students and 23727 post graduation students attended the examination. Every year 475 male and female students are granted JRF and 203 male as well as female students are given SRF. Now, it is being decided to increase this strength from 475 to 1000 JRF and from 203 to 5000 SRF. Shri Singh briefed that an extra mural research programme has been commenced during 2015-16 so as to sponsor and support, short spanned result oriented extra mural projects to concentrate the required needs so that a substantial improvement might be ensued in the quality of agricultural education. The Minister further briefed that the Department of Agriculture Education has implemented Indo-Africa and Indo-Afghanistan scholarship during the academic year 2010-11. Under Indo-Africa programme 195 candidates as a whole (119 for Master Degree and 76 for Ph.d) have got themselves admitted in higher degree curriculum in 35 Indian Agriculture Universities out of them 108 candidates (80 for Master Degree and 28 for Ph.d) have completed their programmes with flying colours. Shri Singh stated on this eve that under Indo-Afghanistan programme 182 candidates as a whole (67 students for graduation education and 115 for Phd.) have got themselves admitted for higher degree educational programmes in 38 Indian Agricultural Universities, out of them 30 candidates have completed their master degree programmes successfully. To impart maximum number of registrations on the part of Afghan candidates the span of this educational programme has been augmented upto 2020-2021. The Minister further deliberated that a scholarship termed as Netaji Subhash ICAR International Scholarship has been initiated during 2009-10 for Phd. programmes meant for foreign as well as Indian candidates in Indian as well as foreign universities. 85 candidates as a whole has been granted scholarship, out of them 17 are Indians and 2 are Egyptian. They have completed their Phd. education in foreign as well as Indian Agriculture Universities. On this occasion, the Member of Parliament, Ahamednagar, Shri Dilip Kumar Gandhi, and the Member of Parliament, Shirdi, Shri Sadashiv Kisan Lokhande were also present. Source: PIB Share The Groupe Special Mobile Association (GSMA (News - Alert)) has long been a, if not the, driving force in mobile communications development. Recently, GSMA gave 5G development efforts a big boost with the announcement of its support backing of a certain manifesto presented at a recent meeting with a host of telecom CEOs across the continent. The manifesto in question, 5G Manifesto for Timely Deployment of 5G in Europe, contains several sentiments and conditions geared toward driving collaborative efforts across not only the telecom industry, but also across several verticals within the field. It's also designed to drive investment in such developments from those who establish policy, and help add to the overall growth of the digital environment throughout Europe. Europe will need substantial investment to properly roll out 5G as it seeks to keep itself at the forefront of the 5G technology pack. The focus will be on what's called a Digital Single Market, a move that notes much of the rules around establishing telecom operations are fairly similar and easy to expand within as European suppliers and their customers look to be 5G leaders in an area where a host of non-European companies are looking to gain thought and market leadership. John Giusti, who serves as the GSMA's chief regulatory officer, commented As mobile technology progresses towards 5G, Europe has a critical opportunity to reclaim a leading digital role and extend fast mobile connectivity to all of its citizens. Because 5G is still a nascent technology, the steps we take today to foster an enabling environment will be crucial in ensuring the future success of this technology and in re-establishing Europes position as a leader in innovation. Giusti also noted that GSMA was looking forward to working with the range of stakeholders that make up the wider communications industry, and this may be the best stance the GSMA could take. Given the recent political upheaval in Europe brought around by the so-called Brexit, the GSMA needs stability in the region in order to produce that investment, and the GSMA's support of a central doctrine that drives advancement in the region could be that necessary stability. The problem, of course, is that this may not be enough. With Brexit now a thingassuming anyone can get a plan together on just how to accomplish itthe region may be too unsettled for even the GSMA to prompt investment in the field. The key language in Giustis remarks are the ones about the need to reclaim leadership. Europe used to be the leader in all things mobile, but in the past few years has slipped behind other regions. With the likes of Nokia (News - Alert) and Ericssons success so crucial to the overall future of Europes economy, getting alignment between the suppliers, service providers, and the financial community to help the emerging 5G ecosystem look to Europe first is obviously a crucial priority. It is also one with more than a little amount of urgency. Edited by Peter Bernstein Europes nEUROn unmanned combat air vehicle demonstrator was presented in flight today at an air meet at Istres organized by the French Air Force. It is the first time in world aeronautical history that a stealth aircraft controlled from the ground has flown in public. The event which was prepared by teams from Dassault Aviation, along with the French defense procurement agency DGA and the French Air Force was able to take place thanks to the reliability and safety demonstrated by the nEUROn since the start of testing in 2012. The presentation, which was carried out by the Dassault Aviation flight test center, lasted about 15 minutes. After takeoff, the nEUROn was joined by a Rafale and a Falcon 8X. This formation of three aircraft illustrates the technological expertise necessary for tomorrows aeronautical projects. It also contributes to the centennial celebrations of our Group, which has been designing, building and supporting civil and military aircraft since 1916, declared Dassault Aviation CEO Eric Trappier. The three aircraft flew past in formation at an altitude of 150 meters above the Istres runway at 350 km/h, less than 400 meters from thousands of spectators. This flying display, in a limited airspace, represents a real achievement, both technically and in terms of flying skills. In March 2014, the nEUROn became the worlds first unmanned combat air vehicle to fly in formation with other aircraft (a Rafale and a Falcon 7X). Furthermore, outside the United States, the nEUROn team is the first in the world to have designed, built and flown a stealthy unmanned combat air vehicle demonstrator and the first to have submitted it to a comprehensive test program, including: tests involving operational detection systems (radar and infrared); launch of a weapon from an internal bay at high speed. About nEUROn nEUROn is a European program for an unmanned combat air vehicle (UCAV) technology demonstrator, conducted by Dassault Aviation as prime contractor under the authority of French defense procurement agency DGA. It heralds tomorrows defense programs, since it federates expertise from across Europe (France, Italy, Sweden, Spain, Greece and Switzerland). The nEUROn program is designed to validate the development of complex technologies representing all mission systems: high-level flight control and stealth, launching real air-to-ground weapons from an internal bay, integration in the C4I environment, innovative industrial collaboration processes, etc. The demonstrator made its first flight at Istres on December 1, 2012. The test schedule was completed in September 2015 with the 123rd flight. All program objectives were achieved. An additional series of tests was launched by the DGA in May 2016 to study the use of an unmanned combat air vehicle in a naval context. Key characteristics of nEUROn: length 10 meters; wingspan 12.5 meters; empty weight 5000 kg; max. weight 7000 kg; max. speed Mach 0.8; autonomy 3 hours. SOURCES dassault Russia is putting up more radar stations for detecting stealth planes. Whats perhaps most impressive about Russias Sunflower radar isnt its ability to detect stealth fighters, but its comparative compactness. Many low-frequency radars installations are huge and power-intensive and big, fat targets during a shooting war. Sunflower, by contrast, is small and portable, according to media reports. The system could be put online in 10 days and needs a team of just three people to stay operational, Sputnik explained. It does not need much power, it is easy to operate and it does not have much equipment. Quality of the detection depends upon pulse compression and signal processing Low-frequency radars operating in the VHF and UHF bands can detect and track low-observable aircraft aka stealth planes. Traditional limitation of VHF and UHF-band radars is that their pulse width is long and they have a low pulse repetition frequency [PRF]which means such systems are poor at accurately determining range. As Mike Pietrucha, a former Air Force an electronic warfare officer who flew on the McDonnell Douglas F-4G Wild Weasel and Boeing F-15E Strike Eagle once described to me, a pulse width of twenty microseconds yields a pulse that is roughly 19,600 ft longrange resolution is half the length of that pulse. That means that range cant be determined accurately within 10,000 feet. Furthermore, two targets near one another cant be distinguished as separate contacts. Signal processing partially solved the range resolution problem as early as in the 1970s. The key is a process called frequency modulation on pulse, which is used to compress a radar pulse. The advantage of using pulse compression is that with a twenty-microsecond pulse, the range resolution is reduced to about 180 feet or so. There are also several other techniques that can be used to compress a radar pulse such as phase shift keying. Indeed, according to Pietrucha, the technology for pulse compression is decades old and was taught to Air Force electronic warfare officers during the 1980s. The computer processing power required for this is negligible by current standards, Pietrucha said. With a missile warhead large enough, the range resolution does not have to be precise. For example, the now antiquated S-75 Dvinaknown in NATO parlance as the SA-2 Guidelinehas a 440-pound warhead with a lethal radius of more than 100 feet. Thus, a notional twenty-microsecond compressed pulse with a range resolution of 150 feet should have the range resolution to get the warhead close enoughaccording to Pietruchas theory. The directional and elevation resolution would have to be similar with an angular resolution of roughly 0.3 degrees for a target at thirty nautical miles because the launching radar is the only system guiding the SA-2. For example, a missile equipped with its own sensorperhaps an infrared sensor with a scan volume of a cubic kilometerwould be an even more dangerous foe against an F-22 or F-35 Russia sunflower radar Sunflower clearly trades performance for portability. Where larger low-frequency radars can detect targets thousands of miles away, the new Russian system reportedly has a range of just 300 miles or so. But there are clear tactical advantages in being able to quickly set up large numbers of smaller, low-frequency radars. Russia could, on short notice, deploy batteries of Sunflowers on the periphery of conflict zones in order to begin getting a vague idea of where U.S. and allied stealth fighters are operating. Thats hardly a sure-fire way of defeating stealth. But its not nothing. SOURCES- National Interest, War is Boring We have used your information to see if you have a subscription with us, but did not find one. Please use the button below to verify an existing account or to purchase a new subscription. The National Atlantic Treaty Organization announced from Warsaw, Saturday, a plan to set up an intelligence Fusion Centre in Tunisia, which was hit last year by a wave of terror attacks. The announcement made at the end of the two-day meeting of NATO leaders did not disclose much about the functions of the center but NATO members expressed resolve to assist the north African country to ward off terrorists who had killed 71 people last year in three separate attacks claimed by the Islamic State group. Tunisia is still grappling with repeated incursions of fighters of the terrorist group, which has established its North African base in neighboring Libya. Tunisia built a wall along its lengthy border with Libya in a move to stem illegal crossings. France, UK, Germany and the United States have provided logistical assistance to the North African country. Tunisia security forces have also received training to better protect their border. The NATO is also planning to establish naval operation known as Sea Guardian in the Mediterranean in an attempt to foil illegal arms trade and migrant smuggling, ensure naval safety and enhance regional capacity. The future naval operation will be conducted in coordination with EUs Sophia operation already under way in the Mediterranean to fight migrant smuggling and arms trafficking. NATO leaders agreed on a set of other decisions to project stability beyond the Alliances borders, including starting a new training and capacity building effort in Iraq and the use of AWACS surveillance aircraft to support the Global Coalition to Counter ISIL. Creditors of Moroccos sole refinery, Samir, are putting on the table the alternative of converting the massive 43-billion-dirham debt ($4.55 billion) into shares, a move that entails giving creditors a say in the board of trustees, Moroccan media reported on July 11. After an appeals court in Casablanca upheld a ruling placing the refinery into liquidation, the conversion of debt into shares seems like a move that will mitigate the losses of creditors of Samir. The creditors will have to be organized into a consortium in order to gain the trust of banks to reschedule the debt. Last August, production at Samir came to a halt due to overdue debt and unpaid taxes. A court ruling placed it in liquidation and named an independent trustee to run it. Moroccos tax administration has since frozen the companys bank accounts in pursuit of a 13 billion-dirham ($1.3billion) tax claim. The closure of Moroccos sole refinery would make the country entirely reliant on imports. At just under 300,000 barrels per day, Moroccos petroleum consumption is Africas fifth largest, according to data from the U.S. Energy Information Administration. Canadas auto parts manufacturer Linamar Corp. is building a US $280 million plant in Morocco wherein car industry is thriving, becoming a leading export sector, attracting more & more foreign investors. Linamars plant is destined to supply engine parts to the new Peugeot factory before expanding to new plants that should open soon in Morocco. PSA Peugeot Citroen is planning to start production at an approximately $632 million plant near Kenitra, some 40 km to the north of Rabat, in 2019, while French automaker Renault Group announced a plan to invest more than $1 billion in its operations in the country earlier this year. U.S. auto parts maker Delphi Corp. will also set up a new factory making electrical distribution systems and a research and development center in the North African kingdom. The Moroccan government aims to lift the overall industrial component of gross domestic product (GDP) to 20 per cent, up from 16 per cent, reaching around 500,000 jobs by the same period. Unlike many countries in the region, Morocco managed to avoid a big drop in foreign direct investments in the wake of the global financial crisis and the Arab Spring uprisings of 2011, partly by marketing itself as an export base for Europe, the Middle East and Africa. The North African country also managed to build a highly competitive, diversified and attractive economy thanks to political stability and open economy. Peugeot will use its Moroccan plant as a launching pad for regional expansion, following the footsteps of fellow French car manufacturer Renault. Morocco has aggressively sought to accelerate the development of the automotive and component segments, providing tax incentives and turnkey sites such as the Atlantic Free Zone (AFZ) in Kenitra. The 345-ha integrated industrial cluster was set up in 2012 to accommodate the countrys thriving automotive sector. AFZ encourages firms to make capital investments in exchange for a tax break during first five years of operations, followed by an 8.75 pc tax rate over a 20-year period. The moves have started yielding results and drawing more and more investors. Last year, Morocco produced 232,000 vehicles, according to the International Organization of Motor Vehicle Manufacturers, up 38.5 pc year-on-year. Production soared by 54 pc between 2012 and 2013 alone, propelled in part by the inauguration of Renaults two assembly plants. Today, Morocco ranks as one of the largest car manufacturers in Africa. Yet another Republican-held Senate seat in play thanks to Evan Bayhs decision to jump into the race in Indiana. Photo: Win McNamee/Getty Images Months after the Senate landscape for 2016 seemed to be locked into place, a surprise is in the works for today as former Indiana governor and senator Evan Bayh is expected to leap into the contest for his old seat. Former congressman Baron Hill, the Democratic nominee for the Senate this year, has already stepped aside to let Bayh run. Odds are that Bayh is today a lot more popular with Senate Democrats than he was six years ago, when he penned a dyspeptic op-ed in the New York Times lamenting the passing of the productive, bipartisan Senate of yore when his father served in the upper chamber. He talked about maybe going to work in higher education, but ultimately took a lobbyist/lawyer gig with a prominent national firm. But whatever you think of his consistency, theres no question about Bayhs electoral appeal in Indiana. He broke a 20-year Democratic drought in the governors office in 1988, and then was reelected by a landslide in 1992. His percentages of the vote in his two Senate races in 1998 and 2004 were 64 percent and 62 percent, respectively. His sudden emergence this year must make Republican nominee Representative Todd Young, a heavy favorite over Hill, wonder what he did to offend the Almighty. On top of his other assets, Bayh has nearly 10 million smackers sitting in a Senate campaign account since his abandonment of his 2010 reelection campaign. This development puts another Republican-held Senate seat (the incumbent who won the seat in 2010 in his own Senate comeback, Dan Coats, is retiring) into play along with seats in Illinois, New Hampshire, Ohio, Pennsylvania, and Wisconsin, with Arizona, Florida, Missouri, and North Carolina possibly competitive as well. A Democratic Senate and with it, the strong possibility a President Hillary Clinton could get Supreme Court nominees and maybe even a budget approved is beginning to look like an even bet or better so long as Donald Trump continues to have his problems. Deray McKesson being arrested on Saturday night. Photo: Max Becherer/AP Black Lives Matter leader DeRay McKesson was among the more than 200 people arrested during anti-police-brutality protests throughout the country this weekend. Like most of the protesters arrested in Baton Rouge, McKesson was charged with obstructing a highway when he was taken into custody on Saturday night. He had traveled to the city from Baltimore to demonstrate against the deaths of two black men at the hands of police over the last week, including Baton Rouge resident Alton Sterling. McKesson livestreamed much of Saturday nights protest, including the beginning of his own arrest, which a police report says was because he ignored orders to stay out of a road. The Parrish jail staff had us wear orange jump suits. I've since been told that this is not normal protocol. https://t.co/55xXmeFKiJ deray (@deray) July 11, 2016 McKesson was released on Sunday afternoon after spending 16 hours in a jail cell. In an interview following his release, he told the New York Times that Baton Rouge police did not have room to house the dozens of people arrested. While in custody, they took turns sitting on packed benches or sleeping on the floor, and overnight they were told to change into prison jumpsuits and given breakfast. McKesson, who was released on his own recognizance, said he believes that the mass arrests were unlawful, and he intends to keep protesting. The police want protesters to be too afraid to protest, which is why they intentionally created a context of conflict, and Ill never be afraid to tell the truth, he said. What we saw in Baton Rouge was a police department that chose to provoke protesters to create, like, a context of conflict they could exploit. As the Washington Post explains, for the first two nights following Alton Sterlings death, demonstrations in Baton Rouge were peaceful. But when protesters showed up at police headquarters on Friday night, the day after five officers were killed in Dallas, they were met by riot police. There were 31 arrests that night, then 102 on Saturday, and tensions remained high on Sunday night, with 48 arrests reported so far. Tensions high in Baton Rouge as police clash with protesters wearing riot gear and gas masks pic.twitter.com/3KRN9NMKHa wynton yates (@WyntonYates) July 11, 2016 Protesters claim Baton Rouge police were giving unclear instructions, and purposely provoking them. The Daily Beast reports that 90 minutes into a peaceful protest on Sunday evening, police pushed protesters off of private property and into the street, then arrested them for blocking the road. Baton Rouge home owner "very upset" after police storm her yard arresting protesters who had permission to be there pic.twitter.com/gwE8aRGKfL David Begnaud (@DavidBegnaud) July 11, 2016 Baton Rouge right now. pic.twitter.com/Chn7v8cnVe Breaking News Feed (@pzf) July 11, 2016 Amnesty International sent an open letter to the Baton Rouge police department on Sunday. We would remind you that police authorities are required to act in accordance with international human rights standards and the U.S. Constitution, the letter read. Specifically, law enforcement must ensure that any decision to disperse an assembly is taken only as a last resort and carefully in line with the principles of necessity and proportionality. Louisiana officials said they support the right to protest, but some demonstrations have turned violent. The Advocate reports that officers confiscated eight firearms from protesters demonstrating in front of police headquarters on Saturday. That may be connected to the presence of New Black Panther Party members, who had arrived in the city to join the protests. In addition, one police officer had several teeth knocked out when he was hit by a projectile allegedly thrown by a protester, one of two reported injuries suffered by police officers over the course of the night. Baton Rouge police warned in a press release that the protests appear to be becoming more violent as out-of-town protesters are arriving. And as a scary reminder of why so many cops are on edge right now, on Saturday night in San Antonio, Texas, an unknown person fired multiple rounds at the police headquarters, though no one was injured. That shooting was not related to any protest, and police are still searching for a suspect. We dont question peoples right to peacefully assemble or protest, and were gonna protect that right, said East Baton Rouge sheriff Sid J. Gautreaux III. But in the same token, we made it clear that we arent gonna tolerate any lawlessness. Were not gonna tolerate any violence or destruction. In the city of St. Paul, Minnesota, both city authorities and a local Black Lives Matter organizer are decrying the violence that occurred during a protest there on Saturday night. Cafeteria supervisor Philando Castile was shot and killed by a police officer in the St. Paul suburb of Falcon Heights last week, and protests over his death have followed in the Twin Cities area every night since. Reuters reports that Saturday nights protest blocked and closed Interstate 94 for hours, and 21 officers and six state troopers were ultimately injured after protesters threw rocks, bottles, bricks, and fireworks at police. Police officers launch smoke bombs and tear gas to clear out protestors who shut down highway I-94 in St. Paul. Photo: Stephen Maturen/Getty Images Black Lives Matter organizer Rashad Turner told a local news station that he believed the people throwing projectiles were not a part of the official demonstration, but outside agitators from nearby neighborhoods. Said Turner of the violence, Its ridiculous. It cannot happen. Its not what we do here in St. Paul. It does not honor Philando Castile. Overpass is packed with protesters and onlookers above I-94 exit #StPaul pic.twitter.com/2w9GD9wGMA Kim Bellware (@bellwak) July 10, 2016 Police in riot gear eventually used smoke bombs and pepper spray to disperse the demonstration on the highway, and arrested about 50 of the protesters involved there, and another 52 later on near the governors mansion. Fifty of those arrested now face third-degree riot charges. St. Paul police chief Todd Axtell called the violence against police officers a disgrace, adding that protesters had turned into criminals. I am absolutely disgusted, [and] I am not going to tolerate it I just cant believe this occurred. This is just something that doesnt happen in St. Paul. Roads and highways were blocked by other protests in Atlanta, Memphis, Miami, Nashville, San Francisco, and New York over the weekend as well. In New York, 20 protesters were arrested throughout the city on Saturday. At one point they shut down FDR Drive, and the NYPD said they were creating a dangerous situation. People here are fighting for justice for all in a country that promises it, said one protester. Shetamia Taylor, speaking at press conference at Baylor University Medical Center in Dallas. Photo: Screencap/NBC News Shetamia Taylor, the black Texas mother who was wounded during last weeks deadly ambush of Dallas police officers during a Black Lives Matter protest, has vowed to participate in another demonstration. Taylor, who is currently in a wheelchair after she was shot in the leg while trying to escape and shield one of her sons from the gunfire, wants to set an example for her boys, ages 12 through 17, who were all with her on Thursday night at what was supposed to be a peaceful protest. I dont want them to think that I am a quitter, because I am not, she announced at an emotional news conference on Sunday, according to the Associated Press. While she protected one son, another two were able to get to safety after the shooting started, and one boy found refuge with another mother at the protest, 33-year-old Angie Wisner, who took care of the teenager until they could determine what happened to Taylor. Wisner and Taylor finally met on Sunday, after Taylor had gotten out of surgery. Said Wisner, holding back tears following the meeting, Honestly I dont see myself as a hero. I see myself as a mother. Any mother would have did what Id done. Speaking of heroes, Taylor devoted most of her press conference to thanking the Dallas police for what they did that night, noting that just as she tried to shield her son, police officers assembled to shield them both. They had no regard for their own life. They stayed there with us. They surrounded my son and I, Taylor explained, and I saw another officer get shot right in front of me. I never had an issue with police officers, she added, If anything [Thursday night] made my admiration for them greater. In perhaps the most heartbreaking moment of the press conference, Taylor broke down when recounting how she was in the same room with a pair of officers when one told the other that a colleague had been killed, and how she was suddenly forced to reconcile that tragedy with her own happiness that she and her family were safe. Watch Taylor further express her appreciation, and recount what happened, herself: Doctors say it will be two to three months until she can put weight on her injured leg again. It doesnt really matter if the voters who send Hillary Clinton to the White House totally trust her. Photo: Justin Sullivan/Getty Images Its just one poll, on a complex legal issue, with rather convoluted wording, but the answers the Washington Post obtained on a question about whether Americans thought Hillary Clinton ought to have faced prosecution for her email practices are still rather shocking. By a 56-35 margin, Americans (the poll was of adults, not registered or likely voters) disapproved of [FBI chief James] Comeys recommendation that Clinton should NOT be charged with a crime. Which presumably means theyd just as soon see Hillary Clinton in the dock as in the White House. The internals of this small poll are equally shocking: 31 percent of Democrats seem to be disappointed HRC isnt being hauled in front of a grand jury. Perhaps if Bernie Sanders does indeed endorse Clinton tomorrow, that number might quickly decline. But it should still be unsettling for Democrats. Even if you treat this poll as just another measurement of Clintons approval/disapproval ratings, it offers a sharp contrast to the general-election trial heats showing her pretty consistently leading Donald Trump (the Posts own most recent survey had her up by ten points). One might deduce there are a significant number of Americans who think HRC should be at risk of losing her personal freedom but still prefer her to Trump. More generally, its an indicator of something we should have already realized: Hillary Clinton is not going to win the presidency based on her personal popularity, and probably wont win if the election is a pure referendum on Barack Obama (yes, his job approval rating is now back up above 50 percent, but theres always some time for a change sentiment after two White House terms). But she can win an election framed as a two futures choice between herself and her party and Trump and his party. In that context, most of the 31 percent of Democrats who allegedly think she should face criminal charges would almost certainly come home, along with a sizable number of independents and perhaps a decent number of college-educated Republicans who are or who could quickly become horrified at the idea of a Trump administration. The strategic question for Team Clinton is whether she should devote resources to rehabilitating her image (countering the many hundreds of millions of dollars of conservative and sometimes liberal investments in tarnishing it), or instead just continue her already-robust efforts to go after Trump and his (lest we forget) very unpopular party with a clawhammer. In the end, it doesnt much matter whether the winner on November 8 is all that popular. Barack Obama was very popular when he was first elected in 2008, and you see how far that got him the minute he lost his supermajority in the Senate. Everything we know from public-opinion research indicates voters perceive this as an important, high-stakes election. They arent going to just stay at home, and the value of their votes is not diminished by lack of enthusiasm. You can speculate all day long (as many Republicans are undoubtedly doing privately if not publicly) that a different Republican presidential nominee could take down Clinton easily without really knowing if thats true. After all, the GOP has its own popularity problems, some of which (e.g., mistrust over the partys intentions toward Social Security and Medicare or its zest for Middle Eastern wars) Trump might actually mitigate. Without any question, Republicans inside and beyond the Trump campaign will look at data points like the Post poll and intensify their attacks on Clintons trustworthiness. Trump himself will be sure to describe his opponent as Crooked Hillary at every opportunity during the Republican convention next week. But sometimes that just isnt enough. A quarter-century ago in Louisiana, a Democratic gubernatorial candidate defeated Trump supporter David Duke via the informal slogan Vote for the Crook. Its Important. If push comes to shove, the same sentiment could elect Hillary Clinton no matter what voters think about those emails. Good luck with President Trump. Photo: Bloomberg/Getty Images There was a time when Supreme Court justices at least pretended to be apolitical, but apparently that practice went out the window when one party nominated a reality-TV star with an incoherent-yet-scary agenda. In an interview with the Associated Press on Friday, Justice Ruth Bader Ginsburg called the next president she, and said of a potential Donald Trump win, I dont want to think about that possibility. Just in case that was too subtle, on Sunday she joked in a New York Times interview that shes fleeing the country if Trump wins though, unlike many fellow liberals, shes considering Hobbit country, not Canada. I cant imagine what this place would be I cant imagine what the country would be with Donald Trump as our president, she said. For the country, it could be four years. For the court, it could be I dont even want to contemplate that. She recalled a line her late husband, Martin Ginsburg, used to say. Now its time for us to move to New Zealand, she said, smiling ruefully. To be clear, Justice Ginsburg isnt actually planning to leave the country, particularly because that would give President Trump another seat to fill. Ginsburg, who is 83, said she intends to continue doing her job as long as I can do it full steam, but when asked about the stakes in the presidential election she noted that her colleagues Justices Anthony Kennedy and Stephen Breyer may not be on the bench for long. Kennedy is about to turn 80, she said. Breyer is going to turn 78. Ginsburg is actually highlighting a point that Trump keeps stressing. In arguably his smartest political move, Trump released a list of his 11 potential Supreme Court nominees in May. That reassured Republicans that hed attempt to put a judge with solid conservative credentials on the bench, rather than trying to make Justice Sarah Palin happen. During his otherwise disastrous trip to Congress last week, Trump vowed to GOP lawmakers that he would stick to that list if elected. Representative Mark Sanford told McClatchy that while he finds it dangerous and alarming that Trump is fairly casual with facts and talks about wanting to punch protesters in the face, fellow Republicans may be willing to overlook that if it means nominating three conservative justices. If you care about the composition of the court, that alone will push you there, he said. A protester in New York City last week. Photo: Kena Betancur/AFP/Getty Images African-Americans suffer a disproportionate risk of being shot dead by police. While white Americans make up 62 percent of the U.S. population, they account for only 49 percent of those killed by cops over the past year and a half, according to the Washington Post. For black Americans, those figures are 13 percent and 24 percent, respectively. Whats more, the Post found that unarmed African-Americans were five times as likely as unarmed white Americans to be fatally shot by police. However, its also true that African-Americans experience a disproportionate number of encounters with law enforcement. And a new study from Harvard suggests that, within the context of police encounters, cops are no more likely to shoot black civilians than white ones. Economist Roland G. Fryer, the youngest African-American to receive tenure at Harvard, launched the study in response to the police killings of Michael Brown and Freddie Gray. You know, protesting is not my thing, Fryer told the New York Times. But data is my thing. So I decided that I was going to collect a bunch of data and try to understand what really is going on when it comes to racial differences in police use of force. Fryers study is based off detailed police reports from ten major police departments in Texas, Florida, and California. He and his student researchers examined 1,332 shootings between 2000 and 2015, and attempted to isolate race as a variable. Which is to say, they sorted police shootings by context i.e. at the scene of robbery, at night, after being attacked by suspect, etc. and looked at whether cops were quicker to fire at black civilians, when controlling for those contexts. Fryer calls the results of this inquiry the most surprising of his career: The study found no significant evidence of racial bias when controlling for context, and showed that officers were actually more likely to shoot suspects who hadnt attacked them when those suspects were white. These findings are a valuable contribution to our understanding of race and policing in America, but they should be ingested with a few grains of salt: 1. The results rely, in part, on the accuracy of police reports. Such reports have been contradicted by video recordings in some of the highest-profile fatal police shootings of the last few years. 2. The cities examined are not demographically representative of the country as a whole. Most of the municipalities have a larger-than-average population of African-Americans. Its possible that in jurisdictions where the black population is smaller, and thus less politically powerful, police use lethal force in a more racially biased manner. 3. Most critically, the study does not address the way racial bias determines who gets stopped by police in the first place. While African-Americans do commit a disproportionate rate of the nations violent crimes, this does not fully account for the rate at which police stop them. African-American drivers are 31 percent more likely to be pulled over by police than are white drivers, according to Justice Department statistics. This is not due to a disproportionate affinity for speeding among the black community whites are actually more likely to be pulled over for exceeding the speed limit, while black drivers are flagged at a higher rate for vehicle defects and record checks. African-Americans are also twice as likely to be pulled over for no explicit reason whatsoever. The Justice Departments investigation into Ferguson, Missouri, found that the citys police department enforced the law in a manner designed to maximize revenue rather than public safety. In other words, the department aggressively policed petty crimes in the citys black community so as to generate enough fines to keep the government running. In Ferguson, police didnt disproportionately stop black residents because of their higher rate of violent crime, but because of their lower level of political power. (A mayor that directed police to aggressively shake down wealthy white residents would be unlikely to retain office.) As Jack Hitt wrote in Mother Jones last year, the Ferguson PD is far from the only department in the nation to overpolice black communities for fiscal responsibilitys sake. For African-Americans, the cost of funding local government in this manner is measured in more than dollars and cents. Racial discrepancies in the use of force were considerably starker in the reports of civilians rather than officers. In a nationally representative survey, Fryer found that black people were 170 percent more likely to have been grabbed by police; 87 percent more likely to have been kicked, Tased, or pepper-sprayed; and 305 percent more likely to have had a gun pointed at them. Fryers study concludes by noting the potentially corrosive psychological impact such treatment may have on African-American youth: Yes She May. Photo: Bloomberg/Bloomberg Finance LP/Getty Images After Brexit forced David Cameron to fall on his sword, Leave campaigners Boris Johnson and Michael Gove were expected to scrabble over his throne. But neither man felt inclined to clean up the mess hed made, so two women stepped up to the fore: Energy Minister Andrea Leadsom and Home Secretary Theresa May were expected to wage a nine-week campaign for the Tory leadership. But then Leadsom suggested that being a mum meant that she had a real stake in Britains future as opposed to May, who does not have children and thus, presumably, just wants to watch the world burn. Andrea Leadsom quits Conservative leadership race, saying "Theresa May is ideally placed to implement Brexit" https://t.co/7fn49SVxlU Sky News (@SkyNews) July 11, 2016 The referendum result demonstrated a clear desire for change - strong leadership is needed urgently to begin the work of withdrawing from the European Union, Leadsom told reporters Monday morning. A nine-week leadership campaign at such a critical moment for our country is highly undesirable I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success. I assure her of my full support. Thank you very much. David Cameron: "Thank you very much........................doo, doo, doo, doo. Right...Good." (The End) pic.twitter.com/Z1zHgSlkLf Daniel Sandford (@BBCDanielS) July 11, 2016 May was a quiet supporter of Remain but has sworn to honor the referendums result. Brexit means Brexit and were going to make a success of it, she said Monday. The home secretary has taken a tough-on-immigrants stance in recent weeks, refusing to guarantee that EU citizens currently living in the U.K. will be allowed to stay and suggesting that future immigration could be limited to those making more than 35,000 a year. These are truly hard choices, as a certain candidate would say. Photo: DSK/AFP/Getty Images Donald Trump is having a hard time choosing a running mate, and not just because no one appears to really want the job. Last week, he auditioned a few potential vice-presidents, and now it appears we may have a front-runner (after two took themselves out of the running). The Washington Times reported on Sunday that Indiana governor Mike Pence has a 95 percent chance of being Trumps pick. The evidence: Indiana House speaker Brian C. Bosma is allegedly looking into jumping into the gubernatorial race because he expects Pence will drop out to join the Trump ticket (under state law Pence could not run for both offices). As New Yorks Ed Kilgore noted last week, the selection of Pence could help Trump rally conservatives who are still wary of him: The Hoosiers circle of close advisers includes people from Ted Cruzs world (Kellyanne Conway), the Koch brothers network (Marc Short), and Establishment Republican fundraising circles (Matt Morgan and Nick Ayres). The Club for Growth likes him a lot, and hes a longtime favorite of the Christian right, where hes viewed as something of a martyr for taking so many hits for the right to discriminate against LGBT folk. Theres only one problem; picking Pence makes sense, and Trump seems to think whats missing in American politics is a Charlie Kellyesque wild card. Instead, a few days ago, the Trump camp floated another possibility: Retired Army Lieutenant General Michael Flynn, the former chief of the Defense Intelligence Agency. The Washington Post reported on Saturday that according to several people familiar with the vetting process, Trump is increasingly intrigued by the idea of a general and a businessman two political outsiders taking on D.C. Supposedly, Trumps disastrous meeting on Capitol Hill last week convinced him that he doesnt need to satisfy Republican insiders with his VP pick, and Flynn ran with that idea on Sunday. On ABCs This Week he suggested hes okay with same-sex marriage, saying, What people do in their private lives, these are not big issues that our countrys dealing with. Maybe conservatives could have looked past that, but then he went on to explain hes in favor of abortion rights. I think women have to be able to choose sort of, the right of choice, Flynn said. They are the ones that have to make the decision because theyre the ones that are going to decide to bring up that child or not. That might not be a problem for Trump (though he may be in favor of punishing women who terminate pregnancies), but various anti-abortion groups were livid. Marjorie Dannenfelser, president of the Susan B. Anthony List, told The Wall Street Journal that Flynn has disqualified himself from consideration as vice president, and Penny Young Nance, president and chief executive of Concerned Women for America, said, Lt. Gen. Michael Flynn is a war hero and an expert in foreign policy. He should stick with what he knows. Social conservatives would consider it a slap in the face if he is chosen as VP. So Flynns out or hes the perfect choice, if you subscribe to the theory that Trump doesnt really want to be president. Iraqi soldiers gesture after retaking central Fallujah in June. Photo: Thaier Al-Sudani / Reuters The Islamic State and those pledging allegiance to the extremist group have unleashed a string of devastating terror attacks in the past few weeks from Orlando to Istanbul to Bangladesh to the mass carnage in Bagdad. But ISIS is losing ground on the battlefield in Iraq, where government forces, assisted by air strikes, have retaken the strategic city of Fallujah and are about to close in on ISIS in Mosul, Iraqs second-largest city. ISF enters to Qayara airbase south of Mosul. Iraqi troops regain control of the base. pic.twitter.com/YZ1PB4DRlo mazin faiq (@mazabc) July 9, 2016 Over the weekend, Iraqi government troops retook Qayara base from ISIS, an airfield south of Mosul. Most of the additional U.S. troops will be deployed to this base, which will be the staging site as Iraqi forces try to wrench Mosul from ISIS control, which it has been under for two years. One Pentagon official called the impending assault the culminating battle in the fight for Iraq. A rendering of the Amazon spheres that will house the tree-house meeting rooms. Photo: NBBJ Most of the lavish, out-there Silicon Valley perks not the generous vacation or parental leave policies, but the really crazy listicle fodder that makes you roll your eyes even as it inspires tiny pangs of jealousy seem to fall into two categories. On the one hand, there are the things that transform an office into a sort of grown-up carnival, like nap pods, massages, and free-flowing booze. And on the other hand, there are the things that make a work space seem like an actual carnival, for actual children: Google has a ball pit. Zynga has a lounge stuffed with Nintendos and PlayStations. Facebook has an arcade and a free candy shop. And as the New York Times reported yesterday, Amazons new headquarters in downtown Seattle will include what may the ultimate if-kids-designed-an-office feature: tree houses. The new office buildings, according to the Times, will be constructed around three transparent, conjoined structures called spheres, a group of high-tech greenhouses that provide employees with the option to switch up their scenery during the day; the tree houses will function as meeting rooms. The whole idea was to get people to think more creatively, maybe come up with a new idea they wouldnt have if they were just in their office, Dale Alberda, the projects lead architect, told the Times. Amazon said, Make this fun. The fun, though, is secondary to a more important purpose: giving workers a chance to connect with nature during the workday. When they open in early 2018, the Times article notes, the spheres will be packed with a plant collection worthy of top-notch conservatories, allowing Amazon employees to amble through tree canopies three stories off the ground, meet with colleagues in rooms with walls made from vines and eat kale Caesar salads next to an indoor creek. Beneath the layer of Silicon Valley silliness Amazon apparently has a horticulturist on staff, because of course it does the company is on to something: Research has shown time and time again that just being around greenery can make employees happier, sharper, and more productive. In one 2008 study, for instance, people who spent an hour hanging out in nature saw their short-term memories improve by up to 20 percent. But for those whose schedules are too crammed for midday outdoor strolls, bringing pieces of the natural world indoors has its own benefits: A 2014 study in the Journal of Experimental Psychology found that adding plants to a work space could increase worker productivity by as much as 15 percent. Employees who could see plants from their desks also reported feeling more focused and more satisfied with their jobs than their colleagues in green-free offices. The effect is the result of a few different things happening at once. For one thing, plants help improve the quality of air inside a building, giving off oxygen, lowering carbon dioxide levels, and absorbing harmful chemicals. In a 2015 experiment, Harvard researchers found that employees working in green buildings well-ventilated, energy-efficient environments with low carbon dioxide did better on cognitive tasks, particularly in assignments that require strategy or crisis response. Theres also the aesthetic factor. Ruth Kjrsti Raanaas, a public-health researcher at the Norwegian University of Life Sciences, told Reuters in an article about the Experimental Psychology study that plants do not demand effort to attract our attention, meaning that just being in their presence can leave us feeling calmer and less mentally taxed. And having green stuff around just makes a place look nicer, plain and simple which, in turn, can contribute to satisfaction with the environment and well-being and a feeling of being taken care of by the leaders, she said. And some psychologists argue that the mere suggestion of nature like viewing images of trees can produce some of the same effects, a phenomenon called nearby nature. Which means, if youre lucky enough to have an office with a view of greenery, that it might be a good idea to get up from your desk every so often or climb out of your ball pit, or put down the PlayStation controller and take a look outside. Photo: Dan Kitwood/2016 Getty Images There are messy divorces, and then theres the divorce between former Pirelli model Christina Estrada and billionaire sheikh Walid Juffali. Estrada and Juffali were married for 12 years and have one daughter, but reports differ slightly as to what split them up. Estrada stated, He took both a second wife and divorced me without my knowledge, which is a pretty brutal way to dump someone. On the other hand, the Daily Beast reported, Mr. Juffali and Ms. Estrada split after Juffali married a young Lebanese TV presenter, without getting divorced first. He is permitted to take four wives under Islamic law, and made no attempt to hide the nuptials which took place in November 2012 in Venice, in front of hundreds of guests, including the rapper Akon of Dont Matter fame. Ouch. If that wasnt messy enough, Juffali became a diplomat for St. Lucia after the divorce was under way, which meant he had diplomatic immunity from testifying in his own divorce case. According to Estradas official statement to The Guardian, His use of diplomatic immunity to try and prevent me from access to a legally binding settlement set a worrying precedent. Estradas final settlement was a lump sum of 53 million, or approximately $69 million, and that doesnt even include her own assets. Her initial request included 1 million per year for clothes, plus cash to keep a home in London and a country home in Henley-on-Thames, as well as three cars in London and two in the U.S. As the ex-model declared in court, I am Christina Estrada. I was a top international model. I have lived this life. This is what I am accustomed to. It is difficult to convey the extraordinary level of luxury and opulence we were fortunate enough to enjoy. The BBC detailed just some of that opulence, and, well, who wouldnt be hard-pressed to give up private charter jets and their quaint, multilevel iceberg chalet in Gstaad, Switzerland, or 10,000 for entrance to Elton Johns annual White Tie and Tiara Ball? Including Estradas own assets, shell be taking home about 75 million from the divorce. Gretchen Carlson and Roger Ailes. Photo: Noam Galai; Getty Images; Wesley Mann/FOX Fox News host Gretchen Carlson may be the highest-profile woman to accuse Roger Ailes of sexual harassment, but she is not the first. In my 2014 biography of the Fox News chief, I included interviews with four women who told me Ailes had used his position of power to make either unwanted sexual advances or inappropriate sexual comments in the office. And it appears Carlson wont be the last, either. In recent days, more than a dozen women have contacted Carlsons New Jerseybased attorney, Nancy Erika Smith, and made detailed allegations of sexual harassment by Ailes over a 25-year period, dating back to the 1960s, when he was a producer on The Mike Douglas Show. These are women who have never told these stories until now, Smith told me. Some are in a lot of pain. Taken together, these stories portray Ailes as a boss who spoke openly of expecting women to perform sexual favors in exchange for job opportunities. He said thats how all these men in media and politics work everyones got their friend, recalled Kellie Boyle, who says Ailes propositioned her in 1989, shortly after he helped George H.W. Bush become president by serving as his chief media strategist. Six of the women agreed to speak with New York publicly for the first time. Two spoke on the record; the others requested anonymity for reasons that include shame and fear of retribution. I didnt tell my husband, it was so mortifying, said Marsha Callahan, a former model who says Ailes harassed her in the late 60s, shortly before he would become Richard Nixons media adviser. Ailes is clearly trying to keep these stories out of the press and the courts. Late on Friday, his lawyers filed a motion in federal court in New Jersey seeking to move Carlsons lawsuit to arbitration, which would prevent witnesses from being called in court. Plaintiffs ploy of filing in Superior Court to justify her shameless publicity campaign against Roger Ailes should not be countenanced, Ailess lawyers argued. Carlsons lawyers responded in a statement: Roger Ailes is trying to force this case into a secret arbitration proceeding Gretchen never agreed to arbitrate anything with Mr. Ailes. Ailess spokesperson Irena Briganti did not respond to requests for comment. (Update: Ailess outside council, Barry Asen, has now responded to the new allegations. His statement can be found at the bottom of this post.) Here are the womens accounts: Kellie Boyle, 54 Former Republican National Committee field adviser This was back in 1989. I was 29 and living in New Jersey. My husband worked at CNBC and he said, Roger Ailes is coming in to be interviewed would you like to meet him? I said yes! Id worked in political communications for the Republican National Committee, so Roger Ailes was like a god. Id read his book, You Are the Message, and I used it for a lot of training I did for candidates. I introduced myself in the green room, and he was very charming and said, Would you like to visit my office downtown sometime? A week or two later I went in and mentioned to him I was going down to D.C. the following week to sign a major contract with the National Republican Congressional Committee. He said, Im going to be in D.C. too. Would you like to have dinner before you go in? So we had a nice dinner at a restaurant in Union Station. There was nothing untoward about it at all. He had a driver and a car, and after dinner he said, Can I take you to your friends? So we get in the car and thats when he said, You know if you want to play with the big boys, you have to lay with the big boys. I was so taken aback. I said, Gosh, I didnt know that. How would that work? I was trying to kill time because I didnt know if he was going to attack me. I was just talking until I could get out of the car. He said, Thats the way it works, and he started naming other women hed had. He said thats how all these men in media and politics work everyones got their friend. I said, Would I have to be friends with anybody else? And he said, Well, you might have to give a blow job every once in a while. I told him I was going to have to think about this. He said, No, if you dont do it now, you know that means you wont. The next morning I show up to get my assignment and was told the guy I was supposed to be meeting with was unavailable. Back in New Jersey, I got a call from Roger Ailes. He said, Howd your meeting go? I said, Actually, he wasnt available and Im hoping to hear back from him. He said, Ah, well, Im sure you will. Have you changed your mind yet? I said, Ill have to pass, Roger. Im married and really committed to my husband. No offense. He said, Well, well be in touch. And that was that. A couple weeks later, I called a friend who was very high up in the RNC and I asked him what happened. He said, Word went out you werent to be hired. Marsha Callahan, 73 Former model This was either 68 or 67. At the time he was producing The Mike Douglas Show, and I had a call from my modeling agency about the show. I got a call directly from Roger asking me to come down and to make sure I wore a garter belt and stockings. This was right after pantyhose came into use, and I said, Why would you want me to do that instead of pantyhose? He said, If your legs look good in a garter belt, Ill know you have great legs. So I go into his office and right away he says, Sit on the sofa and lift your skirt up. I had to do these different poses. And then, I recall very clearly, he said hed put me on the show but I needed to go to bed with him. I was a really shy girl, but I was a little cheeky, so I said, Oh yeah, you and who else? And he said, Only me and a few of my select friends. I said, Well, if you think I have star quality and you can make money off my looks, I dont think itd matter if I went to bed with you or not. And he said, Oh, pretty girls like you are a dime a dozen. The interview ended quickly. I was called in to do the show and I remember passing Roger in the hallway. He pretended not to know who I was. Susan,* 66 Former model I was 16 years old, living in Radnor, Pennsylvania. I was sent over for a walk-on part on The Mike Douglas Show in the winter of 1967. It was 6:30 in the evening and the place was totally closing up. Ailes took me into this big office and locked the door with a key. He reclined on a couch in a seating area under a map that had flags of all the cities they were syndicated in. He proceeded to pull down his pants and very gingerly pull out his genitals and said, Kiss them. And they were red, like raw hamburger. He was pretty meticulously dressed, with long white shirttails coming out. It was like he was just at the end of a long day and I was supposed to know what to do. I was a kid Id never seen a mans privates before. I jumped up, but the door was locked and nobody was out there. He chased me around the office, and at some point it dawned on him that this just wasnt going to happen. He finally pulled up his trousers. He was very angry and rushed over to his desk, pulled open a door, and had a reel-to-reel tape recorder going. He said to me, Dont tell anybody about this. Ive got it all on tape. I think he knew I was 16. Jane,* 62 Former model/actress It was around 1984; I was about 30. I had just arrived in New York. My agent was hoping to get me into broadcasting. I had an appointment with Ailes. He had a camera set up and a little desk and a script for me. It was a cooking kind of thing, talking about food and whatnot. After he taped me, he locked the door and said he didnt want any interruptions. I figured out pretty quickly there was no job and this was just a ruse. He pulled out a garter belt and stockings and told me to put them on. I was very nervous; I didnt know what to do. He was standing there and I put them on. He wanted me to model them for him. After that, something sexual took place, but I blocked it out of my mind. I dont know if I engaged with him orally or he engaged with himself. I felt I was being used for his sexual satisfaction. I felt very threatened. He wanted me to take the lingerie home for the next time. I said, No, thank you, I dont want to keep it for the next time. I left and I knew Id never return. Through the years I felt like a horrible person because I allowed this to happen to me and I didnt just say fuck off and walk out of the room. My husband doesnt even know. Diane,* 69 Media consultant This is something Ive carried with me and havent told anybody. I was just appalled to read about Gretchens story and see how [Ailes] is behaving after 50 years. This was so long ago. I was in college doing some modeling work with an agency in the Philadelphia area. This would have been late 65 or early 66; I would have been 18 or 19 years old. A bunch of us girls at the agency were called over to audition for him for some sort of skit on The Mike Douglas Show. He had a room, and one by one he would take us behind closed doors. When my turn came I went in, and he didnt waste any time. He grabbed me and had his hands on me and he forced me to kiss him. When I recoiled he said, Well, you know no girls get a job here unless theyre cooperative. I just pushed him away and ran out of there. He was like, whatever. So, no job for me. He did hire several of the girls from the group, but I dont know what they had to do to get the job. Pat,* 65 Former TV producer It was 1975. I had a degree in mass communications. A college friend said, Come to New York. I got an interview with Roger Ailes. I remember I met him not at some big TV office it was at his apartment on Central Park South. I dont remember his exact words, but his message was: If you want to make it in New York City in the TV business, youre going to have to fuck me, and youre going to do that with anyone I tell you to. I was afraid he was going to pin me down. He was a big guy and Im not big at all. He could have overpowered me. I remember running out of that apartment like my hair was on fire and standing on the sidewalk crying, thinking, Whats that guy think I was, a prostitute? In one second, my dreams were shot. Hes going to blackball me everywhere, Ill never get another interview, Im not good enough all that stuff a 20-something girl thinks. It wasnt, That guys a son of a bitch and I should have kicked him in the balls. *Pseudonyms. Update: Roger Ailess outside counsel, Barry Asen, has released the following statement regarding these new accounts: Two people who have a genuine human connection, nothing to see here Photo: Jerad Williams/Newspix/Getty Images If youve listened closely over the past month, youve heard one word carried by the wind a persistent, haunting echo wherever you turn: Hiddleswift, Hiddleswift, Hiddleswift. Fresh off the heels of her breakup with DJ Calvin Harris, Taylor Swift was spotted making out with non-DJ Tom Hiddleston on the shores of ye olde New England. In a matter of days, Swift was meeting Hiddlestons mom in the U.K., followed by a couples vacation to Rome and the Vatican. Should those photo ops not be sufficient to broadcast their relationship, Hiddleston was also seen wearing a tank that read I [heart] T.S. Many speculated that the union was fake, one strategically created by Swifts PR team to aid the stars public image. There was, of course, a way to prove that theory wrong: by giving a quote to the press that signified a truly genuine connection between the two hot, rich, and famous people. Tom Hiddleston did not give that quote. After Swift and Hiddleston flew to Australia, a reporter caught him heading out for a jog and stopped him to ask how Swift was enjoying the Gold Coast. Hiddleston replied by stammering and saying, Im not going to answer that if thats alright. When another reporter asked him if Swift was the one, he laughed nervously for a second too long and said, Id rather just talk about my work if thats alright. Oh, Tom. Didnt you know there was no turning back after the I [heart] T.S. tank? Its all pretty awkward to watch, but nothing several hours in a room with Swifts publicist grilling him cant solve. "Would you get a tattoo for your S/O ontd ?" never, not even if we were married. a flaming hot cheetos tattoo would be kinda cute though. Reply Thread Link i'm getting a takis and arizona tea combo for my anniversary <3 Reply Parent Thread Link we'll practically match <3 Reply Parent Thread Link don't tiny, very detailed tattoos like that end up looking like serious shit later on? Reply Thread Link They will blur to some degree. I've had my finger tattoos for 5+ years and they're still very clear and clean. But at least hers is very well done. Reply Parent Thread Link I've seen dr woo's tattoo's from years ago and they still look good he's amazing though Reply Parent Thread Link Well, most people re-touch their tattoos every few years. Reply Parent Thread Link haven't heard of this. parlors usually offer a grace period right after the initial work to get it touched up but no one has ever told me "see you in five years" and i have eleven tattoos Reply Parent Thread Expand Link well, she already has lots of other shitty tattoos, so it's not like this makes a difference. Reply Thread Link lol right? i think she has an avocado one. Reply Parent Thread Link she does, you can see it in the picture right above it Reply Parent Thread Link avocados are worth committing to tbh Reply Parent Thread Expand Link lol it's a cute tattoo tbh idk i'm super into "living in the moment" so maybe i'd get a matching/similar tattoo with my s/o but never their name. i got matching tattoos with this girl from work, who i considered my best friend. we drifted recently, but the tattoo's a nice little memory of all the fun we had. Reply Thread Link I don't see the big deal with small tattoos like this as long as it's not their name so ia. Reply Parent Thread Link it can't get much dumber than that Reply Thread Link give her credit for trying Reply Parent Thread Link NOT with that icon lmao Reply Parent Thread Expand Link Milo is addictive. I could eat spoonfuls of the powder at a time. Reply Thread Link Ewwww Reply Parent Thread Link I used to put it in my smoothies but... Reply Parent Thread Link my fave: milo on toast with peanut butter lol lol Reply Parent Thread Link This is genius. Hate peanut butter but I've got some cookie butter. I'm trying this. Reply Parent Thread Link I used to do that when I was little. Reply Parent Thread Link Same Reply Parent Thread Link same, it's delicious Reply Parent Thread Link I fucking LOVE milo. More milo than milk that's the correct ratio tbh lbr Reply Parent Thread Link It's soooo good. Milo on ice cream >>>>>>>> Reply Parent Thread Link I miss drinking Milo. Reply Parent Thread Link same Reply Parent Thread Link Oh shit I used to do that with ovalitine Reply Parent Thread Link what a dumb tattoo Reply Thread Link Maybe after we had been married or living together for at least 5 years.... Reply Thread Link i mean...i've been living with my bf for three years, together for four & a half, and i still wouldn't tattoo a spicy chicken wing on myself. Reply Parent Thread Link Would you get a tattoo for your S/O ontd lololol no fucking way. Reply Thread Link I fucking live Milo. It is so hard to find good malt mixes I'm the US. I have to get the good shit at specialty stores:( Anything is better than that shitty asstudy barely malt Ovaltine they sell in stores. Reply Thread Link Yeah it really is hard to find in America. Especially in areas that do no have some sort of Caribbean community or Korean markets. Reply Parent Thread Link milo is the best drink there ever was or ever will be. i'm glad i can get my fix in LA because i'm really particular. i need that good singaporean/malaysian local recipe or bust. Reply Parent Thread Link Can someone tell me what Milo is? Where in LA do I get said milo? Is this some kind of vegemite smoothie lol Reply Parent Thread Expand Link I'm just here for the Milo mention. I loved Milo as a kid (& i still do!) Reply Thread Link same! first had it in chile so amazing. my brother and I would dump it in our milk and I loved that it never mixed properly because I could kinda eat the ones floating at the top or the chunks at the bottom lmao it was never disgustingly sweet, like hot chocolate powder or something Reply Parent Thread Link I tried Vegemite for the first time a few months back and its just the weirdest fucking thing. Did not taste what I expected it to be like, its both the worst thing but also not the worst thing. badddddddd after taste though Reply Thread Link Did you spread it yourself or did someone else do it for you? I think a lot of the issue is people putting too much on, which will definitely make it an awful experience. Reply Parent Thread Link Someone did it for me. I don't think it was too much but I can see how it would be worse if it was Reply Parent Thread Expand Link I almost had the English equivalent, Marmite, when I was in England last week. My friend suggested it to me to eat it with butter because otherwise it's got an extremely weird texture on its own, but decided that since I was getting on a plane in three or so hours that I should eat something more familiar to my stomach like a ham sandwich Edited at 2016-07-11 01:29 am (UTC) Reply Parent Thread Expand Link I've had it before and didn't like it either. It's definitely an acquired taste. Reply Parent Thread Link UGH man I love vegemite. I have a squeeze tube of it and I eat it right from the tube lmao Reply Parent Thread Link I love vegemite I could lick it off the spoon IDGAF. I guess you have to grow up with it lmao It's an Australian pantry staple. Reply Parent Thread Link It's definitely an acquired taste but once you come to love it, you won't look back. You only need a tiny bit, on toast +lots of butter. Reply Parent Thread Link vegemite is horrific Reply Thread Link Vegemite is the food of the gods! Reply Parent Thread Link Isn't the "true love / love is dead" tag for break ups? I always get confused when it's used in posts about couples who are still together. Reply Thread Link They already have 3 matching tattoos before this one. Reply Parent Thread Link She's heading down a really bad path Reply Thread Link 20+ tattoos in 4 months? yikes Reply Thread Link mte. some unresolved issues there Reply Parent Thread Link It's more than just some normal teenage rebellion. Reply Parent Thread Link So much itching! Reply Parent Thread Link Wasn't she the one with the 30+ year old boyfriend? Reply Thread Link This post reminds me of a critique of millennial culture that we won't produce any great or influential artists/art, just a bunch of tattoo artists/tattoos. Reply Thread Link ia it really millennial culture when adults were the ones trying to keep their kids away from a career path in the arts field bc we should pick something "with more stability"? everyone is to blame for this, I guess. Reply Parent Thread Link pushing your kid to do something other than art isn't new. who wants their kid to be a starving artist? Reply Parent Thread Expand Link even art school teachers try to get us not to. on my first day they pretty much told us how stupid it was to perseu art! Reply Parent Thread Link This this this Reply Parent Thread Link Poor, poor millennials. The first generation to ever been discouraged to follow their passions when it comes to the arts. Reply Parent Thread Expand Link I got two in 9 years. Reply Thread Link Slow down girl Reply Thread Link LOL Reply Parent Thread Link lmao Reply Parent Thread Link Lmao Reply Parent Thread Link gurl, you better stop getting hand tattoos, your dad left y'all in debt. You'll have to work eventually. Reply Thread Link I think it has, but supposedly MJ+ the estate owes a massive amount to the IRS and the sony deal only adds to it so they'll still probably come out with very little. Reply Parent Thread Expand Link I doubt she'll ever have to get a real~ job Reply Parent Thread Link Lmao Reply Parent Thread Link Maybe she'll suddenly become a tattoo artist Reply Parent Thread Link If he had insurance policies/investments in the names of his kids/with them as beneficiaries, it's flat out their's and isn't connected to his estate at all. That's what you do if you want your family to avoid any debt connected to your name. Reply Parent Thread Link I was scrolling through this thinking, I swear I saw a picture of her in February without any tats and then saw the OP's note at the end. 20 tattoos since April? Damn. At least she has the money for nice ones. Reply Thread Link i can't imagine ever getting a tattoo. nothing seems important enough to put on my body forever. Reply Thread Link whoops didn't mean to reply to you Reply Parent Thread Link Same here Reply Parent Thread Link Same, I just don't get it. The permanence of it freaks me out a bit. Reply Parent Thread Link yeah, I have commitment issues and it's pretty much the biggest commitment you can make Reply Parent Thread Link I'm way too indecisive to get a tattoo lol. I can't settle on one design and stick with it. Reply Parent Thread Link i change my mind too often but for years, i've been considering getting something from jack layton's letter to canadians "My friends, love is better than anger. Hope is better than fear. Optimism is better than despair. So let us be loving, hopeful and optimistic. And well change the world." but idk how i can make this work Reply Parent Thread Link you'd be surprised. i got one on my ankle when i was drunk and tbh i always forget it's even there. it's like if someone asks im like 'oh yeah, funny story about that' but other than that it's ike nbd you know Reply Parent Thread Link SAME. I have a couple designs chosen out if I ever did get a tattoo and I think about it a lot but I have this crazy fear that I would immediately regret it. As soon as I think I've committed to the idea of getting one, I think "but what if I get sick of it?" I don't think I'll ever get one. Reply Parent Thread Link the one on the inside of her upper arm must have hurt. i think i have a pretty high pain tolerance but idk if i could handle a tattoo over there (altho i wish i could bc i want a sleeve tbh) Reply Thread Link I literally got one there on saturday and it didn't hurt at all?! I'm confused because I have a really low pain tolerance but I was honestly just chatting and joking the whole time I was getting it done Reply Parent Thread Link I have one on each inner bicep, got em both at the same time and they were my first. That area bruised so much for me. Pain was fine, although on the left side I got twitchy. Reply Parent Thread Link Its so weird seeing this much of her. I grew up with those kids being so hidden but now they're on social media and all that. ~sunrise sunset~ Edited at 2016-07-11 03:02 am (UTC) Reply Thread Link I can't believe I forgot when the kids used to be veiled when in public Reply Parent Thread Link mte Reply Parent Thread Link Same Reply Parent Thread Link a Van Halen tattoo. hm. Reply Thread Link Eddie played the guitar solo on Beat It. Reply Parent Thread Link ok but Reply Parent Thread Expand Link Tattoos freak me out because it's ink injected under several layers of skin. I could never get one because I hate needles, blood, pain, and wouldn't want something permanent on my skin. Reply Thread Link I'm not good with needles however I can't see the tattoo guns needles go into my skin so it doesn't bother me blood and pain are not an issue for me either Reply Parent Thread Link it's nothing like a needle tbh, it just feels like buzzing and pressure on your skin Reply Parent Thread Link This should be my time! My degree is history with an emphasis on gender history! And yet...my mind has gone completely blank. Reply Thread Link lol its ok bb i mean i didnt read half my course books for my degree so... Reply Parent Thread Link I'm just realized I graduated almost 10 years ago (WHERE DID THE TIME GO!) Reply Parent Thread Link This is me every time someone needs a historical book suggestion. Like dammit, where is all my useless knowledge at when someone needs it?! (Also, my BA was almost 10 years ago, too. I fewl you!) Reply Parent Thread Link i just finished disappearance at devil's rock and every heart a doorway, going to start something new today but not sure what. Reply Thread Link Did you like Every Heart a Doorway? I was fairly disappointed in it. Reply Parent Thread Link yeah i liked it! i didn't know anything about it going in and was pleasantly surprised. wish it was longer but i'm excited about the prequel and sequel. Reply Parent Thread Expand Link Yay book post! I'll have to check out those titles. I just finished In The Country We Love by Diane Guerrero and it made me so sad. The immigration system in the US is so screwed up... Reply Thread Link thanks for this post!! i'm currently reading sue klebold's, mother of dylan klebold from the columbine shooting, book a mother's reckoning: living in the aftermath of tragedy. it's very well written but really heavy. short but difficult to get through. i do recommend it tho Reply Thread Link I just listened to the Last Podcast on the Left episodes about Columbine and they were really interesting, especially the stuff about how Eric Harris was sort of the mastermind and Dylan Klebold got dragged into it because he was already in a vulnerable mental state Reply Parent Thread Link I listened to her on CBC and I found it interesting, but I really didn't like how she tries to take the onus off Dylan...especially after what survivors had to say about his behaviour. Reply Parent Thread Link I read Colombine by Dave Cullen which was really interesting. Reply Parent Thread Link I have either no time or attention span for reading these days. It's really bumming me out. Reply Thread Link Same. I'm usually good for getting through several books a month, but for the past few months I haven't felt the drive to read and it's upsetting. I've probably attempted a dozen books, but once I put them down I never really bother to pick them up again. :/ Reply Parent Thread Link Same. I wanted to read at least 25 this year but I haven't even finished 2 books. It sucks. Reply Parent Thread Link I go through cycles of that. It's annoying! Reply Parent Thread Link I just finished Homecoming by Yaa Gyasi. Cried all over the book, so beautiful and heartbreaking. Highly recommend. Reply Thread Link I read that recently, so good! Reply Parent Thread Link It was so so good. I loved each and every character. I only wish that they knew who the other were in the end. So sad. Reply Parent Thread Expand Link adding that to my to read list, thanks! Reply Parent Thread Link Trying to get into Anne of Green Gables but like.......... I don't think I get what's so great about it tbh Reply Thread Link the tv movie >>> megan follows is a great anne but yea the books are kinda boring ngl, i loved them as a kid but then i read them as an adult and i was pretty over it. a wrinkle in time by madeleine l'engle had the total opposite effect on me tho, i loved it way more as an adult Reply Parent Thread Link Seconding the rec for tv movie! Oh man, I was the only kid in my class who loved A Wrinkle in Time when we read it. My brother had the rest of the series and I devoured them over the summer. I should really read it, especially before Ava DuVernay's film adaptation comes out. Reply Parent Thread Link It's so precious but there's definitely a lot of filler that I glazed over when I was young and I would expect I'd have even less patience for now. I love the books so much yet I have never managed to finish #4. Reply Parent Thread Expand Link Yeah, the books can be kinda boring, I feel like if you didn't read them as a kid you might not find it as enjoyable as an adult reading it for the first time. I highly recommend the tv movie though, maybe you might find the characters and story more likable in that format. Reply Parent Thread Link House of Leaves again. I've had it since 8th grade and I keep getting distracted :( 3rd times the charm! I got Attempting to readof Leaves again. I've had it since 8th grade and I keep getting distracted :( 3rd times the charm!I got History of the Gypsies of Eastern Europe and Russia for some reason at the used bookstore yesterday. Reply Thread Link Im trying to read it, too! It's funny, this comment after the one above, as I'm trying to read Anne of Green gables, too. Reply Parent Thread Link There's so many little details in it. Like the hidden messages in the footnotes and shit like that. Not looking forward to when the shit is written backwards. I'll need to get a travel mirror or something like that. Reply Parent Thread Link House of Leaves is the only book I decided to quit and never go back to. I hope you make it thru!! Reply Parent Thread Link I remember really loving House of Leaves and some parts were sooo scary. I didn't read any of his other stuff, though. Reply Parent Thread Expand Link House of Leaves is so overhyped imo Reply Parent Thread Link I couldn't get into House of Leaves either, but I'm going to try again at some point. Reply Parent Thread Link took me twice to get through house of leaves, but i was left feeling underwhelmed when i finished, lol. i wanna re-read it again to see if it leaves a bigger impact the second time around. Reply Parent Thread Expand Link lol @ the blue text :P love it. I enjoyed it but it took me forever to get through. I just didn't care about Johnny what's his face Reply Parent Thread Link HOL is a bit complicated, I finally finished it earlier this year after starting it in Summer of 2015. I had to read HOL for my college English class but the whole class got super behind and we had to quit it lol. Reply Parent Thread Link aw i'm reading "women, race, & class" aloud to my bf right now lol Reply Thread Link wait, why does goodreads looks like that now??? Reply Thread Link oh you can change back the layout, check the bottom of the page. I did - the new one is awful Reply Parent Thread Link that's bookriot, unless you are seeing something i'm not but goodreads looked normal when i was on it this morning Reply Parent Thread Link goodreads got a new layout, you can swap between the new and old ones but some people are getting the new one forced on them for some reason. Reply Parent Thread Expand Link noooooo it's horrible Reply Parent Thread Link Oh neat. I added a ton of books to our Prime cart for tomorrow but I may add a few of these too; I'm especially interested in Odd Girls and Twilight Lovers. I'm currently rereading The Argonauts. Reply Thread Link Definitely read Odd Girls and Twilight Lovers! It's wonderful. I'd also recommend Boots of Leather, Slippers of Gold. It's an oral history of working class lesbians in Buffalo. Reply Parent Thread Link Thank you so much! I'm looking forward to reading both Reply Parent Thread Link i'm reading black chalk, i will never finish hamilton, and i'm halfway through hamilton: the revolution. hopefully i'll get angels in america this week but i have so much i want to read Reply Thread Link i'm half way through hamilton. i'm really enjoying it though so i want to take my time with it. Reply Parent Thread Link wait which one are you halfway through? i was taking my time with the libretto one because i didn't want to rush it and i love the chernow book, i just can't get in the mood to sit with it like i could before. i'm only a few chapters into it and it's so well written but i took a 3 month break from it and i'm starting to think that was a mistake Reply Parent Thread Expand Link I loved Hamilton but it is suuuper dense, I'm a pretty quick reader but it took me a couple months to finish Reply Parent Thread Expand Link I tried reading Black Chalk (amongst the many books I start and give up on, thanks depression!). Let me know how it is! Reply Parent Thread Link I'm currently reading The Siren by Kiera Cass. I'm so close to my reading goal and I've got my next 3 books lined up. I just keep getting distracted from reading. Reply Thread Link What is The Siren about? I'm reading The Selection from her and Idk how I feel about her writing style. Reply Parent Thread Link I think it took me a little bit but I've really gotten into Kiera Cass's stuff. I read her last book in like 4 hours. I even read all the extra novellas that went with The Selection series. I was surprised I liked it. I'm only 4 pages into the book right now Kahlen is a Siren, bound to serve the Ocean by luring humans to watery graves with her voice, which is deadly to any human who hears it. Akinli is humana kind, handsome boy who's everything Kahlen ever dreamed of. Falling in love puts them both in danger... but Kahlen can't bear to stay away. Will she risk everything to follow her heart? Reply Parent Thread Expand Link also weird request ontd but if any of you know where i can get like the summer reading lists for any hs (like if you happen to be a teacher or just someone who knows if their district posts it online), i'd be interested in seeing what they have teenagers reading now. i've seen things like divergent being read/taught in classes and i wonder exactly what they're teaching with those kinds of books Reply Thread Link we've been getting people coming in to my store with lists, off the top of my head i remember: their eyes were watching god angela's ashes the kite runner a tale of two cities i am malala this star won't go out percy jackson uglies watership down things fall apart Reply Parent Thread Link & then there's the AP lit list but that's pretty easy to find since it's standard thank you! i ended up finding the one for the school district i went to hs in and some of these choices seem so wild, but i see how they chose some of the ones they did. also in case anyone else is curious, i'm looking at lists here: http://sbhslibrarywiki.wikispaces.com/Summer+Reading+2016 & then there's the AP lit list but that's pretty easy to find since it's standard Reply Parent Thread Expand Link I think collegeboard.org used to post lists. Also, that information might be available via local public school board websites. Reply Parent Thread Link I finally advanced a bit more on Infinite Jest, yet I'm still not even at 50% of it. Decided to read The Selection in order for something light due to life being shitty but it's just so terribly written that I find myself rewriting it in my mind. I'll def look thru this list, there's bound to be a good autobiography I can read for my challenge. Reply Thread Link I tend to lower my expectations of writing for young adult books so I didn't have as much of an issue with it. It's hard to find good YA writers (I know of some) but when I'm in the mood for YA, mediocre writing is better than nothing. Reply Parent Thread Link Elon Musk tweeted yesterday that he will be releasing the second part of his Top Secret Tesla Motors Master Plan soon, possibly by the end of this week. The unofficial announcement comes at an interesting time for the company and its boss. Tesla Motors is currently the target of an investigation after a fatal accident with a Tesla Model S that was allegedly caused by the cars autopilot. The car did not stop when it approached a tractor-trailer and crashed into it, killing its driver. The investigation, initially involving the Florida Highway Patrol and the National Highway Traffic Safety Administration, has now been joined by the National Transportation Safety Board. Meanwhile, a second crash involving a Tesla occurred two weeks ago in Pennsylvania, and it has also become the target of an investigation to determine whether the autopilot function was engaged at the time of the accident. Musk also announced late last month that Tesla has approached with an acquisition offer for SolarCity, one of the embattled solar power industry majors that have suffered the consequences of expanding too much too quickly and then being hit by the drop in oil prices. Tesla is offering US$2.5-3 billion for the company, but according to some analysts, this is an unjustifiably high price. Related: Has Google Overtaken Tesla In The Self-Driving Car Race? For Musk himself, the tie-up is nothing but common sense, given his companys focus on renewable energy. If the acquisition takes place, it will expand Teslas footprint in renewables into household and business energy provision a logical fit for the electric car manufacturer. Musk first unveiled his Tesla Motors Master Plan ten years ago, when he said the ultimate goal of the company is to help expedite the move from a mine-and-burn hydrocarbon economy towards a solar electric economy, which I believe to be the primary, but not exclusive, sustainable solution. The main points of this first part of the plan were to build a high-performance car, then use the proceeds from this to design equally power-efficient but more affordable cars and also get into zero-emission power generation. Its arguable how much of this has been achieved, but there has certainly been progress. Perhaps its really time for phase two. By Irina Slav for Oilprice.com More Top Reads From Oilprice.com: Markets are a little bit stressed at the moment. Last weeks digestion of Britains EU rejection included a record low print in the US 10yr yield at 1.318% opposite a near-record close in S&Ps, a $1,375 print in gold for the first time since March, a 30yr low in the GPB/USD below 1.30 and the yield on the Swiss 50yr bond turned negative. Reactions to last weeks FOMC minutes and significantly above forecast U.S. payroll gains for June were muted as investors seem completely entrenched in their expectations of central bank support from the U.S., Europe, Japan and China regardless of minor shifts in economic data. As for oil, traders also took a more gloomy interpretation of news flow, which mostly included continuations of old trends (aside from unexpectedly high Nigerian output) including onerously high gasoline and crude oil stocks and extremely poor refining margins. The most recent focus for newswires was subpar margins eating into run rates (most notably on the U.S. east coast) but wed argue that bloated product stocks in every major storage hub, weak refiner margins and refiner demand that is solid but not good enough onto clear the overhang of global crude inventories arent really news at all. Most importantly, the expected surge in gasoline demand this summer and its ensuing virtuous cycle have yet to arrive and bullish traders seem to be losing their nerve. Lost in the shuffle of last weeks selloff were the largest w/w decline in U.S. output since 2013 and an increasingly tenuous situation in Venezuela, which could remove around 250k bpd by year-end with traders focusing their attention on bearish news items. The manic macro environment and a more bearish outlook for oil have driven significant volatility for WTI over the last two weeks. In the ten trading days ending on July 7th, WTIs average daily high/low range reached $2.20 - its highest since January 2015 - while realized volatility (20-day basis) climbed to 50%. Compared to the mostly range bound implied volatility that WTI U16 options are pricing at (39%-43% last week) we continue to see good value in owning short dated put and call spreads to express directional views in the market. Wed argue that traders will continue to have to settle for singles and doubles, however, with a $45-$50 range still our most likely scenario for crude. (Click to enlarge) Weak refiner outlook pushes spreads to 5-month lows Last weeks U.S. producer data included an increase of 10 rigs to 351 (13-week high) which continues to put pressure on WTI structure despite a continued fall in production. The most recent round of EIA data put U.S. output at 8.428m bpd, which is its lowest level since May 2014 and has removed about 1.1m bpd from the market from the April 2015 peak of 9.69m bpd. Nevertheless, we continue to see a flattening rig count as having a powerful psycholigical impact on the markets ability to conceieve a sharp rally anytime in the next 12 months. Canadas output is believed to have made a full recovery back to pre-wildfire levels as of last week while the rig count north of the border increased by 5 w/w to 81. Related: Could Helium Help Canada Recover From The Oil Bust? For prompt WTI structure the strength of the rig count in addition to the aforementioned oversupply of virtually each component of the barrel have helped weaken WTI U16/Z16 from a high mark of -0.75 in May to a low of -1.85 last week. Another key component of the spreads weakness was news of refiner output cuts (highly unusual given where we are on the calendar) in the NJ/PA area due to multi-year lows in the RBOB/Brent crack, which we would only expect to accelerate going forward as more refiners use poor margins to rationalize extended shifts into fall maintenance. Spreads were also weak further back on the board with WTI Z16/Z17 closing near -3.75 Friday from a high of -0.50 in May. Overseas, news from Nigeria and Libya continued to dictate moves in Brent spreads on the way to their lowest levels since February. In Nigeria, cease fire agreements between the Nigerian government and the Niger Delta Avengers added to bearish pressure, which was interrupted by more attacks from the NDA and a labor strike. Bloomberg estimated Nigerian production at 1.53m bpd in June for an improvement of 90k bpd m/m. Libya was a key source of pressure for Brent spreads after news emerged that its Es Sider and Ras Lanuf (its 2nd and 3rd largest export facilities, respectively) will reopen in the next two weeks for the first time since 2014. In the Middle East, Saudi output for June jumped 70k bpd which was negated by an equal drop in Iraq while Iranian production was flat m/m at 3.5m bpd. Venezuelan production was also flat at 2.33m bpd and overall OPEC production at 32.88m bpd was higher by 240k bpd. The ramification of the above data for Brent structure was heavy speculative selling from trade groups and funds predicting that heightened OPEC output opposite weak demand in China and Europe could make for a very ugly end to the summer with refiners seemingly eager to cut back production. For U16/Z16 brent this meant a weekly low of -1.79 for a $1.15 loss since May 16th. Brent Z16/Z17s low of -4.40 marked a roughly $3 loss since June 8th. (Click to enlarge) Crude options move sideways opposite large underlying moves Oil markets had several sharp moves last week including a $3 drop in about 2 hours in Wednesday following DOE stats which were unable to meet the high expectations set by a bullish API report. In the ten trading days ending July 7th crude oils avg. daily high/low range was $2.20 - its highest since January 2015 and realized volatility jumped over 50% on Wednesday (up from about 19% one month ago.) Aside from some momentary bidding up of puts, however, WTIs skew remained mostly unchanged and implied volatility was unimpressed by the increasingly loud shifts in flat price. Late last week WTI U16 25 delta puts traded at 44% implied while 25 delta calls traded 37%. At the money options for WTI U16 traded at 39.7%. In our view the steep discount in implied versus realized volatility in crude options at a time of extremely high macro strain continue to make them an attractive value to express directional bets. (Click to enlarge) Fund positioning grows increasingly bearish COT data for the week ended July 5th revealed hedge funds as net sellers of NYMEX WTI for the sixth time in the last seven weeks and during that span net length has dropped by 32%. Gross length has been cut by 7% over the last two months while gross shorts have increased by 125%. In products, RBOB net length held by funds has dropped to 1,500 contracts - down from 34k in March - while net length in heating oil has jumped to 18k. On the commercial side, producer/merchants net short has decreased to 261k from as high as 295k in April. In ETFs the USO enjoyed about $70m in net inflows from June 17th through July 1st. Another mediocre DOE report sends crude oil reeling Crude oil stocks continue to decline at a reasonable pace relative to seasonal norms but refiner demand has been essentially flat y/y due to poor crack margins Gasoline, distillate gluts continue in major hubs from New York to Europe to Singapore On the supply side, U.S. crude production fell nearly 200k bpd (largest weekly decline in output since October 2013), which went largely overlooked as bearish sentiment drove the markets response U.S. crude inventories fell by 2.2m bbls w/w due to large draws in PADDs I, II and V. PADD IIs draw of 1.4m bbls was due largely to a 101k bpd drop in imports from north of the boarder. In PADD III stocks grew by 1.3m bbls following a 786k bpd increase in imports in the USGC. Overall crude oil stocks are higher y/y by 12.6% and Cushing stocks dropped by 82k bbls. Refiner inputs dipped slightly w/w, which is unimpressive given our location in the calendar. Over the last four weeks demand has averaged 16.55m bpd, which is just a 70k bpd improvement. Unsurprisingly, poor crack margins have been blamed for the tepid refiner data as WTI 321, gasoil/brent and RBOB/brent have all continued to trade at multi-year seasonal lows. Related: Why Did China Grossly Overpay For A Utility In Brazil? Gasoline data was slightly below expectations with an overall draw of 122k bbls. Unfortunately the draw did little to slow extremely bearish sentiment as PADD IBs draw still left the hub in a 23% y/y surplus. PADD I imports fell to 680k bpd but are still higher y/y by 5% over the last month. Overall mogas stocks are higher y/y by 9.6%. Domestic demand at 9.6m bpd is higher y/y by 2.5%. Prompt RBOB futures moved sharply lower this week and made a 4-month low at $1.35/gl on both Thursday and Friday to cap a 30-cent drop since June 22nd. Spread markets were equally negative with RBOB Q16/U16 moving to contract lows on Thursday at -1.70 cpg. Overall distillate stocks enjoyed a surprise draw last week and are higher y/y by 8.4%. Unfortunately, PADD IB added another 683k bbls to bring the mid Atlantic higher y/y by 23%. At least some of the build on the east coast seemed to be due to a 36k bpd increase in imports. As for demand, domestic distillate consumption fell 65k bpd to 3.93m bpd and is higher y/y by 1.5% over the last month. Exports at 1.3m bpd are higher y/y by 5.5%. Heating oil futures moved to 2-month lows this week and broke below $1.40/gl after the level had served as a solid support in June and earlier in July. Spread markets revealed expectations of more poor fundamentals ahead for distillates with Heating Oil Q16/U16 moving to contract lows before -2.50 cpg on Friday. Overseas, prompt gasoil spreads continued to crumble this week on continued oversupply. As of Friday afternoon Gasoil Q16/U16 trade to -$7/t for a new contract low following larger than expected inventory builds in both Amsterdam /Rotterdam / Antwerp and Singapore. (Click to enlarge) By SCS Commodities More Top Reads From Oilprice.com: Whatever some say about the global oil glut easing, theres still ample demand for floating storage, and Iran is in on the deal. A source from the National Iranian Oil Tanker Company said today the firm has leased five vessels to international oil companies. Ali Akbar Akhund Kazami added that continuous negotiations are held between NIOTC and various companies though domestic needs remain as top priority. Iranian vessels will sail to Europe and Asia, even though there are still some issues around the leasing of tankers that are being addressed at the moment. These include insurance of the vessels and the cargo from international insurers, certification from classification organizations, the approval of global oil companies, and NIOTC staff training to be able to respond to the higher demand for Iranian tankers. Kazemi, who is the head of NIOTCs rental office, was also quoted by Mehr news agency as saying the market at the moment was particularly favorable because of the growing demand for crude from Indian and Chinese refiners. He added that international oil companies are willing to resume cooperation with NIOTC. Iran has been eager to get back on the international oil stage after economic sanctions imposed on the country because of its nuclear program were lifted early this year. Production has been ramped up significantly, reaching 3.6 million barrels last month. Exports are also on a steady rise, doubling between January and June. Iran is also working to attract international oil majors to its oilfields, its leading move in this direction being the devising of a new petroleum contract (IPC), to replace the old buyback scheme that saw foreign operators leave the country with a sigh or relief after barely meeting the breakeven point or even posting losses. The last update in this respect is that the first IPCs should be signed within three to four months. By Irina Slav For Oilprice.com More Top Reads From Oilprice.com: PDVSA of Venezuela has drastically cut the quantity of oil exported to Cuba in what may signal a possible shift in the Latin American states energy diplomacy. According to a Reuters report published on 8 June, PDVSA sent approximately 53,500 barrels of crude per day to Cuba in 2016. This represents a 40 percent drop in crude received by the island in comparison to the first six months of 2015. Venezuela has partially offset the smaller crude shipments to Cuba by boosting exports of refined products including fuel oil, diesel and liquefied petroleum gas (LPG). Nevertheless, total shipments to Cuba (including crude and products) in the first half of this year have declined by 19.5 percent to 83,130 barrels per day. Cuba has received oil with preferable conditions from Venezuela as part of the eleven-year-old Petrocaribe alliance of fifteen countries, most of which are located in the Caribbean. Some 4 percent of Venezuelan oil exports are sent to Cuba and the country has largely been spared of the aftermath from PDVSA's growing cash flow problems, which already undermined oil supplies to countries in the region, including Petrocaribe members Jamaica and the Dominican Republic. The Venezuelan oil industry has been hampered by several factors such as the low price of crude, strong reliance on a weakened power grid system and a lengthy drought. Despite a decline in production, Venezuelan President Nicolas Maduro on 22 May reaffirmed his countrys commitment to Petrocaribe. Related: Has Google Overtaken Tesla In The Self-Driving Car Race? In today's world, there are huge economic threats against us and we might feel that uncertainty could prevail. However, we are fully convinced that in the last 10 years, PetroCaribe has clearly demonstrated that it is only together that we can achieve development and happiness for our people, Maduro said at the time. Venezuelas oil woes have also affected relations with neighboring Colombia after the Maduro regime last August ordered the closing of the border. The government claimed that the move was needed to combat crime and smuggling in the border region. Yet the measure halted the flow of basic goods and medicine to a Venezuelan state facing shortages and long lines at supermarkets. An estimated 35,000 people flocked into the Colombian city of Cucuta on 10 June after the border was reopened during a twelve-hour period. Supermarket and store shelves where emptied by the mid-morning hours, as reported by PressTV. By Erwin Cifuentes for Oilprice.com More Top Reads From Oilprice.com: The Zoological Society of Milwaukee is excited to announce its new president and CEO, Jodi Gibson. Born and raised in Milwaukee, Gibson has spent the majority of her career outside of Wisconsin and is thrilled to be home. "Gibson steps into her new role with a unique approach as she has held senior leadership positions in both the nonprofit and corporate sectors," says Caroline Krider, Zoological Society of Milwaukee board chair. Gibson has proven results in implementing strategic campaigns and global initiatives, having led fundraising activities for Feeding America, the countrys largest hunger-relief charity. In addition, she served as the vice president of corporate social responsibility with two Fortune 200 companies JCPenney and, most recently, Kellogg Company. "Her extensive experience and hands-on style will greatly benefit the Zoological Society of Milwaukee in its mission to conserve wildlife and endangered species, educate people about the importance of wildlife and the environment, and support the Milwaukee County Zoo," Krider says. Gibson says, "I am honored and excited to join the Zoological Society of Milwaukee. Like many people in Milwaukee, I have fond memories of attending the Zoo as a child. Today, as an adult, I look at the Zoo a little differently. I see not only an amazing educational institution but also an important cultural attraction that contributes to the economic vitality of our city. Working together, the Zoological Society of Milwaukee and the Milwaukee County Zoo have created an anchor institution in our community that makes Milwaukee a great place to live, work and play." She shares the Societys passion for nature and all animals, and is greatly inspired by its conservation initiatives and the comprehensive animal collection at the Zoo. Gibson says, "I am in awe of what I am able to experience every day while working onsite at the Zoo. It always makes me smile to see Boris, the brown bear, relaxing in his hammock!" Reprinted from Truthdig Truthdig Editor in Chief Robert Scheer, in the immediate aftermath of FBI Director James Comey's announcement that the bureau would not seek an indictment against Hillary Clinton for her misuse of and failure to secure classified information, asked me to write about the decision. I said that I would but found that I was so angry about the Justice Department's hypocrisy that I just couldn't put pen to paper until I had cooled off for a few days. I was further angered by what I heard in the nationally televised Comey congressional hearings two days after the announcement, from both Democrats and Republicans. I've not yet cooled off, but I feel as though my anger is focused enough to offer a few thoughts. First, I want to be clear that I'm not angry at Hillary Clinton personally. I don't like Hillary. I don't support her. I don't trust her. I don't think she would be a good or trustworthy president. But that's not the issue here. The issue is the inherent unfairness in the system. I was arrested in January 2012 and charged with five felonies, including three counts of espionage, one count of violating the Intelligence Identities Protection Act, and one count of making a false statement. The espionage charges stemmed from conversations I had had with reporters from The New York Times and ABC News about the CIA's torture program. Four of those five charges eventually were dropped. For the record, I had not made a false statement. That's what is called a "throwaway" charge that the Justice Department files and then offers to bargain away. And I hadn't committed espionage, either. I did plead guilty to confirming the name of a former CIA colleague to a reporter -- who never made the name public. I did it. I admit it. And I paid a price for it. That said, providing or confirming the names of former or current CIA officers happens all the time and is almost never prosecuted. Former Deputy Secretary of State Richard Armitage outed CIA officer Valerie Plame and was never prosecuted for it. Former CIA Director David Petraeus revealed the names of at least 10 CIA officers to his mistress, lied to the FBI about doing it and still was never charged. Former CIA Director Leon Panetta exposed the name of the Navy SEAL who killed Osama bin Laden, and Panetta was not charged. The Justice Department calls that "prosecutorial discretion." I call it an iron fist that came down on my head because I exposed the torture program while those who were politically connected or were friends of the president got a pass on their misdeeds. Several members of Congress this week raised my case and stated -- as if they had any idea what they were talking about -- that I had had "criminal intent." That's bull. I never had criminal intent. But I still never had a chance. Here's why: In my very first hearing in the federal Eastern District of Virginia, my judge said that she would not respect precedent as it related to having criminal intent in a national security case. In plain English, she didn't care one whit if there was intent or not. Either I did it or I didn't. If I did it, I should be punished. At the same time, the Justice Department came up with the novel idea that I had exposed the name and spoken to reporters because I was trying to build a consulting business and sell books. In fact, my book wasn't written at the time, and it didn't come out until two years later. Furthermore, all the prosecutors had to do was look at my bank account, which I'm sure they did, to see that I was broke. I had no consulting business. It was a lie that was somehow treated as fact because it had been spoken by the Justice Department. But that didn't make it true. When I finally stood to be formally sentenced in January 2013, the judge asked me if I had revealed the name on purpose. Next Page 1 | 2 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). Over and over again, those of us who keep watch over the world from unofficial cathedra in the belly of the beast, wonder where it all will end, knowing our voices are heard by too few to change the drift toward war and/or climate catastrophe. As the NATO Summit met in Warsaw we were haunted by the nineteen-thirties, realizing that for all our 'freedoms' -- and even our alternative press, like the Germans of that time, we are powerless. Today it is Americans who bear the heaviest responsibility for what is happening in the world, yet increasingly, the system of government we would foist upon others degenerates from a laughing stock to a disaster. Later this month, Hillary will become the Democratic Candidate at a Convention in Philadelphia, the city in which the Declaration of Independence was signed, and shortly thereafter, Donald Trump will be anointed as the Republican candidate in Cleveland, home of a once famous automobile industry. Hillary risks indictment for having an email server in her basement, while Donald Trump flirts with White supremacists. Yet in the last few days, events have taken uncertainty to a new level. After two black men were shot by white police officers in different places for no reason, a lone shooter in yet another city killed five of their colleagues. In a hideous close-up, Donald Trump declared that the police stand between civilization and "complete chaos". Yet many of his followers own guns and some have announced they plan to appear at the convention carrying them. Hillary will perhaps not be indicted, however it is extremely likely that Donald Trump will make new converts after the damning testimony by the head of the FBI concerning her 'carelessness' in leaving classified information open to discovery by the entire world. The Republican Convention precedes the Democratic one by just a few days, and although they will be held in separate cities, both could be disrupted, Trump's by Black Lives Matter activists confronting armed militias, Hillary's by Bernie supporters. We haven't seen 'long, not summers' since the race riots of the sixties, but these will probably be seen as piddling compared to what could happen during the last ten days of Independence month. To prosecute Tony Blair or George W. Bush or others responsible for the criminal attack on Iraq, or other top officials for other recent wars, does not require the International Criminal Court (ICC). It is commonplace to insist that the ICC cannot handle the supreme crime of aggression, although it might at some point in the future. The United States is also believed to be immune from prosecution as a non-ICC member. But this focus on the ICC is a sign of weakness in a global movement for justice that has other tools readily available. When the losers of World War II were prosecuted, there was no ICC. The ICC's existence does not impede anything that was done in Nuremberg or Tokyo, where the crime of making war was prosecuted by the victors of World War II under the Kellogg-Briand Pact. Nor does the existence of the UN Charter throw up any obstacles. The invasion of Iraq (and every other recent Western war) was just as illegal under the UN Charter as under Kellogg-Briand. Nor does one have to go back to Nuremberg for a precedent. The special tribunals set up for Yugoslavia and Rwanda prosecuted the waging of war under the name of "genocide." The notion that the West cannot commit genocide (anymore) is pure prejudice. The scale and type of killing unleashed on Iraqis by the 2003 coalition perfectly fits the definition of genocide as routinely applied to non-Westerners. The special tribunal on Rwanda is also a model for addressing the lies and propaganda that are such a focus of the Chilcot Report. As at Nuremberg, the propagandists were prosecuted in Rwanda. While Fox News executives should certainly be prosecuted for sexual harassment where merited, in a fair world in which the rule of law were applied equally, they would face additional charges as well. War propaganda is as illegal under the International Covenant on Civil and Political Rights as war was under Kellogg-Briand. What we are lacking is not the legal ability to prosecute, but the will power and the democratic control of institutions. In war or genocide, as with torture and other atrocities constituting "the evil of the whole," we are dealing with crimes that can be prosecuted in any court under universal jurisdiction. The possibility that U.S. or UK courts are going to handle this matter themselves has long since been ruled out, freeing the courts of any other nation to act. Now, I'm not against prosecuting Blair before Bush. And I'm not against prosecuting Blair for minor components of his crime before the entirety. But if we wanted to end war, we would pursue those lesser measures with an openly expressed understanding of what is actually possible if only we had the will. When France, Russia, China, Germany, Chile, and so many others stood against the crime of attacking Iraq, they acknowledged the responsibility they have shunned ever since of seeking prosecution. Do they fear the precedent? Do they prefer that war not be prosecutable because of their own wars? Imagine how shortsighted that would be, and how ignorant of the damage they do to the world by allowing the truly monstrous warmakers to walk free. My headline above is a plain English translation of this Pentagonspeak found in a Reuters headline today: "Demand for U.S. arms exports set to keep growing, official says." As the United States and NATO antagonize Russia, and pressure NATO members to buy more weapons, and showcase U.S. weapons in numerous wars, and use every carrot and stick in the State Department to market U.S. weapons, an "official" who happens to have been located at a giant weapons trade show predicts that of its own accord "demand" for weaponry is going to grow. Here's Reuters' first sentence: "International demand for U.S. weapons systems is expected to continue growing in coming years, a senior U.S. Air Force official said on Sunday, citing strong interest in unmanned systems, munitions and fighter jets." Thus is the proliferation of drones around the world spun as something positive, along with bombs and jets. And thus is it spun as something that simply results from the quality and desirability of the products. Quick, which five nations do you most want murdering their enemies with missiles from drones over the United States? Meanwhile, the United States already exports the majority of weapons exported on earth, including the majority of weapons to war-torn regions like the Middle East that don't manufacture their own weapons but rather suffer from their import as did Native Americans from alcohol or Chinese from opium. U.S. citizens content themselves with fantasizing that the war business is patriotic, while their nations' killers battle against U.S. weapons sold by profiteers who have only customers, no enemies. "'The appetite just keeps getting bigger and bigger,' U.S. Air Force Deputy Undersecretary Heidi Grant told Reuters in an interview on the eve of the Farnborough International Airshow. U.S. arms sales approved by the Pentagon's Defense Security Cooperation Agency rose 36 percent to $46.6 billion in the fiscal year ended Sept. 30, 2015, and are likely to remain strong this year, Grant said." "There are good-hearted people," wrote Jan Oberg last week, "who believe that countries have competent experts who along a series of indicators measure and judge which security challenge are waiting in the future -- and a series analyses of the threat towards their country on this or that time horizon. The probability of each threat is also evaluated -- to help politicians with limited budgets to allocate money to guard against some 'realistic' but not all possible/thinkable threats." In reality, Oberg explains, the war business generates sales and invents justifications for them. Which came first, the enemy or the bombs? The bombs. Listen to this, from Reuters: "Grant, the Air Force's top international arms sales official, said she was working with many countries in eastern Europe and others that wanted to increase their defenses following Russia's annexation of the Crimea region of Ukraine, but faced tough budget constraints." Now there's not actually any secret around the facts here. The United States, led by Victoria Nuland, who awaits a top appointment in a Hillary Clinton regime, facilitated a coup in Ukraine, installing an anti-Russian government. Then the people of Crimea voted to join Russia. Then the United States began pushing weapons on Eastern European countries as necessary to defend against such "Russian aggression." Then NATO had a meeting this past weekend to plan for war with Russia. Each of those events has a date, and their order is not in any dispute. Back in May the Politico newspaper reported on Pentagon testimony in Congress to the effect that Russia had a superior and threatening military, but followed that with this: "'This is the "Chicken-Little, sky-is-falling" set in the Army,' the senior Pentagon officer said. 'These guys want us to believe the Russians are 10 feet tall. There's a simpler explanation: The Army is looking for a purpose, and a bigger chunk of the budget. And the best way to get that is to paint the Russians as being able to land in our rear and on both of our flanks at the same time. What a crock." Politico then cited a less-than-credible "study" of Russian military superiority and aggression and added: "While the reporting about the Army study made headlines in the major media, a large number in the military's influential retired community, including former senior Army officers, rolled their eyes. 'That's news to me,' one of these highly respected officers told me. 'Swarms of unmanned aerial vehicles? Surprisingly lethal tanks? How come this is the first we've heard of it?" But what better to scare Poland with than swarms of Russian drones, real or otherwise? Go back to the fact that the U.S. Air Force has a "top international arms sales official." What purpose does that individual serve under the U.S. Constitution? Reprinted from Paul Craig Roberts Website Police Brutality a Common Occurrence (Image by americanfreepress.net) Details DMCA Is the Dallas police shooting a false flag affair in behalf of gun control? Is it the result of a war veteran suffering from post traumatic stress disorder? Is the shooting the beginning of retribution for thousands of wanton police murders of US citizens in the 21st century? Or is there some other explanation? We will never know. The perpetrator is dead. The authorities will tell us whatever suits the purposes of authority. We could say that the police have brought this on themselves by their undisciplined and violent behavior toward the public. On the other hand, we can hold the police chiefs, the police unions, the mayors and city councils, the governors, prosecutors, and the Justice (sic) Department responsible for failure to hold accountable those cops who murder and commit gratuitous violence against the public. When police execute someone, the excuse is always something like this: "He reached under his shirt to his waistband. I thought he had a gun. I didn't want to leave my children fatherless and my wife a widow." The murdered victim's wife and children, if any, are of no consequence. Conservatives, especially those taught to be fearful of crime, have scant objection to police murders. Their view is always: "The police wouldn't have shot him without cause." The same bias in favor of the police is why conservative jurors always convict. The liberals tend to interpret the shootings as racism, so they want to combat racism. The real problem is that public authorities do not protect the public from gratuitous violence. Therefore, hatred and disrespect for the police are growing. Routine murders by police -- several each day, almost all of which go unpunished -- are generating the kind of anger that causes people to snap and to reply to violence with violence. If the criminal justice system applied also to the police, the police would think twice before they wantonly murder. Being a police officer is not supposed to be risk free. A police officer should be concerned about the public, not merely his own family. We cannot accept gratuitous police violence on the grounds that the officer's behavior is dictated by his concerns for his own family. If an officer cannot accept the risks of being a police officer, he should find a different occupation. Police violence is out of control because mayors, prosecutors, and governors are failing the public by refusing to put a halt to it. According to conservatives, punishment deters crime, but they do not apply this to the police. Police violence is also out of control because of the revolution in police training which teaches police to protect themselves and to subdue the suspect regardless of cost. A number of former police officers have written to me that the reason they gave up the occupation is that today police are being trained to be killers like soldiers. If a former police officer or someone knowledgeable about this training would describe it and its history, where it originated and why, I will post it on the website. What do you wish you could tell young people who are considering applying for college? Marc L. Harding, University of Pittsburgh If you know what you want to study in college, great and if you dont, please know youre in the majority. This is the time to explore. Do you want to improve global health, which seems like pre-med, but maybe its another health science major? Do you want to start your own company and need the business background, but cant determine which business major to choose? Each year we admit a large number of students who are undecided, and thats not only acceptable, but incredible. Pitt is a perfect mix of many opportunities within a supportive community to help you move from undecided to totally committed to your future. So embrace the unknown and find a college that feels like it will embrace you. Cornell B. LaSane II, Allegheny College The college process evokes various emotions, but regardless of how youre feeling, there are likely other students who feel the same. Take ownership, but utilize your resources. Along with family, there are excellent college counselors and admission representatives available to help guide you. Having the privilege to spend four years immersed in the richness of academia, coupled with the opportunity for many new and exciting experiences, is a true gift. It begins with an important decision. Choose a college with your mind as well as your heart. Embark on this new chapter with integrity and curiosity. Be engaged, stay true to who you are, follow your instincts and remain confident in your abilities. You bring something to the table that no one else can you. Amy Becher, Chatham University I would encourage students to visit and apply to different types of schools, as its important to test assumptions about what you think you will like in a college. On your visits, you can experience a rural vs. an urban school, a public vs. a private college or a small vs. a large university. Doing so helps students find the right college, and it is often not necessarily the school they assumed it would be. Those who have experienced a variety of schools in their search also tend to consider a club, activity or class that wasnt on their radar before. This leads to a richer and more fulfilling collegiate experience; and it begins with a willingness to keep an open mind during the college search. Carol A. Descak, Carlow University First, determine what youre really looking for in a college. Start by scouring the Web. Then, visit campus. Its the best way to determine if a school is a good fit. Talk to students and alumni. Investigate outcomes: What happens after college graduation? Are many students in graduate school? Are they working within their chosen professions? Heres an important point: dont judge the affordability of a college based on published sticker price. The most expensive option might end up being the most affordable, once you factor in grants, scholarships, loans and work study. Above all, keep an eye on deadlines. Missing just one can impact financial aid, housing, even course registration. And finally, have fun. The college search is a memorable, exciting experience. Good luck! You bring something to the table that no one else can you. Cornell B. LeSane II Robert A. Adkins, Washington & Jefferson College Instead of approaching the process with the fear of rejection hanging over every application, remember to look at how we all refer to ourselves Across the board, big institutions or small, private or public, parochial or secular, we are universally called admission offices. Our very name implies that we are looking for ways to admit students to our institutions. Sure, most colleges have to deny some students, but every student finds a home, even if it is not their dream school. Instead of fear, families should openly embrace the opportunity that each application, and college, brings. Be yourself, dont worry and let the chips fall where they may. You will find the right place for you. Stephen Neitz, Saint Vincent College Enjoy the opportunity to explore your future. Have fun with the discovery, application and decision of your college choice. This is a wonderful time to consider your strengths, your dreams and the type of community that youd like to be a part of in your future. As you explore, use your head to determine the fit of characteristics you desire and your heart to determine the feel of the place thats right for you. Your college search will be a terrific opportunity to partner with your parents, to use their wisdom and guidance to consider your choices. Begin the process early and be open to changing what you think is important as you learn more about yourself, your options and what colleges have to offer you. Shari Payne, Waynesburg University I really think its important for students to choose a college or university where they feel most comfortable. Of course, they should make sure that the school offers the right academic fit and economic value, as well. But, ultimately, many students are going to be living on a campus for four years. So, its very important that they can see themselves fitting into their surroundings. And, you can only get a sense of that belonging by making a visit to campus and by meeting with current students, faculty and staff. I highly recommend that prospective students take advantage of campus admissions events to help them get a sense of whether a college or university feels like the right fit. Sarah E. Gibbs, Grove City College Ask yourself the question that most students do notam I ready for college? If the answer is no, then ask yourself what you need to do to be ready, and work towards that goal. When looking at applicants, most private colleges will look holistically at the student; taking into consideration their commitment, dedication and character. These attributes along with their academic performance and specific major pursuit indicate whether a student may succeed at a campus. Also, make sure you participate in any interview process through an admissions office. Interviewing with an Admission Counselor allows him/her to get to know you and become your advocate. Ultimately, the sooner you start planning, the better prepared you are for the journey you are about to take. Not only will you be prepared for the college planning process, you will be well equipped for attending college and achieving your goals and dreams for a successful future. There are 4,726 colleges and universities in the U.S., and the easiest way to narrow your search is by ranking your priorities. Terrance Kizina Terrance Kizina, La Roche College When selecting a college, the most important question to ask yourself is: What is best for you as a student? In other words, choose a school that aligns with your personality, interests and academic or career goals. There are 4,726 colleges and universities in the U.S., and the easiest way to narrow your search is by ranking your priorities. Consider whether you prefer a small or large campus, a private or public school, an urban or suburban environment, and how far you want to be from home. The best fit may be a school you never heard of, or it could be right in your hometown. Visit each college more than once to determine whether you can imagine yourself as a student on that campus. Joell Minford, Point Park University Im a big booster of visiting a school in session, when students are out and about. If they visit in the summer, or over a holiday, they dont get a good sense of what the campus community is like. And thats especially true at Point Park. Being located in the heart of Pittsburghs downtown, our campus is a microcosm of the city itselfso not only will they see students, but also working professionals, tourists, downtown residents, even children, in and around our campus. Its that inclusive mix of people that adds to the academic experience. By choosing to attend a school in the heart of a city, students are open to a rich and transformative experience, one that can impact their lives for years to come. Wendy Beckemeyer, Robert Morris University Be aware of what interests you. Choose a college that is as interested in you as you are in it. The courses you take, grades you earn and high school standardized test scores are important factors in admissions. They have an impact on whether you will get into the school you want. College provides an opportunity to develop strong connections to faculty, friends and potential employers. Its not just collecting a diploma that matters, but how you spend your time in school. The Gallup Purdue Index tells us that students who are very involved in extracurricular activities and have good mentors enjoy more professional and personal success after graduation. Bottom line: Pay attention to deadlines, dont get deterred by sticker price and choose the college where you feel the fit is the strongest. Job opportunities come to those who make the most of their college experience. Stephen Lee, West Virginia University At West Virginia University, we have a message for high school students who want to attend college: Dream Big. And, its never too early to start. The college search process can be challenging to navigate, so try not to stress. Embrace the experience. Choose a college with the major(s) you are passionate abouteven if it doesnt align with the highest of salaries. Dont get hung up on standardized test scores; they are not the sole barometer for success in college or in life. We look at grade-point averages, strength of coursework and extracurricular activities. Explore all scholarship, financial aid and loan opportunities to make sure the cost of tuition, books, housing and meal expenses are factored into your college plan. As you narrow your college choices, visit the campuses to get a feel for the people and out-ofclass experiences. Ask questions, trust your instincts and youll find the right fit. Michael Steidel, Carnegie Mellon University The most important thing to start with is you. Do a personal inventory of your interests, strengths and talents. What do you enjoy? What do you dream about? Youve got to know your soul before racing down the trail of submitting college applications. Otherwise, finding the right college will be much more elusive than it ought to be. The wonderful thing about American higher education is that there is a tremendous amount of choices in colleges and universities. Not all of them will line up with your interests and talents, but the process of choosing the right college is all about knowing yourself and then finding the right environment that will help you thrive by developing the best of your abilities. Michael May, Slippery Rock University My advice to young people who are applying to college is, Dont be afraid to step outside of your comfort zone. Challenge yourself with new and different classes. Meet new people by living in the residence halls and joining extracurricular organizations. Find a school that offers programs of study and activities that are unfamiliar to youthat way your college experience will be full of exploration. Jump in headfirst and dont get caught with a case of the wish-I-wouldas as in I wish I would have done this, and I wish I would have done that. By challenging yourself, youll accomplish things youve never thought possible and broaden your horizons to places you cant imagine now. Job opportunities come to those who make the most of their college experience. Wendy Beckemeyer Dave Layton, Geneva College If I could tell young people anything, I would say: Know your reasons for identifying a college, and then stay true to those reasons when making your final selection. Students, most often, know what they want in a college when they set out on their search. The criteria they use at the beginning is the result of lots of conversations and feedback from family, friends and other important influencers and much consideration. But often students abandon what is most important to them in the final selection. This can be driven by moneyeither a sweet deal from a college or search for the least expensive choice. But it can be the wrong choice. Be true to the things that were most important at the beginning, and work closely with the college that best meets the original criteria. Brett Freshour, Seton Hill University Visiting college campuses makes all the difference in helping students determine the school that best fits their needs. At Seton Hill University, we ensure prospective students speak with admissions counselors, but also with faculty and current students in order to help them achieve a full understanding of the Seton Hill experience. They are encouraged to ask questions about majors, internships, study abroad opportunities and the success of our graduates. At Seton Hill, professional programs in the health sciences, business and education are bolstered by the liberal arts. Students complete internships, often with assistance from alumni, as part of graduation requirements, and we offer numerous study abroad opportunities. With a 97 percent career and graduate school placement rate, Seton Hill is preparing students well for life after college. Mary Lou Kennedy, Community College of Allegheny County Young people applying to college should Debra Zugates seriously consider the financial commitment they are makingbetween tuition and fees, housing and a meal plan, if applicable, and booksit all adds up. Choose wisely, and dont create a mountain of debt for yourself and your family. Many students dont realize how much they can save by starting at a community college. In fact, CCAC students save $23,000 over public and $54,000 over private colleges and universities by spending their first two years at CCAC. Another way to save money and time toward completing your degree is to properly prepare for placement testing by brushing up on your math skills and being in the mindset to take a test. Also, take the time to carefully read all of the material sent by the college to avoid missing important deadlines. Debra Zugates, Duquesne University Find the right fit! Many of our students indicate that academic programs, location including access to internships and recreationand academic reputation are important to them. Determine whats most important to you. Tour the campus, talk to professors and students, and get a feel for the campus community. Keep in mind that one school is not necessarily better than another. Its more about that feeling of being the right fit. College is an investment of time and money that pays dividends for a lifetime. Its a place where youll go to launch your career or build a foundation for graduate or professional school and make lasting friendships. Dustin Jarrett, Wheeling Jesuit University For students who are preparing for higher education, my advice is simple: Consider your happiness when choosing a school. So many times I see students select a college just because its the cheapest or because its the closest to home, yet the student doesnt fully take his or her happiness into consideration. What can happen then is the student goes somewhere and isnt happy. This can cause their grades to suffer and they struggle to do well. They end up losing financial aid. In the long run, a smarter investment up frontchoose a school where you are truly happypays dividends in the long run. A Chinese UN peacekeeper receives treatment after being injured when his vehicle was hit by mortar fire on Sunday in Juba, South Sudan, in this screen shot from CCTV. China has strongly condemned the attack in South Sudan's capital on Sunday that killed two Chinese peacekeepers and wounded five others, and it called on rival factions in the country to cease fire immediately. An armored vehicle of the Chinese peacekeeping troops was hit by stray artillery fire in the camp of the headquarters of the United Nations mission in South Sudan at about 6:40 pm local time on Sunday, Foreign Ministry spokesman Lu Kang said on Monday. Two Chinese soldiers, identified as Li Lei and Yang Shupeng, were killed and five others were injured, China Central Television reported. This is the second deadly incident recently involving Chinese peacekeepers. On June 1, a terror attack in Mali killed a Chinese peacekeeper and injured four others. China is "deeply shocked" by and "strongly condemns" the attack in South Sudan, Foreign Ministry spokeman Lu said. The Chinese embassy in South Sudan was doing its best to coordinate the rescue of injured peacekeepers, and China has asked the South Sudan government to thoroughly investigate the incident and severely punish those responsible, said Lu. Lu said China's Foreign Ministry had an emergency meeting with South Sudan's ambassador to China, and the Chinese embassy in South Sudan met with officials of the South Sudan government. They asked the South Sudan government to take measures to protect the security and property of Chinese in the country, Lu said. Armed conflicts between the South Sudan president's forces and its vice-president's forces resumed on Sunday in Juba, the country's capital, where the UN mission in South Sudan has its headquarters. The fighting erupted on Thursday and broke out again on Friday, Reuters reported. "China is deeply concerned that large-scale armed conflicts have broken out in South Sudan and its peace process is facing setbacks," Lu said. He added that China is calling for the rival factions to immediately cease fire, stop the violence and take effective measures to implement the peace deal. China will consider measures, including evacuation operations, to protect Chinese citizens who are in South Sudan, he said. The Foreign Ministry has asked the Chinese embassy in South Sudan to initiate an emergency response, and it has helped Chinese companies and Chinese people in South Sudan enhance their security, Lu added. The Foreign Ministry also has issued an alert for Chinese not to go to South Sudan, and it said those already there should be very cautious, he said. He Wenping, a researcher at the Institute of West-Asian and African Studies of the Chinese Academy of Social Sciences, said, "The deeper China takes part in the UN peacekeeping, the larger security risks there will be for Chinese peacekeeping troops." She added that the international community, including African countries, has been calling for China to get more involved in peacekeeping in Africa. FORT EDWARD A Fort Edward man who was arrested earlier this year for selling heroin was sentenced Friday in Washington County Court to 5 years in state prison. Matthew W. Phillips, 27, pleaded guilty to third-degree criminal sale of a controlled substance, a felony, for a heroin sale earlier this year in the Fort Edward area. Washington County Judge Kelly McKeighan sentenced him to 5 years in state prison, to be followed by 2 years on parole, and directed he make $200 restitution for the money used by police to purchase heroin from him. The arrest was Phillips' second in a felony drug case in 5 years. He was also charged with a felony drug count in 2011 for possessing cocaine with intent to sell in Hudson Falls. GLENS FALLS Records of cellphone calls between Glens Falls murder victim Kevin J. Jenks and at least one of the men who has been accused of killing him helped point police toward the two suspects in Jenks death, officials said. Police were also assisted by computerized license plate readers on patrol cars that helped officers locate the Cadillac sedan stolen from Jenks home shortly after his death, authorities said. Jenks car was equipped with a satellite location service that had been disabled. But police said patrol cars equipped with license plate readers store data about vehicle plates that pass them. That data helped investigators locate the car in a Wal-Mart parking lot in central New York. For some reason, suspects Robert M. Henry, 45, and Kevin S. Chapman, 49, stole Jenks vehicle, even though they had driven from the motel where they lived in Ilion to Glens Falls to steal valuables from Jenks. Henry knew Jenks and had arranged to meet him at his home Wednesday night to hang out, police said. Cellphone records helped provide investigators with the leads that pointed them to central New York. Cellphone providers were able to pinpoint locations of at least one phone. Glens Falls Police Chief Tony Lydon acknowledged Monday that Jenks knew one of the suspects, but would not say how. We do not believe this was a random act, he said. Jenks, 58, lived on Dix Avenue. He was choked to death late Wednesday, although by which suspect remains to be determined, police said. The two men have pointed fingers at each other for the assault that led to Jenks death, but investigators believe Chapman likely choked Jenks. Both men admitted to police that they were at Jenks home. Both men have cooperated with us so far, Lydon said. Jenks was found dead by his daughter in his home on Thursday afternoon, and police did not initially conclude the death was suspicious because there were no obvious injuries. An autopsy conducted Friday, however, led to the determination he had been choked to death. Police said the men stole jewelry, money, credit cards and electronics, some of which were recovered. There were no indications either man had a drug habit that would have motivated the theft, Lydon said. Henry and Chapman both have long criminal histories that include multiple theft-related felony convictions, and lived in the same motel, Red Carpet Inn in Ilion. Henry was charged with second-degree murder and first-degree robbery, while Chapman was charged with second-degree murder and first-degree burglary. Chapman was charged with burglary because he did not have permission to be in Jenks home, while Henry did. Lydon said the investigation, which involved State Police from the Glens Falls area, the Major Crimes Unit and central New York, was ongoing Monday. Looking down At Jenks home on Monday morning, neighbor Teri McIntosh and her teen grandson, Tyrae McIntosh, were doing yard work that Tyrae had been hired to do by Jenks. She said her grandson knew he wouldnt be paid, but believed it was the right thing to do for his friend. He wanted Kevin to see that it was being taken care of when he is looking down, Teri McIntosh said. Tyrae fought back tears as he pulled weeds and his grandmother talked of how Jenks was a father figure to him. Its really sad. One of his grandchildren told me the other day, Pop-Pop is dead. Warren County District Attorney Kate Hogan arrived at the home to meet with Jenks daughter, Monique Reyes. Reyes said she did not know Henry. Jenks, a manager at C.R. Bard in Queensbury, was known for helping others, including those who were down and out and needed to borrow a vehicle or money. Nothing we can say can do my dad justice, Reyes said. Funeral services for Jenks have been set for 10 a.m. Wednesday at Sullivan Minahan & Potter Funeral Home on Bay Road in Queensbury. New lawyers Both Henry and Chapman were represented during their Glens Falls City Court arraignments over the weekend by the Warren County Public Defenders Office, but the agency disqualified itself on Monday because of a possible conflict of interest. Public Defender Marcy Flores said her office represented Henry in a 2014 parole violation case, which created a conflict with representation of Chapman. Warren County District Attorney Kate Hogan said grand jury action in the case has been postponed indefinitely, pending assignment of new lawyers. The new lawyers will have to determine where their clients will testify before the grand jury. I want to make sure everyone has counsel and counsel has had a chance to talk to their clients, she said. Glens Falls lawyer Tucker Stanclift was assigned late Monday to represent Henry and Gregory Teresi from Hague was assigned for Chapman. Both said they had no comment on the case as of Monday afternoon. Both suspects are being held in Warren County Jail without bail and are due back at 9 a.m. Tuesday in City Court. Editors note: This is part two of a three-part series on the Amish community in Whitehall and Hampton. It was sensitively reported and photographed, keeping in mind that Amish people generally avoid media interviews and shun photographs for religious reasons. All photos were taken from public roadways and attempted to avoid faces. The few Amish individuals interviewed were told it was for stories on them and their new community and agreed to talk without the use of names. They all wear the same style clothes and hats. They use no electricity, use horses and buggies to get around instead of cars, outhouses instead of indoor toilets, and they farm the land as farmers did long before the tractor. But why? Why do the estimated 200 Amish residents on 16 farms in Whitehall and Hampton shun modern technology in favor of tradition and a simpler life? Experts say the Amish lifestyle is rooted in both tradition and in strict adherence to the Bible. And the rules governing each Amish settlement are spelled out in what they called the Ordnung, which may vary from group to group. They want to live a scriptural life. They want to follow Christs example, said Karen Johnson-Weiner, a SUNY Potsdam professor who has written extensively on the Amish, including in a book, New York Amish: Life in the Plain Communities of the Empire State. She said the Ordnung contains rules and traditions that were decided upon by good church members over generations. Although the Ordnung can flex, she said, many principles governing the lives of the Amish have remained in place for many years. Theres not a scriptural reason why they dont drive cars or buy a smart phone, but over the years theres an understanding that these kinds of things will have an impact on the community and it will make it much harder to follow Christs example, she said. Edsel Burdge, research associate for the Young Center for Anabaptist and Pietist Studies at Elizabethtown College in Pennsylvania, said the Amish are Christian people who apply the biblical principle of separation from the world regarding clothes, technology, transportation and leisure. Burdge said the Whitehall Amish are a daughter settlement of the Amish community in Fort Plain, New York, which was a sub-group of the New Wilmington, Pennsylvania Amish. This New Wilmington group tends to be more conservative and to focus on farming compared to the well-known Lancaster, Pennsylvania Amish, where tourism now is king. But many of the rules governing their lives are the same, he said. Their attitude toward photographs and media attention is an example, he said. The Bible states that Thou shalt not make unto thyself a graven image, and the Amish translate that to include photographs, which is why they prefer not to be photographed, Burdge said. But their lack of interest in media attention stems more from their desire for a humble, communal lifestyle, he said. They place a strong emphasis on humility and not being singled out individually, he said. The women Amish men are the decision-makers in their communities. They serve on the school board that governs their one-room schoolhouses, and they decide when barns and homes are built. If you buy items at a stand when both the husband and wife are present, he will likely be the one talking to you. But Amish women are not marginalized in their society, said Johnson-Weiner, who is working on a book about Amish women and who said she has several Amish women friends. Despite public appearances, she said the relationship between Amish husbands and wives is a true partnership. One Amish man told me, I wear the pants in the family, but my wife tells me which ones to put on, she said, adding that she has retold that story to Amish residents and gotten lots of laughs. She said both husband and wife are scripturally obligated to serve each other, and though the men are seen as the speakers and decision-makers, the women are often behind the decisions being made. I had someone on a school board tell me they voted on something and then they went home and talked to their wife and came back and did what they were supposed to, she said. Often, the Amish man is the face of the Amish to the outside world and is considered head of the family, but he has a scriptural responsibility to her and to listen to her, and they consider things together. Schooling, beards, church and technology Amish children go to school through the eighth grade. The belief is that by the time they hit that level, theyve had enough formal education to allow them to function in their community and its time for vocational skills to take over so they can prosper on the farm, Burdge said. Theyre essentially getting the three Rs, probably not a lot of science, Burdge said. But youre going to have very few Amish leaving school who cant read and do arithmetic and thats not true with public schools. Hampton Supervisor Dave OBrien said he often sees Amish children near him walking six miles to school each day on county Route 18. You ask them if they want a ride and they say, No, we like to walk, he said. Ruth Scribner, wife of former Whitehall Supervisor Vernon Scribner, whose farm neighbors an Amish farm, said one of her neighbor girls is a voracious reader. She said she supplies the 18-year-old with newspapers to read and loves chatting with her about news. She goes through every one I bring, Scribner said. One day I saw her and she said, I have a surprise for you! She had found two clippings about me and was so proud. The traditional Amish beards without mustaches, according to Burdge, are a sign that a man is married. Sometimes, he said, the facial hair can start in the days leading up to a wedding, but generally a beard on an Amish man means he has already wed. And although the Amish are very religious, they dont worship in churches. Church services are held in homes every other week. And to be chosen to host the church service is a big deal, Burdge said. It will involve a major cleaning and maybe even painting, he said. Both Burdge and Johnson-Weiner said the Amish arent anti-technology. In fact, a tour of Amish farms in Whitehall reveals gas-powered sawmills and gas-powered wringer washing machines. They will also accept rides in cars and will use a neighbors phone or chainsaw and will always want to pay the neighbor who helped them. The Ordnung simply prohibits ownership of these items, and Burdge said the steel-wheeled buggy mandate has another purpose. Being restricted to travel by horse and buggy means less access to the outside world and its pressures and temptations, he said. They dont say cars are wrong, they say the horse and buggy helps maintain the community, he said. The same with computers, smart phones, televisions, radios and power tools, he said. They think about and see how these things can tear down a community, he said. They think about the implications technology might have on them. And although the Amish do pay sales tax on items they sell, school tax for schools their kids dont attend and property taxes, they dont pay Social Security taxes nor do they collect benefits. They also dont have to abide by local codes that would alter their religious freedom by dictating how they live and are not required to have insurance of any kind. They believe its their responsibility to take care of their people, he said. And ultimately they feel they should be depending on God, not the government. In Whitehall Town Hall hangs a two-page letter from the town attorney, essentially spelling out that the town legally cant tread on the religious rights and beliefs of the Amish community when it comes to code issues like indoor plumbing and smoke detector use. When dealing with specific enforcement issues with the Amish, the town will need to consider if enforcement of the law can be done in such a way that limits or narrows infringement upon Amish beliefs, the letter reads. Normal people But despite all those differences, there are a lot of similarities between the Amish residents and the rest of the towns residents. One Amish farmer on county Route 11 seemed a little perplexed that a reporter was standing in his shed, surrounded by bent hickory chairs and tables and stacks of saplings in the background to make more, asking questions. But he was cordial and the conversation moved from the $150 chairs, to the sheds his sons were building, to Danby, the town in Vermont where he bought the silo that had to be dismantled, moved and rebuilt on his farm. He spoke about high taxes and about building a new house, but he also seemed to want to dispel any notions that the Amish are different from the rest of the community. Were just ordinary people, he said. And thats a message Ruth Scribner wanted to convey, too, as she told a story about a funny encounter with a neighbor boy. She was in her car and he was on his horse-powered buggy when he threw out a challenge to Miss Ruth. You wanna race? he said to her with a smile. I got more horses than you do, she replied with a just-as-big smile. Scribner said her new Amish neighbors are extremely friendly and helpful. When she recently broke her neck in a car accident and was recuperating at home, she said the Amish women, men and children were stopping by to check on her to make sure she was OK. Theyre very good neighbors, she said. I go to visit them and I call their kids my kids. Theyre growing up right in front of me. Burdge, too, stressed that the Amish like to laugh as much as anyone. Register for more free articles. Sign up for our newsletter to keep reading. Be the first to know Get local news delivered to your inbox! Sign up! Already a Subscriber? Already a Subscriber? Sign in Terms of Service Privacy Policy Hudson Headwaters expands help for needy Bravos to Hudson Headwaters for expanding its sliding fee program to increase its discounts for each person who does not have health insurance or cannot afford to pay for an office visit. Since more people are insured due to Obamacare, Hudson Headwaters was able to increase the amount of money saved by those patients. Recovery center to be established locally Bravos to the state of New York for coming through with over $10 million to fund local addiction recovery and outreach centers throughout the region. Recovery centers will be established in Saratoga Springs, Johnstown and either Warren or Washington County. Networking information will help Bravos to the Glens Falls Foundation for its $60,000 grant to Crandall Public Library to develop a web portal and database that will provide local agencies and organizations that assist the poor with round-the-clock access to networking information. The portal should provide a faster way to get information about how to help people. Warren County, Siemens begin talks Bravos to Warren County and Siemens for finally beginning a dialogue about a settlement over the controversial natural gas cogeneration facility at the former county nursing home. County supervisors met in executive session last week to consider a settlement, but so far no agreement has been reached. Free tickets for Opera Saratoga Bravos to the anonymous donor who decided to give away 55 tickets to the final production of the 2016 Summer Festival to celebrate Opera Saratogas 55th season. The tickets will be made available to people who have never attended Opera Saratoga before. Its a great gift for someone who has never experienced opera in all its glory. South Glens Falls conserves water Bravos to residents of the village of South Glens Falls for their ongoing efforts to conserve water. Two weeks ago, village residents were using 200,000 more gallons per day than the underground springs could replenish. But after outdoor water use was banned, the water level began rising again. The village is now allowing limited outdoor water use in the evenings. Funiciello to speak at national convention Bravos to Green Party congressional candidate and Glens Falls businessman Matt Funiciello for landing a speaking role at the Green Party national convention in Houston in August. Regardless of how you feel about him as a candidate, it is undeniable that Funiciello is having an influence far beyond the 21st Congressional District. Bike rentals a great idea for region Bravos to the Metivier family for taking an idea they saw while on vacation bike rentals and crafting it into something that is not only a great idea for a local business, but something that will enhance the experience for those here on vacation. The local bike trails may be second only to the lake in attracting tourists to our community. Its great to see this idea become a reality. Post-Star editorials represent the opinion of The Post-Star editorial board, which consists of Publisher Terry Coomes, Controller/Operations Director Brian Corcoran, Editor Ken Tingley, Projects Editor Will Doolittle and citizen representative Tom Portuese Inventory needs to be managed and managed well, or you are going to get in recurring trouble, and lose your credibility and hard-earned conversions, whether Read more According to Iddi Zachariah, COCOBOD has paid little attention to Shea nut production in the three Northern Regions. COCOBOD supervises the production of cocoa, Shea nut and coffee in the country. Shea-butter processing and extraction remains the major economic activity of most rural women. Given its medical, cosmetic and nutritional values, it is on high demand internationally and is expected to earn foreign income for rural women, Zachariah told the Daily Guide Newspaper. We are therefore calling on government to give attention to the industry to benefit families which depend on the crop for sustenance, he said. Zachairah has been advocating for the decoupling of the Shea sector from COCOBOD so as to give the sector the needed attention. At a two-day workshop for selected journalist in Tamale in February, Zachariah said: Cocoa and shea are quite different; they need different agencies and strategies to deal with their issues. Putting shea under Ghana Cocoa Board makes the sector retrogress. President Mahama has also promised to establish two new shea processing factories in the Upper East and Upper West regions when he addressed members of the Global Shea Alliance in their three-day international conference in Accra, Ghana. On Saturday July 9, Domestic flights were grounded following the strike action by civil aviation workers over the sale of lands belonging to the Ghana Civil Aviation Authority by government. The strike that was supposed to have happened from Friday was suspended due to a plea by management of the authority for a final meeting to dissuade the workers from embarking on the strike action. But clearly the workers were not convinced by the authoritys attempt to assure them that their demands will be met. According to the staff, the situation is making it difficult for the Civil Aviation Authority to expand its operations and logistics in order to render quality services to stakeholders in the aviation industry. Checks on Saturday revealed that scores of passengers had been left stranded as staff of GCAA in charge of safety on the runway, were on strike. Clueless about what was going on, some of the passengers had no choice than to return home, while others demanded to get an explanation to what was going on. The CEO of Starbow, James Eric Antwi, lamented about the impact of the strike action in an interview with Accra based Citi FM. According to him, he recorded losses of almost GHC150,000 due to the strike action. Antwi said: "We cancelled three flights. These included flights to Takoradi and Kumasi. Well, if you talk in terms of money, it will cost between GHC120,000 to GHC150,000... so in terms of money and inconveniences, it's been very bad." Ground Operations Manager at Africa World Airlines (AWA), Rainer Yeboah also said they had to refund money to passengers they could not fly. "We had to refund monies to some passengers. Some customers were asking why we couldn't fly them to their respective destinations, which of course was also beyond our control," Ms Yeboah said. "I cannot give you the actual figures but we are talking of costs in the region of GHC50,000," she concluded. The Chief of Staff, whose intervention led to the resumption of domestic flight on Saturday afternoon, called the meeting to find an end to the impasse. The workers had earlier rejected the Lands Minister, Nii Osah Mills proposal to release 20 acres of land for the use of GCAA. Domestic airlines Starbow say they have lost as much as GHC150,000 after they were forced to cancel three flights to Kumasi. READ MORE: Ghana Civil Aviation Striking GCAA workers halt domestic flights Africa World Airlines also had to refund monies to disappointed customers and explain to others why their flights had to be cancelled. The GCAA staff are however not ready to compromise on their stance. READ : Ghana port clearance to go completely paperless I can say that this issue has affected our people so much that over 600 of us are dead through Tema and Takoradi and its so serious that if you go to Ashaiman and do serious study on this case you will see that nine out of 10 mentally deranged people are former workers of the Ghana Ports and Harbours Authority, he stated. In his statement he claimed that most of the affected have been involved in menial jobs to make ends meet because most of them have still not received their severance pay even after 14 years. We have been at home for fourteen years now struggling and doing all kinds of things to get things done right because after the payments of our benefits in the exercise, we detected that we had been short changed, he continued. So many of them (the affected former workers) have broken down and they are seriously not themselves right now and its not only in Tema but if you go to Takoradi you will find the same thing over there. Workers of the GPHA in September 2002 were laid off after a re-organization of the company that led to their redundancy without receiving any payment in lieu of notice, apart from some meagre amounts described by the authority as golden handshake. Sadly a new crop of so-called women feminists I like to call social media feminists have made me question what feminism is an evaluation process for me. The advent of social media has changed and taken communication and activism to a whole new level. It is amazing and great the results social media gets. It has its negatives depending on the kind of experience you have had but in my opinion the positives outnumber the negatives. What I am saying is social media activism is great and I am all for it. A typical example of the power of social media is what Brandon Stantons Humans of New York does. Lives have been transformed and people have been mobilized to support others through his activism which started by telling the stories of ordinary people on the streets of New York but now it has gone global and stories of people in Syria and other places are told. While I am not the one to tell the social media feminists how to do their activism, I think there are some who are just in for the cheap popularity. They enjoy the thrill of jumping on what is trending, spew a couple of invectives, fight with people who disagree with them and get hailed by others for their boldness to insult people. After a couple of days, they go back into their holes and wait for the next viral thing to jump on. Let me give this to them, I like the fact that they get people talking about whatever issue is being discussed. But after jumping on Hamamat Montias viral red carpet "situation" by telling all women to get naked and do whatever they want with their bodies any day any time anywhere, how do you measure results? How do you measure results after branding all men as chauvinists and women who disagree with you and your methods as anti-feminists? The truth is some of you dont even practice what you preach on social media, after all what? No one is watching you beyond what you display on social media. On social media you are this bold, untamed, brave and unconventional lioness. You are the one who breaks all societal rules because you are so enlightened to know that some of those rules are not only useless but restrictive. But who you truly are and how selective your activism is, only you and your conscience can answer that. True activism goes beyond social media rants. Amie Ferris-Rotman, founder of Sahar Speaks, left London for Afghanistan where she trains women journalists. It was her way of standing up against gender inequality in journalism. She didnt just complain she acted. Now Sahar Speaks is hosted on the Huffington Post website. When Julia Roberts walked the red carpet barefoot at the Cannes Film Festival she wasnt doing it for the popularity, she did it to make a strong statement to the organizers of the event who had turned some women away a year ago for not wearing high heels. She didnt have to call the organizers who made the rules 'stupid' or 'airheads'. Like the popular saying goes, action speaks louder than words. When Anita Hill made the sexual harassment claim against Clearance Thomas in 1991, it was not to get attention as some alleged at the time. It was uncomfortable and painful. But the law professor knew speaking up and enduring the subsequent humiliation was not just for her but for the many other women who may be in similar situations. The list goes on of feminists who have changed the course of the struggle in diverse ways. In many cases it cost them their jobs, they were disgraced and mocked. For those making noise on social media as if you are more feminist than anyone else I wish you can stand tall and not hide behind social media and speak for the women who are being maltreated by their men bosses in your offices. Heck I wish you will have the courage to shut your boss up or quit your own job and publicly name and shame your sexist boss whose sexism you endure because you want to keep your job and maintain your pay check. Did you have the courage to stand for that young woman who sits next to you in your office or who lives in your community who was fired from her job not because she is bad at it but because she refused to warm the bed of her boss? You dont pick and choose instances where you want to be a feminist neither do you pick and choose who you want to fight for. The struggle is real so enough of the cowardice! Dont hide behind social media while others do the real hard and dirty work which produces golden results of women leaving abusive relationships, women getting livelihoods so they don't have to depend on any man, setting support systems for rape victims etc. Welcome to the Pulse Community! We will now be sending you a daily newsletter on news, entertainment and more. Also join us across all of our other channels - we love to be connected! Dr. Steve Manteaw said because the economy is broke, government has resorted to borrowing on the international market in order to run the economy. According to him, he would not be surprise if government announces another roadshow to raise money in the coming months. Manteaw therefore challenged government to come clean on the true state of the economy, arguing that this will invoke some public sympathy and support when government takes hard decisions. "We are broke and that is why every now and then we resort to borrowing on the international market. I won't be surprised if government announces another roadshow to raise more money in the coming months," he told the Daily Guide Newspaper. "It is not abnormal for a government to face financial difficulties but the difference it will make is to make full disclosure of the challenges you face to your people and admit where you think you have failed as government." The group in a statement said it is facing serious difficulties in concluding negotiations for Conditions of Service for pharmacists in the public sector. It indicated that it has for the past 6 years petitioned government concerning the existing and new grade structure for pharmacists as well as the existing issues relating to their interim market premium. GHOSPA has therefore called on the Fair Wages and Salaries Commission, The Ministry of Health, The Ministry of Employment and Labour Relations, The National Labour Commission to resolve these challenges or face their fury. This Release is to serve Notice to Government and all stakeholders of our intention to utilize all legitimate means to press home our demands if, on or before 20th July 2016, we do not have any conclusive agreements on the Single Spine issues (Grade Structure and Market Premium) and the Conditions of Service Negotiations, the statement read Read also:Aggrieved GHOSPA is however worried that if no drastic measure is taken, the status quo will remain and be marked by excuses, adjournments, and unnecessary delays. We, as executives, would not hesitate to trigger the collective decisions and implement the road map as put together by our national delegates to bring finality to our issues. We may not want to disturb the industrial harmony on the Labour front but we cannot keep mute forever. Enough is enough, the statement added. But former president Kufuor believes such political talks will not be ideal for solving the problem. "Its just political talks. Eight years should be long enough for anybody with solutions to show. "The current regime has been in power for close to eight years so if they are not having the solution, we shouldnt accept shifting of blames onto earlier regimes," President Kufour told Accra-based GHone TV. Many parts of the country have been experiencing erratic supply of power over the past two months. A cross-section of the public is of the opinion that the country has been plunged into an energy crisis again. But speaking at this years Eid-ul Fitr celebration at the Black Star square, President Mahama said challenges with the countrys power supply will be addressed soon, adding that the country is not under any load shedding regime. "We have recently suffered some generational problems. Because of sabotage in Nigeria on the terminals, crude oil we ordered from Nigeria has not arrived and so it has created some generational problems for us."I have asked the Bulk Oil Storage company to start storing at least one month of light crude oil supply for Ghana so that in the event anything happens at the supply end in Nigeria we are not affected the way we are affected. According to him, the police has captured only 1.2 million weapons on its database out of the 2.3 million weapons circulating in the country. He has therefore urged people who have unlicensed weapons in their possession to take urgent steps in getting them registered. He added that individuals with unlicensed weapons would be brought to book. The Minister made this known on Saturday July 9, 2016, when addressing the general public on the observance of the UN Day for Weapon Destruction at the Trade Fair Centre, in Accra. Read also:Interior Minister The event which was organized by the National Commission on Smll Arms and Light Weapons (NACSA), under the auspices of the Ministry saw the destruction of 1400 out of about 2,000 seized guns. A statement released by the APC Sunday said Dr Ayariga and his high-powered delegation will spend five days in the Greater Accra Region where they will pay courtesy call on traditional rulers, Zongo chiefs and opinion leaders in the region. The campaign team will also meet and interact with the various youth groups, market women, artisans, commercial drivers, churches and mosques, as well as APC party executives and parliamentary candidates. The party is also expected to use its Come on Board Tour to ensure mass registration of members into the APC family and actively intensify grassroots, polling station mobilization. The Tuobodomhene, who was confident of an Akufo-Addo victory in the elections, explained that his belief is premised on the fact that "in this world, it is only those who embark on good missions who are encouraged and supported." Nana Obeng Ameyaw Barimah II made this known when Nana Akufo-Addo paid a courtesy call on him at his palace, at the commencement of day 3 of his tour of the Brong Ahafo Region. He said "The NPPs parliamentary candidate for Techiman North has been entrusted in my care. He is therefore my son. Thus, I can never turn my back on my son who is bringing something good home to his people. The Chiefs and people of Tuobodom assure you, Nana (Akufo-Addo), that we are in complete support of you. We are backing you with prayers, and I am confident that you will win this years election." He urged all supporters of the NPP to avoid the use of abusive and intemperate language in the electioneering period, as this only drives away non-supporters of the party. "Ghanaians will vote for you once you have a good message, and you present it to them in all humility and through the use of decorous language and patience. There are certain fights that can only be won with prayer. When you go to God in prayer, whatever you ask for in His name, he will do it for you," he said. Nana Obeng Ameyaw Barimah II had a request to make of the NPP flagbearer when he is elected President. Nana Obeng Ameyaw Barimah II made this known on Sunday, July 10, 2016, when Nana Akufo-Addo paid a courtesy call on him at his palace, at the commencement day 3 of his tour of the Brong Ahafo Region. The NPPs parliamentary candidate for Techiman North has been entrusted in my care. He is therefore my son. Thus, I can never turn my back on my son who is bringing something good home to his people. The Chiefs and people of Tuobodom assure you, Nana (Akufo-Addo), that we are in complete support of you. We are backing you with prayers, and I am confident that you will win this years election, he said. He therefore urged supporters of the NPP to avoid the use of abusive and intemperate language in the electioneering period, as this only drives away non-supporters of the party. Read also:Elections 2016 Ghanaians will vote for you once you have a good message, and you present it to them in all humility and through the use of decorous language and patience. There are certain fights that can only be won with prayer. When you go to God in prayer, whatever you ask for in His name, he will do it for you, he added. The Member of Parliament for Bimbilla who was speaking at the campaign launch of the NPP in the Anyaa-Sowutuom Constituency in the Greater Accra Region, said the Minority will soon kick start the process in Parliament. Read also:Ford Expedition Saga Do you want us to impeach President Mahama? If the Minority wants to take that step, we need only 93 members of Parliament. The NPP has only 122 Members of Parliament so we are more than capable of impeaching the President. If you want us to do that you will hear from us very soon. All we are saying is that, change will come very soon, he said Background Lawmakers of the Opposition New Patriotic Party (NPP) began collecting signatures from Members in the House in a bold move that insiders say could lead to a push for impeachment proceedings against the President. 50 MPs from the Minority have so far appended their signatures to a document that may push for either Parliamentary investigation into the case or impeachment proceedings against the President. The contractor, Djibril Kanazoe, is on record as having confirmed giving out the car as gift to Mr. Mahama, for which the President called to thank him. Media reports have quoted Mr. Kanazoe as saying the gift was a purely friendly gesture and not a dubious token aimed at influencing the Mahama administration to reciprocate by giving him government contracts. Bonsu is reported to have taken a unilateral decision to remove the representative of the Asanteman Traditional Council, Amoamanhene, Nana Agyenim Boateng from the Kejetia Market project board without informing the Asantehene, Otumfuo Osei Tutu II. He was called to the palace to for the matter to be investiagted by he failed ton show up. The Traditional Council therefore called for his removal. In an interview with pulse.com.gh the Ashanti Regional Minister John Akon said he was oblivious of any communication which has asked Bonsu to step down. As far as Im concerned the president has not spoken, there is no such letter so Im not aware of anything like that. Kojo Bonsu is the substantive Metropolitan Chief Executive for Kumasi, there is no other person as of now Akon said. READ ALSO : Asanteman Council wants KMA boss removed from office Even though Bonsu has apologised to Traditional Council, it is yet to respond to his apology. In his apology letter, the KMA boss said: I wish to render an unqualified apology to Asantehene Otumfour Osei Tutu II and Nananom for any actions and inactions on my part, which may have incurred their displeasure. Describing the work of this band, the producer says they mostly make alternative sounds off popular songs out of Nigeria. The producer explains the workflow of a typical day of the band. Also, he talks about the song selection criteria for the alternate sounds they make. The ace producer explains how they make different versions live and jam sessions for popular songs from the likes of Yemi Alade, Praiz and others. He then goes on to explain the grand vision behind the whole project. The rapper was reportedly hit with another epileptic seizure last weekend, as he continues to struggle to bring the epileptic fits under control. TMZ reports that the seizure had been so bad that Wayne had been forced to cancel his performance at TAO nightclub in Vegas where he was booked for a pre-UFC 200 party. The rapper was reportedly moments away from getting on stage when the seizure hit. Only last month, Wayne suffered a seizure while on a flight to California from Milwaukee forcing his private jet to make an emergency landing in Omaha, Nebraska. According to TMZ, the rapper initially suffered seizure before embarking on the trip but refused any medical treatment before going back into his private jet. He had later lost consciousness when the seizure attacked him on the aircraft, forcing the jet to land as the "Lolipop" rapper was rushed to a near by hospital. ALSO READ: Rapper could face battery charges This incident marks the fourth time Wayne has suffered a seizure, as in 2013 he had a fatal attack during a flight, as well as in 2012 when he had an attack two days in a row inside his private jet which also ended in an emergency landing. "Before my daughter went into the university, I used to smoke and suffer chest pains. I was like if I continue smoking I may die before my daughter graduates. That was the inspiration I needed to stop smoking because for years, I had been telling myself that I would stop smoking on the first day of January, but by January 4 I was still smoking. But this time, I didnt wait for January 3 or 4. I simply told myself that if I continued smoking, I would die and never see my daughter graduate. And that was just too important for me, so I just stopped. I have stopped smoking for three years. The fear of not being able to educate my child gave me that inspiration. I was like, who will educate this girl if I die? Yeni Kuti revealed to The Sun. There are a lot of celebrities who are addicted to smoking but some have been able to kick the habit. Below is a list of 5 celebrities who have been able to stop the smoking habit. 1) Tonto Dikeh Nollywood's former bad girl was addicted to smoking. She started smoking since she was 11 but in 2012 she claimed that TB Joshua delivered her from smoking. "Ive good news for those who wish me well. Its silly good news but yall going to love this. I quit smoking! I didnt quit smoking by myself. I was watching a program on TV with Prophet T.B. Joshua and he was laying hands on people and praying for them. I love to watch his programs on Emmanuel TV. When he was praying, he said, Lay your hands on the TV and I just laid my hands that day. I actually needed something else from God, not to quit smoking because I loved smoking! However, after praying I sat back and I think I slept off. When I woke up, I took a stick of cigarette and I felt crazy. I couldnt even imagine that I took it up to smoke in the first place. It felt like poison!" she announced on Twitter. 2) Pete Edochie The veteran Nollywood actor admitted that he used to smoke for 21 years before doing away with cigarette sticks. "I smoked for 21 years before I quit, and each time I got tensed up, I looked for a cigarette, and psychologically I was puffing away the anger; but there is no other thing it does for you physically but to destroy your lungs" revealed Pete Edochie. 3) Hanks Anuku This is another Nollywood actor who claims that Pastor T.B Joshua delivered him from smoking. Anuku revealed that smoking and alcoholism nearly made him a mad man. "Yes, I got my healing through TB Joshua. It is our lords doing. I was having issues with my mentality for over seven years. You would have noticed that I have not been that frequent in movies for a long time. Even when I was a Special Assistant to our dear Governor, I was not in a good state of mind and this affected my work in the Government House, before I was advised to seek for a solution in Ghana. I spent so much money visiting hospitals, orthodox and unorthodox doctors without positive results. Until I was introduced to TB Joshua. I was only watching his TV program in Ghana and was healed. I put my faith into it just like the woman with the issue of blood and knew that I would be healed and I got my healing. I am now a mentally stable man. I have dropped the drinking and smoking habit. Glory be to God." 4) Femi Kuti Yeni Kuti's younger brother in 2009 revealed he had stopped smoking for two years after an on and off battle with the addiction. "I stopped smoking for fifteen years. I did it again for two years and finally stopped. I started smoking after my mother died because I was very depressed. I have not done it for a couple of years now. Because of this same argument, I stopped. I don't need to smoke to become a great man. Even some people say that I don't smoke like my dad because I am not as strong as he was. I will not smoke." 5) Chris Brown Tiwa who recently became a goodwill ambassador for the Orphanage Africa, a campaign across Africa which supports orphanages in need of help rebuilding and refurbishing its facilities visited the center to create awareness for the Stop Child Trafficking campaign. Accompanied by the South African Minister of Home Affairs, His Honourable Malusi Gigaba the Mavin artiste spent the weekend understanding the works of the center and listening to the stories each victim told of their experience being trafficked across Africa. ALSO READ: Pulse Opinion Tiwa Savage has a right to speak on Black Lives Matter An emotional Tiwa took to Instagram to share photos from her experience writing, "Even though I was supposed to give these beautiful young ladies words of encouragement I ended up being more inspired by them. They said they didn't want to be seen as victims but as conquerors because the fact that they are still alive proves that God is not done with them yet. This was such a powerful moment to witness #stopchildtrafficking #hillbrow #southafrica #thehousegroup #homeaffairs #orphanageafrica." The stylish duo joined thousands of people on July 2nd 2016 to attend the Vodacom Durban event held at the Greyville Race Course, with South African personalities also in attendance. Mbo Mahocs was dressed in a black and white long skirt, black fitting top, white fascinator paired with green Christian Louboutin and gold accessories. Her partner in fashion and Co-Host of the Zimbabwe Music Awards Red Carpet, Gilmore Tee also stepped out in Royal Purple Thembani Mubochwa outfit. With the theme being leader of the pack, this year the event attracted continental attention, with Nigerias Tiwa Savage in tow. Zimbabwes Celebs including Pokello Nare, Ruvheneko Parirenyatwa and more all dressed to the nines for the event. Also spotted at the event were South Africas Bonang Matheba, Da Les, Idols- Somizi and Thembi Seete, amongst many other personalities and celebrities from South Africa. Durban July is a must attend event and one has to plan their outfit from the beginning of the year. I had the privilege of wearing a creation, from her NN Vintage clothing line. Her brand represents a strong woman, elegant and goddess Mbo Mahocs dished on her look to the event. Thembani Mubochwa is one outstanding designer from Zimbabwe, and working with him has always been a pleasure. He clearly understands my style and also is a good fashion advisor when it comes to keeping up. Because he was in Durban the week of the Vodacom Durban July, he advised on the weather and designed an outfit that was suitable for the event, I respect that about his works Gilmore Tee revealed about his bold look. According to the Daily Post, the police received an alert from the residents of Igando area of the state, which prompted police commissioner, Fatai Owoseni, to deploy men to the area. This move paid off as the militants who reportedly carried fire arms, were arrested. SP Dolapo Badmos, the spokesperson for the command gave a confirmation of the incident. Badmos said, Immediately, the Commissioner of Police, Fatai Owoseni, deployed more men to the area. Two suspects were arrested with some weapons. The security of the area has been beefed up; the command has begun investigation into the attempted attack. The police will find out who they are, where they come from and their mission. This is contained in a statement issued by ASP Anjuguri Manzah, the spokesman of the command and made available to newsmen on Sunday in Abuja. The statement said that Wale, 40, was murdered by unknown persons in the early hours of July 9 at Bazango Pipeline area of the satellite town. It said that six suspects arrested in connection with the murder were currently undergoing interrogation, adding that the outcome of the investigation would be made public. The statement said that the CP commiserates with the family of the deceased. It added that the police boss assured them and other residents of Kubwa of the determination of the command to fish out the perpetrators and bring them to justice. It enjoined the residents and members of the public to remain calm and cooperate with the Police in the ongoing investigation. The statement said that apart from marks of injury inflicted on some parts of her body, all parts of the deceased body were intact contrary to some media reports that her head and legs were chopped-off Ogechukwu was dragged to the court by the police for failing to appear when the hearing notice of an earlier case was served on him. The prosecutor, Mr Clement Oluji, told court that the accused was in January 2015 charged for stealing but had absconded after his bail. Oluji, an assistant superintendent of police, said that the action of the accused person breached the Enugu State Criminal Law. Ogechukwu pleaded guilty to the charge. Magistrate A.O. Eze adjourned the matter till July 25 for facts and sentencing. In a related development, the court remanded one Chika Chukwu for allegedly stealing vehicle glasses valued at N67,500. He was alleged to have stolen the glasses belonging to one Chidiebere Ogbaya from his mechanic workshop located on Enugu-Port Harcourt expressway. Ogbaya told the court that on June 11 at Umuonu Amechi in Enugu South local government, he saw the accused person with nine glasses removed from the bus which he had taken to him for repairs. He said that when he accosted him, the accused denied it but that the markings on the glasses were the registration number of the bus which prompted him to report the matter to Awkwunanaw Police Station. Ogbaya said that the glasses were estimated at N7,500 each. The suspect identified as, Chukwuebuka Umunna, allegedly opened his grandfather, Anusiem Umunna's head today, June 11, 2016, in a fit of anger after the 100-year-old man dared to advise him. Sunday Sun reports that Chukwuebuka's younger brother, Chigaemezu Umunna, had disclosed that the incident had taken place after the deceased asked the suspect to fetch bamboo sticks to support the yam tendrils in their farm. He went on to add that the suspect had ordered him out of the house, with a threat to deal with him seriously if he did otherwise, only for him to return to find their grandfather dead in his seat with a machete buried deep in his head. Chigamezu immediately raised an alarm attracting neighbours to the scene to witness the sacrilegious and dastardly act. Their father, son of the deceased, Mr. Julian Umunna, revealed how Chukwuebuka had dropped out of secondary school, as other efforts to help him make something of himself were frustrated. He said: Chukwuebuka has been uncontrollable and stubborn and he smokes Indian hemp openly and bullies anybody who cautions him. He was quarrelling with my father when I was going to work that morning. I was shocked to hear a few hours later that he had killed my father with a machete. It was a very sad incident because such a thing had never happened in this entire village. ALSO READ: 3 men caught with human head in Niger The Police Public Relations Officer, Imo State Police Command, DSP Andrew Enwerem, confirmed the incident, saying: This is a murder case and the police are still investigating the matter and as soon the investigation is concluded the suspect will be charged to court." ALSO READ: 3 suspected cable vandals given the jungle justice in Abia The deceased identified as Daniel Henry aged 30, was reportedly found dead in a flat in Moregaon, Nalasopara, Mumbai. Henry who was on a business trip to India, was reportedly found on Thursday, July 7, 2016, already decomposing in the kitchen of the apartment. The police have revealed their suspicion that Henry may have been electrocuted to death in the flat. The Times of India reports that the deceased whose visa had expired since April 22, had died almost three days prior to his discovery. The discovery had only been made after neighbours had complained of a foul odour emanating from Henry's flat. The corpse was found with its right wrist and fingers touching the compressor of the refrigerator, fueling the suspicion that Henry, in a drunken state, may have touched the compressor leading to electrocution. ALSO READ: Nigerian killed in racist attack in Italy buried amidst tears The Chief Magistrate, Mrs Igho Braimah, jailed the accused after he pleaded guilty to the charge. The convict had in the plea told the court that he committed the act for money ritual. Braimah said that no matter what a father considered opportunity to become rich, he should not yield to the temptation of nursing idea of having canal knowledge of ``his blood, let alone ``really doing it. Consequently, she sentenced the accused to 14 years jail term. The prosecutor, Mr Osaretin Ogiehon, had told the court that the accused committed the incest sometime in June, 2016. He said that act, which was done without the consent of the girl, took place at their 37, Upper S and T Barracks Street, Off Uselu-Lagos Road, Benin home. The prosecutor told the court that the offence contravened section 358 of the Criminal Code. Led in evidence by the prosecutor, the victim of the incest had told the court that her father gave her concoction, which made her unconscious before he committed the act. According to the Daily Post, the woman was killed while giving a sermon in the early hours of the day she was attacked. She was killed around the pipeline area of Kubwa, report says. The police spokesperson disclosed that the matter has been transferred to the homicide department of the police force for more findings. We have made some arrests and they are helping in our investigation. The commissioner of police has ordered the homicide section of the command to take over the investigation of the case, This was confirmed to news reporters by the state commandant, Mr Philip Ayuba, who said they were arrested at Mokwa Local Government. Ayuba said, I invited you here to see three suspects that we arrested on the ground of possession of a human head." After we arrested them, they confessed to us that they own the human head." He also revealed that the suspects were arrested through an undercover mission, where one of the officers at the corps pretended to be a buyer of the head. Our personnel pretended to be bargaining with them on how much to pay before they were arrested, Revealing how they were arrested, one of the suspects explained that he had been looking for a buyer for five months before meeting with someone who led him to the NSCDC operative. I looked for a buyer for five months. So when I approached one Isah to buy the head he agreed and said that he has a buyer in Mokwa. When the buyer came we started negotiating the price with him and it was in that process that we were arrested, Another suspect said the human head was found during a hunting expedition. They had severed it from a dead body with the hope that they would get some money through its sale. Two of us decided in the bush that we will sell the head to scientists and make money, Daily Post reported that the suspects were arrested "at a creek around Cutting Channel 2 in Okrika Local Government Area". In a statement, Mrs. Helen Amakiri, the state commandant of the NSCDC, gave her assurance that the security agency would not relent in its bid to battle pipeline vandals. She said, The suspects were arrested while they were carrying out their illegal activities on oil facilities in the area. We got these suspects through intelligence gathering. Our mandate is to ensure that the pipelines are adequately protected and to ensure that oil theft does not occur in River State. So far, we got five of them on the spot where they were tapping into the pipeline and setting up an illegal refinery. They cannot overpower us. We will surely defeat them. We are working round the clock. The task of combating oil theft is not beyond us. In any situation we find ourselves, we work according to the situation we see on the ground. We were able to apprehend five suspects", she added. Missile destroyer Guangzhou launches an air-defense missile during a military exercise in the water area near south China's Hainan Island and Xisha islands, July 8, 2016. Chinese navy conducted an annual combat drill in the water area near south China's Hainan Island and Xisha islands on Friday. [Photo/Xinhua] After the Philippines filed a case in The Hague-based Permanent Court of Arbitration against China in the South China Sea dispute, Beijing has made it clear that it would neither participate in nor accept the ruling of the arbitral tribunal. But despite the extensive international support it has received, Beijing's "non-participation and non-acceptance" stance has been criticized by some Western countries. There is, in fact, a precedent of "non-participation and non-acceptance" of a ruling by a third party to settle an international dispute. In the Nicaragua case of the 1980s, the then Ronald Regan-led US administration took a "non-participation and non-acceptance" stance when the International Court of Justice accepted the case; it eventually passed a ruling that went against the US. Subsequently, although Nicaragua submitted the case twice to the United Nations Security Council for discussion, the US used its veto as a permanent Security Council member to foil its passage. Noticeably, the Security Council's members such as Britain and France and Thailand, too, refused to support Nicaragua's demand for the implementation of the ICJ ruling, by abstaining from voting on the discussion under various pretexts. By abstaining from voting, these countries indicated they, as Security Council members, chose to take into consideration extensive political factors, though they could support the ICJ verdict. The Nicaragua case testifies that not all rulings of international courts are recognized or implemented by one or the other party. A comparison of the cases whose rulings were implemented and those whose rulings were not recognized shows the factors that would decide whether the Permanent Court of Arbitration's ruling in the Philippines' case is recognized and implemented include whether the tribunal has jurisdiction over the case and whether it passes a flawless ruling. Manila has employed some top international lawyers to disguise the arbitration dispute with China as a case to seek separate legal status for some islands and reefs in one of China's island chains in the South China Sea to make it seem The Hague-based tribunal has jurisdiction over it. This is why the tribunal announced it does have the power to arbitrate the case. But while passing a ruling on the substantial contents of the case, the court will face a dilemma. If it extends full support to the Philippines, its ruling will inevitably involve territorial sovereignty and thus go beyond the scope of the UN Convention on the Law of the Sea or will extend to an area in which China has announced its reservation. If true, this will push the court's ruling beyond the limits of its jurisdiction and thus challenge its legitimacy. And an abstract ruling irrelevant to territorial sovereignty and demarcation of the waters in order to avoid the above-mentioned embarrassments will make its ruling impractical for implementation. Either of the scenarios will automatically give China the right to not recognize and implement the ruling. Different from the South China Sea arbitration casein which the ruling is likely to stop short of having enough and binding content for implementationthe ICJ verdict in the Nicaragua case explicitly said the US should stop violating international law and compensate the losses it has caused to Nicaragua. So if the US, which has ignored the ICJ verdict, pressures China to implement the arbitral tribunal's ruling, it will be guilty of using double standard. China's refusal to implement the arbitral tribunal's rulingbecause it doesn't have jurisdiction over the casewill not mean it is violating international law. In fact, the great efforts China has made to resolve the South China Sea disputes with neighbors both at bilateral and regional levels reflect its commitment to using non-judicial procedures to settle them without the intervention of a third party. Third-party arbitration is not a panacea for international disputes. And China's contribution to international peace will not be belittled because of its refusal to implement the arbitral tribunal's ruling. The author is an associate professor of international law at the Southwest University of Political Sciences & Law, Chongqing. The young man was reportedly beaten to death by an Italian man, Fermana Amedeo Mancini, on Tuesday, July 4, while in a park with his fiancee. Mancini, who is said to be a known racist, had taunted Chidi and his girlfriend by calling them 'African Monkeys' and had made advances to assault the lady when the deceased rose to defend her. Emmanuel Chidi goes home Photo Credit: Facebook Mancini, who has been arrested and charged with the murder, had allegedly used a ripped-out road-sign pole to hit Chidi repeatedly on the head and he fell to the ground and never regained consciousness. He later died in a hospital later in the day. According to his records, the late Chidi had been in Italy for eight months and had been living in a shelter run by a Catholic charity, Caritas, after he fled to the country after an alleged attack on him and his family by the Boko Haram insurgents. Tears at Emmanuel Chidi's funeral Photo Credit: Facebook Tearful mourners at Emmanuel Chidi's funeral Photo Credit: Facebook Adewole said this when he received the World Health Organisation (WHO) Regional Director for Africa, Dr. Matshidiso Moeti in Abuja. The minister called for the support of WHO toward mobilising resources for the revitalisation of primary healthcare toward the attainment of Universal Healthcare Coverage in Nigeria. He said that the support from WHO would entail monitoring and evaluation, including routine surveillance, technical support for the National Public Health Observatory and mobilising resources for Regional Centre for Disease Control. He noted that ``the countrys population is projected to double in 20 years and increase by 146 per cent by 2050, making it the fourth most populous country in the world. ``This has been largely driven by very high fertility rates that have persisted over the last 30 years. ``However, persistently high infant mortality rates have contributed to the low life expectancy at birth, resulting in a pyramidal demographic profile, with higher dependency ratios than comparable in Asian countries, whose profiles have evolved, ``Nigerias health system is beset with several challenges and suboptimal health outcomes and characterised by burden of disease driven by infectious and parasitic diseases. ``The health sector outcomes show mixed performance, as Nigeria has made limited progress in service delivery in the last decades.'' Adewole, who said health was one of the key promises of the current administration, added that this would ensure thatNigerians, especially the poorest, access basic and quality healthcare. ``We want to achieve Universal Health Coverage by ensuring one functional PHC per ward in Nigeria. ``To achieve UHC, Nigeria will scale up the inputs required for efficient service delivery, as 10,000 PHC facilities will be revitalisedover the next two years. ``Significant resources are required to achieve this. To achieve this, we need to start thinking differently," he said. The minister then said that Nigeria was currently reviewing its strategic plans for health and hope to soon launch a new National Strategic Health Development Plan (NSHDP) to cover 2016-2020. The focus, he added, would now be on results and outcome with increased accountability structures and Universal Health Coverage. Earlier, Moeti had pledged support for Nigerias efforts to revolutionalise Primary Health Care. She also expressed optimism that Nigeria would become polio-free by July 24, 2017. She said ``it has been encouraging for me to learn about your strong focus on Primary Health Care and Universal Health Coverage. ``This is our number one primary priority in WHO; I wish to assure you of our firm support from WHO to make sure that you realise this ambitious agenda. ``I assure you of our firm support because I am extremely excited that we are on the same page.'' Moeti congratulated Nigeria on leaving the list of polio endemic countries and expressed optimism that the structures built would be put to use in creating viable disease surveillance system for the nation. ------------------------------------------------------------------------------------------------- According to reports, there is no clear proof that the unnamed died specifically from his infection, as he was said to have other ailments he was battling. A health department released a press statement stating that there are no evidences pointing to the fact the deceased lost his life from symptoms of the Zika virus. it may not be possible to determine how the Zika infection contributed to the death. No further information was released concerning the health condition of the man before his passing. This is as a result of the privacy law practiced in the country. Health authorities have however appealed to residents of the state not to panic, as the virus currently has a low mortality rate. This is the first death recorded in the United States, report says. Amaechi made the revelation after signing a contract agreement with the China Civil Engineering Construction Corporation (CCECC) on the project. According to the Aso Villa Newsletter, Amaechi, who signed on behalf of the Federal Government of Nigeria, said the Federal Government renegotiated the contract with the Management of CCECC and agreed at a contract sum of $11.117 billion as against $11.917 billion, which it was initially awarded by the ex-President Goodluck Jonathans Administration. On how the project will be funded within the period of two years, which it is expected to be completed, Amaechi said, "President Muhammadu Buhari has assured me that he is committed to the development of the Railway Sector in Nigeria and would not leave any stone unturned to achieving that dream. Amaechi reiterated that part of the contract agreement is to expedite action and conclude arrangement with the China-Exim Bank to facilitate the commencement of work on the Railway project noting that his desire and expectation is the completion of the project in two years. Also present at the signing were the President China Civil Engineering Construction Corporation, (CCECC) Cao Baogang and Managing Director China Civil Engineering Construction Corporation, (CCECC) Jack Lii. ----------------------------------------------------------------------- One year after President Muhammadu Buhari took over power from his predecessor, Goodluck Jonathan, the determination of the party to carry on with this fight seems to have gone down. Political analysts have described the anti-corruption fight as lopsided focusing on members of the opposition, Peoples Democratic Party (PDP). The probe on the party's campaign fund had the likes of the national publicity secretary, Olisa Metuh, Femi Fani-Kayode, Nenadi Usman spend days in prison. The campaign fund was said to have been gotten from the $2.1billion through the office of the National Security Adviser under the watch of Colonel Sambo Dasuki, the former National Security Adviser. Despite allegations of corruption leveled against some personalities in the APC, none of them have been probed (at least not publicly). The party has failed to probe its own campaign fund. Failure of president to release the name of looters also points to the fact that there may be members of his cabinet on the highly anticipated list. In defence of the president's action, his special adviser on Media and Publicity, Femi Adesina said the decision not to reveal the names was in the nation's best interest. Former Rivers state governor, Rotimi Amechi, a close ally of the president have been accused of misappropriating 338 million from sale of state assets with 140m of state funds diverted into Buhari's presidential campaign bid. Most Nigerians were shocked to find his name on the list of ministerial nominees submitted to the National Assembly by the president. Since his appointment as the Minister of Transport, he has failed to draw out a clear road map for the ministry. His only achievement so far has been the launch of the Abuja-Kaduna rail; a project undertaken by the previous administration of Goodluck Jonathan. When Kayode Fayemi failed to make his re-election bid in Ekiti state, it was reported that he had left the state in huge debts with a backlog of unpaid salaries. The state government alleged that Fayemi had contributed the sum of 1.5 billion to President Buhari's campaign bid. He has however denied the claim. The Union had reported that Kaduna state governor, Mallam Nasir el-Rufai had declared assets worth 90 billion with the Code of Conduct Bureau. According to the newspaper Mallam el-Rufai had acquired about 40 mansions mostly in Nigeria's capital city while he served as the FCT minister. The governor however threatened to take legal actions against the news medium. It would be recalled that while Mallam el-Rufai served as the FCT minister, his tenure was characterised by the demolition of "illegal structures." Surprisingly, over 80% of those lands were reallocated to some individuals almost immediately. The Kaduna state governor was a close ally of President Buhari during the 2015 election campaign. Sahara Reporters recently accused Chief of Army Staff, Lt. Gen. Tukur Buratai, bought two houses in Dubai, worth $1.5million. This revelation got most Nigerians thinking possible that a single individual could afford to buy two landed properties worth $1.5 billion in the United Arab Emirate from personal savings? The COAS in swift reaction said he had bought the Dubai property from personal savings. He also described the allegation as handiwork of cyber terrorists who have been defeated on the land. The Acting Director, Army Public Relations, Colonel Sani Kukasheka Usman, described the allegations as baseless, stating that it is another campaign of calumny against Buratai and his family by some unscrupulous elements. Over the years, there has been agitation for an improvement in the welfare package of officers and men of the Nigerian Army and other security agencies. The call by prominent Nigerians for a probe on the Army Chief may have fallen on deaf ears. The Minister of Defence, Brig. Gen. Mansur Dan-Ali (retd) in a reaction said the report was calculated effort to distract the military from its war against insurgency. The federal government immediately cleared the COAS of purchasing the Dubai property with pubic funds without. This was contained in a statement published on PR Nigeria. Why has the federal government not ordered a probe into the matter as in the case of others. Are some people above the law? Some political analyst have described the trial of the Senate President at the Code of Conduct Tribunal as an attempt by the government to "balance the equation." The APC National Chairman, John Oyegun was quoted to have said that has revealed that the possible removal Saraki, might be a sacrificial change. Oyegun recently said that it was difficult to accept the emergence of a PDP member - Ike Ekweremadu as the Deputy Senate President. Could this be responsible for the forgery case instituted by the federal government against the Senate leaders and two others? Should the fight against corruption be sectional and bias? Are some Nigerians more Nigerian than others? Are APC members saints? Asari Dokubo made the announcement on Sunday, July 10, 2016, via his Facebook page. He wrote: The public should note that I, Alhaji Mujahid Abubakr Dokubo-Asari, Alabo Edi Abali -Abala Buruburu 1 of Kalabari had not entered, directed, appointed or delegated any person or group of persons to negotiate or enter into dialogue with any president, vice president, governor, minister, national security adviser, any committee or institution in furtherance of the Nigerian states pretension to the pursuance of peace in the occupied Ijaw, Niger Delta Region and The United States of Biafra (USB). Therefore, anybody who had presented himself/herself claiming to me or represent me in any manner of discussion, fora or conference in furtherance of this pretentious negotiation or dialogue is a fraud and therefore should not be accorded any recognition as a person representing me, the Niger Delta Peoples Salvation Front and Niger Delta Peoples Volunteer Force. I am convinced and firmly believe that the occupation gambari and their collaborators in the Nigerian state led by General Muhammadu Buhari is not interested in any or in any type of peace in Ijaw, the Niger Delta Region,In the Territories of the United States of Biafra or even in any part of Nigeria. The body language of general Muhammadu Buhari is to carry out a genocide and a pogrom against the Ijaw,Niger Delta and the United States of Biafra(USB). Therefore, there is no way I will be hoodwinked to be part of any sham that the government of the Nigerian state led by General Muhammadu Buhari is masquerading as negotiation or dialogue that will lead to peace in the aforesaid territories. The same way the bride Amnesty was introduced-which I and the volunteers rejected-was used to bribe the faint hearted amongst our compatriots, the cult gangs and fraternities, and the criminal elements in the Niger Delta to allow the our hydro carbon resources-which is our God given and exclusively belong to us- to be freely extracted to satisfy the extravagant and immoral appetite of the gambari and their collaborators. Today most of the recipients of the Amnesty Bribe are full of regrets and wish that they turn back the hands of clock. In furtherance to the above stated reasons, I have no cause to be part of any negotiation or dialogue in the present general Muhammadu Buhari contrived crisis in the Ijaw territories to give him an excuse to carry out his well thought out plan to execute his genocide and pogrom against us.since I am not a member of the Niger Delta Avengers or any of the other internet groups trying to obliterate the shine of the heroic explores of the Niger Delta Avengers. Some people had severally opined that if I am not an Avenger I should publicly disclaim themWhy should I do so? What is my business to be a busybody in this matter? Why should I take Panadol for someone else headache? I will never disclaim, denounce or condemn the Niger Delta Avenger. For I am a known advocate of this truism that for every action there must be an equal and opposite reaction.You cant sow to the winds and expect not to harvest a whirlwind in return. Finally, the public should know that I am not part of any negotiation or dialogue and had not mandated, nominated, appointed or authorized any person or group of persons to represent me in any dialogue or negotiation with the government of the Nigerian state led by general Muhammadu Buhari. The News Agency of Nigeria (NAN), recalls that four persons were killed in the skirmishes at Lamba and seven persons lost their lives in Bara-Kuta on Friday and Sunday while several others sustained various degrees of injuries in the clashes. Bello, who visited the two communities on Monday, said government would no longer condone any act of lawlessness in the state. "Nobody has the power or right to take the life of others. These senseless and unwarranted killings cannot and will not be condoned. You cannot just take machete and kill somebody because of disagreement. There are laws and nobody can claim to be above the law. "I have instructed the chairmen of the affected councils, Commissioner of Police and my Special Adviser on Security to fish out the masterminds of the two clashes as well as their accomplices. I have also directed for comprehensive investigations into the incidents with a view to prosecuting and bringing the culprits to book. "We are determined to bring an end to this barbaric act. The Police and other security agencies should ensure that we get to the root of these sad events; prosecute and punish those found culpable", he said. Bello assured residents of the affected communities to go about their lawful duties, adding that government would ensure adequate security of lives and property. "I sympathise with you over the unfortunate incident here at Lamba. Now that peace has returned, I implore you all to go about your duties and businesses without fear. Government is on top of the situation and we shall ensure the security of our people and their property, including their farms and animals", he added. The suspects were said to have confessed to be indigenes of Borno State, adding that military offensive against terrorists in Bama, Baga and Konduga local government council areas of the state made they flee. They were identified as Ibrahim Ali, Abubakar Ahmed, Babagana Blam Ali, Goigoi Kamsalem, Ibrahim Mohammed and Adams. Speaking to newsmen, Head of the civilian Joint Task Force, the Mai-Kanuribe of Lagos and Seriki Hausawa of Ijora Kingdom, Alhaji Mustapha Mohammed, revealed that Ibrahim Ali and Babagana Blam Ali, who hail from Bama and Konduga local government areas respectively, were arrested at Isheri-Ojodu Berger, while Goigoi Kamsalem, Ibrahim Mohammed both from Bama and Abubakar Ahmed of Baga council areas, were apprehended at a hideout in Victoria Island. According to Mustapha, the task force is spread across 57 local government areas in Lagos and Ogun states and has been operating for five years, with the aim of tracking and arresting the terrorists. He said the group has in the past made several arrests, and the suspects handed over to Department of State Service (DSS), the Police while with some were transferred to Maiduguri, Borno State capital. On how his group arrested the terrorists, he said: One of their leaders that was hiding at a church in FESTAC town was arrested and handed over to security agents. You know we are from Borno State where these people also hail from and we know their communities. Our people back home do monitor them, and once they leave Maiduguri, we would be alerted so that we can be on the lookout. Once they arrive any community in Lagos, our members are always on ground to fish them out base on intelligence report and once they are arrested, we hand them over to security agencies for further investigation and prosecution. This was disclosed by Lagos CJTF head, Mustapha Mohammed while speaking to journalists. One of their leaders that was hiding at a church in FESTAC town was arrested and handed over to security agents, Mohammed said according to The Cable. You know we are from Borno State where these people also hail from and we know their communities. Our people back home do monitor them, and once they leave Maiduguri, we would be alerted so that we can be on the lookout. Once they arrive any community in Lagos, our members are always on ground to fish them out based on intelligence report and once they are arrested, we hand them over to security agencies for further investigation and prosecution, he added. Malam Garba Shehu, the Senior Special Assistant on Media and Publicity to President Muhammadu Buhari, made the appeal in a statement issued in Abuja on Monday. He called on the group to continue to support the government in the ongoing effort to free all Nigerian citizens taken captive by the Boko Haram terrorist group. He described as untrue the groups claims in a recent statement that Buhari had ``broken all promises made to the group about rescuing the missing Chibok girls and ``that the government had been lackadaisical in the rescue mission. According to Shehu, the past year of President Buhari-led administration has seen the Nigerian military step up bombardment of the Sambisa Forest, a stronghold of Boko Haram terrorists, leading to the capture of hundreds of insurgents. ``Also, records from the army show the rescue of no fewer than 15,000 captives, mostly women and children from the terrorists from February to date. ``In addition, dozens of communities that were previously under the control of the terrorists have been liberated, allowing thousands of previously displaced citizens to return home and resume their normal lives. ``Thousands of these women and children freed from captivity have also been reunited with their families. ``This huge number of rescued women and children may not be prominent names, and their disappearance may not have generated any massive media attention and campaign. ``However, their liberation is just as important as that of every other missing woman and child, and a testament to the devotion of our military personnel who daily risk life and limb in the effort to bring back every Nigerian citizen kidnapped by Boko Haram terrorists. ``While their intentions are honourable, perhaps the BBOG leadership has not considered the collateral damage which must inevitably follow its riveting and dispiriting claim that the President has "broken all promises" allegedly made to the group on this issue or that he has been lackadaisical in rescuing the Chibok girls. ``The federal governments focus has always been to free the Chibok girls alive and unharmed, he added. According to Shehu, the President is always conscious about the fact that terrorism in Nigeria is part of a global phenomenon, saying that since coming to office, his response to it has been firm and well considered. He maintained that Buhari would never make bogus promises or play to the gallery. ``It is misleading of anyone to preach that the Chibok girls are within easy grasp. If the military under the President knows where they are held, they will bring the Chibok girls home today, he said. He further stated that the Presidency was open to renew cooperation with the BBOG group, international bodies, religious groups, social workers, and all others for smooth reintegration of those so far rescued from Boko Haram captivity. He said groups such as the BBOG could also lend a helping hand to families of the military and other security agencies whose losses needed to be appreciated and focused upon. ``The life of every single Nigerian matters to this government and the security agencies. If you look at the massive looting of the treasury, actually, I have been in government for quite some time, I never, never could have imagined the scale of corruption that we are witnessing, where people took lots of money running into billions and buried them in farms, the Speaker said over the weekend according to Vanguard. As we speak, they are recovering monies from someones farm somewhere around Abuja. It is very unfortunate, where people stole money just for the sake of stealing. If you were the one who was in charge of fighting corruption, you would have even been shocked by the scale of the problem. I guess part of the problem we have is that the scale of the problem far outweighs the anticipation of the agencies. So, if care is not taken in the process, we may not get things right. They will have to keep their heads level to be able to be in charge of this fight and do it effectively, he added. Falana stated this in a statement issued on Sunday, July 10. He described the defence of the Nigerian Army that Buratai bought the properties with his personal savings as a cock and bull story. Falana said it is nearly impossible that the army boss acquired the assets with his own money. In view of the ban on the opening and operation of foreign accounts by public officers, the Code of Conduct Bureau should be involved in the investigation," Falana said. If the Chief of Army Staff does not deem it fit to resign forthwith, President Buhari should not hesitate to remove him in the interest of national morality. Rampaging Fulani herdsmen have killed 81 people in the stateover the past two weeks and the government is yet to explain how it will stop the attacks. The latest attack comes after the deaths of hundreds in the Agatu Local Government Area of Benue, also at the hands of the murderous herdsmen, in February 2016. The magnitude of killings is enormous. Scores of people were killed in the past two weeks by Fulani herdsmen in at least 10 local government areas of the state, community leader, Tahav Agerzua told AFP. As a show of the governments usual nonchalance towards attacks of this nature, police spokesman, Moses Yamu said that the attack is not a recent development. It's not a recent development. The clashes happened last month and we are on top of the situation,Yamu said. Its unbelievable that the police can claim to be on top of a situation which has already claimed 81 lives. However, the most disconcerting aspect of this entire scenario is President Buharis silence on the matter. Buhari is very quick to issue his vows and threats against Boko Haram and Niger Delta militants. The president has repeatedly said in no uncertain terms that his government would put an end to the activities of the mentioned groups. Of Boko Haram he said that they would feel the strength of our collective will and of the militants, he said that his government was not to be dared. So why is our darling president soft-pedalling on the issue of the rampaging Fulani herdsmen? Wheres the anger? Wheres the outrage? Nigerians collective will is ready to be deployed against the murderous herdsmen, but it appears our Commander in Chief is unwilling to wield it. The number one assignment every president has is the preservation of life and property, and President Buhari is failing woefully. The Agatu attack was unfortunate and should never have happened, but it is even more unfortunate that the president has done nothing to ensure that theres no repeat occurrence. Buhari might be Fulani, but he has sworn to be the guardian of all Nigerians regardless of tribe and culture, that is his most important assignment. A joint committee on ethics and privileges and foreign affairs, which has been looking into the allegation reportedly summoned Entwistle to explain in details and with proofs. According to the report by TheCable, the summon is coming following his letter to Speaker of the House, Yakubu Dogara over the allegation of sexual misconduct leveled against Samuel Ikon (PDP Akwa Ibom), Mohammed Gololo (APC Bauchi) and Mark Gbillah (APC, Benue). ALSO READ: 3 House of Reps members in sex scandal Entwistle is expected to appear before the committee in the House of Assembly, on Thursday, July 14, 2016. The committee, it was further reported, has also invited the National Human Rights Commission (NHRC) and the three lawmakers alleged to have engaged in sexual misconduct. Entwistle had alleged that the lawmakers sought the services of sex workers while they were attending a programme in the US. However, the named lawmakers had denied the allegations maintaining nothing of such happened during their short stay in the US. ----------------------------------------------------------------------- The Assistant Commissioner of Police in-charge of Owerri Area Command, Mr Frances Bissong, told the News Agency of Nigeria (NAN) in Owerri on Monday that kidnapping had gone down by up to 90 per cent between April and July when compared to past years. Bissong, however, observed that criminals had adopted a strategy of robbing people with motorcycles in spite of the ban on the use of motorcycles in the state capital. People should beware that since commercial motorbike is prohibited in Owerri, anybody coming close to you with a bike should be viewed with caution. Some of the criminals will disguise as security men and will be operating within the town looking for whom to rob, he said. Bissong said that the Commissioner of Police in the state, Mr Taiwo Lakanu, was eager to enforce zero tolerance for crime in the state. He said the command was in support of Gov. Rochas Okorochas plans to relocate the popular Eke-Ukwu Market on Douglas Road to reduce criminal activities in the area. Gov. Aminu Masari made the disclosure on Monday when members of the APC from Funtua Senatorial Zone paid him a courtesy visit at the Government House in Katsina. According to him, the state government would provide N1.5 billion while an additional N800 million would come from the Universal Basic Education Commission (UBEC). He explained that about 2,000 primary schools would be rehabilitated and upgraded across the state with the funds. My administration is committed toward restoring the lost glory of education in the state. That is why education is one of the top priorities of my administration because of its importance to nation building, he said. Masari urged people of the state to continue to support his administrations policies to promote the development of the entire state. Earlier, the Chairman of the APC in Funtua Zone, Alhaji Bala Musawa, had expressed satisfaction with the leadership style of Masari. APC Funtua Zone is proud of Masari being the first person from the zone to become a governor of the state. The governor is making the zone proud through implementation of his programmes and policies. We know as a matter of fact that you have not derailed from both your campaign promises and the APC manifestos and you have recorded modest achievements, he said. The chairman also said that the party and all stakeholders from the zone were fully in support of the ongoing screening of local government staff. Also speaking, a former deputy governor and Nigerias Ambassador to Saudi Arabia, Alhaji Abdullahi Aminchi, said the state had witnessed remarkable improvement in the last one year. The labour unions in the state on Sunday, July 10, issued a statement directing all workers to shun the Governor's threat and remain at home until further notice. The strike we started on July 4 is indefinite; disregard the call by the government to resume work on Monday, July 11, the statement, which was jointly signed by the Chairman of the States chapter of the Nigeria Labour Congress, Abubakar Adeka, and the Chairman of the Trade Union Congress, Danladi Sabo-Namo, said. We are fighting to protect the rights of the workers and shall not relent until such rights are restored. Al-Makura had on July 9 threatened to replace the striking workers with fresh graduates if they failed to return to work on Monday. The leader of the groups, Mr. Abubakar Ubandoma while presenting their demands to the state's Deputy Governor, Mr Silas Agara in Lafia said ``there was no reason for the strike, considering the present economic challenges facing the nation.'' The News Agency of Nigeria (NAN) reports that the groups include members of the Marketers and Trader Association, Rice Millers Association, Loaders and Off Loaders Association, National Union of Road Transport Workers (NURTW). Ubandoma, who is also the leader of Concerned Citizens in the state, appealed to the striking workers to return to work, considering the nation's dwindling economy, adding that the state was among the first states to implement the N18,000 national minimum wage in 2011. He noted that ``the different groups across the state are here to tell Gov. Tanko Al-Makura that we are solely behind the state government's decision on downward review of workers' salary. ``We believe that the downward review was due to the nation's dwindling economy. ``We are urging the striking workers to return to work because the state was among the first states to implement the N18,000 national minimum wage in 2011 and they should also understand the present state government financial position. The leader of the groups commended Gov. Al-Makura for the developmental strides across the state and called for sustenance. Responding, the deputy governor, who received the protesting groups on behalf of Gov. Al-Makura, said the state government was among the first states to pay the minimum wage. He assured the groups that the state government would not relent in its efforts toward keying into positive policies and programmes that have direct bearing on the lives of the people of the state and Nigerians. NAN reports that the groups carried different placards that read ``Nasarawa State workers please return to work'', ``labour strike is baseless and recklessness'', ``we say no to labour industrial strike, labour should call off the strike and return to work.'' Others carried placards which include ``we support salary downward review for better Nasarawa State'', ``Nasarawa State civil servants are only two per cent as against 2.5 million population of the state.'' Shehu made the information known in Lafia on Monday when he addressed newsmen. He said the deployment to the 13 local government areas and the state capital was to ensure that government property were not damaged by hoodlums. "The police need to protect these properties since the and have declared an indefinite strike in the state since last week Friday. "Nobody is going to work, so if we did not deploy our men to be on ground and protect these facilities, hoodlums can take advantage of the situation. He said that the personnel would remain in their places of deployment till the workers called off the strike. The commissioner of police explained that the security personnel would work for 24 hours till the end of the strike. He advised members of the public to be vigilant and report suspicious movements of people or activities to the nearest security outfits for prompt action. Borohs concern was conveyed in a statement signed by Mr Piriye Kiyaramo, Bayelsa Liaison Officer, Presidential Amnesty Programme and made available to the News Agency of Nigeria (NAN) on Sunday, July 10, in Yenagoa. It said that while reassuring the ex-militants of regular stipends, Boroh assured them that the payment would soon commence since the three days public holiday was over. "I must say that the hiccups which were brought to my attention during the long public holiday by the Central Bank of Nigeria have been sorted out. NAN recalls that some of the ex-militants on July 4 blocked the popular East-West road at Mbiama over backlog of unpaid stipends. The statement quoted Boroh as expressing regret at the pains the delay had caused the former militants, urged them to remain calm. According to Pulse findings, Orelope-Adefulire will be speaking at the forum for private sector to create awareness on collaborations which intends to yield results that will inform a new UN global report on business and Sustainable Development Goals (SDGs) being prepared by the UN Sustainable Development Goals Fund (SDG-F). ALSO READ: Organised by Sahara Group in conjunction with Nigerias government, the United Nations, the workshop tagged: Business Contribution to a Universal Sustainable Development Agenda; is billed to hold on July 14, 2016 at the Transcorp Hilton Hotel, Abuja, Nigeria. Speaking on the workshop, Orelope-Adefulire said it will highlight how businesses can effectively contribute towards achieving the SDGs in Nigeria through mobilisation of financing for the United Nations SDG Fund initiative. In her words, The desired aim would be to work closely with the private sector to develop initiatives that would address the peculiarities of nationalizing the SDGs in Nigeria in line with the Universality Agenda. Ultimately we hope to set a strong foundation for developing a framework for further discussions scheduled for the last quarter of the 2016. Director, SDG-F, Paloma Duran, who highlighted that for it is necessary for all hands to be on deck for SDGs to be achieved by 2030, commended the collaboration of the Nigerian Government. This workshop is a good example of how governments, businesses and the UN can work together for achieving SDGs.Nigeria is spearheading efforts to achieve SDGs. For that reason, I am convinced that the African regional workshop taking place on Thursday in Abuja will provide valuable insight and best practices from the Nigerian government and businesses for a new report on SDGs and universality to be launched by the SDG Fund at the UN headquarters in New York later this year, Duran said. On his part, Tonye Cole believes that achieving multi-sectoral collaboration in the private sector remained a critical requirement for driving the SDGs in Nigeria, hence, it was imperative for the private sector in Nigeria to coalesce efforts geared towards the SDGs to enhance scale, impact and sustainability of the various initiatives across the nation. Private businesses in Nigeria have really been doing a lot to promote the SDGs in Nigeria. However, when you consider the ground we need to cover, a collaborative approach becomes the only sustainable solution. We will be exploring this approach at the workshop and I am confident that the outcomes will launch us further in our quest for attaining the SDGs through shared ideas, resources and platforms, he said. The high cost of house rent in the city centre has also contributed to this trend leaving most residents with no other choice but to relocate to satellite towns. Here are the list of five most populated places in the Federal Capital Territory (FCT), Abuja. 1. Nyanya: This the border town between Abuja and Nasarawa state. Its proximity to the city centre could be responsible for the increasing population within the area. The area is also characterised by heavy vehicular traffic especially during rush hours. 2. Kubwa: Kubwa has become the fastest growing satellite town in Abuja. The relatively low cost of rents, good roads, security may have been responsible for this. 3: Lugbe: Located along the airport road, the population of people in Lugbe have continued to increase with each passing day. The location of an Internally Displaced Persons (IDPs) camp around the area has also contributed to the growth in popularion. 4. Dutse: With lots of northerners living in the area, Dutse's population has grown to a level where residents build houses on hills. Indiscriminate disposal of waste and open dedication are common sights in Dutse. 5. Mpape: Call it rough, rocky and rugged and you won't be wrong. Mpape is home to most resident whose houses were demolished during the Nasir el-Rufai administration. 6: Gwagwalada: Popularly called Gwags, the area is home to the University of Abuja. The presence of students, lecturers, non-teaching staff etc is largely responsible for the increased population in this area. 7. Utako: Kpana village, situated in Utako is one of the closest slums to the city centre. The little but populous village is surrounded by three hotels; Sandralia, 3J's and Chida hotel. A room in any of these hotel can cost up to N50,000 or more per night. This amount can actually feed and maintain five families for about a month in this village. Statistic show more than 60% of Nigerians in this village live under $2 per day. Sunday, July 10 was the actress' birthday. Check out eight things you should know about the talented actress. 1. Jaiye Kuti is a native of Ilaro in Ogun State. 2. Kuti made her acting debut in 2002 with the TV series Laff Patterns. 3. On Thursday, March 24, 2016, the actress was made an ambassador of the Lagos State Polytechnic, for her contribution to the Nigerian film industry. 4. Before making her acting debut, the actress worked as a personal assistant to the chief executive of a company. 5. The happily married actress and mother revealed in a 2014 interview that she would never wet kiss a man on screen. 6. In 2014, reports that she got married to Wasiu Alabi Odetola popularly known as Pasuma went viral. The reports went viral after a set photo of the duo surfaced online. 7. Jaiye is the CEO of Jaylex Aesthetic Productions, a company which specializes in TV productions. 8. The actress has featured in productions like "Everyday People," "Face to Face," "Spiders," "Ashewo Airport," "Si Gbogbo Okunrin" among others. The Speaker made the comments on Saturday, July 9, 2016, while speaking with journalists in Lagos. The PDP had been in power for a number of years, more than a decade and if you were to weigh members of opposition that are in government now and had opportunity to serve, those that would have tendencies to engage in pilfering of resources, majority will come from PDP, except we are not being realistic, Dogara said. Though we cant claim that all the members of APC are saints; you pointed out that APC spent money in the course of the campaign, but where did this money come from? We havent really had any facts about government officials forwarding money to the cause of the campaign. Majority of the money that was stolen was channelled towards the PDP campaign. You know that this arms purchase monies, for instance, virtually everything was given for the prosecution of PDP campaign. I do not think a dime went to any member of the APC, we were all in government then. I cant remember a discussion like that then but I knew when some of the funds were being given to some of our friends as well but I believe that no one that is of APC stock was given that money. I dont think the fight has really been one sided. If you recall, one of the closest aides to the President, I dont want to name him, when he was picked up, everyone was shocked that that man could be picked up. He had been one of the most dutiful, one of the people that is very, very close to the President, yet he wasnt spared when evidence was adduced that he benefited from it and he had to refund the money, he added. I am the longest serving Ekiti governor. These things are not man-made; they are Gods purposes and plans for me! I am their problem, even in Nigeria today. You said you found money in my account. Yes, it is my money! If you give me money to do marriage, when I finish the marriage, am I supposed to return the money to you? But you know, they are looking for just anything to log on to the National Security Adviser. They just want to paint me black like they did in 2006. It will not work! The Head, Media and Publicity of the board, Dr. Fabian Benjamin, stated this in an interview with the News Agency of Nigeria on Friday, July 9, in Lagos. Benjamin said the method would be sustained to achieve its gains. According to Benjamin, the board has sacrificed a lot to ensure that this mode is achieved and sustained irrespective of some little challenges. He said: This CBT mode has come to stay and there is no going back on that. Recently, our attention was drawn to news making the rounds that government has cancelled CBT. We want to categorically make it clear that there is no truth in this as government has rather re-enforced its confidence in the conduct of the boards CBT. At the 2016 policy committee meeting, government announced the cancellation of the post-UTME sequel to the confidence of stakeholders over the outcome of the boards CBT result. ALSO READ: 5 tips for every student who want to pass JAMB Benjamin recalled that post-UTME was introduced 10 years ago as a result of the dwindling confidence of stakeholders in the conduct of the boards examination. He said it was as a result of the poor predictive validity of its results. He stressed that the introduction of CBT had changed the narrative, adding that the issue of impersonation and other examination malpractices had become a thing of the past. He said: To ensure a seamless admission process in 2016, the Federal Ministry of Education invited all administrative heads of tertiary institutions for a meeting. This is sequel to the cancellation of post-UTME. It was equally to discuss the modalities of admission for the 2016/2017 academic session. Emphasis was placed on the usual admission criteria of merit, catchment and educationally less-developed states. Benjamin added that the criteria would bring back the glory days when institutions were cosmopolitan. Nigerians on Twitter are reacting to the fact that both Twitter account @Mbuhari and @Profosinbajo which is the official Twitter accounts of the President and the Vice President respectively, are yet to comment on the attack. After last week's killing of five officers in Dallas, the deadliest assault on U.S. law enforcement since the Sept. 11, 2001, attacks, nearly half of America's 30 biggest cities have issued directives to pair up police officers on calls to boost safety, according to a Reuters survey of police departments. And one, Indianapolis, said it would consider the use of robots to deliberately deliver lethal force, an unprecedented tactic until Thursday when the Dallas police department used a military-grade robot to deliver and detonate explosives where the shooter was holed up. While a wave of anti-police protests since the 2014 killing of an unarmed black teen in Ferguson, Missouri, has revived memories of 1960s protests over civil rights and the Vietnam War, Thursday's shooting marked something different: a willingness to take up arms against police. Ambushes against police on Thursday and Friday in Tennessee, Georgia and Missouri added to a sense of being under siege and vulnerable at a time when many departments already were grappling with heightened community suspicion over the use of deadly force. Responding to the Dallas shooting, Denver's police union wants officers to wear riot gear for local protests and to be armed with AR-15 assault rifles while patrolling Denver International Airport, the union said in a letter to the mayor published in The Denver Post. The most immediate change is the pairing up of officers. Thirteen of the country's 30 biggest city police department said they are pairing up officers - a change that could strain already thinly staffed police ranks in some regions. (The 13 are New York City, Chicago, Houston, Philadelphia, Phoenix, San Diego, San Jose, San Francisco, Indianapolis, Seattle, Memphis, Boston and Portland.) In Albuquerque, New Mexico - one of several cities dealing with an officer shortage - pairing officers could mean "possibly longer response times for lower priority calls," said its police spokesman, Simon Drobik. And for cities with tight municipal budgets, some question whether this expensive strategy can last beyond the short term. Doubling up officers "is a resource-intense approach and it will be a significant challenge for some police departments to sustain that strategy for very long," said Thomas Manger, president of the Major Cities Chiefs Association (MCCA), which represents police chiefs from the country's largest cities. He predicted over the longer term that police will increase surveillance and expand their security presence at major events across the country. There was no immediate response from the government of President Salva Kiir to the statement by Machar's spokesman. Kiir's information minister, Michael Makuei, said earlier the situation was under control and urged people to stay at home. The two leaders, who fought each other in a two-year civil war that started in late 2013, had made a joint call for calm after clashes between rival factions broke out late on Thursday. At least 272 people have been killed in the fighting, a Health Ministry source told Reuters early on Sunday. A Chinese U.N. peacekeeper was killed and several Chinese and Rwandan peacekeepers were injured, Japan's U.N. ambassador Koro Bessho said on Sunday after the U.N. Security Council was briefed on the situation. Japan is council president for July. The U.N. mission said U.N. compounds in Juba had been hit by small arms and heavy weapons fire. "The Security Council expressed their readiness to consider enhancing (the U.N. mission) UNMISS to better ensure that UNMISS and the international community can prevent and respond to violence in South Sudan," Bessho told reporters. He said the 15-member council encouraged countries in the region to prepare to send additional troops in the event the Security Council decides to boost the strength of the nearly 13,500-strong U.N. force. The council also stressed the need for peacekeepers to use all means necessary to protect civilians. The U.S. State Department on Sunday demanded an immediate end to the fighting in SouthSudan and ordered the departure of non-emergency personnel from the U.S. Embassy in Juba. "We're extremely worried about what appears to be the lack of command and control over the troops," U.S. Ambassador to the United Nations Samantha Power said on her way into the Security Council briefing, which the United States requested. A confidential note to the council on Sunday from the U.N. Department of Peacekeeping, seen by Reuters, said: "UNMISS has adopted a proactive posture, conducting patrols within and outside" its compounds and has reinforced the perimeter security to enhance protection for displaced civilians and U.N. staff. The note said the fighting "involved the use of attack helicopters and tanks" and that the U.N. compounds were in the cross-fire. One U.N. Chinese peacekeeper was killed and six were others injured when the armoured vehicle in which they were travelling was shelled. China's defence ministry issued a statement on Monday condemning the attack, and said it would strengthen safety measures. 'DEEPLY FRUSTRATED' U.N. Secretary-General Ban Ki-moon said Kiir and Machar needed to take "decisive action" to regain control of the security situation in Juba and urged them to order their forces to disengage and withdraw to their bases. "I am deeply frustrated that despite commitments by South Sudan's leaders, fighting has resumed," Ban said in a statement. "This senseless violence is unacceptable and has the potential of reversing the progress made so far in the peace process." Residents of Juba's Gudele and Jebel districts reported heavy gunfire near the barracks where Machar and his troops have their headquarters. The Health Ministry source said 33 civilians were among those killed in the latest clashes, which have fuelled fears about renewed conflict and raised concerns about the extent the two men can control their troops in the world's newest nation. "We have called for an arms embargo, we think that this (violence) absolutely underlines the need for that and we are prepared to look at any measures that are necessary in order to stop this violence," Britain's deputy U.N. ambassador, Peter Wilson, said on his way into the council meeting. Earlier this year, Security Council veto power Russia said it was opposed to an arms embargo because Moscow did not believe it would be helpful to the implementation of a peace deal agreed to by Kiir and Machar last August. When asked on Sunday about the possibility of a arms embargo, Russia's deputy U.N. ambassador, Vladimir Safronkov, said the council needed to do "something serious about stabilising the political situation." The confidential U.N. peacekeeping note said some 3,000 civilians, including senior opposition officials, had sought shelter at one U.N. site, while 800 other civilians had entered a second U.N. compound. "Dr. Machar's residence was attacked twice today including using tanks and helicopter gunships. Helicopters from Kiir's side attacked the residence twice," Machar's spokesman, James Gatdet Dak, told Reuters by phone from abroad. He added that the situation in Juba had subsequently calmed, echoing comments from residents who said gunfire had eased later on Sunday after several hours of shooting. STAND-OFF The fighting first erupted on Thursday, when troops loyal to Kiir stopped and demanded they be allowed to search vehicles of Machar's loyalists. That stand-off led to clashes. Gunfire broke out again on Friday between the vice president's bodyguards and the presidential guard, while the two men were holding talks at the presidential State House to defuse tensions. Both men said at the time they did not know what had prompted the exchange of fire. Kenya's presidency urged Kiir and Machar to move heavy weaponry and troops out of civilian areas in Juba. It said Kenya was ready to support law enforcement. Kenya Airways has suspended flights to Juba. Machar and Kiir spent months wrangling over details after signing the peace deal last year. Machar finally returned to Juba to resume his former position as vice president in April. Police in Lusaka on Friday shot dead one opposition supporter in a group that took to the streets, harassing motorists and attacking police after their political party was denied permission to hold a rally, police chief Kakoma Kanganja said in a statement. The Electoral Commission of Zambia (ECZ) said it was suspending campaigns in Lusaka and Namwala south of the capital for 10 days until July 18 when the situation would be reviewed. The ECZ said all vehicles carrying political party campaign messages in the two areas should be parked. "Campaigns shall be restricted to electronic and print media publicity and hate speech will not be entertained," it said. The ECZ had received reports of political violence in three other towns in southern Zambia and warned it would halt campaigning there if violence persisted, it said. "The commission appeals to all political party leaders to counsel and control their cadres in the interest of making peace in our country," the ECZ said in a statement. The ECZ on June 19 threatened to bar campaigning due to growing cases of violence, after clashes between supporters of the ruling Patriotic Front party and the main opposition United Party for National Development (UPND). The United States and South Korea said on Friday that the Terminal High Altitude Area Defense (THAAD) anti-missile system will be used to counter North Korea's growing nuclear and ballistic missile capabilities. The announcement was the latest move by the allies against the North, which conducted its fourth nuclear test this year and launched a long-range rocket, resulting in tough new U.N. sanctions. "There will be physical response measures from us as soon as the location and time that the invasionary tool for U.S. world supremacy, THAAD, will be brought into South Korea are confirmed," the North's military said in a statement. "It is the unwavering will of our army to deal a ruthless retaliatory strike and turn (the South) into a sea of fire and a pile of ashes the moment we have an order to carry it out," the statement carried by the official KCNA news agency said. The North frequently threatens to attack the South and U.S. interests in Asia and the Pacific. South Korea's Defence Ministry spokesman Moon Sang-gyun warned the North not to take "rash and foolish action". Otherwise, he said, it would face "decisive and strong punishment from our military." The move to deploy the THAAD system, which drew a swift and sharp protest from China, came a day after the U.S. Treasury Department blacklisted leader North Korean leader Kim Jong Un for human rights abuses. North Korea called the blacklisting "a declaration of war" and vowed a tough response. South Korean President Park Geun-hye said on Monday the THAAD system was not intended to target any third country but was purely aimed at countering the threat from the North, in an apparent message to Beijing. "I'm certain the international community knows full well that we have no intention whatsoever to target any other country or threaten them," Park said at a meeting with her senior advisers, according to the Blue House. South Korean Finance Minister Yoo Il-ho downplayed the possibility that China, Seoul's biggest trading partner, would retaliate economically over the THAAD decision. "(China) is expected to separate politics and economics," he told lawmakers on Monday in response to a question during a parliamentary session. A South Korean Defence Ministry official said selection of a site for THAAD could come "within weeks," and the allies were working to have it operational by the end of 2017. It will be used by U.S. Forces Korea "to protect alliance military forces," the South and the United States said on Friday. The United States maintains 28,500 troops in South Korea, a legacy of the 1950-53 Korean war. Turkey's state-run Anadolu Agency reported on Saturday that a Syrian rebel group had killed Fehman Huseyin and his bodyguards in a bomb attack on Friday as he travelled to the northern Syrian city of Qamishli. The killing of Huseyin, a Syrian Kurd known in Turkey by the name Bahoz Erdal, would be a blow to the PKK, which has fought intensively with the Turkish state since a two-year ceasefire collapsed a year ago. While the PKK leadership is mainly based in the mountains of northern Iraq, the group is closely allied with the Kurdish YPG militia which operates in Syria. Turkey views both groups as terrorist organisations. Huseyin is one of the top names on Ankara's "red list" of most wanted terrorism suspects and regarded as one of the group's most senior figures. Finding Peace of Mind: Discover These Five Places in Europe to Unwind Federal prosecutors have taken up the case of a Davenport man accused of possessing a large amount of crystal ice methamphetamine in April. Joseph Allen Terry, 39, made an initial appearance Friday in U.S. District Court, Davenport, on one count of possession of methamphetamine with intent to distribute. A detention and preliminary hearing is scheduled Wednesday. Magistrate Judge Stephen B. Jackson Jr. entered an order for temporary detainment during Fridays hearing. According to the federal criminal complaint filed Thursday, Scott County Sheriffs deputies served two search warrants on Terrys North Clark Street home on April 6. Deputies discovered a large quantity of a crystal-like substance inside a small blue bag he had with him, according to the complaint. Terry told deputies he was unsure of how much methamphetamine was in the bag but thought it was approximately one ounce, according to the complaint. He also told deputies that he both used and distributed ice methamphetamine. The substance found in the bag was sent to the Iowa Division of Criminal Investigation Criminalistics Lab, which determined the methamphetamine weighed about 60.56 grams, or 2.14 ounces, according to the complaint. Inside Terrys home, deputies found a digital scale, ice methamphetamine packaging material and multiple cellphones, according to the complaint. Terry initially was charged in Scott County District Court with possession with intent to manufacture or deliver methamphetamine, conspiracy to commit a non-forcible felony by planning or commission, two counts of failure to affix drug tax stamp and possession with intent to manufacture or deliver hydrocodone. Those charges were dismissed once the federal criminal complaint was filed. His co-defendant in the state case, Gage A. Wenthe, 25, also of Davenport, pleaded guilty in June to possession with intent to deliver methamphetamine and possession with intent to deliver marijuana. He faces up to 15 years in prison when he is sentenced Aug. 4. In 2013, Terry pleaded guilty in Scott County District Court to possession with intent to deliver a schedule II controlled substance, delivery of a schedule II controlled substance, and two counts of possession of a product used to manufacture methamphetamine and was sentenced to up to 20 years in prison. In 1999, he was sentenced to a little more than 10 years in federal prison on charges of conspiracy to distribute methamphetamine, endangering life by manufacturing a controlled substance and possession with intent to deliver methamphetamine. This past week I was again reminded of how helpless we as farmers can feel sometimes. I was awakened at 2:30 a.m. by an approaching severe thunderstorm in the distance. A quick check of the weather radar on my phone showed a big line of red and purple storms headed for us. I went downstairs to check the TV, and sure enough, the weatherman was predicting dangerous winds up to 65 mph with torrential downpours and possible hail. As I sat nervously in the dark, listening to the storm approach, I prayed that our crops would be spared damage from the winds, and that the storm would not be as bad as predicted. In the end, despite two hours of worrying as lightning and thunder and rain rolled through, the damaging winds did not materialize. The storm front passed, leaving only a drenching rain and a brief period of high winds. It was too late to really go back to bed, so I was awake for the rest of the day. Summer storms are a farmers nightmare. We now have standing corn thats more than 6 feet tall. Its getting ready to pollinate, and you may see tassels on many of the corn plants in the fields. However, the tall corn is at great risk of being toppled by high winds or damaged by hail. High winds can make corn stalks lean over badly, or even snap them off at the ground. Leaning corn is unable to pollinate properly, as the pollen down not fall straight down from the tassels onto the awaiting corn silks. Instead, it falls on green leaves and the ground. Broken corn stalks result in no crop at all. Yes, we do worry when the storms roll through we worry a lot sometimes. Yes, it was great to get the rain that we so desperately needed, but I wish it didnt come all at once. Farmers are accused of never being happy with the weather, and I suppose its true. What we wish for is a gentle 1 inch of rain every week, with sunshine and hot weather in between, and no winds or hail to be found. If only such a world was possible! Instead, we deal with whatever the good Lord sends us, and we hope that its enough to grow a crop to provide an income for our family. It can make one feel pretty insignificant sometimes. Interesting factoid for the day did you know that every single silk strand in a corn cob produces a single corn kernel? Think about that the next time you start husking sweet corn. All those little silks that get in your teeth actually do have a purpose. Be sure to mark your calendars for our annual Farm Open House on Sunday, July 31. Its the day after the Quad-City Times Bix 7. Come on out to our farm at 10386 130th St. in Davenport between 10 a.m. and 4 p.m. We will have lots of farm equipment for the kids to climb on, people to answer questions about farming, and maps to see a couple other farms belonging to our friends in the area. Watch for road signs to guide you. Hope to see you then! DES MOINES Iowa Gov. Terry Branstad on Monday defended the state rule that requires convicted felons to apply to him in order to have their voting rights restored. They were Branstads first extended public remarks on the subject since a recent Iowa Supreme Court ruling upheld the policy. Branstad also announced Monday his office has streamlined the petition for convicted felons who apply to have their gun possession rights restored; similar changes were made earlier this year to the application for the restoration of voting rights. Iowa is one of eight states in which convicted felons must have their voting rights restored by the governor or the courts, according to the National Conference of State Legislatures. Other states restore voting rights upon completion of the sentence or do not revoke voting rights. Iowas rule was challenged in court by voting rights groups but upheld by the Iowa Supreme court in a 4-3 ruling issued June 30. Branstad called voting a privilege and said that privilege must balance a persons rights and responsibilities. Restoring voting rights to Iowans who have committed felonies is something that I take very seriously as governor, Branstad said Monday during his weekly news conference. To automatically restore the right to vote without requiring the completion of the responsibilities associated with the criminal conviction would severely damage the balance of rights and responsibilities that we all have as citizens. Critics of the rule say it places undue stress on a person who has paid his or her debt to society and requiring convicted felons to pay all court costs before having voting rights restored unfairly punishes low-income residents. Branstad said the application is simple and does not require a lawyer and paying court costs is a part of the punishment that must be completed. Branstad said there is more than $699 million in unpaid fines and court costs in Iowa. We have a huge amount of people that are not meeting their obligations, that are not paying their court costs, and that really creates disregard for the law and to the responsibilities of citizenship, Branstad said. We expect people to meet their responsibilities in order to get their rights restored, he added. Thats the balance. Justice is a balance. Earlier this year, Branstads office streamlined the application for the restoration of voting rights, reducing from 29 to 13 the number of questions on the one-page form. Branstad on Monday announced a similar tweak that reduces from 43 to 29 the number of questions on the application to have an individuals gun possession rights restored or to be pardoned. The tweaks are part of an initiative of the governors office to streamline clemency applications. Branstad insisted the process to have a convicted felons gun possession rights restored, despite the newly streamlined application, remains thorough. Branstad said he rules out those who committed violent crimes, and all applicants undergo a criminal background check through the state Division of Criminal Investigation. Branstad said he also personally interviews any individual deemed to be a good candidate for having firearms rights restored or for a pardon. DES MOINES Gov. Terry Branstad on Monday applauded a revision made by a state commission to clarify that religious activities at churches are exempt from sexual orientation and gender identity public accommodation guidelines under Iowas civil rights law. Officials with the Iowa Civil Rights Commission made changes on Friday to published advisory regarding gender segregated restrooms after wording indicating there was no bona fide religious exemption to the laws provisions was challenged in federal court by a Des Moines church and the Arizona-based Alliance Defending Freedom. I didnt ask them to change it, Branstad told his weekly news conference on Monday, but I support the action that they took. I think they wanted to clarify the law and make it clear that they have no intention of going after people for exercising their freedom of religion. Commission executive director Kristin Johnson issued a statement last week indicating revisions had been made to a publication that had not been updated since 2008 clarifying that religious activities by a church are exempt from the Iowa Civil Rights Act. The Iowa Civil Rights Commission has never considered a complaint against a church or other place of worship on this issue, Johnson said in a statement. This statute was amended to add these protected classes (sexual orientation and gender identity) in 2007 and has been in effect since then. The Iowa Civil Rights Commission has not done anything to suggest it would be enforcing these laws against ministers in the pulpit, and there has been no new publication or statement from the ICRC raising the issue, she added. The commission regrets the confusion caused by the previous publication. However, Christiana Holcomb, an alliance attorney who is representing the Fort Des Moines Church of Christ in its lawsuit against the state, said the revision made by unelected commission officials would not head off a federal lawsuit challenging what her group views as bad law. The alliance brought the pre-enforcement challenge lawsuit seeking injunctive and declaratory relief under the U.S. Constitution at the Iowa churchs request, she said, to stop the potential for government interference with the exercise of its religious beliefs and practices. Holcomb said the commission made a minor revision to its public accommodations providers guide to Iowa law that failed to alleviate the concerns regarding a vague state law at issue in the lawsuit her organization filed on behalf of the Des Moines church. Cosmetic changes to the alarming language in one brochure wont fix the unconstitutionality of the Iowa Civil Rights Act. Churches should be free to communicate their religious beliefs and operate their houses of worship according to their faith without fearing government punishment, Holcomb said in a statement. The revised commission publication answers no to questions of whether Iowas law prohibits gender-segregated restrooms or sex-segregated locker rooms and living facilities. It is still legal in Iowa for businesses to maintain gender-segregated restrooms. The new law does re-quire, however, that individuals are permitted to access those restrooms in accordance with their gender identity, rather than their assigned sex at birth, according to the commission. Also, the publication says Iowa law does not prohibit places of public accommodation from maintaining separate facilities for the different sexes, so long as they are comparable. The new law does require, however, that individuals are permitted to access those facilities in accordance with their gender identity, rather than their assigned sex at birth, without being harassed or questioned. The commission revision notes that places of worship, such as churches, synagogues, mosques, are generally exempt from the Iowa laws prohibition of discrimination, unless the place of worship engages in non-religious activities which are open to the public. For example, the law may apply to an independent day care or polling place located on the premises of the place of worship. For many people, Sturgis and motorcycles go hand in hand, with motorcycle enthusiasts from around the world annually comeing to Sturgis in August for the Sturgis motorcycle rally. But getting a motorcycle to Sturgis can be difficult, especially from long distances or an overseas location. One Sturgis business has a possible solution to this challenge: motorcycle rentals. Eaglerider Motorcycles of Sturgis is that business. Eaglerider, which opened May 15, specializes in rentals of motorcycles, ATVs and UTVs for Sturgis and the rest of western South Dakota. Customers can rent the vehicles for a selected period of time. That way motorcycle enthusiasts who travelers long distances can still ride motorcycles in Sturgis and the Black Hills. This saves many motorcyclists from having to complete a long journey on motorcycle or having to ship a motorcycle across seas, both of which are expensive and time-consuming. Eaglerider Motorcycles of Sturgis is owned by Vern Eide and employs three people. Employee Rodney King explains that the new business is associated with the Indian Motorcycle dealership across the street, which takes care of all the motorcycle sales. Eaglerider Motorcycles USA is a nationwide franchise that focuses on encouraging recreational activities for tourism through motorcycle rentals. Eaglerider really opens up another market for motorcycles in the Black Hills, King said. Our rentals have helped with tourism in the Black Hills area and getting people riding motorcycles in and around Sturgis. Its also a way for renters to test drive a vehicle they may be interested in purchasing. So far, the store has been successful in bringing in customers from various locations. I just had a couple of people from Canada rent bikes from us. We have people coming in from Australia, Germany and really all over the world renting motorcycles from us, King said. While Eaglerider has been able to draw in many tourists, they are working on also providing their services to a different crowd. King said, Weve been working on drawing in locals for renting bikes. Eaglerider gives locals the opportunity to go out with their friends on motorcycles and ride around the Black Hills. However, there are some times that are challenging to get customers to rent motorcycles. King explained, Probably our biggest challenge has been the weather. No one really wants to ride motorcycles when it is raining, which really slows down business. But rain or shine, there is one time of the year that is always good for Eaglerider: the annual Sturgis motorcycle rally. The tourism is starting back up again. Our business will have a big pick up during the rally. We are already getting more people coming in as the rally gets closer, King said. More people coming into the store means more staff-customer connections, and that can be enjoyable. King said, I like meeting all the people that come into the store, and it is fun getting to know them. Eagleriders main goal is to be a helpful and successful business for its customers. King said,We want to continue providing excellent customer service and being one of the best bike rentals for tourism in South Dakota. To rent a motorcycle from Eaglerider Motorcycles of Sturgis, customers must be at least 21 years old and have a valid drivers license showing that the renter is allowed to drive a motorcycle. More information about rentals can be found on the Eaglerider website: http://www.eaglerider.com/sturgis/. Eaglerider is located on Lazelle Street across from Shopko Hometown. A man drowned at Pactola Reservoir on Saturday afternoon, according to a news release from the Pennington County Sheriff's Office. Emergency personnel were dispatched around 3 p.m. to the eastern side of the lake, near the dam. According to the release, the man had been on a boat, then went into the water and couldn't make it back to the boat. The body had not been recovered as of Sunday night, but the search will continue Monday. The victim's name is being withheld until the next of kin is notified. The sheriff's office, Pennington County Search and Rescue, Johnson Siding Volunteer Fire Department, South Dakota Game, Fish & Parks, Rapid City Fire Department, and the U.S. Forest Service responded to the call. The drowning is the second fatality at Pactola this month. On July 4, 38-year-old Cade Thomas Page of Newcastle, Wyo., drowned after his kayak overturned. Authorities identified the weekend drowning victim at Pactola Lake as a Deadwood man. Dana Caswell, 64, drowned Sunday at Pactola Lake, authorities said in a release Monday afternoon. Authorities responded to the report at approximately 3 p.m. Sunday to the east side of the lake near the dam. A witness reportedly told authorities that Caswell had jumped off a boat to go swimming, but couldn't make the swim back to the boat and sank. Repeated rescue efforts to find Caswell failed, authorities said, largely due to the depth of the water where Caswell drowned. The release says the water is approximately 130 feet deep. The Burleigh County Sheriffs Office out of North Dakota will arrive Tuesday to help in the recovery effort using its Remotely Operated Vehicle (ROV). Authorities say the device was successfully utilized last summer to recover the body of Gabby Fisher, who died in an accident while boating on Pactola. Trysten Bowman is an honor student, a cheerleader, a nursing assistant at a senior center and a community volunteer. But this teen, who is about to enter her senior year at Sturgis Brown High School, says she is certainly not a drug smuggler. And yet, while returning from a recent humanitarian mission trip with friends in the Bahamas, Bowman says customs agents manhandled her during a strip-search in which she was required to remove her shirt and pants, and was touched beneath her clothes. The incident has left the 17-year-old traumatized and dumbfounded. "To be treated like a criminal, after I had done missions work and helped children in their country, was a stab in the back to me," she said. A different Bahamian customs agent, who provided security while the mission group was in the Bahamas and came to know Bowman, also is appalled at the actions of the TSA and U.S. Customs agents. "What those guys did was completely inappropriate," said Kevin Renaldo Collie. Bowman and others were on the mission trip as part of their community service as representatives of the World's Miss Tourism program. This is the second year Bowman has made the trip. She is this year's South Dakota representative. The group's chaperone, Bridget Gasper, said this year's trip spanned from June 22 to July 3. But Bowman and Gasper stayed longer because they scheduled the trip to coincide with the World's Miss Tourism national pageant in Nashville on Saturday. During the trip, participants worked in children's homes and churches, as well as serving as goodwill ambassadors while touring the country. On Thursday, Bowman and Gasper went to the airport in Nassau. They checked in with American Airlines then went through TSA and customs with no problem. They headed upstairs in the terminal to grab a bite to eat before boarding their flight. While Bowman headed for the cafeteria, Gasper stopped off at the restroom. Bowman said she sat down with her makeup bag next to her at a table in the cafeteria when she realized there was a dog beside her. "I honestly didn't know it was a drug dog so I petted it," Bowman said. The agent then told her not to pet the dog. He also said that they would need to check her bag because the dog was showing interest in it. "They first took me into the family bathroom and asked me to open the bag," she said. They found nothing incriminating and told Bowman they needed to X-ray the bag. "It was embarrassing. Here I was wearing my sash and carrying my crown and being escorted by these agents," she said. Once they reached the area where the bag was to be X-rayed, the agents began asking more pointed questions, Bowman said. "I was just standing there watching what they were doing, and they asked if I had been around drugs. They started interrogating me with all these questions," she said. It was at that point that Bowman asked if she could text Gasper and let her know where she was. Bowman said an agent told her to type a message saying: "My bag is being checked. I will be up soon." The officer then took the phone and began looking through Bowman's pictures and messages, she said. Gasper then received a text, which the two realized later must have been sent by the customs agent asking: "Where are you at?" Gasper replied saying: "I am at our gate. C43." Much to Gasper's surprise, the customs agent showed up while she was waiting at the gate and told her she needed to follow him. "I picked up my bag and phone and noticed he had Trysten's phone," she said. "He then started interrogating me. He wanted to know why we had stayed in the country so long." Gasper and Bowman were finally reunited in the customs office area of the terminal, but it was short lived. They took Bowman into a room and continued to interrogate her. "They asked if I had ever done drugs. Had anyone slipped me anything? Did I have a past with crime? That's when I started giggling and told them, 'I'm really a pretty good girl,'" she said. They then told Bowman she was going into a nearby room to be body searched. "I put my crown down on the table. I just thought they were going to pat me down. They had me put my hands on the table. Then they asked me to pull up my shirt. I did and they searched in my bra. Then they told me to pull down my pants. I did. It was really traumatic," she said. Gasper said as Bowman's legal guardian, she was not asked for her consent to the strip search, nor was Bowman read her rights. A short time later, they told the two they were free to go. Bowman's mom, Kendra Lawson, said she believes something one of the agents found while snooping through her daughter's phone may have saved her daughter from more heartache. She thinks they saw photos of Gasper and Bowman with Collie, the Bahamian customs agent. "They asked her how she knew him. Then the questioning ended very quickly," Lawson said. Lawson has been in contact with Collie, who is working to investigate the handling of Trysten Bowman. He said no one disputes the fact that they have stringent processes for customs, but this time the agents went too far. "What bothers me is how they handled her," he said. "She certainly isn't the profile of a drug smuggler. I've met her. I've spent time with her. She's a little girl full of life. I was totally baffled and shocked at what happened." Lawson found out about the incident when her daughter and Gasper landed in Nashville late Thursday. "(Trysten) was crying and telling me what happened," Lawson said. "It kills me as a mom. She's 17. I know in a year she will be out on her own, but she's still my baby." In addition to asking Collie to investigate the incident, Lawson called the office of South Dakota Sen. John Thune. Thune spokesman Ryan Wrasse confirmed the senator's staff received a call on the matter, but declined to comment on details. Collie said he is encouraging Trysten's mom to sue the agents that he says terribly mishandled the situation. He said they violated her daughter's rights as an individual by not reading her rights, going through her private phone messages and luring Gasper to them in a message sent by a customs agent. "The family does have the right to sue for damages," he said. "I'm definitely going to be suing. For me to be dragged into something like this should not happen. What we have here are some overzealous agents overstepping their boundaries." Over the past year, my office has been looking into the financial, structural and administrative problems at Indian Health Service (IHS) so that we can better understand how to reform the agency and provide better health care for tribal members. As our tribal members know all too well, the IHS has been failing to live up to its trust responsibility to provide health care to Native Americans. Its shortcomings have been documented in a number of Government Accountability Office (GAO) reports, yet there has never been a systemic review of IHS to address the health-care crisis currently going on in the Great Plains Area, which includes South Dakota. Furthermore, IHS fails to adequately consult with the tribes when making decisions about their health care. I recently requested an audit by the Office of the Inspector General (OIG) at the U.S. Department of Health and Human Services (HHS) to review the financial aspects of hospital and health care, medical services and overall financial management at IHS. Based on my offices discussions with tribal leaders, we believe an audit is the appropriate first step toward identifying areas of concern and finding solutions to fix the ongoing problems at the agency. The audit is supported by both tribal members and officials within HHS. The Great Plains Tribal Chairmans Association recently passed a resolution calling upon Congress to demand an audit of IHS. Additionally, during the Senate Indian Affairs Committee field hearing in Rapid City on June 17 that I participated in, HHS Acting Deputy Secretary Mary Wakefield said that HHS would welcome an audit of IHS. As I wrote in my request, despite the agencys well-documented history of failing to meet trust obligations by not providing quality health care, there has never been a systemic review of IHS to address and ultimately reform these issues in attempt to improve health outcomes for tribal members. Furthermore, there has been a continuing lack of consultation with the tribes. I believe an audit similar to what was recently conducted at the Veterans Health Administration, which identified shortfalls and recommended solutions is a potential model for addressing these critical financial and quality issues within IHS. The problems at IHS are serious. The Great Plains Area IHS has the second highest mortality rate among all IHS regions. We also have the highest diabetes death rate, more than triple the average among IHS facilities. Our life expectancy rate is the lowest of all IHS regions, at 68.1 years. The U.S. average life expectancy is nearly a decade longer at 77.7 years. It is clear the IHS is failing our tribal members, who are suffering and in some cases dying due to this inadequate and disgraceful care. We are hopeful that the audit will show us specific areas we can focus on to begin making significant changes Moscow court mitigates sentence for Deputy chief of Russias customs service MOSCOW, July 11 (RAPSI, Oleg Sivozhelezov) The Moscow City Court on Monday reduced the sentence given to Ruslan Lagutkin, deputy head of Russias Federal Customs Service, for embezzling 38 million rubles (over $591,000), to 5.5 years in prison, RAPSI reports from the courtroom. In July 2015, the Basmanny District Court in Moscow sentenced Lagutkin to 6 years in prison. Investigators established that Lagutkin used his official capacity to procure equipment for the customs service at double the standard price. He claimed to have scrutinized the market before doing so. Lagutkin also falsified documents so that only the companies he controlled could take part in the tender. Authorities have estimated damages from his activity at 38 million rubles (over $591,000). It was previously reported that a member of Lagutkins criminal group was found guilty and received a four-year suspended sentence. Vladivostok mayors brother to stay under house arrest in bribery case MOSCOW, July 11 (RAPSI) The Moscow City Court has upheld the house arrest of Andrei Pushkarev, the brother of Vladivostok Mayor charged with abuse of office and commercial bribery, RAPSI reported from the court on Monday. The court dismissed an appeal filed by Andrei Pushkarevs defense seeking to extend the walks length from 1 to 4 hours per day or to release him on a 10 million ruble bail (about $155,700). The lawyers insisted that the ruling on the house arrest and imposed restrictions are too strict and ignore their clients poor health condition. On New Year 2015, Andrei Pushkarev received a serious wound during playing off fireworks. He came through numerous operations and cannot drink and take food by himself, according to his attorneys. However, the court upheld the house arrest and all restrictions imposed on the defendant including daily walks limited to 1 hour in length. On June 24, Andrei Pushkarev was arrested in Vladivostok and transferred to Moscow. He was charged with complicity in commercial bribery and put under house arrest upon a court order until July 31. Earlier, the court ordered the detention of Vladivostok Mayor Igor Pushkarev, who stands accused of abuse of office and commercial bribery, until July 31 as well. Pushkarev has pleaded not guilty to charges brought against him and expressed his willingness to cooperate with investigators. Earlier, official representative for Russias Investigative Committee Vladimir Markin announced that between 2009 and 2014 Pushkarev from mercenary or other personal motives organized the acquisition by Roads of Vladivostok of a large volume of construction materials from the group of companies Vostokcement allegedly controlled by his relatives. Investigators believe that construction materials have been purchased at inflated prices. Pushkarev has received upwards of 45 million rubles ($700,400) for this. The damage allegedly caused by Pushkarev has been estimated at more than 158 million rubles ($2.5 million), according to investigation. BILLINGS - The Montana Department of Public Health and Human Services is lowering its fee for medical marijuana cardholder registrants by about 94 percent. The department, which administers the program, announced the change this month. As of Saturday, people renewing or applying for a new medical marijuana card will pay $5 instead of $75. "The fee change is necessary because current program revenues are in excess of what is needed to operate the program," Jon Ebelt, a health department spokesman, said in a statement. "Fee changes have occurred in previous years when warranted." Registration fees from cardholders and providers cover most of the cost to administer the program. The outgoing fee of $75 was set in 2012. At that time, the program had run at a deficit of $500,000 annually for the previous two years, Ebelt said. The Montana Legislature did appropriate additional funding for the Montana Marijuana Program to deal with an explosion in the number of registered cardholders. Those appropriations went unused, however, after lawmakers passed SB 423 in 2011, which curtailed the program. Instead, the health department raised its fees to cover costs. Though SB 423 wasn't fully implemented due to a lawsuit, the number of cardholders dropped after its passage. From a high of about 30,000 in 2011, there are about 13,000 cardholders in Montana as of June. Now the health department is lowering its fees because it deals with fewer patients in the registry, Ebelt said. Montana Marijuana Program fee revenues were estimated to reach $375,000 at the onset of the current fiscal year, state records show. The fee change comes at another period of change for the state-run program, which could again alter the number of cardholders. The fully provisioned version of SB 423 will go into effect on Aug. 31, following a long legal battle brought by the Montana Cannabis Industry Association. Those in the industry fought certain parts of the bill, claiming that they would put providers out of business. One such provision requires that only three patients be registered to a provider, though many had served hundreds previously. In June, the U.S. Supreme Court declined to hear an appeal of the case, ending a last-ditch effort by the trade group. "It's been 5 years of this," said Bob Devine, president of the Montana Cannabis Industry Association. "And we're still here. We're going to be here next year." Though the law will take effect in August, things could change again this fall if voters approve one of two marijuana-related ballot initiatives. I-182, brought by Devine's organization, would remove the restrictions like the three-patient limit. A competing initiative, I-176, would repeal the state program and defer to federal drug laws. Neither initiative has been officially approved for the ballot, though both campaigns have claimed to have collected enough signatures for approval. The state health department previously voiced concern for patients who might lose access to medical marijuana as a result of the new law. As it stands currently, health officials are working to accommodate the August deadline. "DPHHS is currently working on communications to notify providers and cardholders about the new regulations and options for complying," Ebelt said. The UK government released the report on the results of the inquiry into the Iraq War last week, which was scathing of the military intervention into Iraq by the United States and the United Kingdom in 2003. There were a number of key findings. In the Inquiry's view, the US-led coalition resorted to force to remove Saddam Hussein before the diplomatic options had been exhausted, in a way which undermined the authority of the United Nations Security Council. It said, the case for war was presented with "a certainty which was not justified" and was based on "flawed intelligence". And despite explicit warnings, the consequences of the invasion were underestimated and the planning and preparations for Iraq postwar were wholly inadequate. The report is extremely critical of Tony Blair, the British prime minister at the time, rejecting his claims that the insurgency and terrorism which have plagued Iraq since Saddam's fall could not have been foreseen. "The risks of internal strife in Iraq, active Iranian pursuit of its interests, regional instability and al Qaida activity in Iraq were each explicitly identified before the invasion," Sir John Chilcot said, when introducing the report Of course, the conclusions would suggest that a similar inquiry on decision-making process that led to the Iraq War ought to be conducted in the United States, which masterminded the invasion of Iraq. George W. Bush, who served as US president from 2001-09, should also be facing such stern questioning as well. But more importantly, the report should offer awake-up call to the world about the folly of military interventions amid rising geopolitical concerns in many regions. On Friday, when the leaders of NATO met in Warsaw, the BBC reported that a 500-strong UK battalion is to be deployed to Estonia and 150 troops will be stationed in Poland as part of the alliance's response to concerns about Russia. The United States has long stood behind Japan, the Philippines and other Asian countries in their disputes with China, triggering rising security concerns in the Asia-Pacific region. And it was announced on Friday, the US' Terminal High Attitude Area Defense missile system will be deployed in South Korea, despite China's concerns. All these have been unfolding mainly due to the unrivalled military capabilities of the US and its longstanding hegemonic foreign policy. In contrast, China has become more active in peacefully engaging with the world, seeking solutions to issues that do not involve force, and promoting a community of shared destiny for all mankind. It is hard and challenging. But the Iraq War report should remind policymakers and decision-makers that resorting to war is both wrong and bloody. The decisions of politicians today will be judged by generations to come. The politicians of the West should not jump to the easy conclusion that those leaders who do not follow their line should be deposed. That logic is not viable in today's world. The author is the deputy bureau chief for China Daily in Europe. fujing@chinadaily.com.cn SEOUL, July 11: North Korea said Monday it will end a diplomatic communication channel with the United States and hinted at harsher punishment for Americans detained in the country in retaliation for U.S. sanctions that target leader Kim Jong Un. The U.S. government last week imposed penalties on Kim and 10 other top officials for alleged human rights abuses. North Korea is already sanctioned because of its nuclear weapons program, but it was the first time that Kim has been personally sanctioned. The North called the sanctions tantamount to a war declaration. On Monday, the North's state media said it told the United States it will terminate contact through a U.N. channel in New York that allowed diplomats to communicate. The New York channel refers to a method for North Korea's U.N. diplomats to communicate with U.S. diplomats in New York. This is needed because the countries don't have diplomatic ties and their animosities have deepened because of the North's nuclear and missile programs. The North also said it informed Washington that it will handle all issues between the two countries according to an unspecified wartime law, including Americans detained in North Korea, according to the state-run Korean Central News Agency. South Korean media have suggested that North Korea might use the wartime law to hand out harsher punishments on Americans detained in the North. The North's actions could complicate U.S. efforts to secure the release of at least two American citizens being held for alleged espionage, subversion and other anti-state activities. One is serving a 10-year prison term with hard labor while the other received 15 years. North Korea in the past released or deported American detainees only after high-profile Americans visited the country on their behalf. Outside analysts say Pyongyang often attempts to use foreign detainees to wrest concessions from other countries. The North's announcement came hours after it made more threats, this time over a U.S. plan to deploy an advanced missile defense system in South Korea. The North's threat, carried in state media, was typical of past bluster. It came three days after Seoul and Washington said they were close to determining a location in South Korea for the Terminal High-Altitude Area Defense, or THAAD, system to better deal with North Korean threats. The North said it will take an unspecified "physical counter-action" as soon as the THAAD location is announced. The statement also carried one of the North's favorite, oft-repeated threats: To turn Seoul into a "sea of fire." It has regularly warned it would do that since 1994. U.S. and South Korean officials say THAAD only targets North Korea, but China and Russia suspect it could also help U.S. radars detect their own missiles. South Korea's President Park Geun-hye said Monday she agreed on the U.S. missile deployment because North Korea has openly threatened to launch nuclear and missile attacks on South Korea. The two Koreas are divided along the world's most heavily fortified border. The United States stations about 28,500 soldiers in South Korea. President Bidya Devi Bhandari (centre) administers the oath of office and secrecy to newly appointed Chief Justice Sushila Karki (left) at the Presidentas Office, Sheetal Niwas, on Monday, July 11, 2016. Photo: RSS KATHMANDU, July 11: The first woman Chief Justice of the Supreme Court, Sushila Karki, has assumed responsibility formally from Monday creating history in the country. Karki, who is the 25th Chief Justice, today took oath of office and secrecy from President Bidya Devi Bhandari. She has become the first woman Chief Justice in the 64 years history of the SC. The Parliamentary Hearing Special Committee had on Sunday approved the name of Karki recommended by the Constitutional Council on April 10 for her appointment to the post. Karki has now become the first woman Chief Justice. She had been working as Acting Chief Justice for around three months for lack of hearing following delay in formation of PHSC. Karki has been appointed as Chief Justice on the basis of seniority, capacity and qualification after the then CJ Kalyan Shrestha got retirement on April 12 due to age bar. Born on June 8, 1952 at Shankerpur-3, Biratnagar, Karki would remain on the post for around 11 months. She completed her Bachelors' level from Mahendra Morang campus in 2028 BS and Master Degree in political science from Banaras University in 2031 BS. Kaeki had started her legal journey after completing Bachelor in Law (BL) from Tribhuvan University in 2034 BS and made legal sector as her working area from March 29, 1979 being an advocate. She also taught at Mahendra Morang Multiple Campus, Dharan, for three years (2042-2046 BS). Being a chairperson of the Koshi Zonal Bar from 2045 BS-2047 BS, Karki became chairperson of the Biratnagar Appellate Bar from 2059 BS-2061 BS. She became an Advocate on 20 December 2004 and a temporary judge at the Supreme Court on 22 January 2009. She went on to become the Permanent Judge at the Supreme Court on 18 November 2010. Chief Justice Karki has visited America, the United Kingdom, Sri Lanka, Japan, China, India, Tanzania and the Netherlands, among other countries. She is proficient in Nepali, English and Hindi. Karki worked as a lawyer for more than three decades and as the judge at the apex court for seven years. Known for her boldness and leading a simple life, Karki is considered a person with an impeccable image in the judicial sector. Three women have become successful in reaching high offices after the promulgation of the new constitution in the country. Along with President Bidya Devi Bhandari and Parliament Speaker Onsari Gharti, Karki has the honor of taking up the responsibility of the Chief Justice of Nepal. RSS Citizen killed, another injured in Saudi raids on Yemen SANAA, July 10 (Saba) At least one citizen was killed and another was injured in an airstrike by Saudi-led war jets on the coastal district of Mocha in Taiz province, a military official said Sunday. The official pointed out that the Saudi warplanes and mercenaries continued to breach the ceasefire through flying and bombing on a number of provinces in the country during the past 24 hours. In Sanaa province, the hostile war jets launched four raids on al-Dhaboah area in Nehm district, four others on al-Majaweha area, two on al-Saltaa, one on Yam area and another one on al-Manara area. In the same district, the hirelings bombarded Bani Bareq area with missiles. In Taiz province, the military official said the Saudi warplanes and a drone waged two raids on al-Amri area in Thubaba district in the province. He pointed out that the aggressions hirelings in Taiz bombed the areas of Thi al-Barh, al-Nidani and al-Sarari in Sabr al-Mawadem district with heavy and medium weapons and opened fire at the areas of al-Shaabya, al-Jorah and al-Hayar, which led to injuring a women in the head. The hirelings also targeted Thubab city with a missile bombing and al-Hamra village in al-Wazeyah district with artillery shells. According to the military official, a number of the mercenaries were killed in an attempt to advance on Dhabi area in Haifan district, and several others were injured while trying to progress toward al-Shaabya and al-Jorah villages in al-Sarari area. The army and popular committees forces repulsed an attempt by hirelings to advance in Thuabat area in Taiz, the official said. The Saudi-led war jets waged three raids on Harf Sufian district in Arman province, and two others on al-Maslob district and one on al-Ghail district in Jawf province. The mercenaries launched a Katyusha rocket attack on the villages of Al Hajlan, al-Ramdha and Dhowar in Serwah district of Mareb province, and pounded Kahbob area of Lahj province with artillery. The military official affirmed that the hostile warplanes flew intensively in the sky of the capital Sanaa and the provinces of Taiz, Hajjah, Mahweet, Shabwa, Saada, Jawf, Lahj and Mareb. BA Saba Facebook Facebook Twitter Twitter Whatsapp Whatsapp Telegram Telegram Email Email Print Print [10/July/2016] Zambia has decided to withdraw its recognition of SADR, the Sahrawi Republic, self-proclaimed by the Polisario with Algerias support. This new withdrawal reduces the number of African countries still giving credit to the ghostly republic, which is recognized neither by the UN nor by any major world power. The announcement of Zambias decision was made Saturday night in Rabat by Zambian Foreign Minister Harry Kalaba, following a meeting with the Moroccan Junior Foreign Minister, Nacer Bourita. With this new Polisario recognition withdrawal, Morocco has achieved a major breakthrough in the bloc of African English-speaking countries that had recognized the separatist front in the 1970s. The wave of recognitions, which occurred at the height of the Cold War, was obtained under the influence or rather pressure of the Algerian President Houari Boumedienne and Libyas Muammar Gaddafi. Nonetheless, most French-speaking countries in West Africa support Morocco in the Sahara conflict and reject the Polisario separatist claims. Overall, at present only a dozen African countries out of 54 still recognize the Republic self-proclaimed by the Polisario. For Algeria, Zambias decision is very unfortunate, all the more so as it comes on the eve of the preparatory meetings of the African Union summit, to be held in Rwanda this July 16 to 18. This should bring water to the mill of francophone African countries supporting Morocco. The decision also comes just a day after the appointment of Algerias man, Brahim Ghali, head of the Polisario in replacement of Mohamed Abdelaziz, who passed away on May 31. The choice of Brahim Ghali as the Polisario new leader was not greeted by all the Sahrawis in the Tindouf camps. Rather, he would have to deal with the hostility of some dissident groups such as Khat Achahid and the opposition of the supporters of the Morocco-proposed autonomy plan. I give my consent to Sakshi Post to be in touch with me via email for the purpose of event marketing and corporate communications. Privacy Policy The oldest traces of bone cancer in a dog discovered in Egypt Traces of one of the most malignant and deadly cancers that kill modern dogs, osteosarcoma, have been discovered by Polish archaeologists in Egypt. "This is the oldest and the only find of this type" - told PAP archaeozoologist from Poznan, Dr. Marta Osypinska. The discovery was made during archaeological excavations at Berenike, the ancient port on the Red Sea. Several years ago, researchers (including Polish participants of the international project) discovered an approx. 2 thousand years old pet cemetery. "This place is unique in Egypt" - said Dr. Marta Osypinska from the Institute of Archaeology and Ethnology PAS in Poznan. In addition to dogs, animals buried there include apes, baboons and cats. A total of one hundred burials provided researchers with information about the close relationship that people shared with domestic animals already in antiquity. The remains of a dog that suffered from cancer were wrapped in a mat of palm leaves and then covered with an amphora from Cyprus, cut in two halves. The vessel allowed archaeologists to accurately estimate the age of the burial of the 70s of the 1st century AD. The fact that the animal suffered from a particular variety of cancer is evidenced by characteristic lesions on the tibia near the knee and the left humerus at the shoulder joint. Osteosarcoma is now one of the most malignant and deadly cancers killing modern dogs - explained Dr. Osypinska in an interview with PAP. It is considered a genetic disease, affecting especially dogs that have a familial predisposition to the disease. Changes initiating the formation of a tumour may also appear as a result of exposure to environmental or biological factors. The discovery of evidence of the occurrence of osteosarcoma in dogs living even two thousand years ago indicates that the emergence of this disease is not due to modern factors, such as pollution, or the advanced level of dog breeding, as some scientists believe. It is a highly malignant tumour with 100 percent mortality in untreated animals. Its cells quickly spread throughout the body, causing metastases in the lungs and other organs. "This painful condition is incurable even with today\'s advanced methods used in veterinary oncology" - emphasised the researcher. The dog was clearly different from the local animals - said Dr. Osypinska. It was much larger than those found locally: more than half a meter tall, with a very large skull and massive body. "There are many indications that it was one of the oldest archaeologically recorded heavy molossoid dogs, the ancestors of today\'s breeds such as boxers, bulldogs, dogs, Rottweilers, mastiffs" - suggested the archaeozoologist. The dog\'s last meal was large marine fish and goat meat - perfect state of preservation of the animal allowed to determine this detail. "We hope that the discovery of traces of the disease in a dog that lived two millennia ago, will contribute to progress in the study of this type of cancer and its causes. For this purpose, we have secured samples for histopathological and genetic studies" - added Dr. Osypinska. Research at Berenike is conducted by an international team of researchers led by Prof. Steven Sidebothama of the University of Delaware (USA) and Iwona Zych of the Polish Centre of Mediterranean Archaeology of the University of Warsaw. PAP - Science and Scholarship in Poland szz/ zan/ mrt/ tr. RL Veteran filmmaker Corey Yuen will serve as executive producer for two Chinese-language martial arts movies to be broadcast on HBO Asia and China Movie Channel, according to The Hollywood Reporter. Master of the Drunken Fist: Beggar So and Master of the Shadowless Kick: Wong Kei Yung are the first two movies in an anthology series of action movies. They represent the first Chinese-language productions by HBO Asia featuring Chinese talent. Currently in production and aiming for broadcast at the end of this year, the movies will showcase "gritty combat sequences executed by a cast of Chinese actors professionally trained in mixed martial arts, kung fu and muay thai." Yuen's screen career dates back to the early 1970s and he became a director in the 1980s, with titles like Yes, Madam!, Fong Sai Yuk, Enter the Eagles, and The Transporter to his credit. Guo Jian Yong is directing the movies and is also serving as action choreographer; he worked with Yuen on The Transporter and DOA: Dead or Alive. HBO Asia, based in Singapore, previously collaborated with filmmaker Joko Anwar to produce the series Halfworlds, which was recently renewed for a second season and is currently in production in Thailand. ProofPoint have found in the wild a backdoored version of the popular Pokemon GO Android App that could allow attackers to gain control over victims device The gamers are going crazy for the last Nintendo game Pokemon GO which uses augmented reality , the mobile app is used by players to walk around and collect the popular characters. The game is viral, players use to spoof their location using VPNs in their quest to catch em all. Crooks are trying to exploit the popularity of the game to spread a malicious version of the Pokemon GO app that could infect Android mobile devices and install a backdoor to gain complete control over the victims smartphone. Experts from the security firm Proofpoint discovered a bogus Android application that included the DroidJack remote access tool (RAT). The official Pokemon GO app was first launched in Australia and New Zealand on July 4th, and later on July 6th in the US, but the malicious app was first uploaded to an online malware detection repository on July 7. Now that the world and your social media feed has been overtaken with Pokemon Go news, it should probably be noted that while the app is the number one free download in the Apple App Store, iPhone users should beware of a major privacy issue relating to your Google accounts. As Ars Technica reports, the iOS version of the app doesn't ask permission before giving itself full account access to your Google contacts, and it can also view and send e-mail, view and delete Google Drive documents, and more. The Android version doesn't seem to have the same issue, but if you want to check and see, and revoke these permissions, go to this security page while logged into your Google/Gmail account. Niantic Inc., creators of the app and originally an internal Google startup called Niantic Labs, has not commented on the issue thus far, and it's likely to be addressed in an upcoming release though current users are going to want to go and hit the remove button like so: TechCrunch credits RedOwl's Adam Reeve for pointing out the flaw shortly after the app's launch, and it's curious that it hasn't been addressed though to be fair, Niantic has a ton of other fires to put out following the augmented-reality game's positively crazy popularity over the past five days. As Wired UK reports, Android users are subject to a malicious version of the app that allows backdoors that could give hackers total control over a user's phone, plus the app is causing major battery drain for many users, and it's been subject to server outages because (likely Google Cloud) servers can't handle the volume prompting taunts from Amazon Web Services, their competitor, as Business Insider reports. As for the iOS security problem, Google itself warns users that such "full account access" should only be granted to only the most trusted applications. To wit: When you grant full account access, the application can see and modify nearly all information in your Google Account (but it cant change your password, delete your account, or pay with Google Wallet on your behalf). This Full account access privilege should only be granted to applications you fully trust, and which are installed on your personal computer, phone, or tablet. So, in other words, if you really can't stop playing and "catching them all" before the next release, you may want to at least check up on your Google account right now. Update: Gawker's Black Bag blog has another piece detailing the concerning language you agree to when you download the app, which includes phrases like, "We may disclose any information about you (or your authorized child) that is in our possession or control to government or law enforcement officials or private parties." Related: SFPD Forced To Issue Pokemon Go Safety Tips SIOUX CITY | The pastor of a local church says he is accepting the Iowa Civil Rights Commission's response after enlisting legal help last week to challenge its position regarding gender identity protections that the church believed infringed on religious freedom. Cornerstone World Outreach, 1625 Glen Ellen Road, was the second in Iowa last week to challenge the commission regarding wording in a brochure that stated churches would "sometimes" fall under the state's sexual orientation and gender identity non-discrimination law. The church hired the Texas-based legal firm First Liberty Institute to write to the commission, saying it was prepared to pursue "all available legal remedies" if the commission didn't specify the church was exempt from the law. On Friday, the Civil Rights Commission responded by releasing an updated brochure clarifying that places of worship are "generally exempt" from the law unless they engage in "non-religious activities which are open to the public." "The Iowa Civil Rights Commission has not done anything to suggest it would be enforcing these laws against ministers in the pulpit," commission director Kristin Johnson said in a statement Friday. "The Commission regrets the confusion caused by the previous publication. Cary Gordon, Cornerstone World Outreach's senior pastor, said he accepted the response. "I accept the Iowa Civil Rights Commission's public apology, with clear reservations," Gordon said. "We will continue to monitor their activities and stand ready to defend all churches at any time." Chelsey Youman, the counsel and chief of staff for First Liberty Institute, the legal firm representing Cornerstone, also released a statement saying the institute is "taking the state at its word." She said First Liberty would be prepared to contest any future encroachment by the state. Alliance Defending Freedom, a legal agency that filed a federal lawsuit against the commission July 4 on behalf of a different church, the Fort Des Moines Church of Christ, has not dropped its lawsuit regarding the law. Attorneys say the law remains vague, and the revision did not clarify their concerns. BLENCOE, Iowa | Rescue workers temporarily called off a search for a Blencoe man who fell into the Missouri River in Monona County Sunday. David Pettit, 75, fell into the river south of Huff's Access near Blencoe after 7 p.m. Sunday, according to a release from the Monona County Sheriff's Office. He did not resurface. A search of the area lasted until 11:30 p.m. Sunday night. The search resumed Monday morning but was called off due to high winds creating unsafe conditions for searchers. The river will continue to be monitored for the next several days until Pettit is recovered, the release said. The accident is under investigation by the Monona County Sheriff's Office and the Iowa DNR Enforcement. Tekamah Fire Rescue, Washington County Sheriff's Office, Harrison County Sheriff's Office, Iowa State Patrol and Omaha Police Air Wing are assisting in the search. GRIDLEY, Calif. | Former Sioux City manager Paul Eckert has taken a new position as city administrator of Gridley, California. Eckert took his oath of office Tuesday during a city council meeting in the town of 6,582, which lies approximately 60 miles north of Sacramento. Eckert served as city manager in Sioux City for 13 years before resigning to take a city manager position in Mount Shasta, California, in August 2013. Eckert had said he took the California job to move closer to family. Eckert served as Sioux City's manager during the construction of the Tyson Events Center, the closures of computer maker Gateway and meatpacker John Morrell, and the historic Missouri River flood in 2011. At the time of his resignation, Eckert was facing a sexual harassment and retaliation lawsuit filed against him by city employee Brittany Scott. In 2015, Eckert and the city agreed to a settlement involving $300,000 paid to Scott and her attorneys. The lawsuit cost Sioux City taxpayers more than $1 million in total. SIOUX CITY | Iowa Gov. Terry Branstad said Monday that he doesn't agree with the decision by U.S. Rep. Steve King to display a Confederate flag on his congressional office desk. Sioux City television station KCAU ran a Thursday news report on a bill that King supports. The footage showed King's desk with several flags, including a Confederate flag, which southern states used during the Civil War. The flag has been a source of pride for some Southerners and others, which King has said he understands. However, increasingly such flags have been pulled out of Southern-state public displays as some contend the flags symbolize bigotry in the aftermath of the war that gave African-Americans freedom from slavery. King, a Republican from Kiron, Iowa, is a 14-year officeholder. It is not known how long the flag has been on his desk, amid others that include a U.S. flag and one with the phrase "Don't Tread On Me." I dont agree with that. I guess thats his decision," Branstad said about the desk flag during his Monday news conference. People have a right to display whatever they want to, but Im proud to say that (Iowa was) on the side of the Union and we won the war." King's office put the KCAU story on the congressman's YouTube page. His spokeswoman said it would be difficult to get a comment Monday afternoon with the congressman traveling, but she provided a link to a C-SPAN video for King's 2015 comments about the Confederate flag. In the aftermath of a mass shooting in a predominantly African-American church in Charleston, South Carolina, federal lawmakers debated whether to allow Confederate flags on some federal lands. King in a July 9, 2015, speech on the House floor cited having ancestors who were abolitionists seeking to end slavery. Nonetheless, he thinks the Confederate flag shouldn't be pulled down. "I grew up in the North, Mr. Speaker, and the Confederate flag was a symbol of pride of the South," King said. He said the country had healed through the Civil War Reconstruction era and decades after and didn't like the division that occurred after nine people were killed. "I regret deeply that we are watching this country be divided again over a symbol," King said on the House floor. South Carolina Gov. Nikki Haley, a Republican, in 2015 ordered the removal of the Confederate flag from the state capitol. King is running for re-election to the Iowa 4th congressional district seat and his 2016 Democratic opponent is Kim Weaver, of Sheldon. Weaver said she is troubled by a congressman "who proudly displays a symbol of racism and white supremacy on his desk." In a statement, Weaver said, "Like a lot of Iowans, Im disgusted by his gross insensitivity to the millions of Americans for whom that flag is a symbol of racism and division, and I join them in calling on Mr. King to remove it immediately." SIOUX CITY | Three people have pleaded not guilty in connection with the beating and robbery of a Sioux City man last month. Quincy Louis Jr., 19, of Omaha, entered his written plea Monday in Woodbury County District Court to charges of willful injury causing serious injury, first-degree theft and fourth-degree criminal mischief. Keenan Aldrich, 22, of Winnebago, Nebraska, entered his plea Monday to two counts of willful injury causing serious injury, first-degree theft and assault on a peace officer. Arlaina Zavala, 22, of South Sioux City, entered her plea on June 30 to first-degree theft. According to court documents, Aldrich and Louis approached a man outside 502 W. Third St. at about 2 a.m. June 20 and, after a verbal argument, began to kick him and stomp his head, leading to a fracture in his orbital bone and causing him to lose consciousness. He later received stitches for his injuries and was released from a hospital. Aldrich and Louis took $200 from the man, while Zavala took some of his personal belongings, court documents said, before the three went to Walmart on 3101 Floyd Blvd., where they stole alcohol and damaged a door. SIOUX CITY | A Sioux City woman was sentenced Monday to 14 days in jail for domestic abuse in connection with an incident in which two people were wounded by gunfire. Nadia Broady, 36, pleaded guilty in Woodbury County District Court to felon in possession of a firearm, a felony, and domestic abuse assault, a serious misdemeanor. District Judge Jeffrey Neary suspended a five-year prison sentence and placed Broady on three years probation on the firearm charge. He gave Broady the 14-day jail sentence, saying that the time could be served on the weekends to allow Broady to operate her business. According to court documents, Broady and Mykale Johnson were involved in a domestic dispute on Oct. 23 at 1417 Isabella St. when a gun owned by Johnson went off, shooting him in the hand and another woman in the chest. Court documents said that Broady had taken the gun from Johnson and the two were fighting over it when it went off. Johnson said at Monday's hearing that the gun had fallen out of his pocket and fired as he tried to catch it. Johnson, 24, was initially charged with domestic abuse assault and carrying a weapon, both misdemeanors. Those charges were later dismissed. SIOUX CITY | Proposed new technology in Sutherland Waterworks Park could reduce byproduct levels in Sioux City's water supply that have risen in recent years. According to city documents, Sioux City has registered increased levels of disinfection byproducts in its water distribution system over the last few years. Disinfection byproducts are chemicals that form after the water chlorination process and are regulated by the Iowa Department of Natural Resources and U.S. Environmental Protection Agency. Sioux City monitors its levels quarterly. Brad Puetz, Sioux City's water plant superintendent, said while readings haven't eclipsed regulatory standards, they could if the city doesn't take action. "We're not in violation of any regulatory requirements that were set forth by the EPA and DNR," Puetz said. "Our concern is if we don't do something to improve the water quality ... a violation could occur." The Sioux City Council on Monday will hold a public hearing followed by a vote to approve construction documents for water storage mixers at Sutherland Waterworks Park. Installing a mixing system, Puetz said, will reduce formation of the disinfection byproducts, reduce water age and improve overall water quality for residents. "We're making sure that fresh water is spread throughout the storage reservoir at all times, that we're getting an even temperature and an even mix of chlorinated water throughout," he said. The city agreed to consult with the Sioux City-based McClure Engineering Co. to design the mixing system in April. According to city documents, the city will fund the project using $165,000 from water fund balances. Bids for the project will be received Tuesday. The project has an estimated completion date of Nov. 30 of this year. Puetz said the city could look into installing more mixers in the future. In other action Monday, the council will revisit a proposal to rezone a 136,481-square-foot parcel of land east of the Sergeant Floyd Monument from residential to industrial so the owner can install self-storage units on the property. The rezoning has drawn attention from historical groups who want to be sure the work surrounding the Floyd Monument does not affect the historic landmark's atmosphere. The council tabled its decision in late June in order to look into drainage concerns on the property. A group of board members from Girls Inc. in Sioux City will also speak with the council about possibilities for leaving Cook Pool open. The pool is slated to permanently close at the end of the 2016 pool season. If you operate your business from home, youre not alone. A recent survey of U.S. businesses indicates the majority of entrepreneurs do the same. And thats not just in the startup phase either. According to the 2012 Global Entrepreneurship Monitor report (PDF), more than half of U.S. entrepreneurs continue to operate their businesses from home long after those businesses are up and running. The study examined Total Entrepreneurial Activity (TEA) across industry sectors in the U.S. Its also a mistake to think of the majority of these owners as so-called solopreneurs who never grow their businesses beyond a staff of one. Study authors observed: Home-based businesses may evoke an image of the sole entrepreneur working out of a spare bedroom or garage, perhaps with one or several cofounders. Somewhat surprisingly, though, only one-fourth of the entrepreneurs surveyed stated they had no employees working for their businesses. Given the high prevalence of entrepreneurs operating at home (two-thirds of TEA), this finding suggests that many actually had employees in their home-based businesses. The sense of awe in that statement that home based businesses actually have employees is interesting. It shouldnt be surprising that so many businesses are being run out of entrepreneurs homes. Today in many businesses, work is done virtually. Workers technology (computers, mobile devices and Internet connections) is much more important than their physical workspace, especially in knowledge businesses. Stats on Home-Based Businesses and Entrepreneurship Here are some additional factoids of interest from this fascinating study: It costs less than you might think to get a business off the ground According to the study, entrepreneurs required a median of $15,000 to start a business. On the one hand, thats a lot. But on the other hand, its an amount that many people in the United States can save up or raise from family. Keeping overhead costs low is a key driver of starting a business at home. Most startups are self-funded or family/friends funded The vast majority of startup funds (82%) came from the entrepreneur himself or herself, or family and friends. Venture capital is rare Only one in 1,000 entrepreneurs in the United States receive venture capital funding, according to a finding cited from an earlier study in 2009. In other words, forget about venture capital. Youre better off spending your time growing your business than searching for VCs. Entrepreneurship is not limited to a certain age group Roughly 15% to 20% of adults across all age groups are entrepreneurs. Entrepreneurship does follow age patterns, however Young entrepreneurs have the highest intentions to start a business, with 30.5% saying they intend to start one. The intention to start a business drops during mid-career, and then starts rising again at age 65 and up. Women entrepreneurs are more likely to have home-based businesses Among startup businesses that operate out of the owners home, 72% are operated by women versus 61% of men. Among established businesses more than 3 and a half years old, 68% of women still operate the business from home, versus 53% of men. Senior citizens who continue to work tend to be entrepreneurs Many seniors, of course, are retired. But of those still working, more than 42% run established businesses (i.e., businesses more than 3 and a half years old). About 10% are starting or running new businesses of less than a few years old. And over 25% intend to start a business. So if you want to stay actively working in your senior years, consider your own business. Outsourcing, family help, volunteers and part-time workers are sources of labor Over 20% of business owners say they employed family members, had unpaid help (often family members), or part time employees. And 30% outsource some activities. Businesses not only are started from home, but tend to be run from them The 2012 survey says 69% of businesses now start in the home. And 59% of established businesses more than 3 and a half years old continue to operate from there. More businesses are consumer businesses than any other type We all know home businesses can include services like freelancing, independent contracting, consulting and virtual assistance. But the 2012 study shows only 33% of all businesses surveyed fall into this category. Another 41% of businesses include companies in the consumer sector. This could include hotels, restaurants or real estate. But it could also include Internet businesses based from home like eCommerce. Other businesses covered in the survey are extraction businesses concerned mainly with natural resources like farming, mining and forestry and transforming businesses like manufacturing. These are usually capital intensive and so unlikely to include many businesses started in or operated from the home. The study did not break down how many of each industry sector specifically are home based, however. Why This Study is Important The U.S. study was completed by researchers at Babson College and Baruch College who interviewed about 6,000 respondents. Work was sponsored by the Global Entrepreneurship Research Association including Babson College, London Business School and representatives of the Association of GEM national teams. The Global Entrepreneurship Research program aims to describe and analyze entrepreneurial processes within a wide range of countries including the U.S. This study tends to look broadly on the economic contributions of entrepreneurs who otherwise get short shrift in standard government statistics. For instance, recognizing the prevalence of home-based businesses is important, and recognizing that they employ people and outsource services is also important. Recognizing that outsourcing helps grow employment in other companies is another positive point. The way entrepreneurs run businesses in this country is very different from the governments rigid (and limited) ways of measuring employment and economic impact. Its good to see a stellar source like the GEM Report and Babson calling attention to some of these structural changes. Some people call LinkedIn the Facebook of the working world. While the platform definitely draws comparisons, employers dont search it to be updated on your latest party or to play Candy Crush. They want to learn more about you and your professional experience. Once an employer reaches your profile, theyll want to know some things right away. Your profile should answer these 10 questions quickly in order to satisfy employers who dont have a lot of free time to spare. Things that Make Up a Great LinkedIn Profile Whats Your Current Position? First, employers need to know what you do. They need to know how you make your living. Make this clear right at the top of your profile, where you can fill in a professional headline. This will catch potential employers eyes right away. Which Job Titles Suit You? Chances are strong youre not a one-trick pony. Your areas of expertise stretch beyond your college major or your current workplace. You may be a software developer who also handles the public relations sector of your business. You could be a lawyer who owns a construction business. When you meet someone new, you talk about your careers. What would you say to this new person? Thats the job title that suits you. If all else fails, you can list a few titles that would fit you perfectly in your summary. What Makes You Credible? Theres one major place employers look to when wondering how credible you are: your work experience. Fill it out to the best of your ability. List where youve worked, cite what titles you held and provide a cohesive list of your responsibilities. One new trend for this section is to quantify your responsibilities. Dont just say wrote code or sold houses. Enhance your credibility by showing off the numbers: For example, perhaps you wrote X lines of code for Y amount of apps or sold X houses in quarter Y. These numeric values will instantly stand out from the rest of your profile. Another place where employers look for credibility is your recommendations well have more on that later. How Well Do You Write? One thing that will be obvious to employers right away is your writing ability. In order to succeed in this world, excellent writing skills are paramount. The use of noticeable spelling mistakes, run-on sentences, SMS language and slang will all result in an instant no. Youll never hear from your dream job if your profile is written poorly. Whats Your Personal Brand? Job hunting is all about marketing yourself. Think of the commercials you see on TV they make products seem appealing and flawless. Personal branding is like a commercial for you, and like most commercials, a branding statement is usually the driving factor. In this statement, you need to indicate what separates you from the rest. Create a tagline that is targeted towards your ideal employer. Other things that can help you market yourself are logos and stylistic continuity. Do You Know Your Field? Brag all you want about your skills, but employers will know when youre absolutely clueless. It will show in your work. Companies and organizations want someone who is both comfortable and confident enough in their field to talk about it clearly and concisely on their profile. Your target employer should know exactly what youre talking about. Nothing should be ambiguous! Heres a good example. His profile clearly conveys his role as the president of his own real estate agency and shows what he did to work his way up to that position. His posts about the latest industry news develop him as a thought-leader in the field something thats critical if you want to catch the eyes of a recruiter. Demonstrate your knowledge of the industry in the posts you share, the updates you make, the companies you follow, and the media you add. Whats Your Greatest Professional Accomplishment? You started your own business. You won an award for best employee. You helped navigate a company through a rough year. Whatever it is, you accomplished something big, and it made you feel on top of the world. Why not let a potential employer share a little of that awesome feeling? When you make your greatest professional accomplishment clear, it sends a message to employers that youre successful and you can work through adversity to achieve greatness. That sounds like a model employee. How Experienced Are You With Certain Tools? So youre a graphic designer: Great! The employer scrolls down the page to see what programs you know and doesnt find anything. Theres no proof that youre a Photoshop wiz. Discouraged, the employer moves on to the next candidates profile, hoping for better results. Your profile should include every tool, every program and every system you know. It only improves your chances. Even if you only know something at a basic level, include it. Be sure to include metrics for each skill novice, intermediate and advanced are easy labels to start with. What Do Others Have to Say About You? Employers will eat up recommendations and quotes from former bosses, coworkers and even friends. They cant ask outright about you unless they want to hire you, so the second best thing is seeing other peoples opinions. If you dont have any recommendations, asking around is easy. Go to people you trust, especially in your professional setting, and ask what they value most about you. Ask what you bring to the table on a daily basis. Ask what makes you stand out from the rest. Theyll be happy to let you know. What Do You Care About Most? Believe it or not, LinkedIn is an emotional investment. You have to convey your passions through words and pictures to someone who has never met you before. Its definitely hard and time-consuming to make your profile appealing. As a hardworking professional, writing about your career may flow more easily as you work your way through your profile. If you care about your work in real life, chances are it will show on LinkedIn. Republished by permission. Original here. PRINCE FREDERICK, Md. Disclaimer: In the U.S.A., all persons accused of a crime by the State are presumed to be innocent until proven guilty in a court of law. See: http://so.md/presumed-innocence. Additionally, all of the information provided above is solely from the perspective of the respective law enforcement agency and does not provide any direct input from the accused or persons otherwise mentioned. You can find additional information about the case by searching the Maryland Judiciary Case Search Database using the accused's name and date of birth. The database is online at http://so.md/mdcasesearch . Persons named who have been found innocent or not guilty of all charges in the respective case, and/or have had the case ordered expunged by the court can have their name, age, and city redacted by following the process defined at http://so.md/expungeme. (July 11, 2016)The Prince Frederick Barrack of the Maryland State Police (MSP) today released the following incident and arrest reports.THEFT: On July 7 at 12:37 am, Trooper First Class Lewis responded to the 500 block of Planters Wharf Rd. in Lusby for a theft complaint. The victim reported that while sleeping a HP Laptop and a spare car key were stolen from the residence. Investigation continues.THEFT FROM VEHICLE: On July 7 at 4:23 pm, Trooper First Class Casarella responded to the World Gym parking lot in Prince Frederick for a theft from a vehicle. The victim advised that his wallet was taken from his vehicle. Investigation continues.THEFT: On July 8 at 4:33 pm, Trooper First Class Casarella responded to the 500 block of Arminger Rd. in Huntingtown for a theft complaint. The victim reported having renovations done on the home and upon completion discovered cash and jewelry were missing. The contractor was notified and he later reported finding some of the items of jewelry in a work van. A suspect was identified. A check of pawn shops revealed that several of the missing items had been pawned by the suspect. Charges are pending.POSSESSION OF MARIJUANA: On July 10 at 12:23 am, Trooper First Class Matthews stopped a vehicle on Rt. 4 near HG Trueman Rd. in Lusby for traffic violations. A strong odor of marijuana as emitting from inside the vehicle. A probable cause search of the vehicle was performed and 78.8 grams of marijuana and drug paraphernalia were located. Mark A. Flemming, 40 of Baltimore was arrested and incarcerated at the Calvert County Detention Center.CONCEALED WEAPON & POSSESSION OF HEROIN: On July 10 at 1:20 pm, Trooper First Class Warrick stopped a vehicle on Rt. 4 near Ward Rd. in Dunkirk for traffic violations. TFC Warrick observed a syringe on the floor of the truck. A probable cause search was conducted and a 12" hunting knife was located between the seats of the vehicle. Heroin, marijuana and drug paraphernalia were located inside the vehicle. Craig R. Milligan, 20 of St. Leonard was arrested and incarcerated at the Calvert County Detention Center.FAIL TO OBEY A LAWFUL ORDER: On July 10 at 7:06 pm, Trooper First Class Casarella responded to the 400 block of West Dares Beach Rd. for a reported disorderly subject. Brenda L. Coby, 60, was observed bothering residents outside the Calvert Pines Retirement Home. She was issued a notice not to trespass and was advised to leave the property. She continued to be disorderly and she refused to leave. She was arrested and incarcerated at the Calvert County Detention Center.Kelven E. Johnson, 51, of Lusby, arrested on 07/06/2016 @ 10:06 pm by TFC S. LewisSteven C, Marlowe, 29, of Pr. Frederick, arrested on 07/07/2016 @ 01:21 am by TFC S. BarlowRobert F. Miller, 52, of Pr. Frederick, arrested on 07/09/2016 @ 12:52 am by TFC S. Barlow Madeline -- a rising Spotsylvania High School freshman -- mentors middle school students with another junior mentor at the 2016 Naval Surface Warfare Center Dahlgren Division (NSWCDD)-sponsored Navy science, technology, engineering, and mathematics (STEM) Summer Academy, held June 27 to July 1. Last year, while a student at the event, Madeline became inspired about STEM. This year, she was one of nine junior mentors who worked with teachers and senior mentors to advise the campers and brief parents during tours. (U.S. Navy photo by Patrick Dunn/Released) DAHLGREN, Va. (July 11, 2016)Madeline didn't know if she liked STEM last year but decided, "eehh, I'll give it a shot," and enrolled in a Navy science, technology, engineering, and mathematics (STEM) Summer Academy.The rising Spotsylvania eighth grader soon realized it was the best decision she ever made.While engaged in a week of STEM camp activitiesMadeline was "getting into this" so much, she enrolled in advanced placement classes that included engineering."Then I found out about the Junior Mentor Program, and was likeyeah, that would be really fun. Hopefully, I'll get in," she recalled.Madelinenow a rising Spotsylvania High School freshmangot in.As a junior mentor at the 2016 Naval Surface Warfare Center Dahlgren Division (NSWCDD)-sponsored STEM Summer Academy, she paused and spoke about her potential to become a Navy scientist or engineer one day, pointing out that her NSWCDD engineer mentor started out as a "camper".Like his protege, David Campbellan NSWCDD electromagnetic railgun engineer for the past three yearsbecame enthusiastic about STEM as a middle school student at the annual summer camp. Although the junior mentor program was not available when he was a high school freshman, the engineer took advantage of high school and college internship programs through NSWCDD."It's like a progression," Campbell told the middle school campers' parents during a briefing at King George Middle School where the event was held from June 27 to July 1. "You start at this camp and it gives you an interest and idea of what a STEM career could be like. You're surrounded by people who do STEM careers. You're surrounded by activities where you can be creative and you can keep getting involved. There's a lot of opportunity out there."Campbell recommended opportunities available for high school students via the Science and Engineering Apprenticeship Program (SEAP) and for the college studentsthe Navy Pathways Internship Program.Parents and their children as well as teachers and local officials listened intently as the railgun engineer shared his personal experience and knowledge about programs that lead to STEM careers."Visiting the STEM Summer Academy yesterday was a full circle moment for me," said Tammy Indseth, a King George County School Board member and NSWCDD scientist. "Listening to David Campbell, a former STEM camper and King George High School graduate, describe the inspiration and opportunities he received that shaped his decision to obtain a physics degree and become a launcher engineer for the electromagnetic railgun program, reaffirmed the importance of the STEM Summer Academy."Campbell was accepted into the SEAP internship program at NSWCDD as a high school student. As a college student, he worked on electromagnetic railgun technology via the Navy Pathways Internship Programestablished to provide degree-seeking students with exposure to public service while enhancing their educational experience, and possibly providing financial aid to support their educational goals."He works with his mentor now," said Madeline in reference to Campbell's NSWCDD job working with his former STEM summer camp mentor. "Whooaa! Maybe if I keep at it, I might actually be working with him one day."Madeline was among nine junior mentors helping to run the camp while working on their own STEM projects. The high school students worked with teachers and senior mentors to advise the campers, and gave parents tours of the camp and its various activities."It's important to inspire additional students to pursue a STEM degree and career which will ultimately benefit the Navy by ensuring there are enough scientists and engineers in the workforce to allow it to fulfill its mission," said Indseth. "The STEM Summer Academy is continuing to do just that."In all, 96 studentsfrom middle schools located in Virginia's Stafford, Spotsylvania, and King George Counties in addition to Fredericksburg and Dahlgrendeveloped their teamwork and problem-solving skills in math and science, as they partnered with a teacher and an NSWCDD scientist or engineer."I feel very blessed to have this opportunity and to be able to bring four students with me," said Ann Steimer, a teacher at the Tree of Life Preparatory School in Fredericksburg. "You can't get this in a small school situation."The student teams competed in 10 robotics challenges using the Lego NXT robots. Students build and program the robots to complete tasks such as delivering supplies to troops, tracking an infrared beacon, building a warning beacon, and finding mines."It's a whole week of being immersed into STEM, being creative, and talking to other students," said Campbell. "It's not like students come here to work by themselves. They are teamed with students they have never met. It's really awesome to see how their relationship transforms over time and how well they start working together as they start to mesh and understand each other's personalities."Camp activities included a tower design; build and brief challenge; water rocket challenge; a life science activity; and ten robotic challenges. Before, during and after each challenge17 middle school teachers and 17 Navy scientists and engineers worked closely with the student teams.The middle schoolers built water rockets and determined the optimal fuel load to maximize height. They explored epidemiologythe study and analysis of the patterns, causes, and effects of health and disease conditions in defined populations.What's more, the student teams constructed towers from balsa wood and briefed an audience on the tower design. They predicted the number of each color of M&Ms in a large bag after compiling statistics on M&Ms in smaller bags. The campers also maximized the cargo carrying capacity of boats they built out of aluminum foil and straws."I'm excited to use some of these strategies in my own classroom," said Steimer. "I feel grateful that four of our TLC students had the opportunity that they wouldn't get anywhere else to be exposed to different careers."Their opportunity included activities designed to foster communication skills. Throughout the event, students consulted with each other and briefed their STEM projects to the academy's teachers and mentors."It's not just STEMit's actually communicating with other students," said Campbell. "If I didn't learn communication skills, I wouldn't have the relationships that I do today with the people on base and I wouldn't be able to communicate as effectively with those I work with. In order to make an idea successful, you have to go through multiple channels and those channels require you to communicate with other people."The College of William & Mary provided curriculum and staffing support for the camp."In the teachers' feedback, they frequently commented that they most enjoyed getting to work with the students outside of school in a less-structured environment on engaging, hands-on activities," said Kelley Clark, representing the College of William & Mary STEM Education Alliance at the event. "In addition, several teachers commented that NSWCDD's STEM Academy has provided them with some of the best professional development they have received."The STEM Summer Academyco-sponsored by the Office of Naval Research Virginia Demonstration Projectwas initiated in 2004 to show a diversity of pre-teens and teens that math, science and engineering are fascinating, fun and rewarding.The initiative could eventually expand beyond the Navy and evolve into a national demonstration project encompassing all Department of Defense laboratories in a sustained effort to secure the long-term competitiveness of America's science and technology workforce by hooking more kids on math and science at an earlier age."Our research has consistently shown that the impact of scientist and engineer mentoring through NSWC Dahlgren's STEM outreach efforts is significant," said Clark. "Several of this year's mentors expressed how much they love giving of their time in this way and helping students to see the benefits and opportunities of pursuing a career in the STEM fields."Additional information on STEM education can be found in the College of William & Mary STEM Education Alliance website: www.STEMeducation.org; and social media via Facebook: https://www.facebook.com/STEMEducation; and Twitter: https://twitter.com/stemeducation. (DV) International media descended on Dallas in the aftermath of Thursday nights shooting of 12 Dallas police officers and two civilians during a peaceful demonstration. Police parked two patrol cars in the plaza in front of DPD headquarters on South Lamar Street. Visitors have covered the cars with flowers and messages. Related: Dallas Sniper Victims Included Gay Officer, Fathers, Veterans Police stood guard. Some wept and others talked to passersby and to media. Reporters stood in front of cameras speaking Russian, Spanish, German, French and several languages I couldnt make out. (AP) A national television ad during the Republican National Convention will aim to educate viewers about discrimination against transgender people. A coalition of LGBT advocacy groups called Fairness USA will air the 60-second ad on FOX News Channel on July 21, the final night of the convention. Ineke Mushovic, executive director of the Movement Advancement Project, said the ad buy cost around $270,000 and includes a subsequent airing on FOX Business. They also plan an airing on MSNBC during the Democratic National Convention. Related: San Francisco Mayor Names Trans Advisor The ad depicts a transgender woman denied access to a bathroom by a restaurant worker. It comes amid legal fights in several federal courts over transgender rights. The legal battles involving multiple states and the federal government were stoked by a North Carolina law limiting protections for LGBT people. Watch the ad below: (CNN) Caitlyn Jenner is heading to Cleveland -- not for the Republican National Convention, but instead to be a celebrity guest at an LGBT brunch. The American Unity Fund, a conservative nonprofit organization that does advocacy for LGBT Americans, is hosting the brunch on July 20 under the Big Tent at the Rock and Roll Hall of Fame. Talk show host Montel Williams will also attend. The event, called the "Big Tent Brunch," will showcase conservative support for LGBT rights and work still needed to bring "full freedom and equality opportunity," according to a statement by the organization. Williams, a conservative activist and advocate for the LGBT community, is planning to attend with Jenner, a reality star and activist for transgender causes who identifies as Republican. "There are a few misguided lawmakers who have lost sight of the fact that anything short of full freedom for our LGBT neighbors, friends, and co-workers is a threat to the freedom of all of us. Like Vice President Dick Cheney stated, 'Freedom means freedom for everyone,'" Williams said in the statement. Related: Sports Illustrated Debuts Caitlyn Jenner Cover, Profile Piece Jenner told The Daily Beast, who first reported the event, that she wants to help advocate for LGBT issues in politics. "The party conventions are like the political Olympics, and I'm excited to be headed to Cleveland to stand with my fellow LGBT Republicans and allies," Jenner said in a statement sent to The Daily Beast. "As a proud Republican and transgender woman, I want to support courageous Republicans who advocate for LGBT freedom ... I want to do my part to persuade more Republicans to support freedom for millions of LGBT Americans." Jenner said she has no plans to attend the convention. She previously supported Texas Sen. Ted Cruz for the Republican nomination before he dropped out of the race, telling The Advocate, "I think he's very conservative, and a great constitutionalist, and a very articulate man." Then last month, after Donald Trump became the presumptive nominee for the Republican Party, she told STAT that she believes Trump would be better for women than Hillary Clinton. "Everybody looks at the Democrats as being better with these issues. But Trump seems to be very much for women," she said. "He seems very much behind the LGBT community because of what happened in North Carolina with the bathroom issue. He backed the LGBT community. But in Trump's case, there's a lot more unknowns. With Hillary, you pretty much know what you're gonna get with the LGBT community." 2015 RR245 OSSOS An international team of astronomers have discovered a new dwarf planet orbiting in the disk of small icy worlds beyond Neptune. The new object is roughly 700 kilometers in size and has one of the largest orbits for a dwarf planet. Designated 2015 RR245 by the International Astronomical Unions Minor Planet Center, it was found using the Canada-France-Hawaii Telescope on Maunakea, Hawaii, as part of the ongoing Outer Solar System Origins Survey (OSSOS). The icy worlds beyond Neptune trace how the giant planets formed and then moved out from the Sun. They let us piece together the history of our Solar System. But almost all of these icy worlds are painfully small and faint: its really exciting to find one thats large and bright enough that we can study it in detail. said Dr Michele Bannister of the University of Victoria in British Columbia, who is a postdoctoral fellow with the Survey. National Research Council of Canadas Dr JJ Kavelaars first sighted RR245 in February 2016 in the OSSOS images from September 2015.There it was on the screen this dot of light moving so slowly that it had to be at least twice as far as Neptune from the Sun. said Bannister. The team became even more excited when they realized that the objects orbit takes it more than 120 times further from the Sun than Earth. The size of RR245 is not yet exactly known, as its surface properties need further measurement. Its either small and shiny, or large and dull. said Bannister. The vast majority of the dwarf planets like RR245 were destroyed or thrown from the Solar System in the chaos that ensued as the giant planets moved out to their present positions: RR245 is one of the few dwarf planets that has survived to the present day along with Pluto and Eris, the largest known dwarf planets. RR245 now circles the Sun among the remnant population of tens of thousands of much smaller trans-Neptunian worlds, most of which orbits is unseen. Worlds that journey far from the Sun have exotic geology with landscapes made of many different frozen materials, as the recent flyby of Pluto by the New Horizons spacecraft showed. After hundreds of years further than 12 billion km (80 astronomical units, AU) from the Sun, RR245 is travelling towards its closest approach at 5 billion km (34 AU), which it will reach around 2096. RR245 has been on its highly elliptical orbit for at least the last 100 million years. As RR245 has only been observed for one of the seven hundred years it takes to orbit the Sun, where it came from and how its orbit will slowly evolve in the far future is still unknown; its precise orbit will be refined over the coming years, after which RR245 will be given a name. As discoverers, the OSSOS team can submit their preferred name for RR245 to the International Astronomical Union for consideration. OSSOS was designed to map the orbital structure of the outer Solar System to decipher its history. said Prof. Brett Gladman of the University of British Columbia in Vancouver. While not designed to efficiently detect dwarf planets, were delighted to have found one on such an interesting orbit. RR245 is the largest discovery and the only dwarf planet found by OSSOS, which has discovered more than five hundred new trans-Neptunian objects. OSSOS is only possible due to the exceptional observing capabilities of the Canada-France-Hawaii Telescope. CFHT is located at one of the best optical observing locations on Earth, is equipped with an enormous wide-field imager, and can quickly adapt its observing each night to new discoveries we make. This facility is truly world leading. said Gladman. Previous surveys have mapped almost all the brighter dwarf planets. 2015 RR245 may be one of the last large worlds beyond Neptune to be found until larger telescopes, such as LSST, come online in the mid 2020s. OSSOS involves a collaboration of fifty scientists at institutes and universities from around the world. OSSOS is based on observations obtained with MegaPrime/MegaCam, a joint project of the Canada-France-Hawaii Telescope (CFHT) and CEA/DAPNIA, and on data produced and hosted at the Canadian Astronomy Data Centre. CFHT is operated by the National Research Council of Canada, the Institute National des Sciences de lUniverse of the Centre National de la Recherche Scientifique of France, and the University of Hawaii, with OSSOS receiving additional access due to contributions from the Institute of Astronomy and Astrophysics, Academia Sinica, Taiwan. MOSCOW (Sputnik)No Russian nationals were among the reportedly over 200 victims of clashes in South Sudan, the press service of the Russian Embassy in Uganda, which works in the representative capacity in South Sudan, said Monday. "None of the Russian citizens were injured as of 10:30 a.m. [07:30 GMT]," the press service told RIA Novosti, adding that Russian pilots are currently under government forces protection at UN bases. Renewed fighting broke out last week between the soldiers loyal to the sitting president and opposition forces loyal to his vice president. At least 150 soldiers were killed since Friday. MOSCOW (Sputnik) Oxfam Country Director in South Sudan Zlatko Gegic on Monday urged parties to the conflict in the African nation to stop fighting and provide access to the civilians affected by the recent violence escalation. Renewed fighting broke out last week between the soldiers of the Sudan People's Liberation Army (SPLA) loyal to President Salva Kiir and the SPLA in Opposition, which is supporting First Vice President Riek Machar. According to media reports, over 270 people have been killed. "This was meant to be the South Sudan's fifth birthday I cannot think of a more horrendous gift: more fighting, loss of lives, more displacement and less hope We urge all parties to stop fighting now, respect humanitarian principles and ensure access to those South Sudanese affected by this fighting," Gegic said, as quoted by Oxfam's press service. UNITED NATIONS (Sputnik)Eight people in a UN camp for internally displaced people were killed over the last 24 hours as a result of renewed clashes in South Sudan, the UN mission in the country (INMISS) said in a press release Monday. "In the last 24 hours, 67 people have been injured in or around the protection of civilians sites, eight of which have died," the press release reads. An armed ethnic conflict erupted in South Sudan in December 2013, 1.5 years after the nation gained independence from Sudan, when President Salva Kiir accused First Vice President Riek Machar of preparing a military coup. The conflict forced more than a million people to flee their homes. This impediment, while seeming miniscule, is a skill used by crafty lobbyists who want to say that their client is for one thing even though they dont have any intention of moving forward with the legislation. But, even if Hillary Clintons ill-fated "free college" plan were to succeed it has a number of other measures that leaves one to wonder just how generous this proposal is. For one, it has a work requirement attached to it meaning that students will have to commit to work at least 10 hours per week and by the time the proposal runs through the congressional meat grinder you can be certain it will be 20 hours per week. What does that mean in dollar and sense terms? The average public university in the United States has an annual tuition rate of $9,410 per year according to statistics compiled by the nonprofit College Board. However, the most expensive public colleges and universities on the list think Berkeley, UCLA, Michigan cost about four times that amount brimming with students who dont meet the economic threshold. So, lets say your college costs a lot less not as low as Wyoming at $4,890 per year or Montana at $6,350 per year, but probably somewhere closer to about $9,000 annually. The wage necessary to earn $9,000 in the year from 10 hours of work per week is $18 per hour. If you are part of Hillarys plan and you attend a University in Wyoming, your 10 hours stretches to $10 per hour. NEW DELHI (Sputnik) India accounts for more accidental deaths than any country in the world. In one case, a woman wanted to have a baby through In Vitro Fertilization (IVF) with her deceased husband's sperm. However, her request was turned down as doctors said they had no clear guidelines on post-mortem sperm retrieval. Dr Asit Kumar Sikary and O. P. Murty of the All India Institute of Medical Sciences (AIIMS) wrote in the latest edition of a health journal: "Recently, a male body was brought to our institute for medico-legal autopsy by police personnel. During the history-taking procedure, the wife of the deceased politely requested to be able to retrieve his sperm. When inquired about the reason, she replied that she was his wife and wanted a child posthumously as they had no child. The parents of the deceased were also willing and supported her." NEW DELHI (Sputnik) Indias Home Ministry estimates that 23 people have been killed and around 200 wounded during the four days of violence in the northern Jammu and Kashmir province, sources in the ministry told Sputnik on Monday. Home Ministry sources said 18 Kashmiri youths had been wounded by police fire in the unrest that erupted in the India-administered Kashmir region last Friday. Disturbances followed the killing of Burhan Wani, a 21-year-old commander of Kashmirs largest militia group, Hizbul Mujahideen, which is outlawed in India. The Home Ministry told Sputnik that Wanis death was a "clear setback" to home-grown militancy and would prevent more youths from joining anti-government insurgency. "He was becoming an icon Arresting him or getting him alive would have been extremely difficult," the sources said, adding security forces had been asked to "exercise restraint" to avoid civil casualties. The region of Kashmir has been disputed by India and Pakistan since the dissolution of British India and the establishment of the two countries in 1947. Some local residents, especially those residing in the Kashmir Valley, call for greater autonomy or even independence from India. "The majority of India's Internet user base today access the Internet from their mobile phones and almost all the future Internet users in India and many of the world's emerging countries will be mobile only. There is a huge opportunity for India to become a global leader in mobile app development and we want to contribute and bridge the skills gap that exists today," Lubbers said. With the program launch, Google is set to zoom past Apple in the race to build a larger developer base for its mobile platform in India. During Tim Cook's first official visit to India in May, Apple announced it would open a development accelerator in the country's "IT capital", Bangalore, to provide specialized support for iOS app developers. The new facility would provide development access tools to create innovative apps for customers around the world, he said. UP Chief Minister Akhilesh Yadav worked with the state to help Olga Efimenkova and within a couple of hours UP Chief Minister replied, "The lady has been united with the family after counselling the in-laws. Ms. Olga will get her [own] portion of the house to live [in]." Indian Foreign Minister Sushma Swaraj thanked Akhilesh Yadav for acting decisively to end Olga's struggle. Sushma Swaraj tweeted, "Thank you, Akhilesh, for resolving this. Such incidents affect the country's image." Thank you Akhilesh ji for resolving this. Such incidents affect country's image. @yadavakhilesh https://t.co/LpKvOTEi9F Sushma Swaraj (@SushmaSwaraj) 10 2016 . According to police, it was a matter of a property dispute, as Olga's in-laws gave the property rights to their eldest daughter. In her complaint to the Agra police, Olga alleged that her mother-in-law Nirmala Chandel had mentally abused her for not bringing a dowry and has refused to let them into the house, while Nirmala Chandel accused Olga of gambling and consuming drugs. The dispute arose when Olga Efimenkova demanded a share of her husband's property. Now due the initiative of both India's Foreign Minister and Uttar Pradesh's Chief Minister, Olga is living with her in-laws and husband in Agra. Brian Acton and two other investors have invested an undisclosed amount of money in the car tracking telematics solutions startup Trak N Tell. According to media reports, the company raised 233 million Indian Rupees (US $3.5 million). Founded in 2007 and based in Gurgaon (recently renamed in Gurugram), Trak N Tell explores the young market of "connected cars," vehicles that are equipped with Internet access and a wireless local area network. The firm currently provides Intelli7, a flagship GPS-based device that enables owners to track their vehicles. It also includes such benefits as engine failure prediction, preventive maintenance notifications, a fuel monitoring system and a panic-button. "We are happy to see rising interest in the connected cars' space in India. This funding is indicative of the same and a global appreciation of our attempt to endorse the Make in India initiative," CEO of Trak N Tell Pranshu Gupta said in a press release. "We will launch a superior safety solution for individual car and bike owners in the country. Our aim is to offer an Indian version of connected cars that are available in Europe and North America," he added. India's response came after the Pakistani Prime Minister Nawaz Sharif, who has been under attack from the opposition for being silent on the Kashmir issue, issued a statement condemning the action of Indian security forces. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," a statement from Prime Minister Nawaz Sharif's office read. Earlier on Sunday, the Pakistani Foreign Ministry condemned India for its alleged human rights violation in Jammu and Kashmir. Pakistan Foreign Ministry said, "The extrajudicial killings of Kashmiri leader Burhan Wani and scores of other innocent Kashmiris is deplorable and condemnable. Such acts are a violation of the fundamental human rights of Kashmiris and cannot deter the people of Jammu and Kashmir from their demand for the realization of the right to self-determination." "Pakistan reiterates that the resolution of the Jammu and Kashmir dispute is only possible by the realization of the right to self-determination of the people of Jammu and Kashmir as per the UNSC resolutions," a statement from Pakistani Foreign Ministry said. The state of Jammu and Kashmir remains contested between India and Pakistan, as both of the neighboring countries claim sovereignty over it. India and Pakistan have fought four wars over Jammu and Kashmir, and the area has also witnessed several skirmishes and border stand-offs. "This has to do with Americas desire for hegemony we [the United States] are simply not going to let go of that: military hegemony and the primacy of the neoliberal economic order." While Washington frequently accuses Beijing of being an aggressor, it consistently ignores the United States own fraught history in the South Pacific. "When you look at whos militarizing what, just go to Laos. One-third of the country, you still cant walk around. There are colour-coded sidewalks. You know, dont walk off the sidewalk, there might be an American bomb still there. One-third of the country they still cant farm," Bradley says, describing the undetonated ordnance that still litters the country, decades after the Vietnam War. MOSCOW (Sputnik) Credit Suisse is phasing out its onshore private banking platform in Russia, the Zurich-based bank confirmed to US business magazine Forbes on Monday. The global private bank and wealth manager made the decision in May and has been informing Russian clients about the end to private banking services since last month, sources told Forbes. The incident occurred on July 2015 in Mlachevka village where the culprit was conducting his military service. After his daily duty the drunken man attacked a local girl who tried to fight back and bit the rapist multiple times. The serviceman insisted he was innocent throughout the whole legal process, but the investigation team had collected enough evidence, and the court eventually found him guilty of sexual assault of a minor. The court demanded that the culprit pays the injured party 3 thousands hryvnas (about 120 dollars) for emotional distress damages and sentenced him to 4 years in prison. The plea was voiced during NATO summit in Warsaw, which was held on July 8-9, when Salaru was delivering his speech. In his address, the minister stressed that despite its military neutrality, Moldova stays on an open front of non-military standoff with Russia. Propaganda, economic measures, pendency of Transnistria problem along with deployment of Russian forces remain a consistent source of concerns, Salaru lamented. The conflict between Transnistria and Moldova began in Soviet times and exacerbated to military standoff following the collapse of the USSR in the 1990s. At the time Russia had sent its peacemakers in the region to stabilize the situation. The Norwegian Police Federation has been increasingly positive about the idea of recording sound and images which may be used to establish guilt in cases where accusations are directed against cops. Police are experiencing a growing trend: the public instantly reaches for its mobile cameras and other filming devices once a situation gets a little heated. "Many complain of the strain caused by unfounded complaints, which is why videos would confirm or deny what really happened," Police Federation union leader Sigve Bolstad told the Norwegian newspaper Aftenposten. Last autumn, Oslo Police tested body cameras and subsequently issued a report that recommends audio recording but expresses doubts about the use of video, Aftenposten reported. During the test period last month, twelve police officers from Gronland Police Station in Oslo voluntarily spent a month wearing body cameras. Oslo policeman Kare Stlen said body cameras can be useful tools, citing positive feedback received in Oslo's test project. The Oslo police report specifically mentioned crime scene investigations as an opportunity for surveillance. The Neanderthals apparently exploited femurs and tibias the most, and broke them to extract the bone marrow. The cannibalism theory is supported by the fact that horse and reindeer bones at the site were also subjected to the same treatment. The use of the bones to retouch stone tools was probably a by-product of processing carcasses for food consumption, and the Neanderthals "may have been aware that they were using human remains," because of how fresh the bones were when they were used to sharpen tools, the paleoanthropologists wrote in their paper. "In addition to being the first site to have yielded multiple Neandertal bones used as retouchers, Goyet not only provides the first unambiguous evidence of Neandertal cannibalism in Northern Europe, but also highlights considerable diversity in mortuary behavior among the region's late Neandertal population in the period immediately preceding their disappearance." The topic of cannibalism among Neanderthals has been a subject of intense debate since the apparent discovery of cannibalized remains in Southern Europe. MOSCOW (Sputnik) The President of Republika Srpska, an ethnically Serbian entity in Bosnia and Herzegovina (BiH), Milorad Dodik said that Republika Srpska will never recognize massacre in Srebrenica in 1995 as an act of genocide as it was not a genocide, local media reported Monday. July 11 marks the 21st anniversary of the massacre in Potocari, near Srebrenica. In July 1995, some 8,000 Muslim men and boys were killed in Srebrenica after the town was occupied by units of the army of Republika Srpska under the command of Gen. Ratko Mladic. According to the Blic newspaper, Dodik's statement comes as a response to Vice-President of Republika Srpska, Ramiz Alkic, who said that no reconciliation can take place in BiH unless Serbs recognize the genocide in Srebrenica. Despite being determined to act in strict accordance with the rules, the European Commission faces the risk of the sanctions being criticized by eurosceptics, who have repeatedly lashed out at what Bloomberg called the EU's supranational powers, which skeptics claim are on the rise in Europe. Amazing that #EU thinks its a good time to threaten fines for #Spain #Portugal over fiscal deficits. Business as usual may not be sensible? Robin Bew (@RobinBew) 6 2016 . In addition, the restrictive measures may prove to be counterproductive, as Europe is still trying to ride out the debt crisis, according to Bloomberg. The second option is the risk of the EU losing its credibility as a fiscal inspector in the event that it fails to impose sanctions against Madrid and Lisbon, something that would also affect the Stability and Growth Pact, which is believed to be the EU's fiscal framework. The third option is a compromise, with the European Commission expected to refer to "exceptional economic circumstances" or use a "reasoned request" by the targeted country to suggest that the penalty be lowered or even canceled. Last week, Reuters quoted Organization for Economic Co-operation and Development chief Angel Gurria as urging the European Commission to forgo launching sanctions against Portugal and Spain. According to him, the sanctions were "the last thing we need" amid Europe's efforts to cope with Brexit and other challenges. In May, Eurogroup President Jeroen Dijsselbloem said that the restrictive measures against Madrid and Lisbon were "absolutely a possibility."According to him, "when you look at the current situation in Portugal and Spain there was a serious reason to look at sanctions." At present, refugees may voluntarily swap their shelter in Denmark for 131,242 DKK (roughly 20,000 USD) and a single ticket to the country of origin or former residence. An additional 40,027 DKK (roughly 6,000 USD) is paid for every child under 18. Curiously, the appeal of this generous offer seems to be dwindling. Statistics from the Danish Refugee Council, which administers the repatriation routine, show that the number of the repatriates has fallen steadily from 261 in 2011 to 152 in 2015 despite a growing influx of refugees, Danish newspaper Politiko pointed out. "The system is not working satisfactorily. There has been a marked decline, despite the fact that the number of arrivals Denmark has increased during the same period," Peter Kofod Poulsen, the Danish People's Party's foreign spokesman said, stressing the need for a comprehensive plan for sending more people on their way home. "It is possible to further enlarge the carrot [for those who are] willingly going home, but the system is already quite generous," Kofod stressed. Oddly enough, other members of the ruling 'blue bloc' concurred with the Danish People's Party, despite its tough anti-immigrant stance often being regarded as Danish politics' enfant terrible. Cameron was forced to offer his resignation, June 24, on the day of the result of the In-Out referendum on the UK's membership of the EU, which resulted in 52 percent voting to leave the EU. He had campaigned to remain, but failed. That set in train a leadership race for his replacement as prime minister. Britain remains deeply divided over the election result, with some saying the real issues were not properly discussed and some including Gibraltar calling for a second referendum. "We've got our democracy back"with an unelected Prime Minister #TheresaMay Jonny Chard (@JonnyC07) July 11, 2016 In the last month this country has succeeded in turning life as we know it into an unatable mess! #TheresaMay really, @Conservatives #shock G Dog (@GHL72) July 11, 2016 Former London mayor Boris Johnson had spent the referendum campaign, pounding the streets alongside Justice Secretary Michael Gove, calling for Britain to extract itself from the EU, dominated by the Brussels machine. Gove had always said he would not stand to be leader and prime minister and would back Johnson in the race for leadership. MOSCOW (Sputnik) Russia and Germany decided to resume joint work on establishing the fate of prisoners of war and those interned during World War II, with the first working meeting to be held this fall, the Russian Defense Ministry official in charge of memorializing those fallen in defense of the homeland said Monday. "This decision was voiced on July 8, 2016, during a meeting in Moscow of the Russian Defense Ministry's Directorate of Memorialization of the Fallen Soldiers Defending the Motherland and the German War Graves Commission, where the sides discuss cooperation plans for this year and 2017, and the resumption of the project to establish the fate of the Soviet and German prisoners of war and internees," Maj. Gen. Vladimir Popov said. The sides agreed to establish two working groups in Russia and Germany, and hold a meeting in Berlin on September 8-9, Popov added. Djukanovic said that Serbia wanted to have good ties with Bosnia and Herzegovina but that was a challenging task, while Sarajevo sought to force Belgrade to admit the 1995 events as genocide. "I know that the government in Sarajevo is under big pressure of the Americans and British, and they talk to us in a way what the US and the UK want to tell to Serbia, and they do what the Americans and the Britons want to do in the Balkans," Djukanovic said. The killings have been defined as genocide by the International Criminal Tribunal for the Former Yugoslavia. In 2010, the Serbian parliament condemned the Srebrenica events but did not describe the killings as genocide. In July 2015, Russia vetoed a draft resolution in the UN Security Council submitted by the United Kingdom that would condemn the killings in the Bosnian town of Srebrenica as a crime of genocide. According to Russia's UN envoy Vitaly Churkin, the adoption of the resolution with such a classification of the 1995 events would have aggravated the situation in the region, as the there is no consensus on this classification either in the region, or in Bosnia and Herzegovina itself. In June 2015, Russian Foreign Minister Sergei Lavrov said that the resolution incorrectly interpreted what happened in Srebrenica from a legal point of view. Ten of the Security Council's 15 members voted in favor of the resolution, while four abstained. Theresa May arrives at Downing Street to survey the damage. pic.twitter.com/luTPiMVj2H Chris BrosnahIN (@ChrisBrosnahan) July 11, 2016 Angela Eagle Perhaps dogged by the timing of Andrea Leadsom's resignation from the Conservative leadership race, the former Shadow First Secretary of State launched her bid to become the Labour party's leader on Monday. This will trigger a leadership election for the Labour party, where members will choose to either keep current leader Jeremy Corbyn or vote for Eagle to take over as opposition leader. Nicola Sturgeon The leader of the Scottish National Party (SNP) has been the First Minister of Scotland since November 2014, leading the SNP to a near whitewash of Scottish seats in last year's UK general election. "With Nicola Sturgeon at the helm, Scotland will not obediently follow England out of the EU." Former Icelandic PM Johanna Siguroardottir Mark Coburn (@indycyclist) July 1, 2016 A strong performer in debates, Sturgeon has led calls for Scotland to remain in the EU following the British public's decision to vote to the union. Arlene Foster Perhaps lesser known internationally, Democratic Unionist Party leader Arlene Foster in January became the first woman to hold the position of First Minister of Northern Ireland, operating in a joint power-sharing role with Sinn Fein's Martin McGuinness. Natalie Bennett The UK's Green Party have a strong history of female leaders with Natalie Bennett taking over the party's leadership from Brighton Pavilion MP Caroline Lucas in 2012. The US, UK, and Germany all having female leaders early next year is going to be something. Need 1 more to make the G7 majority female. John Hagner (@jhagner) July 7, 2016 Bennett led the Greens to last year's election but has announced she will stand down from the position at the end of her term in August. Leanne Wood Not to be outdone by the other Home Nations, Wales also has a prominent female political leader in Leanne Wood, head of the Welsh party Plaid Cymru. Wood has held the position since 2012, becoming the first woman to be elected as leader and the first non-fluent Welsh speaker leading the party. Well that's 3 of the 4 UK countries having female leaders. It's Wales' turn now Help Jack (@tunn0cksteacake) July 11, 2016 Ruth Davidson The Scottish Conservatives leader has been at the helm since 2011, leading the party to an historic second place finish in this year's Scottish Parliament elections. Theresa May will be the next UK Prime Minister, adding to a record-high number of simultaneous female world leaders. pic.twitter.com/6NwJmjLbJb RiotWomenn (@RiotWomennn) July 11, 2016 Apart form being a trailblazer for female political figures in Scotland, Davidson has also become a spokesperson for LGBT rights after announcing her engagement to partner Jen Wilson in May. Kezia Dugdale Making it a clean sweep for female major party leaders in Scotland is the Scottish Labour leader Kezia Dugdale, who has held the post since August last year. MOSCOW (Sputnik)Monday marks the 21st anniversary of the killings, including of Bosnian Muslism boys and men, in Srebrenica by Bosnian Serb forces. Earlier in July, Mayor of Srebrenica Camil Durakovic said that Serbias prime minister was not welcome to attend commemoration ceremonies in Srebrenica. "In Srebrenica, there was a crime, but it was not only a crime aimed against Muslim population, it was a crime on Serbian population. So, we have Serbian and Muslim Serbian [victims] at the same time. I personally and my party and most of the people in Serbia are opposing the fact that the victims should be divided into the victims of first category and second category," Raskovic Ivic said. In March, the International Criminal Tribunal for the former Yugoslavia (ICTY) in the Hague found former President of the Republika Srpska Radovan Karadzic guilty of genocide in the Srebrenica regions 1995 massacre. MOSCOW (Sputnik)The report by Sir John Chilcot on the UK role in the Iraq War, released earlier in July, found that the UK government under the leadership of then-Prime Minister Tony Blair made a mistake by invading Iraq in 2003, as the decision to join the war was taken on the basis of flawed intelligence data and assessments. "This report can prevent such kind of naked aggression from being taken on foreign states in future. Any leader that thinks he can get away with this kind of conduct, will have to think very hard if he sees the example of Blair case The way in which intelligence services and the Cabinet have been operating have to change," Ali said. The report said Blair had been warned that military action in Iraq would increase the Al-Qaeda threat to Britain. It also found that intelligence arguments in favor of invasion due to Iraq's alleged possession of weapons of mass destruction were unjustified and should have been challenged. Rome's residents lashed out at the three girls for splashing in the city's Fontana dell'Acqua Paola, which dates back to 1612, according to the news website The Local The incident, which took place on July 8, was photographed by one of the eyewitnesses, who then posted the snaps on his Twitter page. "It's very hot, but the fountain of Gianicolo [the common name for the Fontana dell'Acqua Paola] can't become a swimming pool for tourists. Rome deserves more respect," a tweet read. On Friday, a RGP vessel approached a Civil Guard boat in the vicinity of Gibraltar without any warning and created a wave that allegedly almost capsized the Spanish boat. "A reckless manoeuvre that has been conducted twice, seriously threatened the safety of both vessels and their crews," the statement reads. The latest round of negotiations to create the biggest trade deal in the world between the European Union and the United States began Monday (July 11) for the first time since the UK signaled it would be leaving the EU, with Brexit top of the agenda. "The TTIP negotiations were already on pretty shaky ground before the EU referendum, and now the shockwaves of Brexit are threatening to derail the deal entirely. With senior political figures from France and Italy signaling that the deal is dead in the water, surely Celia Malmstrom [European Trade Commissioner] should call time on this failed corporate coup," Nick Dearden, the director of Global Justice Now told Sputnik. "The toxic trade deals being pushed by Brussels would only benefit tiny financial elites, while the ordinary people of the EU would be stripped of legal protections of labor rights, consumer standards and public services. If the EU is going to prevent further disintegration after Brexit, it needs to stop prioritizing corporate power grabs and start addressing issues such as rising inequality and social exclusion," Dearden told Sputnik. Bushinskiy said that though the NATO measure is "symbolically, not a positive move," in reality, it means little for Russia's defense of its Western border, which Moscow has already sought to strengthen in the face of NATO deployment there. "We will strengthen our Western flank, we have already done a lot in that area and we will do more," Bushinskiy said. Moscow's capable response to NATO's reinforcements along Russia's Western border is matched by its military presence in its Baltic enclave of Kaliningrad, one of the two bases for Russia's Baltic Sea fleet; the other is in Kronstadt, an island port off the coast of St. Petersburg. Die Welt also revealed a recent report by the US RAND Corporation, a think tank whose authors included former NATO commander Wesley Clark and former NATO commander Egon Ramm. In the report, they admitted that if NATO were to start a war with Russia in the Baltic region, the result would be unambiguous. "NATO infantry would not even be able to retreat. They would be destroyed on the spot," the RAND experts advised. MADRID (Sputnik) Spanish acting Minister for Economic Affairs and Competitiveness Luis De Guindos Jurado said Monday that he was convinced that the European Union would not impose sanctions on Spain over its violation of the EU deficit rules, as there is no ground to impose such restrictions. "I will provide my arguments for sanctions on Spain not to be imposed, for sanctions to be zero. Every day, I am getting more convinced that there will be zero sanctions It would be unreasonable to impose sanctions on Spain," he told journalists before a Eurogroup meeting in Brussels. He stressed that Spain had faced economic difficulties in the past but at the moment, the country's economy was growing. PRAGUE (Sputnik) Czech police on Monday stopped near the German border a minivan with UK license plates, carrying 31 Iraqi citizens, local media said. "So far, we have found out that there were four families from Iraq. None of the Iraqis has neither permission to enter the country, nor to reside in the Czech Republic. All of them are now in police custody, as well as the driver, who is a citizen of Romania," Katerina Rendlova, the spokeswoman for a unit of the Czech police dealing with foreigners, told reporters. Numbers of undocumented migrants trying to cross the Czech Republic to reach Germany and other Western countries have significantly increased since June 2015. The deceased are believed to be the attacker and a lawyer, the Bild newspaper reported. Both people reportedly sustained gunshot wounds. About 100 police officers took part in the operation. Initially, they secured the building and the underground station near the site and tried to establish contact with the armed man. KIEV (Sputnik) Kiev has already fulfilled 95 percent of its political commitments within the framework of Minsk peace agreements, Ukrainian President Petro Poroshenko said Monday. "Ukraine has proved in a clear way that we have fulfilled 95 percent of political commitments, as well as 100 percent of [commitments] in the security area," Poroshenko told reporters after his meeting with Canadian Prime Minister Justin Trudeau in Kiev. The Ukrainian conflict began when Kiev launched a special military operation in Ukraines southeast in April 2014, after local residents refused to recognize the new Ukrainian authorities that came to power as the result of what many considered to be a coup. BERLIN (Sputnik) German Federal Intelligence Service (BND) spied on a "low two-digit number" of heads of states and governments and ministers of EU and NATO countries as well as their residencies, headquarters, bureaus and military facilities until the end of 2013, local media reported Monday. The data were provided by the DPA news agency that cited assessments of the parliaments body for control over intelligence services. Last April, an espionage scandal gripped Germany as local media uncovered that the BND had provided technical assistance to the US National Security Agency (NSA) in spying on European targets, including top political figures and corporations. The BND is believed to have spied on some 800,000 IP addresses, phone numbers and email addresses at the request of US intelligence. MOSCOW(Sputnik) The possibility of a visit by US Secretary of State John Kerry's visit to Russia is under consideration, an informed source in Moscow said Monday. "We are aware of Kerry's intentions to visit Russia again. The issue is under consideration," the source told RIA Novosti. Ukrainian Foreign Minister Pavlo Klimkin said earlier on Monday that Kerry was set to visit Moscow this week to discuss a 'road map' on the implementation of Minsk agreements. MOSCOW (Sputnik) Mexican President Enrique Pena Nieto ruled out paying for a wall on the border with the United States proposed by US presidential contender Donald Trump, in an interview with CNN. Trump has been campaigning on a pledge to stop illegal immigration from the south by building a fence on the border at Mexicos expense. "There is no way that Mexico can pay [for] a wall like that," Nieto told the US broadcaster, adding that security was what needed to be built in the region. The news of a spike in hostilities came following reports that one of the PKKs leaders, Fehman Huseyin, was assassinated in Syria on Friday. However, Rezan Heddo, a member Syrian Kurds' People's Protection Units (YPG), denounced reports as devoid of truth in an interview with Sputnik. On Saturday, PKK members conducted car bomb attack on Turkish forces in Mardin province, eventually killing two troops and a civilian and wounding dozens. The terror has plagued the southeast region since the breakup of truce between the Kurdistan Workers Party (PKK) and Ankara last year. The conflict has lasted for decades since the rise of the PKK in 1984. The long lasting standoff claimed lives of more than 40,000 people across Turkey. MOSCOW (Sputnik) The Yemeni town of Midi is under heavy rocket and artillery fire from Saudi Arabia, Al Mayadeen reported Monday. No information about possible casualties is yet available, according to the TV channel. Midi is located in Yemen's western Hajjah governorate near the border with Saudi Arabia. The village of Aramo lies in a mountainous area some 19 miles off the Mediterranean coast. It had a population of less than 500, according to the latest census. "We brought two tonnes of flour, rice, canned meat and fish to vulnerable families the most necessary food items," Col. Kirill Savin from Russias Syria reconciliation center in Hmeimim, Latakia, said. MOSCOW (Sputnik) Israels Justice Ministry has denied reports that Prime Minister Benjamin Netanyahu was under a criminal investigation for illegally receiving large sums of money, local media reported. Earlier media reports claimed that Netanyahu was under a criminal examination for shady financial dealings, including a recent transfer of money to either himself or his family member. The office of Attorney General Avichai Mendelblit rebuked these reports as "inaccurate, to say the least," as quoted by the Haaretz newspaper. MOSCOW (Sputnik) Lebanon will not join any international coalitions operating in Syria, limiting cooperation to intelligence sharing, Lebanese Ambassador to Russia Chawki Bou Nassar told Sputnik. "Lebanon will never interfere officially. Of course, as we said, some political parties are interfering, Hezbollah and the others, but the official policy of the Lebanese government is a policy of dissociation And that's why Lebanon is not interfering or cooperating militarily with Russia or any other country in Syria," Nassar said. The ambassador added that Lebanon should cooperate with Russia, as well as other parties, in the area of intelligence in order to tackle the global terrorist threat. PYONGYANG -- The Democratic People's Republic of Korea (DPRK) warned Monday that it will take "physical measures" to cope with the US deployment of Terminal High Altitude Area Defense (THAAD) in the Republic of Korea (ROK). The countermeasures will be taken once the location of the deployment is decided, said the Korean People's Army in the warning carried by the official KCNA news agency. Pyongyang stressed that the United States and South Korea, which claim the DPRK's self-defense is a "severe threat" and their aggressive means of warfare is "defensive," were just confusing black with white. The two countries' joint THAAD deployment decision results from the US ambition to dominate the world and South Korea's confrontation with the DPRK, Pyongyang said, warning that the DPRK army will "make merciless retaliatory strikes to reduce South Korea to a sea of flames, debris once an order is issued." In a joint statement Friday, Seoul and Washington said the two allies decided to deploy THAAD in South Korea to protect the country and its people from the DPRK's nuclear threats, weapons of mass destruction and ballistic missiles. Regional countries including China and Russia have repeatedly voiced their serious concern over the move due to its far-reaching negative impact. MOSCOW (Sputnik) Lebanon is in need of more international aid to help the country deal with the large influx of Syrian refugees that has swept the country since the start of the Syrian crisis, Lebanese Ambassador to Russia Chawki Bou Nassar told Sputnik. "Of course it's not enough. Many conferences have been convened on the purpose to offer assistance to the countries hosting refugees. That means Turkey, Jordan and Lebanon, mainly. And many packages have been promised to Lebanon. Unfortunately, not more than on third of this package has been received by Lebanon. The amount, if I am not mistaken, out of $3 billion pledged in Kuwait like two years ago, Lebanon received only $800 million," Nassar said. BEIRUT (Sputnik) At least eight people were killed and over 80 hospitalized in the terrorists' shelling of Aleppo on Monday. "According to initial information, eight people were killed and 80 sustained injuries. The number of victims may significantly increase, many sustained serious injuries and shelling continues," a healthcare worker told RIA Novosti. In recent weeks, the situation in Aleppo and surrounding areas has deteriorated, as various militant groups, including the Nusra Front, which is outlawed in Russia and many other countries, have been shelling the city. There are no government forces operating in this area, hence the New Syrian Army is the only force which is fighting against the radical Islamists there, the author suggests. Which gives all the reason to suggest that they are pursuing a clear goal. However, he adds, the reality might be quite different. The author then cites a circulated opinion that the US, Saudi Arabia and Qatar are thus trying to impede in Russias efforts to implement a number of its gas projects, by financing and supporting the NSA. He offers as an example the much-talked-about attempts to establish a corridor from Qatar through Saudi Arabia to Turkey and onwards to Europe for the construction of a gas pipeline which could radically change the current energy system in the region and become a major competitor to Russias state-owned energy company Gazprom. The author, however, notes that there are many opponents to this suggestion who argue that such a project is very risky and economically unsound due to the lengthy military conflict on the ground. He further suggests that there is another option: that the US and its partners simply plan to wrest Syria from both Daesh and Assad, who have become equal-volume obstacles for them, and to establish their own tough rules in the area: no war, no wrong activity in the area and one long pipeline. ROME (Sputnik)Russia's role in resolving the Syrian crisis could be crucial, Italian Foreign Minister Paolo Gentiloni said Monday. "Russia's role in Syria should be constructive and can be decisive," Gentiloni said at a joint press conference with UN Special Envoy for Syria Staffan de Mistura. The minister stressed that Italy expected that Russia will be able to contribute to the transition process in Syria, which is necessary for the political solution to the crisis. . If you do not agree with the blocking, please use the Access to the chat has been blocked for violating the rules . You will be able to participate again through:. If you do not agree with the blocking, please use the feedback form The discussion is closed. You can participate in the discussion within 24 hours after the publication of the article. WASHINGTON (Sputnik) The US-led coalition against the Islamic State (ISIL, or Daesh) conducted 26 airstrikes against the terror groups positions in Syria and Iraq on Sunday, US Central Command (CENTCOM) said in a press release. "In Syria, coalition military forces conducted 12 strikes using bomber, attack, fighter, and remotely piloted aircraft against ISIL [Islamic State] targets," the release stated Monday. "Additional in Iraq, coalition military forces conducted 14 strikes coordinated with and in support of the Government of Iraq." The dozen airstrikes in Syria were launched near three cities, including Manbij and Mara, and destroyed Daesh oil wellheads, tactical units, fighting positions, and an Daesh headquarters. The French Air Force conducted initial sea trial of a demonstrator of the Neuron combat drone on July 6 according to a spokesperson for Frances defense procurement agency. The demonstrator for the unmanned combat aerial vehicle flew out at sea for "about an hour" at some 1,000 feet, flying both with and without Rafale fighter jets. The flight test was a critical hurdle before the next-generation stealth drone reaches the production phase and is ultimately incorporated into the fleet. The drone zips through the sky at a max speed of 609 mph (980 km/h) at altitudes as high as 45,900 feet (14,000 meters) while arms with two 500 pound (230kg) bombs to instantly degrade and destroy identified hostile targets. On Friday, South Korea and the United States announced they agreed to deploy the THAAD system with the US military stationed in South Korea to counter North Korea's missile threat. The THAAD system is designed to intercept short, medium and intermediate ballistic missiles at the terminal incoming stage. Governments of Russia and China protested the decision, stating that the agreement to deploy the THAAD system will have a highly negative impact on global strategic stability. Announced plans to deploy the THAAD missile defense system last week "are not directed against China, Russia or other third countries," Yun Byung-Se noted in his speech to the parliamentary committee on foreign affairs and unification. "In particular, with regard to China and Russia we stressed that we are basing [our decision] on the right to defend ourselves from North Korea's nuclear weapons and ballistic missiles which are daily increasing in number." MOSCOW (Sputnik) Beirut has requested Moscow to supply the Russian Kornet anti-tank guided missiles, T-72 main battle tanks and cannons, and expects to receive the weaponry within a year, Lebanese Ambassador to Russia Chawki Bou Nassar told Sputnik. "Lebanon needs weapons to fight these people [terrorists], and especially the Russian rockets, there is one well known, Kornet, because Lebanon got some of the weapons and used them effectively, and is still working with the Russian side to provide these kinds of weapons Kornet, and the cannons, and the tanks, T-72 tanks, although old but Russia promised to renovate them and to update the systems in these tanks and of course the shells for the cannons, ammunition," Nassar said. MOSCOW (Sputnik) The UK Ministry of Defence (MoD) reached a deal worth $2.3 billion with the United States on procurement of 50 advanced Apache AH-64E helicopters, the ministry's press service said in a statement Monday. "The Ministry of Defence has today announced a $2.3 billion deal to secure 50 of the latest generation Apache attack helicopters for the British Army," the statement said. "All this variety demands the use of optimum armaments, given that the platform's 20-ton weight does not allow for the installation of heavy weapons," Tuchkov noted. Accordingly, the APC variant of the platform is equipped with the 'Bumerang-BM' module, developed by the Tula Instrument Design Bureau. The module includes a 2A42 30 mm auto cannon, along with a coaxial 7.62 mm PTK heavy machine gun, and the Cornet anti-tank system, which features four laser-guided missiles. "The module is unmanned and fires remotely, either by the vehicle commander or a gunner. This allows for an increased survivability rate for the crew on the one hand, and on the other, for a reduction in the module's size, including a more dense arrangement of the equipment that can be placed within it." "There are also blocks on the system whose purpose has not yet been disclosed," Tuchkov recalled. "According to experts, they may contain systems of electronic warfare or optronic countermeasures." "The Bumerang-BM main gun's maximum range is 4,000 meters. Ammunition consists of 500 rounds, including 160 armored piercing tracers and 340 high-explosive rounds. The machine gun box includes 2,000 rounds of ammunition, with a 1,500 meter firing range. The gun barrel is capable of being elevated up to 70 degrees, and of being fired on air targets. Anti-tank missiles, located on the sides of the unit in transport-launch containers, are capable of firing between 8,000-10,000 meters, depending on the modification." Foreign Affairs Committee Chairman Antti Kaikkonen of the ruling Center Party wondered why Defense Minister Jussi Niinisto of the Finns Party failed to inform the committee in advance of the proposed arrangement on defense cooperation with the United Kingdom, the Finnish newspaper Hufvudstadsbladet reported. Bilateral arrangements are, by definition, important for the committee. In this case, the importance is enhanced due to the upcoming Brexit, Kaikkonen wrote in his blog. Defense Minister Niinisto defended his failure to notify the committee by explaining that the document wasn't particularly important to Finnish foreign policy. Kaikkonen said the Foreign Affairs Committee had the right to decide which foreign and security policies it was interested in. According to him, the committee had just before midsummer asked for and was granted the information from the Defense Ministry on a similar document on cooperation between the United States and Sweden. WASHINGTON (Sputnik) US defense contractor Raytheon anticipates to grow $1.1Bln next year, or 3-5 percent, as a result of demand for precision strike weapons to battle terrorist groups like the Daesh, missile defense systems and cybersecurity, Raytheon CEO Thomas Kennedy said on Monday. "The insurgency wars that are going on are essentially providing significant demand for precision weapons," Kennedy was quoted as saying by Defense One Today. Raytheon is mostly known for its Integrated Patriot Missile Defense System, but the company also produces offensive weapons such as the air-to-ground Maverick missile used by US Navy aircraft. The police union president, Steve Loomis, blasted the mayor of Cleveland saying that he "just doesnt get it" and added that "Command staff has arrogantly dismissed concerns of officials from FEMA (Federal Emergency Management Agency)." The situation appears so dire that the Cleveland has placed a request for officers around the country to assemble in the city to help provide security, but the police union insists that they have grave safety and security concerns for "rank and file officers" who may very well be the target of unrest. "I wouldnt hold it against them if they decided to pull out" said the irate police union president to Breitbart news regarding officers Cleveland hopes to farm in for the convention. It is known from city records that dozens of groups plan to conduct mass protests in Cleveland ranging from social justice organizations to abortion groups on both side of the issue to white supremacists from California and finally Black Lives Matter protesters who are aggrieved by the growing scourge of police brutality and racial animus in America. PARIS (Sputnik) French President Francois Hollande plans to visit a number of European countries to discuss reforming the European Union following the public vote in the United Kingdom to leave the bloc, the Elysee Palace said in a statement Monday. "The [French] president will visit several countries in the European Union from July 19 to 21 to continue the work, initiated with the German chancellor and the Italian prime minister, to give a new impetus to Europe, consisting of 27 countries [after the Brexit vote]," the statement said. Hollande's tour is expected to kick off on July 19 with an official visit to Portugal. The next day, the French president plans to visit the Czech Republic, Austria and Slovakia. An official visit to Ireland will take place on July 21. The statement also called on the countries in the region, the African Union Peace and Security Council and the Inter-Governmental Authority on Development, to continue firmly engaging with South Sudanese leaders to address the crisis. UN May Send More Troops Amid Violence The UN Security Council (UNSC) is ready to consider enhancing its peacekeeping mission to South Sudan (UNMISS) following violence in capital Juba, in which dozens, including the missions staff, were affected, a statement by the UNSC also said. The statement comes after an emergency UNSC meeting, held after renewed fighting broke out last week between the soldiers of the Sudan People's Liberation Army (SPLA), loyal to President Salva Kiir, and the SPLA in Opposition, which is supporting First Vice President Riek Machar. The members of the Security Council expressed their readiness to consider enhancing UNMISS to better ensure that UNMISS and the international community can prevent and respond to violence in South Sudan. The members of the Security Council encouraged states in the region to prepare to provide additional troops in the event the Council so decides. The UNSC also expressed condolences to the families of the UNMISS staff who were killed in the fighting that erupted last week. The Security Council also stressed the need for UNMISS to make full use of its authority to use all necessary means in order to protect civilians. The South Sudan armed ethnic conflict erupted in December 2013, a year-and-a-half after the nation gained independence from Sudan, when President Kiir blamed First Vice President Machar of preparing a military coup. The conflict forced more than a million people to flee their homes. In August 2015, Kiir and Machar signed a peace deal for the formation of the Transitional Government of National Unity. In response to ongoing violence in South Sudan, the Department of State ordered today the departure of non-emergency personnel from the US Embassy in Juba. The Department urged US citizens in South Sudan to take precautions to enhance their personal security in view of the renewed fighting in the country. According to Estonias Eesti Paevaleht newspaper, the Estonian government earlier decided to spend 60 million euro ($66 million) on the construction of barracks for allied troops, hangars for storing military hardware, and training grounds in Tapa. The newspaper added that the announcement of a significant buildup in Estonia showed these funds were insufficient and additional 64 million euro would therefore be disbursed from NATO budget over the next five years. BRUSSELS (Sputnik) The agenda of this weeks Russia-NATO Council will include the crisis in Ukraine, Afghanistan and efforts to scale down the risks of military incidents, a North Atlantic Treaty Organization (NATO) official told RIA Novosti on Monday. Last week, NATO Secretary General Jens Stoltenberg set out the crisis in and around Ukraine, the security situation in Afghanistan, as well as military transparency and risk reduction as the central topics of discussion at the Russia-NATO Council in Brussels on Wednesday. Asked whether any changes in the agenda would be adopted in the coming days, the NATO official said the three topics outlined by Stoltenberg would remain as they are. The official added Stoltenberg planned to update Russia of the decisions taken at the Warsaw Summit last week. The top diplomat referred to the "empty chair" policy, the 2014 decision by the Harper government to refuse to chair or host or sometimes even participate in multilateral meetings at which a Russian delegation was present. Dion said the policy left Canada with a diminished role at venues such as the OECD (Organization for Economic Co-operation and Development), the International Atomic Energy Agency and international fisheries talks, where other countries were debating issues important to Canada. "Cooperation is often in our interest on environmental issues, for example given that we are Arctic neighbors, facing similar challenges due to our shared geography. It makes no sense to prevent our scientists from working with their Russian colleagues to protect the northern ecosystem," Dion then said. The foreign minister also stressed that Canada's confrontational attitude to Russia had brought no benefit to Ukraine." However, the stubborn, silent approach was advocated by Ukrainian Ambassador to Canada Andriy Shevchenko, who said that Ottawa has nothing to talk about with Moscow. "Ultimately, it is a moral choice. Ottawa needs to choose sides," Radio Canadas website quotes him as saying. "In early May," Guzenkova recalled, "German media published a leak from the 13th round of negotiations on the TTIP 248 pages of documents on the contents of the secret talks. From there it became clear that the US wanted to deliver to Europe duty-free automobiles, guarantee access to its milk, meat, and other agricultural industries, all while protecting its national shipbuilding industry from competitors. Moreover, Washington sought to severely limit the EU's ability to make its own economic laws. On the whole it was discovered that the TTIP has fettering and discriminatory effects against Europe." These leaks, which caused an uproar among the European capitals, "provided Europeans with a serious reason to doubt whether or not to conduct their policy according to Washington's dictate." Moreover, for some time, the scandal around the TTIP also strengthened the anti-sanctions mood in the continent, with the Brexit referendum "only adding fuel to the fire." All this, Guzenkova noted, led Europeans, including many lawmakers, to ask themselves a rhetorical question: "Why should Germany and France continue to adhere to sanctions which are against their own interests for the sake of the United States?" "Therefore, in my opinion, the current anti-sanctions mood in Europe is secondary and subordinate to something else: it is only part of an overall reflection by EU countries on how to behave in relation to the United States, and whether to follow blindly in the footsteps of US policy." As far as Russia is concerned, Guzenkova suggested that for now, these "small breakthroughs" may do much "to boost Moscow's ego, [but] they have not fundamentally shifted the balance of power. Still, I think that we are moving toward an adjustment of European sanctions policy if not to the total lifting of sanctions, then at least toward their fragmentation and gradual weakening. If France and Germany, the main proponents of European integration, are to push this policy, progress is possible." Still, for one reason or another, Russian observers just don't seem to be fully convinced of the alliance's peaceful intentions. Commenting on the alliance's Warsaw Summit, which wrapped up over the weekend, Konstantin Kosachev, the head of the Russian Senate's International Affairs Committee, sardonically explained on his Facebook page that "NATO's decision to deploy its four battalions in Poland and the Baltic states is unlikely is to drastically change our relationship" (for the better). "We are not afraid of soldiers; we fear the politicians who, knowing full well that there is no threat from Russia, continue to deceive millions of people," Kosachev emphasized. For his part, former Soviet president Mikhail Gorbachev, who presided over the dismantling of the Warsaw Pact and the end of the Cold War confrontation between East and West, described the NATO military buildup as nothing less than preparation for a hot war against Russia. Speaking to Russia's Echo Moskvy radio station, Gorbachev warned that "the rhetoric coming out of Warsaw sounds almost as if the alliance is declaring war against Russia. They speak about defense, but actually they are preparing for an offensive." pic.twitter.com/Db6qiodJFX. The map if NATO expansionism. I don't see Russia invading anywhere it is the US/NATO expansion is the problem. John Finucane (@johnjoechad) 3 2014 . Gorbachev's fears were echoed by military expert Alexander Perendzhiyev, who focused on what he said were NATO's double standards. On the one hand, the analyst noted, the alliance calls Russia a threat on a par with Daesh (ISIL) terrorism. On the other hand, it declares its unwillingness to openly call Russia its enemy. Commenting on NATO's ineffectiveness in fighting terrorism, Perendzhiyev lambasted the alliance for its focus on pushing troops, missiles, ships and warplanes up to Russia's borders, while the threat of terrorism, which has already killed hundreds of Europeans, goes virtually ignored. According to HRWs own numbers, at least 338 civilians have been killed during the clashes. While Ankara denies that it has targeted civilians, HRW claims that there is reason to believe otherwise, and is demanding the government allow a comprehensive investigation. 'The Turkish governments effective blockade of areas of the southeast fuels concerns of a major cover-up," Emma Sinclair-Webb, senior Turkey researcher with HRW, said as part of the statement. "The Turkish government should give the UN and nongovernmental groups immediate access to the area to document whats going on there." Beginning in the summer of 2015, Ankara imposed several round-the-clock curfews, preventing civilians from fleeing regions where the military operations took place, particularly in the city of Cizre. Brian spends the full hour looking at how the dispute affects the region and the world. He is joined by James Bradley, the author of the book The China Mirage: The Hidden History of American Disaster in Asia and by author Patrick Lawrence, whose latest book is Time No Longer: Americans After the American Century. Tensions have been inflamed by the impending ruling on a territorial dispute between the Philippines and China. Last week, Beijing said the US military's buildup in the region constituted a "direct threat to national security". Despite US assertions of the need for freedom of navigation, Washington is treating the Pacific like its backyard. Is the United States also playing other countries in the region against China for their own benefit? Design and survey work has also started for reconstruction of Severomorsk-3 Airfield in Murmansk region, Naryan-Mar Airfield in Nenets Autonomous District, Vorkuta Airfield in the Komi Republic, Alykel Airfield in Krasnoyarsk Region and Anadyr Airfield in Chukotka. As a major Arctic country, Russia is keen on strengthening its military presence in the region. Its 'North' multiservice strategic command was founded in 2014 for coordinating the Defense Ministry's actions in the northern territories. Four Russian airfields in the Arctic are already operational. Apart from building new airfields, the country has started to reconstruct a number of objects that were abandoned in the 90s after the breakup of the Soviet Union. The program to improve Russia's military defense network in the Arctic will continue through 2020. MOSCOW (Sputnik) Russian President Vladimir Putin has made a call for prayers for Russian pilots killed in Syria during his visit to the Valaam Monastery in northwestern Russia, Kremlin spokesman Dmitry Peskov said Monday. On Friday, the Daesh terrorist group shot down a helicopter near Palmyra, killing 2 Russian pilots-instructors on board. "President has emphasized that it was impossible to forget about those, who work and fight, and unfortunately sacrifice their lives, serving our homeland. In this context, he has mentioned Russian pilots, who have heroically perished in Syria And [Putin] has made a request to both Patriarch [Kirill of Moscow] and other members of the clergy not to forget those, who have sacrificed their lives and to pray for their memory," Peskov told reporters. YEKATERINBURG (Sputnik) Russian Prime Minister Dmitry Medvedev said Monday he will offer proposals at the Presidential Council for Strategic Development and Priority Projects first meeting Wednesday. "Very soon, namely the day after tomorrow, the first session of the Council for Strategic Development and Priority Projects will convene under the leadership of President Vladimir Vladimirovich Putin. I of course will speak there with my own proposals," Medvedev said at the ruling United Russia party meeting. President Vladimir Putin established the new Council, abolishing the council for priority national projects and the council for housing policy, on June 30. MOSCOW (Sputnik)Western sanctions against Moscow have resulted in Russia's desire to cooperate with the East, Russian Consul General in Shenyang Sergey Paltov told Sputnik on Monday. "There are new perspectives, in the light of Western sanctions, the vector of development of external relations for many Russian regions began to turn to the East," the consul general said. According to him, the Russian-Chinese ties have always showed dynamics and diversity. Russian engineers have come up with a new type of parachute for astronauts returning from space, the aviation company Technodinamika, a subsidiary of the Russian state corporation Rostec, announced on Monday. Alexander Litvonov, head of Technodinamika's innovation department, told RIA Novosti that the US, Russia and China are the only three countries to have developed such a system. "However, all the systems are really unique, because apart from the differences in mass and type of spaceship, they also have differences in the speed and type of landing," he said. The debate on the development of a sixth-generation fighter jet has lasted since head of the Russian Aerospace Forces Viktor Bondarev said in March that the work on the creation of such a warplane is already underway in Russia, according to RT Historically, jet fighters are often categorized in generations so as to underscore improvements related to aircraft design, avionics and weapon systems. Most experts believe that right now, there are five generations of jet fighters embracing the period from 1950, when high-speed subsonic planes were created, to nowadays. When the Russian counter-terrorist operation in Syria began, Khabibulin was sent to the embattled republic as a flight instructor. Khabibulin is survived by his wife and two sons; his youngest has just finished 11th grade; his eldest is serving in the Aerospace Defense Forces as a captain. Reacting to the pilots' deaths, Krasnodar Krai Governor Veniamin Kondratyev emphasized that the heroes, including Khabibulin, had died defending peace in the world, and were "people who shielded the civilian population of Syria under the wings of their helicopterAll of Kuban mourns today and will always remember the names of their heroes." Captain Khabibulin will be buried with full military honors in his homeland, the village of Vyazoviy Gai in Ulyanovsk Region later this week. A street in the village will be renamed in his honor. Russian media interviewed his relatives, who said that while they are still in shock, they have also received condolences from across the country. Co-pilot Lieutenant Yevgeny Dolgin, a 24-year-old native of the village of Sokolov in Saratov Region, will be buried in his homeland this week. Preparations are already being made for the solemn funeral and farewell ceremony honoring the pilot. Dolgin, a graduate of the Syzran Higher Military Aviation School in Samara Region, grew up in a military family, his father a pilot, his mother a social worker. Recently married, he and his wife Katya lived in Pskov Region. Friends characterized him as a "simple, honest and open person," and a romantic. Speaking to Komsomolskaya Pravda, the bereaved widow recalled that her Yevgeny was a "kind, sympathetic, honest person. When the turmoil in Syria began, looking at how some officers left the service to escape war, he never blamed or judged anyoneIn early June, he went to Syria. I asked him, 'Evegeny, aren't you afraid?' And he replied: 'Why should I be afraid? This is my profession. I studied for it.'" Evegeny called his family at every available opportunity. On July 8 at 6pm, he called his mother, assuring her that everything was alright. That was the last time his family would hear from him. , . ! ! pic.twitter.com/3Kckk9wRUR (@ARTEM_KLYUSHIN) 10 2016 . Colonel Ryafagat Khabibulin, Lieutenant Yevgeny Dolgin. Eternal Glory to the helicopter pilots of the Aerospace Defense Forces! Let us remember them as they were! When they tested the genetically modified mice, they found that they were 100 times more capable of detecting the presence of acetophenone in water as mice which had not been modified. In order to change the mouse genome, the researchers injected the DNA for an odor receptor gene into a fertilized egg cell, and an extra string of DNA to the gene sequence in the hope that it would increase the chance of that odor receptor gene being chosen. The scientists say that their experiment could also help to better understand the human olfactory system, which is poorly understood. "This is one of our five basic senses, yet we have almost no clue how odors are coded by the brain," lead investigator Paul Feinstein, an associate professor of biological sciences at Hunter College, City University of New York, told Cell Press. Feinstein said that the Mousensor research could be applied to the development of a "nose on a chip" to help diagnose disease. This consists of olfactory sensory neurons cultured on integrated circuit chips, which would be able to detect specific smells. MOSCOW (Sputnik) African-American man Alton Sterling was fatally shot by two policemen as he was lying on the ground. Authorities said police were responding to reports of a man threatening people with a gun. The killing sparked violence protests against police brutality in Baton Rouge. A hundred arrests were made on Saturday. Casey Rayborn Hicks, a spokeswoman for the East Baton Rouge Parish Sheriff's Office, told NBC News 48 protesters had been taken into police custody by midnight. Among them was a leader of the Black Lives Matter civil movement. WASHINGTON (Sputnik) The first Russian Orthodox monastery could be opened in the US capital of Washington D.C. or in its vicinity, Metropolitan Jonah, a bishop of the Russian Orthodox Church Outside Russia (ROCOR) , told Sputnik. "In the area, not necessarily in D.C It will be Russian tradition, of course, you know, the services will also be in English, as well as in [Church] Slavonic [The monastery] has a very specific outreach [program] to educate people about Orthodoxy and Russian culture and Russian spiritual culture," Metropolitan Jonah said, answering a question about his plans to establish a monastery in Washington D.C. The monastery would be designed for educating people and would become the first Russian monastery in the vicinity of the US capital, as the only existing Orthodox monastery is of the Greek tradition, although, it had previously belonged to the Georgian Orthodox Church, he added, noting that the most important current task is to find the required funds and a suitable site, which may be outside of the city proper. WASHINGTON(Sputnik) The majority of likely US voters do not agree with President Barack Obamas statement that presumptive Democratic presidential nominee Hillary Clinton is the most qualified person to run for US president, a new Rasmussen Reports poll revealed on Monday. "Just 22 percent of likely US voters agree with Obamas statement that there has never been any man or woman more qualified for this [US presidents] office than Hillary Clinton," a press release accompanying the poll stated. WASHINGTON (Sputnik)Budget saving steps that have already trimmed $1 million from the estimated $100 million purchase price of a single F-35 Joint Strike Fighter have been extended for an additional two years in an attempt to contain costs of the most expensive military weapons program in history, the US Department of Defense announced in a press release on Monday. "The Pentagon's F-35 program office is working closely with industry to drive down the cost of the jets now running just over $100 million per jet to around $85 million by 2019," the release explained. The US military plans to spend $379 billion in coming decades to purchase nearly 2,500 F-35 warplanes, making the weapons program the costliest ever, the release noted. According to May financial disclosures from the Clinton Campaign, Bill earned $2,690,000 for speeches to corporations and other groups, following the kickoff of his wifes presidential campaign in April. The couple together were paid $6.725 million in speaking fees in 2015. An in-depth report by the Los Angeles Times asserts that Bill Clinton requires a private aircraft to fly him to events, even for distances as low as 70 miles, when many other presidents and dignitaries will ordinarily drive. Clinton changed the rules of political speech-making for cash. He would push not just corporate hosts but also nonprofits and universities to pay fees well beyond what they were accustomed to. His aides would turn what had been a freewheeling format into tightly scripted events where every question from the audience was screened. He and Hillary Clinton would become so skilled at churning profits out of their lectures that they would net more than $150 million from speaking alone after he left the White House, Evan Halper reported for the Times. The Louisiana police officers bill of rights also allows up to 30 days before the interrogation occurs, if the officer seeks the extra time. According to 2014 data, there were 35 use of force complaints internally investigated by the BRPD. Within these complaints, 17 were not sustained, 12 were exonerated, one investigation was terminated, and five complaints were still pending. Not one investigation found that an officer had violated policy nor did any lead to charges against the officer, ThinkProgress reported. In Minnesota, where people have been demanding justice for Castile, whose girlfriend streamed the bloody aftermath of the shooting live on Facebook, the police officers bill of rights offers similar protections, including access to a copy or transcript of the recorded interrogation. The interrogation must also be conducted during their shift, and if it is not, they must be paid for the time they have spent with investigators. Arrests at protests over the weekend included multiple reporters and photographers, as well as well-known Black Lives Matter activist Deray McKesson, who live streamed his arrest. Brandon Wall (@Walldo) July 10, 2016 Many of those arrested spent nearly 24 hours in jail awaiting their release, almost assuredly a longer period of time than the officers involved in the shootings. TOKYO (Sputnik) Japanese authorities have decided to evacuate the Japanese humanitarian mission's staff from South Sudan due to the escalation of violence in the African country, Japans Chief Cabinet Secretary Yoshihide Suga told reporters Monday. According to Suga, Tokyo is planning to send a charter plane to South Sudan in order to evacuate the 47 humanitarian workers of the Japan International Cooperation Agency (JICA). Tokyo has made sure that around 70 Japanese nationals in Juba are safe, the official added. "We are not afraid of soldiers, we are scared of politicians who, knowing full well that there is no threat from Russia, continue to deceive millions of people. This is why the Warsaw gathering is a summit of lies," according to him. Former president of the USSR Mikhail Gorbachev, for his part, described NATO's steps as a preparation for war. Vzglyad quoted him as saying that "the Warsaw summit's rhetoric almost shows that the alliance is declaring war against Russia." "They talk about defense, but actually they are preparing for an offensive," he pointed out. He was echoed by military expert Alexander Perendzhiyev, who in an interview with the Vzglyad lashed out at NATO's double standards, saying that in official documents, the alliance calls Russia a potential threat on par with Daesh, while declaring its unwillingness to see Russia as an enemy. "NATO's official documents enable the alliance to receive further funding in order to develop its infrastructure near Russian borders," he said. Perendzhiyev also lambasted NATO for failing to analyze the international situation, especially when it comes to European security and the threat of terrorism. He expressed doubt about the necessity of deploying "thousands of [NATO] servicemen near the Russian border." He added that it is much easier for NATO to recognize Russia as the enemy rather than to signal its readiness to contain international terrorism. "The terrorist attacks in Brussels and Paris showed NATO's full helplessness as far as tackling terrorism is concerned, and they will continue to demonstrate this weakness in the future. It is much more convenient for them to speak of the alliance opposing Russia," he said. According to him, clinching an agreement on cooperation between NATO and the European Union became another major result of the Warsaw summit. YEKATERINBURG (Sputnik) The minister was speaking at a plenary meeting of Innoprom, a major industrial trade fair underway in Russias Yekaterinburg. The fair runs from Monday to Thursday. "I hope that we will soon be able to implement the idea of an agreement on free trade between India and EAEU member states, which will deepen our trade and economic relations," Denis Manturov said. The EAEU is a Russia-initiated regional political and economic bloc which aims to streamline the flow of goods and services between its members, namely Russia, Kyrgyzstan, Armenia, Belarus, and Kazakhstan. MOSCOW (Sputnik) According to The Washington Post , with the extension of the New Start treaty, the US leader wants to make sure that the next administration does not interrupt the further reduction of nuclear weapons deployment after he leaves the presidential post. The media added that Obama's plan on the New Start treaty comes in line with his determination to use the last months of his presidency to fulfill some of the promises on advancing the US nuclear agenda made in his campaign and thus leave the valuable foreign policy legacy. The treaty with the formal name Measures for the Further Reduction and Limitation of Strategic Offensive Arms was signed between Russia and the United States in April 2010 in Prague, and entered into force on February 5, 2011. The Treatys duration is ten years until 2021, unless superseded by a subsequent agreement. In June, Lebanese Agriculture Minister Akram Hussein Chehayeb visited Russia and while "no agreements were signed but the meeting was very important because it laid the ground, for example, for imminent visit by Russian delegation to Lebanon in July. Mr Chehayeb suggested that a memorandum of understanding could be signed between the two sides" Nassar said. The ambassador concluded that while the sides has not set a date for the memorandum signing, it could happen before the end of the year. MOSCOW (Sputnik) Russia will not extend the New START arms reduction treaty with the United States unless Moscow's concerns regarding the deployment of missile defense in Europe are taken into account, the head of the Russian Federation Council's Defense Committee Viktor Ozerov said Monday. "While generally supporting the idea of strengthening control over strategic offensive arms, we will very carefully consider these proposals. If there are going to be talks on an extensionwithout taking into account our concerns regarding the deployment of missile defense in Europe, Russia is unlikely to agree," Ozerov told RIA Novosti. The treaty with the formal name Measures for the Further Reduction and Limitation of Strategic Offensive Arms was signed between Russia and the United States in April 2010 in Prague, and entered into force on February 5, 2011. The Treatys duration is ten years until 2021, unless superseded by a subsequent agreement. MOSCOW (Sputnik) Newly-leaked energy chapters of the controversial EU-US free trade pact threaten to nip in the bud EUs shift toward renewables, The Guardian newspaper reported Monday. The Transatlantic Trade and Investment Partnership (TTIP) deal between Washington and EU member states has been touted to promote energy efficiency and eco-power. But the latest draft text seen by the UK outlet intends to scrap binding energy saving measures and discourage electricity production from renewable sources. KIEV (Sputnik) Canadian Minister of International Trade Chrystia Freeland and Ukrainian First Vice Prime Minister and Minister of Economic Development and Trade Stepan Kubiv took part in the signing ceremony. A warm welcome in Ukraine as we arrive ready to deepen & grow the ties between our countries. pic.twitter.com/U7kddq56zS Justin Trudeau (@JustinTrudeau) 10 July 2016 The free trade agreement was signed during Canadian Prime Minister Justin Trudeaus visit to Kiev. This extremely important document will raise trade and economic relations between our countries to a new level, Kubiv wrote on his Facebook page. ANKARA (Sputnik) Ties between Moscow and Ankara soured last autumn after a Turkish jet downed a Russian plane over Syria. Russia ended charter flights and holiday package tours to Turkey as part of security measures. "We expect bilateral economic and trade ties between Turkey and Russia to improve and the current problems to be resolved. Kick-starting tourism and trade with Russia will bring additional $4.5-5 billion a year to Turkey, totalling some $10 billion in the next couple of years," the Ata Invest predicted. The November 24 airstrike at the Russian Su-24 plane prompted Russia to impose trade restrictions on Turkey. Last month, Kremlin spokesman Dmitry Peskov said that Turkish President Recep Tayyip Erdogan apologized for the air incident, in a letter to Russian leader Vladimir Putin. WASHINGTON (Sputnik)The US authorities have authorized families of American government workers located in Bangladesh to voluntarily leave the country amid latest terrorist attacks, the US Department of State said in a travel warning on Monday. "Effective July 10, 2016, the Department of State authorized the voluntary departure of family members of US government personnel posted to the US Embassy in Dhaka," the release stated. The State Department underscored that the terrorist threat in Bangladesh is "real and credible." It took the captive Jews four months to dig a 200-meter-long tunnel to escape the ghetto, they dug it using spoons, forks and scrap iron, literally scraping their way to freedom. "When we found a German military entrenching tool, I thought it was used for digging, but one of the men who helped build the tunnel explained that you couldnt use a spade like that because the ground was mostly clay. They used spades like that to load soil taken from the tunnel into sacks sewn from the clothes of those who were executed. The soil was then hidden in attics, away from the prying eyes of the Nazis," Vershitskaya explained. The prisoners managed to outfit their tunnel with a ventilation system made of water pipes dug into the ground, and even provide lighting by tapping into the power source of one of the guards floodlights. On the night of September 26, 1943 the prisoners descended into the tunnel one by one, marking the beginning of what became one of the largest, most successful Jewish rescue operations in the German-occupied territories. "The guards entered the building where the tunnel entrance was located only when all of the inmates were already inside the tunnel. They started shooting and in the morning they launched a sweep of the surrounding areas. And while the escape itself was very well organized, many of the escapees simply didnt know what to do afterwards. Many of them simply went to sleep immediately after reaching the forest. Therefore, only two-thirds of them eventually survived," Vershitskaya said. Chanon Kushner was one of those killed by the pursuing guards, while Zaidel and his daughters were among those 152 escapees who joined the Bielski partisans. The exploits of the Kushner family as part of the resistance are less known, though Zaidel likely toiled at a workshop making equipment for the guerrillas while his Leia and Rae couldve acted as lookouts, Vershitskaya speculated. What is known however is that during that time, Rae Kushner met her future husband, Joseph Berkowitz, who later changed his surname to Kushner prior to the familys immigration to the US. The Kushner family, along with the families and relatives of other survivors, helped create the exposition at the Jewish Resistance Museum dedicated to the ghetto and to prisoners daring escape, both by recounting those horrible events and by providing financial aid. "There are many successful people among the former inmates of this ghetto. Jack Kagan is a millionaire, Leibowitz is a millionaire, the Kushners are billionaires. I believe there is an explanation for that: if those people could survive here, imagine what they were capable of during peacetime," Vershitskaya concluded. ST. PETERSBURG (Sputnik) Charter air transportation with Turkey will be restored in the near future, Russian Transport Minister Maksim Sokolov said Monday without specifying the date. "Charter flights will resume in the near future," Sokolov told reporters, clarifying that the timetable behind the renewal rests with the Governments decision. ANKARA (Sputnik) Turkey expects about one million Russian tourists to visit the country by the end of the year, Turkish Minister of Culture and Tourism Nabi Avci said Monday. "We hope that about one million Russian tourists will come to [visit] us this year. From next year, we expect to return to earlier figures," Avci told the NTV television channel. He added that the crisis in the countrys tourism industry negatively affected 56 areas linked to it. MOSCOW (Sputnik) China sees significant potential in developing the Vladivostok-Suifenhe-Harbin-Manchuria-Chita transit corridor with Russia, Russian Consul General in China's northeastern city of Shenyang Sergey Paltov told Sputnik on Monday. The corridor, which is part of China's Silk Road Economic Belt strategy, was proposed by the authorities of China's Heilongjiang province bordering Russia before Beijing announced the Silk Road initiative in 2013. "The Chinese think that such a route can give China more convenient access to Europe and North America via Russian territory, as well as expand the framework of mutually beneficial cooperation," Paltov said. Modi further added that,"Closer cooperation in the field of maritime security occupies an important place in our defense and security and engagement." PM: Closer cooperation in field of maritime security occupies an imp place in our defence and security engagement pic.twitter.com/hmmSedWxKl Vikas Swarup (@MEAIndia) 11 2016 . As part of the India-Kenya defense cooperation, Modi donated 30 ambulances to Kenyan defense forces. Boosting the #IndiaKenya defence partner'p. PM @narendramodi hands over keys of 30 field ambulances gifted to Kenya pic.twitter.com/esC1AjdSh4 Vikas Swarup (@MEAIndia) 11 2016 . Prime Minister Modi said that, "We had agreed that terrorism and radicalization is a common challenge for our two countries, the region and the whole world. We have agreed to deepen our security partnership including in the fields of cyber security, combating drugs and narcotics and human trafficking." Prime Minister Modi also announced the extension of a concessional Line of Credit of $ 44.95 million to the African nation to help it in development of small and medium enterprises and textiles. India will also build a cancer hospital in Kenya to provide high-quality, affordable healthcare. Earlier today, Prime Minister Modi was given ceremonial reception after his arrival in the Kenyan capital Nairobi. And some more visuals from the ceremonial reception at the State House in Nairobi earlier pic.twitter.com/vAT2d3lAiM Vikas Swarup (@MEAIndia) 11 2016 . Prime Minister Modi's Kenya visit is the last leg of his four-nation Africa visit. Modi's Africa visit is considered to be one of the most important in terms of India's strategic interests, especially to counter the increasing Chinese influence in Africa. WASHINGTON (Sputnik) The Chinese economys slower-than-anticipated growth has the potential for regional and global spillovers, International Monetary Fund (IMF) Deputy Managing Director Mitsuhiro Furusawa said at a symposium on Monday. "The outcome of Chinas economic rebalancing is one of the most important risks facing the global economy," Furusawa argued. "A sharper-than-expected growth slowdown has the potential to reverberate to countries worldwide that have become closely linked to the Chinese economy." Furusawa noted that the share of the debt Chinese companies are unable to pay off is growing. The third edition of the modern day Hambletonian Maturity will head postward Saturday, July 16 at the Meadowlands Racetrack with a field of 11 four-year-old trotters competing for a purse of $471,200. Ten eligible trotters plus a $50,000 supplement by the connections of the latest Indiana-sired sensation, distaffer Hannelore Hanover, comprise the field of 11. Musical Rhythm, who won a Graduate leg at Mohawk Racetrack and the $250,000 final at the Meadowlands in 1:51.2 last Saturday, leads the field for trainer Ben Baillargeon. With 12 victories in 14 starts and $270,573 in purses earned this year, a win with Musical Rhythm would give driver John Campbell consecutive victories in the Hambletonian Maturity, following his successful steer with JL Cruze, who was also a racetime supplement last year. A son of 1998 Breeders Crown freshman champ Musical Victory and sire Cantab Hall, Musical Rhythm was a $33,000 Standardbred Mixed Horse Sale purchase at Harrisburg last November for owner/trainer Ben Baillargeon, Claude Hamel and Santo and Nunzio Vena. He is amazing us, said Baillargeon after Musical Rhythms Graduate final victory from Post 10, which was done so in a mark-lowering 1:51.2. I go to the sale and look for young trotters with speed I dont care if they make breaks because I think I can fix them but they have to show some speed. This guy was maybe a little guy when he went through the ring as a yearling, but he fit what I was looking for and in my price range. Both times down at the Meadowlands, I dont know what happened, he didnt get a good trip, but he went a hell of a mile Saturday night, continued Baillargeon. Because he won in 1:52 in March I was able to stake him to the Graduate, Crawford and Allerage, although I originally wanted him to just stay in four-year-old races. Though Baillargeon has returned to his home base in Ontario, his daughter, Sara, is headquartered at Magical Acres training centre in New Jersey with Musical Rhythm. Hannelore Hanover joins Bee A Magician (second in 2014) and Shake It Cerry (third in 2015) as the only mares to start in the Maturity against the males. The $50,000 supplemental decision was kinda easy according to trainer Ron Burke. Weve had to count on her to do everything we planned on Mission Brief doing this year and she has really come through, said Burke. Her last two wins were in complete shutdown mode without her ever being asked, and everyone who has driven her believes she can trot in 1:49. She just won at Mohawk in 1:51, so thats not out of the question. Its a lot of money to put up, but shes made a lot, so we are kind of playing with our own money here. She has been just unbelievable this year and no other horse shows the kind of miles shes been doing. The Burke Racing Stable owns Hannelore Hanover, along with the partnership of Mark Weaver and Mike Bruscemi, and Frank Baldachino. A $32,000 purchase as a yearling from the 2013 Standardbred Horse Sale, Hannelore started just twice at two in Indiana-sired events, and also stayed home in Indiana as a sophomore, racking up close to $300,000 in state-bred earnings. Now four, the daughter of Swan For All High Sobriety has joined Indiana standouts like Wiggle It Jiggleit, Freaky Feet Pete, Always Be Miki and Colors A Virgin in beating the best North America has to offer. Ironically, Hannelore Hanovers sole loss this year came in her home state to the mare Allie Labrook. Otherwise she has picked up stakes wins in the Miami Valley Distaff, Armbro Flight and Miss Versatility. Burke will also start Crazy Wow for Our Horse Cents Stable, JT45, Silva Stables and Deo Volente Stable. A winner of $1.2 million lifetime, Crazy Wow has struggled to find his stride this year, but put it together in an overnight race last Friday, scoring in 1:51.2. Ake Svanstedt will start three trotters: Centurion ATM, Crescent Fashion and Honor And Serve. Svanstedt won the first edition of the Maturity in 2014 with Your So Vain. Muscle Up The Goal comes in off a second-place finish to Obrigado in the Cleveland Trotting Classic, an open race. Trained by Chris Beaver to more than $300,000 in earnings in just 25 lifetime starts, the son of Muscle Mass-Tags Goal will be making his first start at the Meadowlands. 2015 Hambletonian winner Pinkman was not entered, but Jimmy Takter has representation in Canepa Hanover. Trainer Luc Blais, who has a history of sending out longshot winners, has entered Lookslikeachpndale, a lightly-raced son of Muscle Hill; George Ducharme could be the first trainer in modern times to send out a Hambletonian winner (Royalty For Life, in 2013) and a Maturity winner should Wings Of Royalty step up; and Tom Fanning adds Fashion Creditor for owner Joe Smith to round out the field. Created by the Hambletonian Society and the Meadowlands as a racing opportunity solely for four-year-olds previously eligible to the Hambletonian and Oaks, like those events the Maturity racing conditions prohibit the use of Lasix, the only race-day medication permitted in New Jersey, and entrants are subject to out of competition testing. The distance is a mile and an eighth. All starters in the race will receive money, with the winner receiving 50 per cent of the purse. One per cent of the total purse will be paid to the owners of the finalist finishing sixth through eleventh. The remainder of the purse for the Maturity will be divided 50-24-16-10 per cent for the horses finishing second through fifth. Post positions will be drawn later this afternoon with the rest of the sensational Meadowlands card, which features the Crawford Farms Meadowlands Pace, Mistletoe Shalee, Stanley Dancer Memorial, Delvin Miller Memorial, Golden Girls, Miss Versatility and the WR Haughton Memorial. The 11-horse field, in alphabetical order, appears below. Canepa Hanover Centurion ATM Crazy Wow Crescent Fashion Creditor Hannelore Hanover (S) Honor And Serve Lookslikeachipndale Muscle Up The Goal Musical Rhythm Wings Of Royalty (Hambletonian Society) Starters sent out by trainer Sebastien Giroux during Sunday evenings card of racing at Rideau Carleton Raceway were ready, willing and able. The conditioner celebrated a training triple on the 11-race program, and it all started with a 1:56.4 score in the opening contest with Shhh Its A Secret. Owner/trainer Pierre Desjardins guided the mare to the comfortable score, and that was much to the delight of the favourite players that sent the mare off as the 3-5 favourite. Desjardins and Giroux struck again in Race 4 with Norvena Hanover, who front-stepped her way to a 1:56.4 tally by a three-length margin. Giroux completed the three-bagger thanks to a 1:56 triumph with Vincent Van Go in Race 8. It was Stephane Lareau who mapped out the winning trip for that gelding. Giroux is humming along with an impressive UTR of .344 this season thanks to 32 wins from the 150 starters sent out. The trainer celebrated, who celebrated 36 wins over the entire 2015 campaign, has 892 lifetime victories and purse earnings of close to $4 million. Unabating was triumphant in Sundays feature event for trotters. Guy Gagnon guided the Gerard Demers pupil to a 1:57.1 win in the $6,800 Not Listed Preferred Trot. The gelding got away next to last, but advanced on the leaders in the middle stages of the mile. A :30.2 closing quarter is all it took to net the eight-year-old son of Broadway Hall-Malabar Memories the win by 1-3/4 lengths over What A Rush. Stonebridge Rich carted home the show dough. Sent off as the 2-1 favourite for owner Catheline Pelletier of Mirabel, QC, Unabating improved to 6-for-13 this season with the win. The 25-time winner has stashed away more than $235,000 in career earnings. Sundays card also featured a $6,650 Not Listed Preferred Pace, and it was Meersburg who came out on top in gate-to-wire fashion for trainer/driver Ted McDonald. The gelding led the field through fractions of :28.1, :57.4 and 1:26.1 before cranking out a final frame in :28.4 en route to winning by 1-1/4 lengths over race favourite Stonebridge Beach in 1:55. Viper Select rounded out the Triactor ticket. Julie Ferguson of Greely, Ont. owns the five-year-old son of Sand Shooter-Belize. It was his second consecutive tally, and his eighth win of the campaign. The 25-time winner now boasts a career cash stash of $121,837. To view results for Sunday's card of harness racing, click the following link: Sunday Results Rideau Carleton Raceway. Georgian Downs wrapped up its weekend showcase of two-year-old talent with three $18,000 Grassroots divisions for the novice trotting fillies, and Sundays outing may be the only time this season fans will see the division winners compete at the Grassroots level. All three winners controlled the tempo and all three impressed their trainers, starting with Man Shes Hot in the first division. In rein to Chris Christoforou, Jr., Man Shes Hot powered away from Post 5 and took control just past the :30.1 opening quarter. From there the pair laid down a 1:00.2 half and a 1:30.3 three-quarters before drawing away from the field to a two and three-quarter length win in 2:01. Hab Faith finished second and Whole Lot Of Sugar was four more lengths back in third. From the first day I got her after the sale the first day I put the harness on her and the bike and everything every day, every training, she never run, she always was a business girl, said trainer Dany Fontaine. And I always say to my owners, I dont know if shell be a very good filly, but I know one thing, she do everything right, all winter, mile after mile, week after week, training after training. Fontaine prepped the Manofmanymissions daughter for Sundays Grassroots debut with two qualifiers at Mohawk Racetrack, on June 3 and 10, and two overnight races, on June 21 and 30. Man Shes Hot improved steadily with every outing, impressing both Fontaine and Campbellville, ON resident Christoforou. Chris Christoforou told me all the time, he said Dany, were better to have her quiet than too crazy, because when theyre too crazy they run from nothing or they scare, Fontaine added. Her, shes never scared, shes nice and she only trot, so I cant complain about her. Im very happy about her. The Lachenaie, QC resident shares ownership of Man Shes Hot with Ecurie Gaetan Bono Inc. of Montreal, QC, Marco Manna of Laval, QC and Jean Francois Reid of Anjou, QC. The partners acquired the filly from last falls Canadian Yearling Sale for $25,000 and in three starts she has returned $10,120. The second division saw P C Pipe Dream and driver Curtis Clements get away second from Post 2 and take over from Late Shift just after she put up a :31.3 quarter. P C Pipe Dream went on to a 1:03.2 half and 1:33.3 three-quarters and then fought off a challenge from Kendras Coco to claim the 2:02.4 victory by three-quarters of a length. Kendras Coco finished second and Late Shift was two more lengths back in third. Shes a nice filly, shes got a little bit of speed, said Dobbinton, ON resident Clements. She can leave a little bit and she shows a little bit of class. I hope that she can maybe move up in class, maybe in another start or two, and well kind of go from there. Clements pilots the filly for his father Peter Clements, also of Dobbinton, who bred, owns and trains the daughter of Cornaro Dasolo and P C Dreams. P C Pipe Dream arrived at Georgian Downs with just one qualifier under her belt, a runner-up finish at Mohawk on June 24. Finishing behind P C Pipe Dream in that qualifier was the winner of Sundays third Grassroots division, Literally. Like her peers in the first two splits, Literally and Dustin Jones got away well from Post 5 and took command before the :59.2 half. Literally cruised to a 1:29 three-quarters and then powered home to a 1:58.1 victory, four and three-quarter lengths ahead of Stormont Royalty. Oh Miss Sophie was well back in third. She sort of surprised me, admitted Jones. I knew she was fast, because when I qualified her she came back in :27.3, but I didnt think she was that fast. Shes pretty good. The Waterdown resident bred, owns and trains Literally, who is a Justice Hall half-sister to his 2014-15 Ontario Sires Stakes champion trotting colt Dont Rush. Jones was originally pointing the filly toward the July 5 Gold Series event at Georgian Downs, but she came up sick after her June 24 qualifier and needed the extra five days to recover from the bug that has impacted many two-year-olds in recent weeks. She trained really good, and then I qualified her once, and then she got sick, so thats why I didnt put her in the Gold, but her next start will be in the Gold, said the horseman. Shes a pretty nice filly. Jones says the filly is a similar size to her half-bother, who was never known for his height, but has a decidedly different gait pattern. He had more of a gait that he was in the air a lot, and her shes a more low to the ground gait, more like a Valley Victory gait or something like that, Jones explained. She doesnt waste as much motion as he did. If they return to the Grassroots program, Sundays division winners will make their second Ontario Sires Stakes start at Mohawk Racetrack on July 21. If their connections opt to jump up to the Gold Series, they will compete at Mohawk on July 19. Ontario Sires Stakes action does not return to Georgian Downs until Sunday, Aug. 7 when the Innisfil oval welcomes the exciting three-year-old pacing colts and geldings for their fourth Gold Leg. Sunday evenings card also featured the $14,000 Alliance Series Finals for filly and mare claimers, and Total Knockout took her game to a whole new level en route to winning the event in a career-best clocking of 1:54.4. Making her debut for trainer Kyle Fellows, the four-year-old daughter of Total Truth got away sixth from Post 8 for driver Robert Shepherd, who picked up second-over cover on the way to the mid-way point. She was first-over and challenging at the three-quarter pole before using a :29.3 closing quarter to prevail by three-quarters of a length over the determined runner-up, Casimir Pardon Me. Treasured Moments took home third prize. Sent off at odds of 8-1, the distaffer improved her 2016 record to 4-1-0 from 17 starts with the win. Louie Sorella of Mississauga, Ont. owns the career winner of $33,260. (With files from OSS) To view results for Sunday's card of harness racing, click the following link: Sunday Results Georgian Downs. RUS America is pleased to announce its establishment as an organization to assist the promotion of Racing Under Saddle (RUS) in the United States. In coordination with the organizations establishment, RUS America has established its website (rusamerica.info). RUS America, is an advocacy group whose mission is to promote racing under saddle for trotters and aims to strengthen harness racing by increasing the fan and ownership base of the Standardbred sport. RUS Americas vision is for RUS to complement traditional harness racing, not supplant it. RUS is a great opportunity to show off the versatility of the Standardbred, in particular their trotting under saddle. Through exhibition and non-wagering events and working with local organizations, RUS America continues to entertain new and current harness racing fans and generate interest in ownership, helping to ensure the future of the sport. Our longer-term goal of seeking wagering on RUS races wherever harness racing is conducted will generate interest in fans from all breeds of racing, thus strengthening the Standardbred industry. Other than possibly suggesting rule changes to the USTA on behalf of state RUS organizations and their riders, RUS America will not be involved in licensing, record keeping, or regulation; its purpose being primarily for promotion and advocacy. The RUS America website reflects the mission statement of the organization by providing information regarding under saddle racing which would be of interest to fans and industry participants. A frequently asked questions section is being developed as is a photo and video gallery. Along with a news section and blog, the RUS America website is one you want to bookmark. Industry participants who are interested in being part of racing under saddle or want more information about RUS may contact RUS America by going to the website and visiting the Contact Us Page. (RUS America) It's Election season and our editor's mailbox is overflowing. Who do your neighbors support? Read about it here. BY OLIVIA ROSE THE Rufus Ewing led administration is optimistic that the Turks and Caicos electorate will return them to power. As the country slips into election mode, Premier Rufus Ewing during a press conference on Monday July 4, at the Opus Restaurant told the media that his government is hopeful that voters will return his administration to Government. He said:In preparing for this upcoming election I can say that we have every right to expect and hope that the electorate of this country should return the Progressive National Party back to government on Election Day. In justifying his reasoning, Ewing pointed out that under the stewardship of his administration the Turks and Caicos Islands has developed immensely, despite a plethora of overwhelming challenges. "This government has done a marvelous and awesome job, over the past three years, Ive said time and time again that we were dealt, we were faced with the most adverse conditions, the finances of this country were in a state of austerity that when we came, the treasury was broke, we hardly couldve paid salaries. "But this government came to office with a mission and the first mission to restore the economy back to where it was that we could now begin to work honestly for the people in providing them with the necessary resources, social services and infrastructure that we are now delivering on. Premier Ewing further propounded that from day one, his administration has delivered on its manifesto which was aimed at holistically improving the lives of residents. He said: "Even though we were broke this government signed to deliver on its mandate from day one, education was our second priority and from day one we began building things that were demolished such as the Ona Glinton Primary school we put millions of dollars into rebuilding that primary school. "We continued to build on education and rebuilding the HJ administration block that was destroyed by fire, the first time in this country`s history over the past 25 years that any government has ever invested in a high school. "This government, because education is important to us, spent millions of dollars in developing and building the new high school, the Long Bay High. "We might not have had the scholarships funding as they were in previous years when monies were flowing, but with the little funds we had we ensured that those persons who were in need of scholarships were provided with opportunities to provide educational training at the tertiary level ,here in the Turks and Caicos islands. Highlighting his government`s track record of delivering on promises, Ewing noted that significant funds were expended on improving health care delivery across the country. "Dealing with health care our focus according to our manifesto was ensuring that we can have a strengthened and strong primary health care system, from an infrastructural and system standpoint. "And I can say that we have delivered on that promise because we have delivered on that promise in creating a new wellness center on the island of Grand Turk, we`ve built a new clinic on the island of salt cay, we`ve reopened and completed the middle Caicos clinic, we already broke ground for the building of a clinic in North Caicos. He underscored the Government`s proactiveness as it relates to the restructuring of the primary health care system. While, Premier Ewing went on to dole out some of his party`s infrastructural, social, cultural and economic achievements since its time in office, he still remained mum on announcing the official date for the general elections. BY OLIVIA ROSE CABINET recently gave the green light to Minister of Finance Washington Misick, to commence negotiations with the Caribbean Development Bank for a $100.8 million dollars loan aimed at improving the standard of living in the Turks and Caicos Islands. The loan is expected to address social, economic and environmental challenges facing the country through a rigorous four year strategic plan. CDB board of directors approved $100.8 million dollars, country strategy for Turks and Caicos Islands for the period 2015 to 2018 on November 2, 2015. Director of Economics at the Caribbean Development Bank, Dr Justin Ram, last year spoke of the CDBs commitment to fostering a plan for macro and micro economic stability in the TCI. He noted that plans, recommendations and strategy bear global and regional economic factors in mind and chart a path that factors the foreseen elements that affect the TCI. He asserted that the current economic climate of the country forms a solid basis for the CSP to be effectively crafted and implemented over the next four years. " "The economy of Turks and Caicos Islands performed admirably during the decade preceding the financial crisis that arose in 2008/2009 with growth averaging 8.7 percent for the years 2001 to 2008. He said: "Unfortunately, the onslaught of the financial crisis and the impacts of two natural disasters exposed significant vulnerabilities in the Turks and Caicos Islands economy including declines in the main sector of economic growth - tourism - and public finance stress. He further noted that in 2009, the TCIs economic growth contracted by 19.6 percent and the fiscal deficit was 9.2 percent of gross domestic product (GDP). " "Since then, the Government of the Turks and Caicos Islands (TCIG) has made bold decisions and has adhered to a path of fiscal consolidation and public financial management reform which paid off with TCI turning a corner and achieving economic growth of about 4.6 percent in 2014. Dr Ram said: "Projections show that economic growth has continued at a moderate pace in 2015. " "Additionally, the fiscal accounts are in surplus, debt is on a downward trajectory, and Standard and Poors rating agency in July 2015, affirmed Turks and Caicos Islands credit rating at BBB+. " "CDB commends the TCIG for its fiscal efforts, the results of which make Turks and Caicos Islands an exemplar for other Caribbean states in this regard. While commending the Government for its efforts in turning the TCIs economy around, Dr Ram acknowledged that many challenges still confront the country which if not addressed could curtail its ability to realise its full development potential. Premier Rufus Ewing, expressed gratitude to the CDB for its efforts, assistance and commitment to bettering the countrys economic standing. " "We do look forward to working with the premier regional institution the CDB, our relationship with the CDB has seen them assisting us in the area of high technical assistance has helped us to develop our various policies and improving our infrastructure. Premier Ewing said the benefits of this new partnership will trickle down to the populace almost immediately. " "One of the key deliverables was the development of the CSP that provides the road map as to what are the priority areas for us to focus on even provisions within the next budget cycle will be made for us to be able to draw down on funds. " "To implement major capital projects as well as technical assistance as required developing the necessary policies behind those projects. The Premier asserted that several infrastructures will be targeted through comprehensive projects such as roads, seaports and the Grand Turk seawalls. "We spoke of proper solid waste management systems and we know that is one of the areas we have major complaints about. We have done a lot of work in it, but theres still a lot left to be done. " "We spoke of education; some funds will be going towards developing a technical policy behind educational programmes and with the EDF were working enhancing the deliverables of the technical vocational education programme in high schools and college. So they will be able to see the immediate benefits from the relationship. The CDB has outlined support for Turks and Caicos Islands in five main areas which will be underpinned by a focus on gender equality, regional cooperation and integration, and energy security. Meanwhile, Minister of Finance Washington Misick said one of the main elements that he is particularly happy about is the technical assistance that will be given to the TCI. "In the area of policy development it will assist us in building capacity, Dr Ram referred to the deficit in human resources and we have a serious deficit in capacity within the ministry. " "I know the public has been concerned about the rate of draw-down and expenditure in the area of both social and infrastructural development and thats a concern that the government has as well. He reiterated the Governments commitment to work with the CDB to expedite programmes that will positively impact and enhance the lives of citizens at the grassroots level. These are: enhancing the quality of life for citizens through infrastructural improvement, enhancing water and sanitation systems as well as road drainage. Improving, environmental sustainability by addressing issues such as inadequate solid waste management and the impacts of climate change. Improving macro-economic policy and development planning by providing resources and technical assistance to entrench fiscal discipline, improve economic resilience, and improve governance systems. Increasing access to finance and provision of business development services for micro small and medium enterprises to boost their competitiveness and strengthen their technical and management capacity. Strengthening the impact of the education system by ensuring equitable access to education; improving the quality of teaching and learning; providing opportunities for all learners; and supporting the establishment of a technical vocational education and training system that meets the countrys needs. The banks 2015 to 2018 support for Turks and Caicos Islands closely aligns with the Governments national development priorities. Governor Peter Beckingham, Premier Rufus Ewing, Minister of Border Control and Immigration, Donhue Gardiner, Police Commissioner and his deputy, and the Deputy Governor and Attorney General all attended a meeting of the National Security Council on Thursday, 23 June, in Providenciales. The Commissioner gave an account of recent criminal activities, and informed the NSC that further investigative teams would be supporting the Police Force with some unresolved cases. The Council discussed the work of the road safety department, and agreed that the Commissioner should commission a study to consider a more joined up IT system across government which should enhance the work of the Force. The Council discussed the regulation and control of private security companies and agreed that the Cabinet should consider a short paper from the Commissioner recommending measures to implement supervision, along a UK model. The Council recommended that the matter of drink driving provisions of the Road Traffic Ordinance be referred to Cabinet and that the Attorney General draft regulations relating to the apparatus and calibrations for measuring alcohol content in the blood for consideration by Cabinet; The Council also discussed changes to security at the National Detention Centre, illegal immigration, the use of drones for policing purposes and other issues. It agreed that a short ordinance about the National Security Council and its Advisory Group should be brought to the House of Assembly, and that a further meeting of the Council and the Advisory Group should be held in July or August. By: Daisy Handfield THE MP for Five Cays, Sean Astwood, has complained that for many years he has pleaded with the Government to repair the roads in the constituency he represents. Mr. Astwood told this newspaper that he has been reaching out to the current Government for over three years now in hopes of them doing something about the roads in Five Cays. At his invitation this reporter drove into the affected areas and noted some of Mr. Astwood's complaints. They included a number of potholes, unpaved roads, lack of street lights and the need for maintenance work. He said: "I am once again calling to the Government to do their job and give the people what they deserve. The people in Five Cays are Turks and Caicos Islanders and they deserve to be treated as such. "I understand that the Government sees Five Cays as a ghetto, but I see it as a community that is waiting on a caring Government that can and is willing to address their needs and concerns. "This should be done to a point where our community and its infrastructure could be modernized to first world standards that will express the dream and aspirations of our residences. "My community deserves more and I demand more. The MP explained that every time he reached out to the road department he was told that they were getting to Five Cays. I do expect that now that we are just months from elections, I hope that debt will urge them to find a way to the Five Cays community. He said that many times Five Cays community members would complain to him about the condition of the roads. "One of the things that people are most upset about is that every year, for the last three years, they have seen this Government boast about multimillion dollar surpluses, and yet no infrastructural development or investment into Five Cays, Astwood said. This newspaper contacted the Minister for Environment, Amanda Missick, but she contradicted Astwood's charges. She said: "Five cays is one of the segments that we have done work on. As we speak now, there is work going on in Five Cays. "The same process is being taken throughout the Turks and Caicos. It is just that it is a process and these processes take long. There is no need to say that Five Cays is being neglected, because it is a part of the Turks and Caicos and we want to work on all the roads in the Turks and Caicos. THE ECONOMIC advancement of the Turks and Caicos Islands could well be affected by the ongoing litigation and a political climate that could have a destabilizing effect on the country. This was the view of Minister of Finance Washington Misick when asked how the TCI could maintain its BBB+ rating and even improve on it in the coming years and months. This past week global rating agency Standard and Poors announced that the TCI has maintained it BBB+ rating with a stable outlook. A significant point noted by the agencys report was that of availability of rooms and lack of new construction. Speaking to these two potentially negative effects on the economy, Misick pointed to the so far unresolved issue of the court matter brought against the Government by the Strata Corporation. The hotel group is asking the court review a decision by the government in February this year to amend the building height regulations to allow 12-storey structures in the Islands. "Economic growth in the TCI in terms of the tourism industry could well be affected by the number of beds that are available particularly when that sector is growing this is even more so in light of the matter that is unresolved in relation to what could be built and what cant be built or what should or shouldnt be built, the minister said in reference to the court case. Asked if there are any other developments that could boost the economy apart from the proposed 12-storey Ritz Carlton hotel that is currently tied up in court, Misick said yes. He added that while some would not be affected by the decision of the court in the Strata Corporation matter, some would be should the court dispute the Governments decision to allow such developments. These other developments are in various stages of planning awaiting the courts decision. "The matter is not helpful to the situation, it is dragging on and it needs to be resolved. However it is resolved, it needs to be resolved and resolved quickly. The minister stated that the case affects the economy and everyone else who lives in TCI. "Should the Government come out on the losing end of the stick in the judicial review, how would the Government go about boosting the economy, since this seems to be their biggest plan so far?, the minister was asked by this publication. He said that based on the resolution the appropriate steps would be taken to ensure that growth is facilitated. Adverse Political climate "I would like the general public to know that the credit rating could change at anytime and it will be negatively impacted upon by the political climate. It could change next month or next week or whenever, depending on how the economic stability is handled and judged. "And people need to be conscious about that if they are really concerned about the future of the islands and the people who live here, their children and grandchildren; they would be careful about how they behave politically, and what kind of message they send. "They need to ensure that any message they send are not messages to destabilize the economy and put off investors and others who set credit ratings, the minister warned. Asked about his brother former Premier Michael Misicks intention to contest the general elections as an at-large candidate and his thoughts on his chances, the Finance Ministers replied: "That is not something I wish to comment on, I think there is a process and we will wait to see how that process works out, but at this stage it is not something I would like to comment on. THE Three men who were arrested and later freed in relation to the robbery and shooting at Sunny Foods in Five Cays in April, appeared in the Magistrate court on Wednesday (July 6). Reginal Presil, Wilbert Almonor and Fritzner Prevalus appeared before Magistrate Carlos Simmons in the downtown courthouse charged with overstaying in the Turks and Caicos Islands. One of the men was on work permit that had expired in March, another one was only granted 10 days stay in TCI and the third man had not arrived legally in the islands. The men were picked up by police in Grand Turk and handed over to immigration officials, the court heard. All three men pleaded guilty to the charge and before handing down his decision the Magistrate asked the prosecutor if the court should take the robbery offence the men were first arrested for into consideration in determining what sentence to impose. However the defense lawyer objected to this stating that the men were freed of the charges due to lack of sufficient evidence. The magistrate proposed that he will put aside the robbery, but he said that he is minded to impose a two year suspended sentence of imprisonment and deportations and if they resurface in the TCI they are liable to serve that time. The defence attorney said that surely there is some leniency; to which Simmons responded that "they just don't have to come back". The first matter against the three men had failed at the sufficiency hearing. The court finally settled on deportation and a suspended sentence. The men were then handed over into the authority of immigration officials to be held at the detention centre until they are deported to Haiti. The two year suspended sentence would only take effect if they return or try to return to the Turks and Caicos. hidden Germany's Federal Office for Motor Vehicles (KBA) would not have approved the autopilot system installed on Tesla (TSLA.O) cars if the technology was still in a beta-phase version, it told German newspaper Welt am Sonntag. Tesla's partial self-driving Autopilot feature has been thrust under the microscope since a fatal crash involving a Tesla Model S sedan in the United States in May. European approval for the autopilot system was gained in the Netherlands, but the view of KBA is significant because Germany is Europe's biggest car market. "If the word beta-phase means an incomplete status of the software, the KBA would not authorise (such) a functionality," the newspaper quoted the KBA as saying. A beta version generally describes a product that has moved from mere functional readiness but still requires improvements for full usability. Tesla said on Friday that it is cooperating with the KBA, which reports to the Transport Ministry, to review components. The Berlin ministry, for its part, said it was "clarifying technical issues" with Tesla but denied it was investigating the company for not sufficiently informing authorities, as Der Spiegel magazine reported on Saturday. Reuters hidden The Islamic State group's Twitter traffic has plunged 45 percent in the past two years, the Obama administration says, as the US and its allies have countered messages of jihadi glorification with a flood of online images and statements about suffering and enslavement at the hands of the extremist organization. Among the images: A teddy bear with Arabic writing and messages saying IS "slaughters childhood," ''kills innocence," ''lashes purity" or "humiliates children." A male hand covering a female's mouth, saying IS "deprives woman her voice." A woman in a black niqab (veil), bloody tears coming from a bruised eye, and the caption: "Women under ISIS. Enslaved. Battered. Beaten. Humiliated. Flogged." U.S. officials cite the drop in Twitter traffic as a sign of progress toward eliminating propaganda they blame for inspiring attacks around the world. When the US formed an international coalition in September 2014 to fight IS, the administration outlined multiple goals: military action and cutting off foreign fighters and finances, confronting the group's extremist ideology and stemming the militants' growing popularity in the Arab world and beyond. The messaging element of the campaign struggled early on. Much of the anti-IS content put online was in English, limiting its effectiveness. At the time, social media networks were only getting started with new technological approaches to the challenge of disabling accounts that were recruiting and radicalising prospective IS members. These shortcomings have been fixed, American officials believe. Memes and images depicting the group's treatment of women, children and others are presented almost entirely in Arabic. Whereas the US previously blasted the information out itself, it disseminates messages now through Muslim governments, religious leaders, schools, youth leaders and advocacy groups with credibility in local communities. Data show the proliferation of IS propaganda decreasing. "We're denying ISIS the ability to operate uncontested online, and we're seeing their social media presence decline," said Michael Lumpkin, head of the Global Engagement Center, which coordinates the US government's approach to fighting extremist messaging. Using an alternate acronym for the group, he said "anti-ISIS audiences are increasingly vocal on social media. This only weakens ISIS's ability to recruit, a key aim of our messaging efforts." Data obtained by The Associated Press show a 6-1 ratio of anti-IS content online compared with pro-IS content an improvement from last year. When pro-IS Twitter accounts are discovered today, they have about 300 followers each. In 2014, such accounts had 1,500 followers each, according to the data. Among social networks, the administration has primarily focused on Twitter. The platform has been most heavily used by IS to crowdsource supporters and potential attackers, though it also has used YouTube and Facebook. As IS emerged from al-Qaida's shadow and began seizing cities and large swaths of territory in Syria and Iraq in 2013, pro-IS accounts started firing out tens of thousands of tweets each day, rapidly and repeatedly opening new accounts as others were suspended. The group's enhanced use of social media quickly set it apart from al-Qaida and previous jihadi militant groups. Counterterrorism and law enforcement officials have pointed to IS' online presence for inspiring deadly attacks in Europe and the United States, including some by individuals who never had physical contact with any of its leaders or fighters in the Middle East. These include the attackers who killed 14 in San Bernardino, California, last December. The US messages attempt to undermine many of IS' most oft-cited claims. These include the group's supposed invincibility on the battlefield or that its caliphate is good for Muslims. American partners have flooded social media with messages highlighting the group's territorial loses and inability to effectively govern or provide basic services to areas under its control. Although the US government has no formal arrangement with Twitter, its information campaign has dovetailed with new approaches by the company to identify and eliminate tweets supporting terrorism. Until recently, child pornography was the only abuse automatically flagged for human review on social media. Terrorist messaging is now also included and Twitter announced earlier this year it was using a spam-fighting technology as well. Since mid-2015, the company has suspended more than 125,000 such accounts. Officials accept that the focus on Twitter may be driving some of IS' traffic to secure message platforms such as WhatsApp and Telegram. But such a shift means the group's propaganda is reaching a smaller audience. On these networks, it is the job of intelligence and law enforcement officials to root out any clues about future terrorist activity. The Global Engagement Center was created in March to replace a previous State Department entity for fighting IS messaging, the widely criticized Center for Strategic Counterterrorism Communications. In addition to shifting to Arabic content and proxy messengers, the new formation harmonizes the online campaign with military and intelligence efforts, and uses data analytics from the private and public sector to gauge IS' changing online tactics and what counterstrategies are working best. For measuring pro-IS versus anti-IS accounts, data analysts use several dozen search strings and hashtags. For example, #Caliphate is more likely used on pro-IS accounts. #Daesh, a pejorative acronym for the group, is primarily found on anti-IS accounts. Associated Press Nimish Sawant Update: Facebook has responded: "Our Community Standards prohibit content that praises or supports terrorists, terrorist organisations or terrorism, and we remove it as soon as were made aware of it. We welcome discussion on these subjects but any terrorist content has to be clearly put in a context which condemns these organisations or their violent activities. The internet in the Kashmir Valley had been suspended over the weekend by authorities. This was done to contain the spread of rumours by anti-social elements, after the killing of Jammu and Kashmirs most wanted guerrilla Burhan Wani by the security forces. So far, the death toll following the protests that erupted during Wani's funeral procession has reached 22. While the protest still continues, both online and offline, a pro-Kashmir activist Huma Dar has alleged that Facebook has blocked her account. https://twitter.com/baalegibreel/status/752259098737979392 People known to Huma Dar are also protesting against the atrocities in Kashmir on Facebook as well as on Twitter. Huma Dar, is an academic associated with the University of California, Berkeley. We have reached out to Dar for more elaboration on the matter. We will update the story when we hear from her. Kuffir Nalgundwar's Facebook status with Dar's screenshot is the one that being shared the most on Facebook. Nalgundwar has written, "our dear friend Huma Dar's fb account has been blocked because the brahmin indian state doesn't like her stand on kashmir. protest this friends! stand up for huma!" Another user Viswesh Rammohan said, "At a time like this, when the state is causing havoc in Kashmir, it is shameful that you would censor a voice as eloquent and necessary as Huma's. We all know where your priorities lie. Time and again, you just prove it. Shame on you!" https://twitter.com/rohithjyo/status/752427137748111360 Even users on Twitter are outraged at the alleged deletion of Dar's account. We reached out to Facebook regarding this allegation. Carson Dalton, head of communications at Facebook reponsed thus, "We are looking into this matter and will respond as soon. Thanks for reaching out." Honestly speaking, it seems quite naive of Facebook to block / delete the account of such a prominent voice, if it indeed has allegedly blocked the account due to political reasons. Of course, we know Facebook has Community Standards which have to be followed. It also lets people the right to flag Facebook updates which do not follow these standards. People are justifiably enraged on Facebook as well as on Twitter. Facebook has, in the past, been accused of gaming the Trending Topics section. This makes it much more than a neutral platform. This is a matter of big concern in the United States. Unless Facebook releases an official statement behind the reasoning of the account block, it just comes across as an act of stifling free speech. Naina Khedekar Ringing Bells and its Rs 251 smartphone, Freedom 251, is one of the was among the most talked about phones in India. The company that many doubted whether would ever deliver the promised device has started shipping the Rs 251 smartphone, and, surprisingly, for the said price. Out of the promised 2 lakh units, 5,000 units are out there and ready to be shipped. This morning, CEO Mohit Goel revealed a state-wise breakup as seen below. The number includes 4,240 units already being shipped. The rest of the units, 760 in total, are in the process of being shipped to UP where he intends to visit some cities, such as Meerut and Shamli, and deliver the devices himself. During our last conversation with Goel, he had said that the second phase of Freedom 251 shipments will begin only when they see some positive signs or support from the government. Today, he adds, Me asking for support has been misinterpreted. I dont want to talk about the support as it has shown me in negative light. I am not capable of providing smartphones to 75 crore (increased from the 60 crores we heard of last time) people. Going by Rs 600 loss per unit, it turns out an overall loss of Rs 45,000 crore. But the government could make some amendment to make this possible, if not my company than some other company. The motive would be to reach out to people without phones, get them connected and help in the digital drive," he added. Looks like, Goel has taken it upon himself to get India digital. Now, it is a noble cause. But, what he fails to realise is that the decision to bring 60 crore (or 75 crore) units of Freedom 251 to India was a decision he and the company made. He wasn't forced into selling a device at Rs 251 by anyone. We couldn't agree more when he says India needs a Rs 251 Freedom 251 to get smartphones within people's reach, but by no means is the government obliged to help them, if they don't wish to do so. Economies of scale and other company theories didn't fall in line. Thus, a failure in the initial plan or bearing losses is something he will be responsible for as a businessman. Now, aren't reams already been written down about how Ringing Bells possibly plans to manage the losses? In the past, we have seen many talk about the infeasibility of building a device (with the said specs) at the price. In fact, loss of Rs 600/unit also seems rather difficult to assimilate. Going back to the mission to get people connected, the one who wants to help people will have to actually find a way to help people. Freedom 251 is being sold online and not in remote areas via offline channels. To get to the people without the means to stay connected would mean selling devices in those areas. Goel tells us that 60 crore or 75 crore units won't be possible without some help in the form of a subsidy from the government. However, he does add that Ringing Bells will consider a second phase, but with small, manageable numbers such as 1 lakh or 50,000 units. Depending upon the time taken to ship 5,000 units, we expect the first phase itself to be a lengthy affair. Moreover, Goel said that he plans to take feedback from the initial device users, before shipping the rest. By providing 5,000 units, the company has only managed to offer 0.025 percent of their initial promise of 2 lakh units, but at least we know Freedom 251 isn't entirely a scam or that Ringing Bells is fraudulent. However, the slow rollout also reveals that the company made some big, difficult-to-achieve promises right at the very beginning, without gauging the markets and relying on its less experience. I think, it may also decide to halt the program in a few months. If that happens, then the Freedom 251 can be called a stepping stone to open the gateway to its other products. It recently announced six mobile phones, and has already received 55,000 pre-orders for the same. The company has planned an event later this month, with stars of an upcoming Bollywood movie, to launch its LED TV. If it manages to deliver on the TV promise, the company may possibly offer some of the best-selling budget devices (especially TVs), considering the Diwali shopping season. However, with promises and re-promises and skewed up delivery dates, Ringing Bells leaves some lingering trust issues. After all, trust has to be earned, and the company's initial promises has made a huge impact on how it is being viewed. Sheldon Pinto The colourful past of smartphones (or mobile phones) seems to be increasingly repetitive and dull after Apple and Android took over the market. The post Nokia era of mobile phone users seems mostly limited to just a handful of shades compared to the colourful past and creative designs of the early 2000s. Mobile phones went from the blocky and functional designs to the basic black finish to fashion-friendly Nokia (in various designs shapes and colours) to the now boring old single slab of glass with either a Slate Grey or a silver aluminum finish. Yes, it's getting pretty boring out here. At the most you get some Gold variant. Moreover, the quest for cheaper smartphones, is fueling this movement. Design and colour options go out the window and it is getting hard to even make a style statement without someone coming up to you and saying, "This looks so much like an iPhone...". Recently, someone on Reddit decided to tweak the leaked renders of the upcoming Nexus Sailfish. Out of the usual black, silver, white, the colour red actually stood out. It looked great in metal and a lot better than the Red Nexus 5 from Google that was launched more than a year ago. While the Apple crowd is drooling all over the (unconfirmed) Space Black renders of the iPhone 7, Android folk seem to have plenty to choose from, or do they? We really like the Mint option of the NextBit Robin. It stands out from the crowd and its design, certainly makes for something that would get you plenty of attention even if you happen to be standing inside Apple's headquarters. Buying a new smartphone? Well, at least one of your friends will tell you to go in for a silver or black model. Its boring, but thanks to societal pressures (and as much as you like a bright red smartphone) you would end up with the basic black or silver to blend in. After Apple introduced, Rose Gold, there have been plenty of adopters (Drake's showing them off in 'Hotline Bling' as well). But head into a store and you will not find a single Slate Grey model in stock. Out here in India, Gold is probably the most favoured colour... well duh, because this is India, and Indians love gold (the precious metal). Still then, Slate Grey is the one many would prefer to play it safe, in case the bold Rose Gold trend dies out (here's a clue, it won't, because Apple now makes the new MacBook in Rose Gold as well). Google made a bold move, with an Ice (Mint Green) Nexus 5X last year, and to my knowledge, many did go in for it, only to realise how ugly it looked after a few months of use (same with the Quartz white model). Still then it was a bit refreshing to see some colour in the Android space. Microsoft's last attempts with Windows 10 Mobile seems to be oddly similar to its brand colours with White, Blue and Black (Oh! How I miss the bold Nokia 1020 in that bright yellow). The upcoming launch of the iPhone is going to be an interesting one. No its not because it is expected to sport a bigger camera, twice the amount of storage or even dual cameras on the back, its because we may have a few more colour options. We recently spotted a deep blue colour, a trend that will soon pick up among Chinese smartphone makers soon after its launch. Next year's metal-clad Android smartphones will finally have a another shade to play around with, unless of course Google introduces something new and fresh with its upcoming Nexus line up. Naina Khedekar Xiaomi, known for its attractive product line and flash sales, is now strengthening its presence in the offline market. The company had slowly moved from flash sales to open sales for select products, and had even started testing some in-store selling last year. Flash sales need no introduction. The company had kept netizens hooked to purchase its products week after week as they ran out of stock in seconds. Now, it has strengthened its offline channels by teaming up with Innocomm and Just Buy Live. The reason for doing so is simple to boost sales volumes. And, it wouldnt be wrong to say, the company is looking to expanding its reach in the country. The new partnerships instantly add 5000 offline outlets, allowing people to experience Xiaomi products and purchase them easily. With this, one will find Mi India's products across large format retailers, including Sangeetha, Big C, LOT Mobiles, Poorvika and mom and pop stores. The move isnt surprising. Just as the year 2016 kicked off, the company started making its offline intentions clear. At the start of the year, the company spoke about targeting double the offline sales in 2016. It was around the same time that reports about its worrying investors also came to light. Xiaomi reportedly missed the 80 million smartphone sales target, which was believed to have left its investors taking a second look at the $46 billion valuation. The world's most sought-after startup had raised huge amounts and seemed to be under pressure to meet the expectations. The companys growth overseas hasnt been swift, but it is a very popular brand in India. The company that entered India in July 2014, had hit the million mark in December 2014 itself. It managed to sell over 2 million devices by March 2015, and the number increased to over 3 million by September 2015. Though the flash sale strategy worked in India, to a great extent, reaching to the other side and adding new customers will always be a challenge. In India, offline channels are also known to reach smaller cities and fairly remote areas, something that is not always possible with online sales model. Moreover, the competition in the mobile space here is intense. The company has been facing some stiff competition from its fellow Chinese vendors. The IDC report for Q1 2016 revealed how Xiaomi and Lenovo had slipped from its position among top 5 and were replaced by Oppo and Vivo. Its fellow vendor and newest entrant in Indian market, LeEco, seems to be already hitting the right path with a mix of online and offline presence. Xiaomi has helped shed off the image that comes with Chinese products in India to a great extent, strengthening its offline channel in addition to selling devices online, should be great way to boost its sales. Biswal praises efforts to protect US citizens in Bangladesh Visiting US Assistant Secretary of State for South and Central Asian Affairs Nisha Biswal on Monday appreciated Bangladesh law enforcement agencies ongoing efforts to protect US citizens in Bangladesh. She also said they will continue their assistance in combating terrorism, a global threat both the countries face. Biswal came up with the assurance during her meeting with Home Minister Assaduzzaman Khan at his Secretariat office in the afternoon. US Ambassador to Bangladesh Marcia Bernicat and Deputy Assistant Secretary of State for South and Central Asian Affairs Manpreet Anand were also present. The Assistant Secretary offered her condolences for the victims of the recent terrorist attacks. We mourn with our Bangladeshi partners and are steadfast in our commitment to this country, said Biswal adding, Our support for and cooperation with Bangladesh remains as robust as ever. She also offered US expertise in building Bangladeshs counterterrorism capabilities. Talking to reporters, Home Minister Assaduzzaman said the government will make an assessment over the support offered by the US in countering violent extremism and terrorism in Bangladesh. During the meeting, they discussed Bangladeshs bilateral relations with the United States and the security situation here. -- Dhaka, July 11 (UNB) Fighting flares again in South Sudan capital after UN demand for restraint South Sudanese policemen and soldiers stand guard along a street following renewed fighting in South Sudan\'s capital Juba. Reuters, Juba :Heavy fighting erupted again in South Sudan's capital on Monday a day after the U.N. Security Council told rivals President Salva Kiir and Vice-President Riek Machar to rein in their forces and end days of violence that have left scores dead.A Reuters witness saw two helicopters overhead firing apparently in the direction of Machar's political and military headquarters. Residents reported tanks on the street. A U.N. official said heavy gunfire had erupted around U.N. bases again.The capital has been mired in fighting almost every day since Thursday when troops loyal to Kiir and soldiers backing former rebel leader Machar first clashed, raising fears of a slide back to a full-blown conflict after a two-year civil war.It was not immediately clear who was leading the fighting or if either side was gaining the upper hand. The violence has raised concerns that Kiir and Machar, longtime political and military rivals, may not have full control of their forces.There has been no official death toll but at least five soldiers died on Thursday and a Health Ministry source said 272 people, including 33 civilians, were killed on Friday. After a brief lull on Saturday, Sunday's fighting appeared even more fierce."We urge an end to these hostilities and hope they (political leaders) will return back to taking up all the action points of the peace agreement," Shantal Persaud, spokeswoman for the U.N. mission UNMISS, told Reuters by telephone.She said gunfire had erupted on Monday around the U.N. headquarters in the Jebel area of Juba and also around a base near the airport. U.N. bases were hit by small arms and heavy weapons on Sunday. One U.N. Chinese peacekeeper was killed.UNMISS said it was "outraged" by renewed violence in the world's newest nation, which marked five years of independence from Sudan last week. South Sudan's people remain mired in poverty. Oil production, the nation's mainstay, has plummeted.The U.N. Security Council, after an emergency meeting, told the two leaders to "do their utmost to control their respective forces, urgently end the fighting and prevent the spread of violence" and commit themselves to their peace deal.Attacks on civilians, U.N. personnel and U.N. premises might amount to war crimes that would need investigation, it said.On Friday, Kiir and Machar had been in patch-up talks after Thursday's shootings when gunfire erupted. Both said then they could not explain what happened.The two men have long been rivals for power both in politics and on the battlefield. The civil war erupted in December 2013 a few months after Kiir sacked Machar as his deputy.They signed a peace deal in August 2015, but then spent months wrangling over details. Machar finally returned to Juba in April, at the time seen as step toward cementing peace.But experts say the failure to implement swiftly key elements, such as the re-integration and demobilization of combatants, has allowed tension to fester and risked igniting a new conflict. Meghna Bank inks deal with Apan Jewellers Economic Desk : Recently Meghna Bank Limited has signed an Agreement with Apan Jewellers in its Head Office at Suvastu Imam Square, Gulshan-1, Dhaka. Bappi Das, Branch in charge of Bappi Das, Branch in charge of Apan Jewellers is handing over the Agreement to Mohammad Imdadul Islam, SEVP & Head of Retail, and SME & Other Finance Division. From this agreement, Meghna Bank Cardholder can avail 30% discount from Apan Jewellers on a purchase of Diamond. Mr. Mohammed Nurul Amin, Managing Director & CEO and other high officials of the Meghna Bank Limited were present at the ceremony. Six Bangladeshis to attend Metallica Concert in USA Economic Reporter : Staying true to its promise to serve the Bangladeshi music lovers with the very best of local as well as international music, Robi-Yonder music app has initiated a contest for its users, which provides once in a lifetime opportunity to attend a concert by the legendary heavy metal band Metallica. If that wasn't crazy enough; guess what, the winners of the contest will have the privilege to meet the band members in person backstage during the concert. The rules are pretty simple for this maddening contest. Robi users need to play/stream 50 unique Metallica songs in Robi-Yonder Music App to be eligible to participate in the Metallica contest. The users will need to do this between 8th to 26th July. On the 27th July a 24 hour long SMS based quiz contest will be held for the Robi-Yonder Music app users who qualified for the contest. The first six (6) contestants to reach the benchmark of 20 points by answering correct answers about Metallica through SMS will win a trip to USA to attend Metallica's concert and meet them. The contestants will score one (1) point by giving correct answer to one SMS quiz. For further details, one may visit https://www.robi.com.bd/yonder-music/. In order to enjoy the Robi-Yonder app for free, Robi has already introduced a number of data packs to help the Robi-Yonder users to listen to the richest library of local and international music. With a validity period of 28 days, Robi users can choose from the available data volume of 4608 MB, 3072 MB, 2048 MB and 1024 MB. These data volumes are priced at 499 taka, 377 taka, 264.55 taka and 175 taka respectively. But if someone wants to have 2048 MB data pack for 14 day validity, s/he will need to pay only 149 taka. In case someone is looking for a seven (7) days data pack, one may choose between 150 MB and 1024 MB which are priced at 24 taka and 75.14 taka respectively. Besides, for 10 taka one can enjoy 45 MB data for one day. Also, 49 taka worth scratch card is available for users which offer 500 MB data over seven (7) days. Having been launched on the 24th May, the Robi-Yonder music was free for users for all the mobile phone subscribers of the country till 7th July. Following the end of the promotional period, only the Robi subscribers are now able to access this music app from the 8th July. Interestingly, the contest to win a trip to USA for attending and meeting the legendary heavy metal band Metallica started from the 8th July. ICT probe body prepares report against 8 M'singh war criminals The investigation agency of the International Crimes Tribunal (ICT) on Monday released its report against eight alleged war criminals from Mymensingh including Jatiya Party lawmaker MA Hannan. The other accused are Hannan's son Rafique Sajjad, 62, Khandakar Golam Sabbir Ahmed, 69, Mizanur Rahman Mintu, 63, Hormuj Ali, 73, Mohammad Abdus Sattar, 64, Mohammad Fakruzzaman, 61, and Khandakar Golam Rabbani, 63. "We have found their involvement in five incidents of war crimes those took place between April 21 and December 10 in Mymensingh. They were involved in crimes like arson, looting, abduction, confinement, torture, and killing," said Mohammad Abdul Hannan Khan, coordinator of the agency, at a press briefing at its Dhanmandi office. Of the eight, except Fakruzzaman and Rabbani, all the accused are behind bar now. According to the agency, Hannan, who was general secretary of Mymensingh unit of Peace Committee, led his men in carrying out monstrous crimes against humanity from three different camps. Those three camps were situated at Mymensingh district rest house, East Pakistan Agriculture University (now Bangladesh Agriculture University) and Hannan's house. CU student detained for violating school girl Chittagong Bureau : Police rounded up one student of Chittagong University from Mohammad Nagar under Baizid thana in city on Sunday night for raping a class six school student . The rapist Md.Mizanur Rahman is the final year student of CU , sources said. He was detained from his residence in Mohammadnagar . CU student Mizan is the son of expatriate Abdul Monaf. Officer in-charge of Baizid thana Md. Mohsin told that following the complaint of the mother of the victim girl , police detained Mizan on midnight from his residence. Rapist Mizan confessed his offence to the police during interrogation that he raped the school student of 13 years age in a school premises near his residence. Thana police sources also said raped school student sent to Chittagong Medical college hospital for heath examination instantly. Dacoit leader killed in Cox's Bazar gunfight Cox's Bazar Correspondent : A robber leader was killed in a gunfight with another gang at hilly Maijpara in Moheshkhali upazila early morning on Monday. The dead is identified as Faridul Alam, 40, son of Badiul Alam of Uttar Nalbila under Kalarmarchhara in the upazila. Moheshkhali thana OC Babul Chandra Banik said robbers of Farid group and Sefu group engaged in a gun-battle at about 5am following previous enmity. On information, police rushed to the scene and recovered the body of Farid. They also recovered a gun and two cartridges from the spot. The OC said Farid was wanted in 24 cases including of robbery, snatching and arms case. History of Chittagong Ctg Focus Desk : Chittagong has been a seaport since ancient times. The region was home to the ancient Bengali Buddhist Samatata and Harikela states. It later fell under of the rule of the Gupta Empire, the Pala Empire and the Vesali kingdom of Arakan till the 7th century. Arabs traded with the port from the 9th century AD. An account by historian Lama Taranath has revealed a Buddhist king Gopichandra had his capital at Chittagong in the 10th century, and according to Tibetan tradition, Chittagong was the birthplace of 10th century Buddhist Tantric Tilayogi. In the Fourteenth Century, explorer Ibn Battuta passed through Chittagong during his travels. Sultan Fakhruddin Mubarak Shah of Sonargaon conquered Chittagong in 1340 AD. Sultan Giasuddin Mubarak Shah constructed a highway from Chittagong to Chandpur and ordered the construction of many lavish mosques and tombs. After the defeat of Mahmud Shah in the hands of Sher Shah in 1538, the Arakanese Kingdom of Mrauk U regained Chittagong. From this time onward, until its conquest by the Mughals, this region was under the control of the Portuguese and the Magh pirates (a notorious name for Arakanese) for 128 years. The Mughal commander Shayestha Khan and his son Buzurg Umed Khan expelled the Arakanese from the area in 1666 and established Mughal rule there. After the Arakanese expulsion, Islamabad, as the area came to be known, made great strides in economic progress. This can mainly be attributed to an efficient system of land-grants to selected diwans or faujdars in order to clear massive areas of hinterland and start cultivation. The Mughals, similar to the Afghans who came earlier, also built mosques having a rich contribution to the architecture in the area. What is called Chittagong today also began to have improved connections with the rest of Mughal Bengal. The city was occupied by Burmese troops shortly in First Anglo-Burmese War in 1824 and the British increasingly grew active in the region and it fell under the British Empire. The people of Chittagong made several attempts to gain independence from the British, notably on November 18, 1857 when the 2nd, 3rd, and 4th companies of the 34th Bengal Infantry Regiment stationed at Chittagong rose in rebellion and released all the prisoners from jail but were suppressed by the Kuki scouts and the Sylhet Light Infantry (10th Gurkha Rifles). Chittaong grew at the beginning of the twentieth century after the partition of Bengal and the creation of the province of Eastern Bengal and Assam.[4] The construction of the Assam Bengal Railway to Chittagong facilitated further development of economic growth in the city. However, revolutionaries and opposition movements grew during this time. Many people in Chittagong supported Khilafat and Non-Cooperation movements. Revolution was never far from the surface and one group of Bengali youths under the leadership of Surya Sen formed the secret Republican Army. He set up camps for revolutionary youths to train in guerilla tactics against the British occupation of India. The members of the revolutionary groups believed in armed uprisings for Indian independence to liberate India from the oppressive and exploitative British colonial rule. Their leader was Masterda Surya Sen. The group included Ganesh Ghosh, Lokenath Bal, Nirmal Sen, Ambika Chakrobarty, Naresh Roy, Sasanka Datta, Ardhendu Guha, Harigopal Baul, Tarakeswar Dastidar, Ananta Singh, Jiban Ghoshal, Anand Gupta, Pritilata Waddedar, Kalpana Dutta and Suresh Dey. Also among them was 14-year-old Subodh Roy (d. 27 August 2006). He too was jailed in the Andaman Islands but released in 1940. Surya Sen devised the strategy of capturing the two main armouries in Chittagong and then destroying the telegraph and telephone office, followed by capital punishment of the notorious members of the "European Club", the majority of whom were government or military officials involved in maintaining British Raj in India. Firearms retailers were also to be raided; and rail and communication lines were scheduled to be disrupted. The plan was put into action at 10 o'clock on 18 April 1930. As per plan, the armoury of the police was captured by a group of revolutionaries led by Ganesh Ghosh and another group of ten, led by Lokenath Baul took over the Auxiliary Force armoury. They could not locate the ammunition. The revolutionaries also succeeded in dislocating telephone and telegraph communications and disrupting the movement of the trains. Total sixtyfive revolutionaries took part in the raid, which was undertaken in the name of the Indian Republican Army, Chittagong branch. After the successful raids, all the revolutionary groups gathered outside the police armoury where Surya Sen took a military salute, hoisted the National Flag and proclaimed a Provisional Revolutionary Government. The revolutionaries left Chittagong town before dawn and marched towards the Chittagong hill ranges, looking for a safe place. After a few days, the police traced some of the revolutionaries. They were surrounded by several thousand troops while taking shelter in the Jalalabad hills on the outskirts of Chittagong on the afternoon of 22 April 1930. Over 80 British troops and 12 of the revolutionaries were killed in the ensuing gunfight. Surya Sen decided to disperse into neighbouring villages in small groups and the revolutionaries escaped accordingly. Very few revolutionaries fled to Calcutta while some revolutionaries were arrested in Chittagong. Many of the revolutionaries managed to reorganize the broken group. On 24 September 1932, 8 young rebels led by Pritilata Waddedar attacked the European Club. Twenty-two officials and 220 non- officials were killed by the revolutionaries in separate incidents during 1930-32. The so-called "first armoury raid case" (i.e. The Great Chittagong Uprising) concluded in January 1932 and the judgement was delivered on 1 March 1932. The sentences were deportation for life for 12, three years' imprisonment for 2 and the rest of a total of 32 persons on trial were acquitted. The Chittagong revolutionaries suffered a fatal blow when Masterda Surya Sen was arrested on 16 February 1933 from Gairala village, because of a tip-off from a traitor in the group. The traitor, Netra Sen, was stabbed to death at his home by the revolutionaries before he could collect his Rupee 10,000 reward. Masterda Surya Sen was tried and was hanged on 12 January 1934[6] after immense torture. His body was not cremated but thrown into Bay of Bengal by the British. A Bengali movie Chattagram Astragar Lunthan was made on the Great Chittagong Uprising of 1930 or Chittagong armoury raid in 1949. It was directed by Nirmal Chowdhury. A Hindi movie, Khelein Hum Jee Jaan Sey was made on the Chittagong armoury raid in 2010. It was directed by Ashutosh Gowarikar starring Abhishek Bachchan and supported by Deepika Padukone. It was based on the book Do and Die: The Chittagong Uprising 1930-34 by Manini Chatterjee. Another Hindi film, Chittagong was made in 2010 and released in October 2012. It was directed by Dr. Bedabrata Pain, a former scientist in NASA who resigned from NASA to make this film. Manoj Bajpai was the lead actor and played the role of Surya Sen. World War II During World War II, the British used Chittagong as an important military base. Frequent bombardment by the Japanese Air Force,[clarification needed] notably in April 1942 and again on 20 and 24 December 1942, resulted in military relocation to Comilla. Nevertheless, the war had a major negative impact on the city, with the growth of refugees and unevenness in fortune, reflected in the Great Famine of 1943. Post-war expansion After the war, rapid industrialisation and development saw the city grow beyond its previous municipal area, particularly in the southwest up to Patenga, where Chittagong International Airport is now located.[2] The former villages of Halishahar, Askarabad and Agrabad became integrated into the city. East Pakistan The Chittagong Development Authority (CDA) was established by the government of East Pakistan in 1959 to manage this growth and drew up a master plan to be reviewed every five years to plan its urban development. By 1961 the CDA had drawn up a regional plan covering an area of 212 square miles (550 km2) and a master plan covering an area of 100 square miles (260 km2).[2] Over the decades, especially after the losses of 1971, the master plan developed into several specific areas of management, including the Multi-Sectoral Investment Plan for drainage and flood-protection of Chittagong City and a plan for easing the traffic congestion and making the system more efficient. In 1971, during the Bangladesh Liberation War, Chittagong suffered massive losses in people and buildings given that they denied the occupation army access to the port. The first public announcement was made over the radio from the Swadhin Bangla Betar Kendra located at Kalurghat, Chittagong. Following the independence of Bangladesh, the city underwent a major rehabilitation and reconstruction programme and regained its status as an important port within a few years. A Portugal supporter celebrates her country\'s victory near the Arc de Triomphe after the Euro 2016 final in Paris on Sunday. Mofazzal Hossain joins SATIDP Staff Reporter : Eminent writer, journalist and researcher Mofazzal Hossain has joined south Asia Tourism Infrastructure Development Project (SATIDP) as a marketing and promotion specialist. He was also a General Manager (GM) of Bangladesh Parjatan Corporation, says a press release on Monday. Prior, he joined Pakistan Parjatan Department in 1965 and retired from Bangladesh Parjatan Corporation as GM. He obtained MA degree from Dhaka University in 1960. Mofazzal himself has been established as a journalist, writer and researcher. He wrote many articles in Bangla Pedia on tourism. He is also an author of "Bangladesh Tourist Guide". He achieved "Travel Writers Awards-2009" from Parjatan Bichitra, a travel magazine. He took part many workshops, training and seminar in home and abroad on tourism. Mofazzal has a special contribution to the development of tourism industry in Bangladesh. Terrorism making the country more unsafe Abu Hena : Anarchy in its worst form is now prevailing all over Bangladesh. It is the result of a kind of violence which threatens to rip the country's laws and the legal system, the long established social order, and the economy. From the recent happenings in the capital city and Sholakia, Kishoreganj it is evident that a war among the various sections of the people has been set aflame and the government which continued its rule claiming legitimacy on the basis of the controversial 5 January election, has finally lost its power of action on the society which has now become sharply divided into stronger and weaker factions. Its arbitrary use of power and reckless witch-hunt have driven the panic-stricken main political opposition to total despair and disillusionment. Its non-inclusive 5 January election has rendered vast majority of the country's population unrepresented in the parliament. They have neither a participatory role in law making nor a say in the administration. The recent union council election which left hundreds dead and thousands wounded was a shame. The age-old, time-tested local government institution which was built in 1860s has been totally destroyed by politicization. The elected District Board which carried the British democratic legacy is now extinct. On top of that the larger section of the general public now feel totally insecure against the arbitrary actions of the government and the excesses of its party loyalists. The result is anarchy let loose in wild fury, and it has come as a consequence of the divisive, irrational and egoistic policies of the rulers. President James Madison expressed the same thoughts. "It is of great importance in a republic", he said, "not only to guard the society against the oppression of its rulers, but to guard one part of the society against the injustice of the other part.Justice is the end of government. It is the end of civil society. In a society under the forms of which the stronger faction can readily unite and oppress the weaker, anarchy may as truly be said to reign as in the state of nature, where the weaker individual is not secured against the violence of the stronger; .." The neo-anarchists are out to introduce in our system a new kind of violence tearing us apart from the principles of our statecraft and our familiar, comfortable social moorings. As a result the worst symptoms of state failure are evident in the murderous disorder that is now unfolding. Political tyranny with all its manifestations of oppression and injustice remains entrenched and continues to bedevil our lives. The recent nationwide crackdown in the name of curbing militancy was a bizarre act of blatant infringement on the people's right to freedom and justice. In the first five days of the ruthless campaign 12 thousand people were taken prisoners by the law enforcement agencies who have been recently debarred by the country's highest court from making arrests on mere suspicion under section 54 of Cr. Pc. Only 145 of those prisoners featured in the suspect list maintained by the police. The country's Chief Justice has expressed dissatisfaction in so many ways over the manner justice has been administered so far in Bangladesh. In a verdict delivered on June 15 in another case, the High Court observed: "Rule of law would not be established in the country unless a fair political culture is developed. Democracy will be thwarted ." And now only fifteen days' after the cautionary remarks recorded by the country's apex court, gunmen held dozens of people hostage inside a popular hangout for foreigners in the capital's diplomatic zone of Gulshan and fought a fierce gun-battle with the law enforcers killing two police officers on the spot. The hostage standoff began at nightfall on 1 July after six men carrying firearms, bombs and swords stormed into the Holey Artisan Bakery in Gulshan and ended after 12 hours when a joint forces operation code-named 'operation thunderbolt' stormed the cafe but only to bring to the media the horrific scenes of blood, shock and horror : nine Italians, seven Japanese, two Bangladeshis , one Bangladeshi -American and one Indian were brutally murdered within 20 minutes of the chilling siege. Six militants were killed in the joint operation and one captured alive. Five of them, according to the police chief, were under their watch as listed terrorists. Surprisingly, these five young men who were listed as terrorists were left free during the recent crackdown which enabled them to plan and execute such a deadly strike right at the heart of the heavily guarded diplomatic zone . In this 'State of Nature' we find ourselves stripped of all security and are confronted by violent men who can perpetrate such brutality while remaining under the watch of the law enforcers at the same time. The government, here, has become an exercise in predation, without the slightest pretense of providing public good including the most important public safety and security. Such cynical behaviors are the manifestations of the self-seeking policies of the ruling coterie who are privy to the extra judicial killings and torture to suppress the dissenting voices. Such actions consequentially push around the suffocated people and especially the juvenile delinquents to take up arms out of desperation, registering protest. They are the worst victims of an exploitative economic system that collects bulk of the taxes and levies from the poorer classes by indirect taxation and transfers wealth to the top financial tycoons only to be laundered abroad. The daily widening income disparity and deepening social inequality intensified by the acute shortage of job opportunities explain the reasons why bright young men are choosing a 'short cut' to go up the ladder. At the heart of the problem lies the so-called spoils system, which has been created over the years by way of awarding jobs, honors, positions, titles, promotions, and other patronages for political loyalty. Merit-based impartial selection of government employees at various tiers of administration without regard to ruling party affiliation or elitist backing has been totally blocked. Private sector jobs are scarcely advertised. Businesses are controlled by the criminal syndicates, which comprise lawmaker and law breaker alike. A section of the general administration and the police has teamed up with the ruling party hierarchy using arbitrary power and putting themselves into a state of war with the people. Because of their divisive attitude the law enforcement agencies have lost the support of the general public, which is critical. All these in a nutshell may account for the Gulshan tragedy, where a young man who finished his O' Levels with flying colors decided to die a jahadist's death hoping for justice in the other world. He was, in the words of poet William Blake "mad from life's fury, glad to death's mystery, sweet to be hurled, anywhere, anywhere out of the world". It has been revealed now that the young man who was killed in the Sholakia bomb blast was a student studying Business Administration in the North South University. Hundreds of BBAs and MBAs are loitering in the streets of Dhaka looking for jobs to start a career. It is still not known how many such 'troubled' youngsters are waiting in the pipeline to die in this way. Today, though eighty percent of the nation's population live on farms in remote villages in dire condition, country's bloated bureaucracy continue to swell to dig deeper into taxpayers' wallets throwing public money down the hole. Thousands of them are either 'in-situ', doing the same job even after promotions to higher ranks or are officers on special duty enjoying their pay and perks, now doubled, just sitting at home. All powers are now concentrated in the over-blown central secretariat leaving the local government tiers bereft of all powers and functions. Every year the country needs two million jobs to accommodate fresh graduates in various disciplines. Against that hardly three hundred thousand jobs are available. The reason why the jihadists who went to English medium schools and top grade private universities decided to sacrifice their valuable lives for a Jihadist cause may not be far to seek. In their demonstration of bravado and defiance they made exemplary killings of innocents showing no feeling of wrongdoing. And this sense of self-justification, more than anything else, worries us most. Whatever be the cause it is now clear that a kind of war has begun in the minds of me. All efforts must now be made to put the mind at rest. It is a major civil liberties challenge to defend the right of the people to live in peace, to organize and to speak with unity of purpose. Time has come when all free associations, civil liberties groups and the citizenry must perceive the essence of history as the endless struggle by which people master their destiny and join together for a commonality of purpose. We must, first of all, start looking at the basic issues holistically and without bias so as to prevent the mighty and the powerful from manipulating the system to keep its control on state power and wealth extraction thus creating conditions for desperate acts by the oppressed and the marginalized public. We must protect our society from violence and protect every member of the society from the injustice and oppression of every other member of it. Focusing on the Islamic faith, to which ninety three percent of the people of Bangladesh profess their adherence, as the cause of 'terrorism' is not only an affront and insult to the country's democratic and religious values and its non-violent heritage but something that is likely to make Bangladesh more unsafe and vulnerable in future. [Writer was elected MP in the 7th and the 8th Parliament] Together we can combat terrorism Biswal assures full US support to BD efforts in facing terror threat Visiting US Assistant Secretary of Bureau of South and Central Asian Affairs Ms. Nisha Desai Biswal called on Prime Minister Sheikh Hasina at Ganobhaban on Monday. BSS photo S M Mizanur Rahman : Visiting US Assistant Secretary of State for South and Central Asian Affairs Nisha Desai Biswal on Monday assured Bangladesh of giving full support against terrorism and violent extremism. "I am here to offer US assistance and support for Bangladesh's own efforts against terrorism and extremism," she said after a meeting with Home Minister Asaduzzaman Khan Kamal at his Secretariat office in city yesterday afternoon. Talking to journalists, Home Minister Asaduzzaman said the government would make an assessment over the support offered by the US in countering violent extremism and terrorism in Bangladesh. Nisha Desai Biswal appreciated the law enforcement agencies' ongoing efforts to protect US citizens in Bangladesh. "We appreciate the ongoing efforts of Bangladeshi law enforcement to protect the US citizens in Bangladesh and will continue our assistance in combating terrorism, a global threat both our countries face," she said. She said violent extremism is a global threat. "As we have seen too frequently, international terrorist groups can recruit and operate anywhere." "If we work together, the militants, terrorists and extremists will be defeated," she added. She also offered her condolences for the victims of the recent terrorist attacks. "We mourn with our Bangladeshi partners and are steadfast in our commitment to this country. Our support for, cooperation with, and commitment to this country remains as robust as ever," Nisha Biswal said. US Ambassador to Bangladesh Marcia Bernicat, and Deputy Assistant Secretary of State for South and Central Asian Affairs Manpreet Anand were also present during the meeting to discuss Bangladesh's bilateral relations with the US and the security situation. Assistant Secretary Biswal said this is an extension of our long and deep partnership based on shared values of democracy, tolerance, and inclusion, and the US remains committed to this broad-based relationship with Bangladesh of which CT is but one part. "Sharing the concerns of Bangladeshis, we have taken steps to bolster the security of our staff. We remain steadfast in our friendship with the Bangladeshi people," she said. Later she held a meeting with Prime Minister Sheikh Hasina at her official Ganobhaban residence. Biswal also called on Prime Minister's Security Adviser Major General (retd) Tariq Ahmed Siddique and International Affairs Adviser Dr Gowher Rizvi at the Prime Minister's Office yesterday afternoon. In the morning, Nisha Desai Biswal also held meeting with Canadian High Commissioner in Dhaka Benoit-Pierre Laramee, acting British High Commissioner Mark Clayton and acting Australian High Commissioner Sally-Anne Vincentand at the US Ambassador Marcia Bernicat's residence in Gulshan. Later she visited the Gulshan cafe that terrorists stormed on July 1 and killed 20 people including nine Italians, seven Japanese, one Indian and an American citizen of Bangladesh origin. The US assistant secretary of State stayed there for around 20 minutes amid police barricade surrounding the area. She also offered U.S. expertise in building Bangladesh's counterterrorism capabilities. Earlier, she met Foreign Minister AH Mahmood Ali, and Dhaka-based diplomats including the Indian High Commissioner, among others, to discuss security issues. Biswal arrived in Dhaka on Sunday on a two-day visit to Bangladesh. Meanwhile, the Department of State warns US citizens to consider carefully whether you need to travel to Bangladesh, in light of the latest attack in a series of extremist events. Effective July 10, 2016, the Department of State authorized the voluntary departure of family members of US government personnel posted to the U.S. Embassy in Dhaka. 2 int'l meetings switched from BD after terror attack An Asia-Pacific money laundering group has moved its meeting out of Bangladesh citing security concerns, officials said on Monday, 10 days after militants stormed a cafe in an upscale part of the capital and killed 20 people, mostly foreigners. Some 350 foreign delegates had been expected in Dhaka to attend the July 24-28 annual meeting of The Asia Pacific Group on Money Laundering that seeks to pool efforts to fight illegal money transfers and terrorist financing. The group said in a statement on its website that the meeting will now be held in September in the United States. The exact location will be decided later. It gave no reason. But a senior official at Bangladesh's central bank said the July 1-2 attack in which seven Japanese, nine Italians, an American and an Indian were among the victims, had led to the change of venue. Several countries, including the United States, have issued warnings about travelling to Bangladesh or asked citizens to take extra care following the assault claimed by Islamic State. Another conference on telecommunications, the Asia Pacific Network Information Centre, scheduled for Sept 29 in Dhaka, has also been moved and will be held either in Sri Lanka or Thailand, organisers said. Around 450 foreign delegates had been due to attend. Mohammad Aminul Hakim, one of the organizers, urged foreigners not to panic, amid concerns that the growing threat of militant violence in Bangladesh will hurt the economy, and in particular the vital $26 billion garment sector. "The international community should keep faith in us. The government is taking security measures," he said. Bangladesh's central bank is trying to recover $81 million that hackers stole from its account at the Federal Reserve Bank of New York in February and transferred into accounts in the Philippines. Officials at Bangladesh Bank and police investigating the biggest online theft in banking history had told Reuters earlier they hoped the money laundering conference would help coordinate efforts to recover the funds from the Philippines. WASHINGTON, July 11, 2016 - More than 1,100 organizations and companies are appealing to House leaders to pass legislation that would set national disclosure standards for genetically engineered ingredients. The House is expected to vote on the Senate-passed bill later this week. Unless the bill is altered, House passage would send it to President Obama for his signature. It is vitally important for the House to call up and pass S. 764, the Senate-passed legislation on biotech disclosure, in order to avoid the economic costs of a patchwork of state laws that will directly impact consumers, farmers, and the entire food value chain, says a letter to House GOP and Democratic leaders. The 1,101 signers include national and state farm groups, food manufacturers, agribusiness companies, farm cooperatives, national and regional grocery chains and numerous small businesses, The numerous companies represented include Archer Daniels Midland Co., Costco, Del Monte Foods, DuPont, General Mills, Hormel Foods, Land O Lakes Inc., Monsanto Co., Nestle USA, PepsiCo Inc., The Kraft Heinz Co., Unilever and Wal-Mart Stores Inc. Did you know Agri-Pulse subscribers get our Daily Harvest email and Daybreak audio Monday through Friday mornings, a 16-page newsletter on Wednesdays, and access to premium content on our ag and rural policy website? Sign up for your four-week free trial Agri-Pulse subscription. The letter, organized by the Coalition for Safe and Affordable Food, goes on, The U.S. agriculture and food industry creates over 17 million jobs, representing nearly 1 in 10 jobs. This very systemwhich produces the most abundant, the highest quality, and the most affordable food in the worldwill be threatened with large economic costs without a national uniform solution on this issue. A similar letter was sent to senators before the Senate approved the legislation 63-30 last week. Some 79 groups that are opposed to the legislation, led by the Center for Food Safety and Food and Water Watch, also sent a letter to House members on Monday detailing their objections to the bill. The process that created this legislation has been profoundly undemocratic and a violation of basic legislative practice. The bill addresses a critical issue for the American public, yet it was neither subject to a single hearing nor any testimony whatsoever, the letter says. The groups go on to say that the legislation is actually a non-labeling bill under the guise of a mandatory labeling bill. It exempts major portions of current and future GMO foods from labeling; it is on its face discriminatory against low income, rural and elderly populations; it is a gross violation of the sovereignty of numerous states around the nation; and it provides no enforcement against those who violate the law. The legislation would give companies the option of disclosing GMO ingredients through a smartphone, QR code rather than through on-package text, such as produced with genetic engineering, that a new Vermont law requires. Other groups that signed the letter included the National Family Farm Coalition, Friends of the Earth, Greenpeace, Organic Consumers Association, the Rodale Institute, Sierra Club and Slow Food USA. The House Rules Committee will meet Tuesday afternoon to approve a rule for debating the legislation. (Updated at 3 p.m. with opposition letter.) #30 Judges seek security at SC premises, residences M Faruque Hossain : In the wake of back-to-back terror attacks at Gulshan and Sholakia, the Supreme Court judges have demanded additional security arrangements on the SC premises and at their residential complexes. The Supreme Court administration earlier had submitted a demand letter to the concerned authority asking for additional forces to ensure the security of the court in February last year. The authority still did not take any initiative to enhance security measures. In this situation, the Supreme Court administration has called for a meeting on Wednesday (tomorrow), Deputy Registrar Syed Aminul Islam said. "The meeting has been called to enhance the security of the Supreme Court premises as well as to the judges," he said. In the demand letter the Supreme Court urged the Home Ministry to ensure security on the SC premises, other courts across the country and the residence of the Chief Justice under Armed Police Battalion (APBn). In the letter it was mentioned that the 12 APBn will comprise 1000 armed personnel led by a Superintendent of Police (SP). Under his supervision there will be six additional SPs, eight assistant SPs, 35 inspectors, 115 sub-inspector and 700 police members. The police headquarters said the battalion will monitor all the entrances of the court. They will check the suspected, if needed. Beside, they will ensure security of the judges' residence. They will also ensure the personal security of the judges, if needed. On August 17, 2005, the militant group Jamatul Mujahedin Bangladesh (JMB) exploded a series of bombs at all the higher and lower court premises at the same time. Following this incident, the same group killed two judges by suicide bombing at Jhalokathi. On the same year, they also exploded bombs on Gazipur and Chittagong court premises. Nine people were killed on the Gazipur lawyer's association's office attack. Beside the JMB, other militant groups also threatened to attack the higher and lower court premises. The incident of the bomb blast also happened to the residences of the judges of higher and lower courts. The law enforcers recovered two bombs like substances from Supreme Court Annex building in January last year. The substances were kept cutting law books. On the same day police also recovered a loaded pistol from lawyers' associations' toilet. After this incident the concern authority became anxious about the security of the higher court premises. Meanwhile, the government has enhanced security at the lower court premises following the Gulshan and Sholakia terror attacks. Additional Deputy Commissioner (ADC) of Dhaka Aminur Rahman confirmed that security measures have been enhanced at the lower court premises. "Police are alert about security after the Gulshan and Sholakia incidents. Security will be tightened further, if needed," he said. Md Asaduzzaman, Officer-in-Charge of Dhaka Chief Magistrate Court said, "Security on the court premises has been enhanced. Sufficient police have been deployed. Additional forces will be deployed, if necessary." A lawyer from the lower court said, "Many police personnel have been deployed to enhance the security. Police have also been deployed on the entrance of the judges' court." Rohingya abandoned by NDL, Suu Kyi Green Left (Weekly) :The entire population of Burma supported Aung San Suu Kyi when she fought to get rid of the military dictatorship of Burma (Myanmar) during the 1990s.She received tremendous support from all communities, including non-Buddhist ethnicities and Muslim communities. No one considered what her policy on other religions and ethnic areas was. People just wanted to get rid of the regime. Suu Kyi campaigned all over Burma, organising and educating people living in remote areas. She formed the National League for Democracy (NLD), and issued a membership card with her signature to whoever joined the party. Many Rohingyas, a predominantly Muslim ethnic group, joined the NLD because they were the most oppressed people under the military government. Some were jailed for working with the NLD. They believed Suu Kyi to be the one to restore their livelihoods.Today, Suu Kyi says she does not know whether the Rohingya people are citizens of Burma. Nowadays, she no longer speaks the words "unity" and "solidarity". We would like to see the government the NLD is participating in uphold the rule of law and respect the dignity and rights of the entire population regardless of race, religion and colour.We call on the government to act in a timely and appropriate manner to ensure the safety, security and dignity of all people and to ensure a free, fair and equal justice system is available to all.The NLD government must immediately halt all forms of ongoing persecution, oppression, restriction, segregation and vigilante attacks against minority groups; particularly the Rohingya from Arakan (Rakhine) state of western Burma. We also call for effective action to prevent ongoing campaigns of racial hatred organised by radical groups, such as the ultra-nationalist Buddhist group MaBaTha (969) Association, which is supported by some politicians, ex-generals and their family members, along with Yangon-based Rakhine people. MaBaTha, led by Abbot Thi Dagu and the radical monk Wira Thu, has been making wide-ranging attempts to destabilise the country's peace and harmony by preaching racial hatred. Such campaigns are illegal and contravene the current law, but authorities have taken no action. Despite her accolades, Suu Kyi's party has not demonstrated a resolve to protect the rights of the most vulnerable people in Burma. Rather, her party has cleansed itself of all Muslim members who had been NLD members for decades.Suu Kyi has sided with majority Buddhist opinion. She has been unwilling to address either the Rohingya crisis or other Muslim communities' plight. Conciliation began with Buddhist communities and left minority issues to be dealt with by the military. Suu Kyi has never visited or shown her condolences to the hundreds of thousands of displaced people who are victims of state-sponsored ethnic cleansing pogroms. Rather she has remained silent and disregarded their plight because they are not of the Buddhist faith. Since she was released from house arrest, Suu Kyi has picked up medals across the world, adding to her fame - but she stayed away from Muslim countries. Before coming to power, Suu Kyi blamed the problems of her country on the lack of the rule of law. She also referred the question of the Rohingya to government, saying it is the government's responsibility to ensure the safety and security of the entire population. Since becoming head of state, Suu Kyi has failed to uphold the same laws she once insisted upon. Suu Kyi has been reluctant to protect the Rohingya people from ongoing racist vigilante attacks. She has made no effort to ease the humanitarian crisis or to lift the restrictions across the Arakan/Rakhine state and minority areas. Despite ample historical evidence and the recognition of past governments, Suu Kyi continues to ignore the Rohingya people. Suu Kyi, on behalf of the NLD, has even requested the United States ambassador not use the term Rohingya. This is a manipulation against existing communities who are daily enduring the country's worst crisis. Suu Kyi's actions demonstrate that rather than standing with victims for an inclusive society, she always takes side of those sharing her Burmese ethnicity. Blame-game continues Reza Mahmud : The political blame-game continued over the recent deadly terror attacks in capital and Sholakia of Kishoreganj district making the members of civil society as well as common people annoyed. While Prime Minister Sheikh Hasina says BNP and Jamaat activists have link with the militants engaged in launching terror attacks, BNP Chairperson Khaleda Zia alleges that activists of the ruling Awami League are connected to the terrorist outfit. The civil society members asked the political parties to stop mudslinging and blame-game instead of going into facts and finding the real perpetrators. They expressed anxiety over mudslinging and blame-game, saying such statements will encourage the militants to become more active. "It is really unfortunate to all of us that our politicians are involved in blame-game instead of finding a way out that how and in what way militancy can be combat in the country. It is not time to engage in blame-game, " said, Badiul Alam Majumdar, Secretary of Shushashoner Jonnyo Nagorik (SUJAN). When contacted Professor Tarek Shamsur Rehman of Jahangirnagar University said country's conscious people are worried as the two major political parties -ruling AL and BNP are engaged in mudslinging and blame-game. "We common people are worried when we have to observe whether the politicians understand present situation or not. It is very crucial time. All the political parties should take step to make the nation united against the militancy," he said. He also said it is not possible to combat terrorism and militancy without national unity. "We hope that the leaders of major political parties will be able to realise the current situation. And they will be united against the extremism. If they fail to understand the truth, we don't know about the destination of the extremism. We are really anxious over it," Tarek Shamsur Rehman said. Meanwhile, Health Minister and senior AL leader Mohammad Nasim said, Khaleda Zia failed to take power by burning buses. Now she is trying to get success through militancy. She is behind the national and international conspiracy against the country. The minister also alleged that former Shibir men have joined IS. He said it at the ruling alliances rally against militancy at Central Shahid Minar in city on Monday. On the other hand Tourism and Civil Aviation Minister and Workers Party President Rashed Khan Menon also blames Begum Khaleda Zia over militancy. On Sunday Menon said, "Khaleda Zia has entente with militants groups. Her speech is provocative. So she is a member of militants group." Menon made the remark when he was participated a human chain organised by Bangladesh Shanti Parishad, in front of the National Press Club. Besides, BNP Senior Joint-General Secretary Ruhul Kabir Rizvi alleged the government is patronises extremism to cling on to power. "It is the government who patronising extremism and militancy, in order to make the people busy with it, if they have no chance to demand free and fair election," said Rizvi. Quick response team to fight terror Sagar Biswas : Government has taken hard stance against the militancy giving green signal to the security agencies to deal with the matter in line with its 'zero tolerance' policy. As per the new approach, the government high-ups have directed the concerned authorities to form a 'quick response team' comprising smart and skilled members of different security agencies apparently to fight terrorists and tackle militant attack. The proposed 'quick response team' will keep an eye on, if there is any situation like Gulshan militant attack in the future. On the same issue, a high-profile meeting presided over by Prime Minister Sheikh Hasina was also held on Sunday at Prime Minister's Office where chiefs of all intelligence agencies took part. The PM expressed desire about forming of a specialized 'quick response team' to counter militancy crisis. There would be a meeting at Armed Forces Division soon over formation of the specialized team. It will be decided whether members of other forces, apart from law enforcement agency, will be included in the team, sources said. The proposal of forming 'quick response team' was also placed on Sunday's special Cabinet Committee meeting on law and order where nine Ministers and three State ministers along with top ranking officials of security and intelligence agencies took part. Earlier on February 17, Dhaka Metropolitan Police launched its Counter-Terrorism and Transnational Crime [CTTC] making Deputy Inspector General (DIG) Monirul Islam as its Chief. Main task of CTTC was to collect information of terrorists, monitor and prevent their operations. However, the unit is yet to show its capability as per desire level.Not only that, the government had earlier taken decision to form a computer incident response team [CIRT] under Bangladesh Computer Council [BCC] to tackle cyber crimes and spreading of militancy through internet. But it also failed to emerge with full force till the date, officials said. Meanwhile, some diplomatic missions, including India, France and Korean embassies, have also sought additional security for their community members, offices, houses and other establishments. The Indian High Commission has sent a 'note verbale' to Bangladesh Foreign Ministry urging to deploy members of Rapid Action Battalion [RAB] or Border Guard Bangladesh [BGB] in its offices and other establishments in Dhaka. Besides, the Indian HC also sought protection to its officials and family members residing at Gulshan, Baridhara, Assistant HC in Chittagong and Rajshahi. Not only that, the French embassy in its 'note verbale' urged the authority to deploy additional security personnel at the mission and 'Residence of France', both situated at Gulshan. In this backdrop, the visiting US Assistant Secretary of State for South and Central Asian Affairs Nisha Desai Biswal sat in a meeting with PM's security adviser Major General [retd] Tarique Ahmed Siddiqui at the PMO at about 11:45am yesterday. US Ambassador Marcia Stephens Bloom Bernicat, acting High Commissioner of United Kingdom Mark Clayton and senior army officials related in 'Operation Thunderbolt' were present, according to officials. PM vows to find out root cause of terrorism Renewing her government's strong stance against terrorism, Prime Minister Sheikh Hasina on Monday vowed to find out the root causes of recent terror attacks in Bangladesh."Children of rich families were involved in Gulshan terror attack. We' ll find out who're behind this who're recruiting these youths," she said. The Prime Minister said this when US Assistant Secretary of State for South and Central Asian Affairs Nisha Desai Biswal met her at her official residence Ganobhaban. PM's Press Secretary Ihsanul Karim Helal briefed reporters after the meeting. Biswal also said, the youths, who are involved in recent terror attacks in Bangladesh, are from well-off families and they are indulged in adventurism. "These youths are from well-to-do familiesone of the things is to look in that they are indulged in adventurism, they are not doing it from religious spirit," she said.The US Assistant Secretary of State termed the recent terror attack in Bangladesh as a challenge and offered to work together to eliminate this social menace."We'll have to face it together, we'll have to work together, we'll extend all sorts of assistance, including technological support in this regard," she said. Nisha also offered training for Bangladesh law enforcement agencies to fight terrorism.The Prime Minister also put emphasis on sharing information to face the terrorism. About the missing youths of the country, Hasina said human rights bodies without going into the deep of the problem blame the government and the law enforcement agencies. "They squarely blame the government and law enforcement agencies."Sheikh Hasina said some youths went missing after going for study in Australia and Malaysia.The Prime Minister said she herself had to face terror attacks several times, and pointed out the brutal August 21 grenade attack on her during the BNP-Jamaat regime that left 24 Awami League leaders and activists dead.Hasina also mentioned 500 bombs were blasted in various areas of the 63 districts of the country at that time.PM's Adviser Gowher Rizvi, Principal Secretary M Abul Kalam Azad and US Ambassador Marcia Bernicat were present on the occasion. Paris, TX (75460) Today A mix of clouds and sun during the morning will give way to cloudy skies this afternoon. High 68F. Winds ESE at 10 to 15 mph.. Tonight Partly cloudy this evening, then becoming cloudy after midnight. Slight chance of a rain shower. Low 51F. Winds E at 5 to 10 mph. MARION A major doughnut franchise is turning 79 this week, and it is giving customers a sweet deal because of it. On Wednesday, Krispy Kreme Doughnuts will celebrate its 79th birthday. Customers who buy a dozen doughnuts at regular prices can then buy a second dozen Original Glazed doughnuts for $0.79. Krispy Kreme is a classic American brand with generations of fans, said Jeremy Pinkston, Krispy Kreme Marions Director of Marketing. We, in Marion are ecstatic to be part of the local community and to share the joy that is Krispy Kreme with all of Southern Illinois. The Krispy Kreme in Marion announced that it has sold more than 3 million doughnuts and 250,000 coffee drinks in nine months of business. It also ranks in the top 3 percent of all stores in delivering customer service and guest satisfaction, according to a news release by the company. Creating a happy and memorable guest experience is our No. 1 priority at Krispy Kreme Marion. We dont just make doughnuts. We make smiles, said Eric Schneider, Operating Partner with Krispy Kreme Marion. CARBONDALE The 121st birthday of designer and innovator Buckminster Fuller will be celebrated Tuesday afternoon by members of the Fuller Future Fest. Bucky's birthday will be celebrated from 3 to 5 p.m. Tuesday at Cristaudo's, 209 S. Illinois Ave. in Carbondale. Special art and artifacts from the Museum of Livingry will be on display, and organizers hope to share stories about Fuller. Of course, there will be a dymaxion cake referring to the concept created from the words "dynamic," "maximum" and "ion" to refer to his "growing recognition of the accelerating global trend toward the development of more efficient technology." Fuller was a visionary who lived in Carbondale and focused on using design to create technology that did more with less. For instance, "one of Fuller's lifelong interests was using technology to revolutionize construction and improve human housing," according to the Buckminster Fuller Institute's website, bfi.org. "(He) was a 20th century inventor and visionary who did not limit himself to one field but worked as a 'comprehensive anticipatory design scientist' to solve global problems," according to bfi.org. "Fuller's ideas and work continue to influence new generations of designers, architects, scientists and artists working to create a sustainable planet." For more information, visit the Fuller Future Fest website, fullerfuturefest.com. SPRINGFIELD The Illinois State Board of Education is backing away from a new standardized test for high school students after just two years. The board announced Monday that the SAT college entrance exam will be given free of charge to all high school juniors during the 2016-17 school year in place of the controversial Partnership for Assessment of Readiness for College and Careers exam, known as PARCC for short. The new test, which was designed to align with the new Common Core learning standards being adopted in Illinois and nearly every other state, was first given in the spring of 2015 and was met with criticism from students, parents, teachers and school administrators alike. District and school administrators overwhelmingly agree with ISBE that every high school junior should have access to a college entrance exam, a policy that promotes equity and access and that provides each and every student with greater opportunities in higher education, State Superintendent of Education Tony Smith said in a prepared statement. The SAT is aligned with the Illinois Learning Standards and will continue to empower educators to measure college and career readiness. Schools were already prepared to give the SAT after the state switched away from the rival ACT exam, which was long given as part of the Prairie State Achievement Exam, PARCCs predecessor. As a result of Mondays announcement, high school juniors will take just one test as part of statewide assessment. Many local school superintendents were critical of the PARCC exam from the start, and their statewide organization hailed Mondays announcement as the right decision for students. This will help alleviate the over-testing of students, save valuable classroom time for instruction and learning and also give us useful data regarding where our students stand regarding college and career readiness, Brent Clark, executive director of the Illinois Association of School Administrators, said in a written statement. Mike Chamness, a spokesman for the association, said one of the most encouraging things about the boards decision is that it was based on student-centered input from teachers and others on the front lines of education. Its good to see a state agency respond in that way, Chamness said. In its first year, the PARCC exam, which is largely given online, was plagued by technology problems and by thousands of students refusing to take the test. The Illinois House even passed a bill this spring that would create ground rules for parents who want their students to opt out. The PARCC exam will still be given to students in third through eighth grades. DECATUR A black man who was shot and wounded by police in central Illinois on Monday was armed with a BB gun that resembled a real handgun, according to police. Authorities in Decatur said officers responded to reports of a man armed with a gun around 1 a.m. Police initially said the man was armed with a handgun and a large knife strapped to his wrist. Interim Police Chief James Getz said during an afternoon news conference that officers later determined the man was armed with a BB gun the resembled a firearm. Getz said Officer Andrew Wittmer, who is white, fired one round that "ended the threat." The investigation was turned over to Illinois State Police, as is standard for any officer-involved shooting, Getz said. The police chief said officers' patrol cars have in-car video that caught part of the encounter. He noted that the officers involved were not equipped with body cameras. The 40-year-old Decatur man who was shot is listed in serious condition in an area hospital, according to WAND-TV. The Associated Press is not identifying the man because he has not been charged with a crime. The shooting comes amid recent high profile police-involved shootings of black men in Louisiana and Minnesota. Jeanelle Norman, president of the NAACP's chapter in Decatur, said the group will ensure transparency in the investigation. "We as the NAACP are encouraging the community to stay calm, be patient and let us work through this together," she told The Associated Press. She said she hopes Decatur can serve as an example for other cities, adding: "We have ensured the community that we will certainly make sure protocol is being followed and that there is transparency in what is being done." Norman noted that she saw a photo of the BB gun. "It does look like a real gun. And at nighttime, how do you make a real distinction, is that a BB gun?" she said. Norman said she is part of the Area Leaders and Education Response Team in Decatur, which has met in recent months to discuss police and community relations. The group, also known as ALERT, was formed by community leaders and police last summer to prepare for the possibility of something like Monday's shooting. Authorities in East St. Louis said an armed man was shot by police as he allegedly fired rounds at homes and passing motorists. Officers from surrounding police departments, including Washington Park, responded to the scene around 6 a.m. Monday. Washington Park police chief Tony Tomlinson said an officer shot the man. The man was hospitalized. His condition wasn't immediately available. No officers were injured. Tomlinson said police felt the man was a threat because he was allegedly pointing weapons at cars. The man was allegedly armed with a shotgun and pistol. Illinois State Police are helping with the investigation and forensics. The Associated Press The Rev. Dr. J. Herbert Nelson regards his hometown of Orangeburg as the place where he received the spiritual nurturing and development that propelled him to be elected the top ecclesiastical leader of the Presbyterian Church (U.S.A.) The son of the late James Herbert Nelson and Johnalee Nelson, he was elected Stated Clerk of the PC (USA) on June 24 during the 222nd General Assembly meeting held in Portland, Oregon. Nelson, director of the PC (USA)s Office of Public Witness in Washington, D.C., succeeds the Rev. Gradye Parsons in the four-year term, which he will begin serving on Aug. 1. He is the first black man in the more than 300-year history of Presbyterianism in the U.S. to be elected as stated clerk of the church. The denomination is more than 90 percent white. I felt both humbled and heartened by this opportunity as the first person of color in the history of this denomination to assume this position," Nelson said. It is just the ability to evangelize and find new ways of working with individuals who are nonwhite in this society. Were beginning to see increasing numbers and also anticipating that in a few years, Latino and Hispanic communities will become the majority in this country, he said. "Its a time of great transition in both society and the life of the church, and Im humbled and heartened to have an opportunity to serve." The 57-year-old said his role as the ecclesiastical leader of the PC (USA) encompasses a multitude of tasks. My duties and responsibilities include, but are not limited to, engaging ecumenical and interfaith leaders in both conversations and actions; being the person who is the spokesperson for the denomination; helping shape very much the theological and practical framing of the denominations way forward; and implementing the policies approved by the General Assembly of the Presbyterian Church (U.S.A.), Nelson said. He comes from a long line of Presbyterian pastors including his father, James Herbert Nelson; his grandfather, Warren Julius Nelson; and two uncles. Nelson's mother was also a ruling elder and active on the national level of Presbyterian Women and in global missions work. Nelson said his familys history in Orangeburg runs deep and helped shape the man he has become. My father was a former state conference president of the NAACP in the state of South Carolina and during that period or shortly afterward, he was very much a part of attempting to help integrate Orangeburg as part of his ministry at St. Luke Presbyterian Church there in Orangeburg, he said. Nelsons father was also involved in helping to desegregate the local All-Star Triangle Bowl, where what is known as the Orangeburg Massacre took place on Feb. 8, 1968. After three nights of escalating racial tension over efforts by students at S.C. State and others, three students -- Henry Smith, Samuel Hammond and Delano Middleton -- were killed and 28 others were injured on that day when South Carolina Highway Patrol troopers opened fire. He was part of campus ministry and working with students who were very involved and who tried to integrate Harry Floyds bowling alley," Nelson noted. "Of course, the end result of that were the three students who were killed. So he was very much an integral part of working toward integration. He was working across racial lines, but also speaking truth and love to power that had to accommodate what the gospel of Jesus Christ commanded -- that all people would come together in that city." He said most of his formative years were spent on the campus of S.C. State. We were in one of the first classes that left what I think was old Turner Hall and ended up in what we called the Round House, which is the preschool next to Felton Laboratory School and a part of that," Nelson said. I pretty much grew up on the campus of South Carolina State with regards to the educational part and then, of course, Claflin (University) was next door. Claflin had lyceum programs and other types of events that went on so both of these campuses were very influential in my growing years." Orangeburg was also significant in helping shape who he was as a child growing up in the sometimes tumultuous 1960s, he said. All of us came through that period as children in the '60s and do remember what took place at South Carolina State and the struggle to integrate Orangeburg," Nelson said. "We became products of that when we moved from Felton into public schools. That was the early days of the integration of public schools there in Orangeburg." A lot of that has to do with my own personal history being shaped and formed in what it means to have both self affirmation and learning to affirm others within a life that may be different racially or in terms of just socioeconomic background, added Nelson, who attended the former Belleville Junior High School and went on to graduate from Orangeburg-Wilkinson High School. He said he is grateful for the support that he has received from St. Luke Presbyterian Church on Mingo Street in Orangeburg. There are still some significant friendships going back to my growing years in elementary school until now. There are also some persons who nurtured my family and also nurtured me as well during the time that I was part of that community of faith, he said. I think St. Luke has a great deal to do with my spiritual growth and development and providing a grounding that has allowed me to be in a position to do what I am doing. He said being the first black man to become elected as stated clerk of the PC (USA) is not the most important factor of his election but is a significant one. This is a Protestant mainline denomination that has been in existence for 400 years and has never had a person of color to lead it. I think its significant that there are persons who never believed that this day was possible and who are in the denomination and have generational context within it, Nelson said. It does say that we are entering a new day and a new framing. He said his father and grandfather never saw the possibility of becoming a stated clerk of the denomination, so its significant for this day in which so much of the impact of race is once again a part of our culture. Nelson added, This is a day where were beginning to have some level of openness in the Presbyterian Church with regard to race and, I think, people leading the denomination. He credits the support of his family and Gods grace, along with the ability and skills the Presbyterian Church has helped cultivate and shape within him, for getting where he is today. This is not just an assignment given to somebody because I am a person of color. I have worked within the life of the denomination and have certainly given a great deal to the work of faith," he said. "I know that my mother and father are rejoicing in heaven in regards to what God has done at this moment." For the past several years, I have watched the Legislature turn a significant but manageable economic problem into a major crisis that now threatens the very existence of South Carolina State University. The Legislatures decision to have its special handpicked board, abandon academic protocol and tradition, and appoint a president, from among itself, to a four-year term does not mask the continuing effect of undue legislative interference in the management of the university. For South Carolina, it is a sad reminder that the political leadership of the state has not outgrown the need to maintain a double standard regarding the way it treats black and white issues and interests. No self-respecting majority institution in this state would be subjected to this kind of management insult. Moreover, I suggest that no self-respecting institution would accept this kind of externally imposed leadership without comment. What does the faculty and administrative silence tell the larger academic world about the political climate at SCSU, and the opportunity for the free expression of ideas that exists there? As we watch this newest scenario, extending legislative control over the university, play out, there are important things to remember. First, we have been here before. It was only a few years ago that we had a highly recruited and thoroughly vetted new board elected by the Legislature and then almost immediately boastfully fired by the very same Legislature. Secondly, during this artificially created crisis, no one has been able to forge a public conversation with respect to the future role of S.C. State and its place in the community of state universities. The conversation has been entirely framed around issues of pain, budget cuts, staff reductions, program shrinking and marginalization. Suggestion: Intelligent, well-intended people should be able to talk about budget remedies and academic program protections and improvements in the same conversation regarding SCSU repair. For example: 1. How does the School of Education, working in conjunction with the Felton Charter School and other schools at the university, create models of flagship educational practices and instructional modalities designed to impact and enhance public school instruction? 2. How does the School of Engineering strengthen, and in some cases reconstruct, relationships and the pipeline with the University of Wisconsin, Denmark Technical College and Orangeburg-Calhoun Technical College, the Savannah River Site facility, Boeing and other major industries in order to capitalize on the uniqueness of the nuclear engineering program and other engineering program offerings? 3. How can the SCSU Transportation Center and other instructional units at the university secure the resources to conduct a major study of the impacts of port development/expansion in Charleston and Savannah and the implications of that expansion for economic development opportunities in South Carolinas Corridor of Shame? All strong universities have an impact on public policy development in their regions. 4. How soon can the SCSU Planetarium, in this era of science, technology, engineering and mathematics, be brought back on line to serve SCSU, local and regional public schools, and the general public as a magnet for visitation and visibility? This would bring citizens and visitors to campus, enhancing the importance of SCSU to the state educational community and economy. The same question applies to better marketing the Stanback Museum as a university and regional asset. At present, Stanback enjoys greater international visibility than it does locally. 5. How does the university strengthen its Honors College programs as a way to further enhance the honors experience, attract high-achieving students and counterbalance some of the negative publicity that has been visited upon SCSU? Domestic and foreign student exchange opportunities, internships and work-study opportunities could enrich the experience of honors and non-honors students and improve the image and reputation of the university. 6. What are the existing successful programs that are ripe for expansion? Audiology and speech pathology, the doctorate in education, a health care component of the School of Management, physical therapy in Physical Education? In academia, if you are not growing or planning to grow, you are probably dying on the vine. It is unfortunate these conversations seemingly will have to be demanded or forced to take place. These normal activities themselves are not cost prohibitive. They should be found to exist as a normal part of a university planning operation. If S.C. State is going to be saved, the faculty and staff will have to find the backbone to bring their voice into the conversation. The National Alumni Association and local alumni chapters will have to do more than come to homecoming or send money. The Legislative Black Caucus cannot afford to appear to have thrown the rock and now hide its hand. S.C. States ROTC generals and military officers must now be generals and officers in defense of the alma mater; for if there is no enduring victory at home, there can be no enduring victory abroad. Likewise, the NAACP is going to have to play a card or fold. I suggest each of the living SCSU honorary doctorate degree holders should be called on to play a supportive role in resolving this crisis; or they should be asked to surrender their degree. The hustle must end and no one can be extended a pass. Black leadership in this state and nation must come to the fore by showing its mettle and commitment on the issue of HBCU survival. The sad fact is that too many folks are betting this will not happen. Remember who it is, at this point in time, who is writing the brief. Dr. William Small Jr. is a retired educator and former board chairman at S.C. State. In his words, he is a fired trustee. We use cookies on our website to give you the most relevant experience by remembering your preferences and repeat visits. By clicking Accept, you consent to the use of ALL the cookies. Singapore Thomson Reuters Org ID KYC, the global Know Your Customer end-to-end client identity managed service, has adopted the Association of Banks in Singapore (ABS) standards and controls for outsource service providers (OSPAR) for financial institutions regulated by the Monetary Authority of Singapore (MAS). Our customers today demand compliance to global standards as well as meeting the needs of their local regulators, said Dominic Mac, global head of Business Development, Risk Managed Services, Thomson Reuters. We are the first and only global KYC managed services provider to have produced an International Standard on Assurance Engagements (ISAE) 3000 Type II controls report, which includes a reasonable assurance report issued by PricewaterhouseCoopers (PwC), the basis of which has been used to align our operational capabilities to the equally rigorous requirements of the ABS, in preparation for the soon-to-be-announced MAS Outsourcing Notice. Having an active and complete end-to-end risk and controls environment highlights our commitment to quality, the robustness of our security and resilience of our managed service, and helps meet our customers compliance needs on data protection and service continuity. In both instances, Org ID is audited by PwC against the ISAE 3000 standard and against OSPAR. In its most recent revised format, the ISAE international standard addresses Assurance Engagements Other than Audits or Reviews of Historical Financial Information and provides customers with an independent review in relation to the design and operating effectiveness of a service providers internal controls and compliance. Developed by the International Auditing and Assurance Standards Board, ISAE 3000 (Revised) is one of the most widely recognized standards used by accounting firms to independently assess a companys report as to its control environment. Other industry accreditations for Org ID include: ISO / IEC 27001 on Information Security Management; ISO9001 on Quality Management; and SOC2 on security, availability and privacy for its data center operations. Thomson Reuters Org ID, now in its third year of operation, is a global solution developed for banks, investment managers and corporations to simplify and streamline Customer Due Diligence (CDD) and the ongoing maintenance of KYC records in line with ever-changing regulatory demands. Org ID has processed well over 125,000 KYC entity profiles, all of them fully validated and screened, with continuous monitoring and dynamic refresh offered as part of the services end-to-end solution. The financial services industry has bestowed accolades upon Thomson Reuters Org ID; it received the Data Management Review Award 2015 for Best KYC & Client On-Boarding Solution the second straight year Org ID has earned this recognition. Last October, Org ID also won the Best Managed/Support Service Provider of the Year at the Operational Risk & Regulation Awards 2015 ceremony in New York. Earlier last year, peers voting for the 2015 FTF News Technology Innovation Awards selected Org ID to receive two FTF Excellence Awards -- in the categories of Best Operational Risk Management Solution and Best AML/Anti-Fraud Solution. Thomson Reuters Thomson Reuters is the worlds leading source of news and information for professional markets. Our customers rely on us to deliver the intelligence, technology and expertise they need to find trusted answers. The business has operated in more than 100 countries for more than 100 years. Thomson Reuters shares are listed on the Toronto and New York Stock Exchanges. For more information, visit www.thomsonreuters.com. CONTACT Judy Lam Business Communications Manager, Asia Pacific Office +852 3761 1944 PR Hotline +852 2843 6990 Email: judy.lam@thomsonreuters.com Brian Mairs Global Head of External Communications, Financial & Risk Office +44 20 7542 7866 Mobile +44 77 9947 7998 Email: brian.mairs@thomsonreuters.com Mark D. Harrop Public Relations Manager, Financial & Risk Office +1 646 223 7803 Mobile +1 347 803 5575 Email: mark.harrop@thomsonreuters.com Azerbaijans President Ilham Aliyev met July 11 with Russias Foreign Minister Sergey Lavrov in Baku. During the meeting, President Aliyev greeted FM Lavrov in the country. The president reminded about the meetings held throughout the year between Azerbaijan and Russia, noting that this shows how the relations are developing between the two countries. President Aliyev said Azerbaijan appreciates the efforts made by Russias President Vladimir Putin and Foreign Minister Sergey Lavrov for settlement of the Armenia-Azerbaijan Nagorno-Karabakh conflict, and expresses gratitude for those efforts. Azerbaijans president also pointed to the intensification of negotiations on the conflict. President Aliyev described the negotiations as the most dynamic ones. He also expressed hope that the positive dynamics in the talks on the Nagorno-Karabakh conflict will continue. President Aliyev noted that Russia is interested in the settlement of the Nagorno-Karabakh conflict. Touching upon the relations between the two countries in various spheres, the president said the main task is to strengthen them, and noted that Azerbaijan and Russia have friendly and partnership relations. There is a little hope that any change may happen about the heavy tax that Irans industrialists have to pay to the Social Security Organization, Minister of Industry, Mine, and Trade Mohammad Reza Nematzadeh said. The issue is a thing of law and changing it is not so easy, the minister told Trend July 9 on the sidelines of a conference marking Irans Industry Day. The Social Security Organization believes the pensions and health services that it gives cost so much, he said, adding however that a systemic change is needed. Nematzadehs comments came as industrialists are anticipating a meeting with the Social Security Organization and its parent Ministry of Labor soon. The prospective meeting was promised by officials of the Ministry of Industry, Mine, and Trade as well as the Parliament who were present at the conference. The officials promise followed repeated objections by the industrialists of the burden that the taxation has imposed on them. One of the industrialists, interrupting the host as he spoke from his seat, said that the heavy taxation has deprived him and his likes in the industrial sector of the chance to allocate any budget to research and development programs and run their industries outdated. According to the law, enterprises in Iran have to pay 30 percent of their revenues to the Social Security Organization for insurance. Many doubt the fact that the insurance services would cost so much. As one, Chairman of Razavi Khorasan Textile Association Ebrahim Dehqan had recently said there are other insurance companies that would offer the same insurance for half of what the Social Security Organization charges. According to a 2014 report by the Iranian parliament, corruption was widespread in the Social Security Organization during the administration of Saeed Mortazavi, 2011-2013. It included, among other officials of the Islamic Republic of Iran, a notable number of members of parliament (MPs) and several editors of Reformist newspapers. The 84-page report by the Special Parliamentary Probe Committee included 37 cases of bribery and illegal handouts. One such case entailed 1.335 billion rials ($43,266) taken from the internal budget of the organization after being authorized by the head of the organization, and was given to 37 MPs [report included code numbers but no actual names] as gift cards [on April and May] of this year. Caparol Paints, a leader in the paints industry, has signed a partnership agreement with RAK Research and Innovation Center to effectively test its external thermal insulation composite system (Etics) for sustainable buildings in the UAE. As per the agreement, Caparol Paints and RAK Research and Innovation Center will extensively test Etics, known to improve the energy efficiency of both existing and new buildings once installed with the insulation boards. Caparol pointed out that it has been at the forefront of adopting new technologies which complement the local market conditions. The RAK Research and Innovation Center specialises in sustainable system solution development related to solar technologies. On the partnership, Martin Rosocha, the general manager at Caparol Paints, said: "Partnering with RAK Research and Innovation Center has given us the opportunity to test Caparols Etics in hot climate conditions such as the UAE." "The process can significantly contribute to reducing thermal transmission through the buildings exterior. At Caparol Paints, we continuously research on incorporating innovative methods to enhance the sustainability of buildings while maintaining the exterior visual appearance," observed Rosocha. To achieve optimum results, the research involves continuous monitoring and data logging by using various sensors installed on all testing cubicles which will receive the necessary data. We have allocated a period of one year for the testing. Data logging will be carried out for seven consecutive days every month and the results will be reported monthly, he stated. With the temperatures soaring in the UAE, Caparol Paints has seen an advanced and growing need to involve sustainable building and coating methods while maintaining the visual appeal of a structure. The first test involved insulating three standard cubicles (3x3x3) with three different types of insulation systems, Rosocha added. Mowaffaq Balish, the commercial director for Middle East, said: "With energy efficiency and sustainability being at the forefront of the UAEs vision, coupled with a market ready to overcome environmental challenges for a modern and progressive nation, we see tremendous value and potential in our Etics system solution." "Sustainability and innovation is at Caparols core; by providing a thermal insulation system that saves energy and ultimately provides health benefits, we are setting Caparol apart from others in the industry. We also hope to continue contributing to the GCCs ambitious plan to set new sustainability standards," he added.-TradeArabia News Service Salini Impregilo, an Italian specialist in the construction and civil engineering business, has won a $3.9-billion contract from Tajikistan government to build a dam for the Rogun hydroelectric power plant. The group has also been assigned the first lot of the work worth $1.95 billion, said a statement from Salini Impregilo. The scope of work includes construction of a 335-m-high rockfill dam with a clay core, the tallest in the world, on the Vakhsh River. The dam will be located in Pamir, one of Central Asia's main mountain ranges. The construction of Rogun on the Vakhsh river began in the 1970s when Tajikistan was a Soviet republic, but stopped in the 1990s when the Soviet Union broke apart. The agreement between Salini Impregilo and OJSC (the state-run company that is co-ordinating the Rogun Hydropower Project) concerns the exploitation of the Pamirs huge hydroelectric potential and includes four lots. The three remaining lots are seen being assigned to the group by September 30, 2016. Once completed, the plant will have six turbines of 600 MW each with a total installed capacity of 3,600 MW (the equivalent of three nuclear power plants). According to Tajik officials, Rogun will double the country's energy production, strongly contributing to reducing power shortages suffered during the winter months when thousands of families need light and heating. The project will also increase agricultural activity through irrigation thanks to a more efficient use of water. The most significant impact will be to make Tajikistan a point of reference for the energy sector in the region. Pakistan and Afghanistan have already declared their intention to buy some of the energy to be produced by Rogun, and many more neighbouring countries are expected to do the same. Together with the June 26 inauguration of the new Panama Canal, this contract confirms the leadership role in the water segment played by the group, which has built more than 250 dams throughout the world since its inception.-TradeArabia News Service UAE-based Momentum Logistics, a wholly-owned subsidiary of top independent port operator Gulftainer, has appointed Tom Nauwelaerts as its new managing director. A leading third-party logistics (3PL) services provider, Momentum said in his new role, Nauwelaerts will be responsible for steering its expansion in the 3PL industry. He brings over 25 years of experience in supply chain management across various markets including the UAE, UK and Belgium. Prior to joining Momentum Logistics, Nauwelaerts worked for YBA Kanoo as the head of their logistics division. With over eight years of experience in the Middle East, he also held the position of managing director at Al-Futtaim Logistics, said a statement from the company. Additionally, he also served as group CEO of WWL-ALS Freight Management Group in the UK and as general manager Logistics for Ahlers Logistics and Maritime Services, headquartered in Belgium, it stated. During these tenures, he oversaw specialised logistics services, such as project cargo, chartering, and retail and automotive logistics solutions for fast-growth markets in the CIS, Asia and Africa. On the appointment, Flemming Dalgaard, the chief executive of Gulftainer, said: "Momentum Logistics has come a long way since its launch in 2008. We have identified various growth paths for the division, which has great potential to cater to the growing supply chain hubs within the Middle East and beyond." As a seasoned logistics professional, Nauwelaerts will bring much-needed focus to enhancing Momentums activities, which have so far been limited to complementing our port management services, he said. We are confident that with his wide-ranging experience and connections in the industry, he will succeed in taking the company to the next level, he added. Nauwelaerts dubbed it as an exciting time to be heading a logistics function in the Middle East. "As the region opens up its industries and diversifies away from fuel, we anticipate a growing need for interconnectedness, convenience and streamlining of business operations," he stated. As managing director, I look forward to taking our services to new benchmarks of excellence and finding innovative ways to tackle any challenges we may face, he added.-TradeArabia News Service Mubadala, the Abu Dhabi investment and development company, has joined hands with Belgiums Solvay to set up a joint venture company that will manufacture prepreg composite materials critical to the aerospace industry for the first time in the UAE. The joint venture, to be located in the centre of Abu Dhabis growing aerospace hub, the Nibras Al Ain Aerospace Park (Nibras), is likely to be operational by 2021. It will be established through Strata Manufacturing, Mubadalas advanced aero structures manufacturing business. According to Mubadala, the joint venture has been awarded its first primary materials supply contract by Boeing, reinforcing the UAE firm and Solvays longstanding relationship with the worlds largest aerospace company. Commenting on the joint venture, Homaid Al Shimmari, CEO of aerospace and engineering services at Mubadala, said: "The announcement with Solvay Group, a leading international chemical and advanced materials company, fortifies our status as a driver for creating an advanced manufacturing and aerospace cluster in the UAE." The joint venture will create new jobs demand for highly skilled Emirati engineers, alongside existing talent that are currently employed by Strata, he said. This is a landmark announcement from us as we continue to build an aerospace ecosystem in Abu Dhabi, he added. As part of the agreement, the new JV firm will supply the primary structure prepreg composite content, delivered via a unique thermos heating process. Next-generation aircraft use of these materials continues to grow as manufacturers of large commercial transport programmes, like Boeing with its 777X, seek to reduce the weight of an airliner, thus improving fuel efficiency and reducing CO2 emissions, it stated. Jean-Pierre Clamadieu, CEO of Solvay, said: Our teaming up with Mubadala in this essential project in support of Boeings growth plans showcases our capabilities in advanced aerospace composite technologies, including primary structure applications. We are proud to be part of the innovative 777X aircraft, he said. The joint venture will supply Boeing with advanced prepreg materials to complete the empennage and floor beams of its new 777X programme, it said. Ray Conner, president and CEO, Boeing Commercial Airplanes, said: After close collaboration with Mubadala and Solvay to expand the supply of aerospace composites, we are pleased to be the first customer for their new joint venture in the UAE. Our commitment to purchase this prepreg material for the 777X meets several important goals for our company, from further advancing aerospace industry development in the UAE to expanding high-quality materials in our supply chain, he added.-TradeArabia News Service The upcoming Milipol Qatar 2016, a leading bi-annual event dedicated to homeland security in Doha, Qatar will feature a dedicated area for civil defence exhibitors. Marking its 11th edition and 20 years since the event was first held in Doha, the event will feature key conference sessions as part of this years agenda. The exhibition, which has long been a key feature on the security market calendar, will be held from October 31 to November 2 at the Doha Exhibition and Convention Center (DECC), organised by the Ministry of Interior of the State of Qatar in partnership with the French-based Comexposium Security. Muriel Kafantaris, exhibition director, Milipol events said: The Middle East has witnessed a number of incidents over the past 12 months that have influenced policy, regulation and procedure, and with high profile events due to be held in the region in the coming years, and considerable construction projects planned, it is key that those involved are prepared with the right knowledge and resources, thats why we decided to focus on civil defence area in 2016. A number of international companies have already confirmed their participation as part of the dedicated civil defence zone this year, which will be located in a prime position alongside the Ministry of Interior of the State of Qatars stand. Exhibitors such as Bertin Technologies from France, Chinas Telo Systems Limited, and Industrial Scientific US from the US will be represented together with regional entities such as Al Majdal Trading, Betafence Middle East and Leader Healthcare. Additionally, Qatars MoI has announced that it will be allocating a portion of its own stand to civil defence, with leading officials available to discuss latest trends and developments to visitors. As part of the conference agenda, a number of talks and discussions will also focus on relating topics. There is still limited exhibition space available for those who wish to take part of Milipol Qatar 2016, which in 2014 attracted 6,583 visitors from 63 countries and 146 official delegates, a statement said. TradeArabia News Service Iran Aviation Organization has granted Mahan Air the license for establishing new flights from Shiraz to Dubai, said a report citing director general of Fars Province Airports. Reza Badiei-Fard told IRNA on Friday that Mahan Air has been given necessary licenses to operate three two-way flights each week between the two cities. The announcement follows the latest flight from Shiraz Ayatollah Dastgheib International Airport to Georgia (Tbilisi), which started recently. Badiei-Fard also said that there may have been discussions for establishing a cargo air link from Shiraz to Persian Gulf littoral states, however ti can only be verified once the license has been issued for that by Iran Aviation Organization, the report said. When asked about resumption of Shiraz-Muscat flights by Kish Air, the official said no license has so far been issued for that. Ibtm china 2016, the country's top travel trade event, is gearing up for a highly successful edition in Beijing this September. The show, taking place on September 7 and 8 at the China National Convention Centre (CNCC), is preparing to welcome an impressive line-up of international exhibitors including: San Francisco Travel, Czech Tourism, Tourism New Zealand, Thailand Convention & Exhibition Bureau and welcoming first time exhibitors Business Events Canada and Peninsula Hotels. The destination presentations which are held on the show floor over the two days will now incorporate sessions held by both hotels as well as destinations. Hosted Buyers will have the opportunity to pre-book the presentations during the show. Ibtm china is on track to deliver over 400 Hosted Buyers and will organise over 9,000 pre-scheduled appointments with the exhibitors. The exclusive Hosted Buyer networking reception will take place on Tuesday evening (September 6) and will be held at the newly refurbished Peninsula Hotel. The event will also bring the buyers and exhibitors together on Wednesday evening (September 7) at the official welcome party providing everyone with opportunities to continue their networking and business discussions. Cici Chen, senior project manager for ibtm china, said: I am delighted at the quality of the Hosted Buyers that we are welcoming to the show this year and the number of appointments pre-scheduled during the two days. Its a testament of how important ibtm china is in the development of the meetings industry in China. We play a valuable role in showcasing different destinations to those buyers who are looking to place business. Were also committed to ensuring that we are providing the best possible platform for our buyers and exhibitors to meet, learn, place business and network with each other. To find out more about the Knowledge Program or to register to attend as a Hosted Buyer or Trade Visitor, visit http://www.cibtm.com. - TradeArabia News Service Meet award-winning artisans and buy their products at Kerala Arts and Crafts Village Have an event, trend or general energy happening youd like to see in the Energy Journal newsletter? Send it to Star-Tribune Energy Reporter Heather Richards at heather.richards@trib.com. Sign up for the newsletter at www.trib.com/energyjournal. From environmentalists and regulators to the oil and gas industry, Pinedale is a success story. Heavy production and geography led to ozone pollution comparable to large cities like Los Angeles. But the challenge gave birth to new technologies for curbing emissions, from green completions to infrared cameras. Last month, the Wyoming Department of Environmental Quality passed new guidance for using the best available technology to curb emissions. The guidelines are revised ever few years, but this round of updates left out a point of contention that environmentalists want to see statewide -- leak prevention and repair. For those in favor of stricter leak prevention guidelines or regulations, the lessons learned in Pinedale could guide air quality regulations for the rest of Wyoming. For others, the story of ozone in Pinedale is over. I would say the [Upper Green River Basin] can and should be a harbinger of what can and should happen statewide, said Jon Goldstein, a senior policy adviser at the Environmental Defense Fund. Rolling out better leak protection rules statewide might not happen right away, but its an important part of curbing emissions. Leaks are one of the biggest offenders when it comes to volatile organic compounds -- the compounds that create ozone. In the effort to bring down pollution in Pinedale, quarterly checks for leaks became a regular part of business in the Upper Green River Basin. The area has its own rules from the DEQ because of its history of pollution. But not everyone is convinced of the need for more rules regarding fugitive emissions. Leak prevention is being done voluntarily right now by many of our companies, said John Robitaille, vice president of the Petroleum Association of Wyoming. That is a product that you are trying to sell. So it doesnt make a lot of sense to just let it go up into the air. Rules for oil and gas in Wyoming shouldnt be one-size-fits-all, he said. We look at Pinedale as a huge success story. Our view of the Pinedale area is we had a problem. We came together with our regulators, and we solved that problem, he said. What the industry doesnt want to see is regulation that forces expensive technologies such as infrared leak cameras on small producers. The cost will outweigh the profitability of drilling, and that is a loss for Wyoming, he said. That production is going to shut down, he said. That production leads to jobs. It leads to taxes. It leads to royalties. It leads to a great number of good things. Environmentalists, however, will continue to push for updated emissions practices statewide and continuing efforts in the Upper Green River Basin. The DEQ is currently compiling public comment on potential guidelines for emissions in the region. Capturing carbon emissions Developing technologies to capture carbon emission will be the focus of a competition in Wyoming this year, with $20 million for the winning teams of researchers. The deadline for entry into the NRG COSIA Carbon XPRIZE global competition is July 15. It will be sponsored by the Wyoming Integrated Test Center a facility under construction in Gillette. This type of research can take a liability and turn it into a secondary energy market, reducing both emissions and the cost of energy to consumers, said Jason Begger, Executive Director of the Wyoming Infrastructure Authority. There are two sides of the competition -- one testing technologies at a natural gas power plant and the other at a coal power plant. Each winning team will receive $10 million in prize money. Wyoming Integrated Testing Center is a public-private facility that will bolster research into capturing and sequestering carbon emissions. Waiting on oil to rise It was disappointing Thursday for those hoping the U.S. oil inventory would decrease and prices would rise. Crude oil stockpiles fell by only 2.2 million barrels. U.S. oil prices continue to hold under $50 per barrel. Meanwhile, a gas glut has some projecting that the typical summertime gas demand wont be enough to reduce that inventory. Interesting write-up from the WSJ on the subject here. Well completions down The second quarter of 2016 saw 84 percent less exploratory gas well completions than the year before, according to the American Petroleum Institutes Quarterly Well Completion Report. Oil well completions were down as well, by 69 percent. This year development well footage has decreased by 53 percent. API credits the shale energy revolution for the decreases. From environmentalists and regulators to the oil and gas industry, Pinedale is a success story. Heavy production and geography led to ozone pollution comparable to large cities like Los Angeles. But the challenge gave birth to new technologies for curbing emissions, such as infrared cameras. Last month, the Wyoming Department of Environmental Quality passed new guidance for using the best available technology to curb emissions. The guidelines are revised every few years, but this round of updates left out a point of contention that environmentalists want to see statewide leak prevention and repair. For those in favor of stricter leak prevention guidelines or regulations, the lessons learned in Pinedale could guide air quality regulations for the rest of Wyoming. For others, the story of ozone in Pinedale is over. I would say the (Upper Green River Basin) can and should be a harbinger of what can and should happen statewide, said Jon Goldstein, a senior policy adviser at the Environmental Defense Fund. Rolling out better leak protection rules statewide might not happen right away, but its an important part of curbing emissions. Leaks are one of the biggest offenders when it comes to volatile organic compounds the compounds that create ozone. In the effort to bring down pollution in Pinedale, quarterly checks for leaks became a regular part of business in the Upper Green River Basin. The area has its own rules from the DEQ because of its history of pollution. But not everyone is convinced of the need for more rules regarding fugitive emissions. Leak prevention is being done voluntarily right now by many of our companies, said John Robitaille, vice president of the Petroleum Association of Wyoming. That is a product that you are trying to sell. So it doesnt make a lot of sense to just let it go up into the air. Rules for oil and gas in Wyoming shouldnt be one-size-fits-all, he said. We look at Pinedale as a huge success story. Our view of the Pinedale area is we had a problem. We came together with our regulators, and we solved that problem, he said. What the industry doesnt want is regulation that forces expensive technologies such as infrared leak cameras on small producers. The cost will outweigh the profitability of drilling, and that is a loss for Wyoming, he said. That production is going to shut down, he said. That production leads to jobs. It leads to taxes. It leads to royalties. It leads to a great number of good things. Environmentalists, however, will continue to push for updated emissions practices statewide and continuing efforts in the Upper Green River Basin. The DEQ is compiling public comment on potential guidelines for emissions in the region. Workers in orange vests and hard hats were on Robertson Road recently where the street intersects the new West Belt Loop just west of Casper. They were installing rumble strips, a safety measure to encourage drivers to be more attentive. But people who live in the area say the Wyoming Department of Transportation is doing too little too late. Unfortunately, people had to die for that to happen, said Kora Huffman, who lives on 7 Mile Road. The loop is a 7.5-mile, two-lane road connecting the Shoshoni bypass and U.S. Highway 20-26 to Wyoming Highway 220. It was intended to divert heavy truck traffic around the city. Before the highway opened on Dec. 31, officials urged drivers to be cautious as they adjusted to the new entry and exit points. Last month, a crash where Robertson Road intersects the bypass killed two people, three horses and a dog. In the aftermath, traffic officials began making changes to improve safety at the intersection. The fatal crash brought a little more importance to that, said Mark Williams, district traffic engineer for WYDOT. We have received lots of concerns, and anytime theres a fatality, we take it seriously. Carol Plummer and George Rott died in the June 17 crash. Plummer, who was on Robertson Road, failed to obey a stop sign as she crossed the highway, according to the Wyoming Highway Patrol. Were attempting to get people to respect that stop sign a little more, Williams said. Before the bypass opened, crews installed markers on Robertson Road warning of a stop sign ahead. Since the crash, traffic officials have added new safety measures. In addition to the rumble strips, traffic officials lowered the speed limit on the highway at the Robertson Road intersection from 65 mph to 55 mph. It took them killing two people to change the speed limit, said Mike Jones, who also lives on 7 Mile Road. Jones said he went to the planning meetings for the West Belt Loop prior to its opening. He suggested a traffic light be installed at the intersection. Officials told him the light wasnt necessary. Stephanie Rott, whose husband died in the crash at the intersection, said shes thankful officials lowered the speed limit. She said shed also like to see a traffic light in the area. A really good man lost his life, Rott said. He was a father of six kids with a grandbaby and he was just coming home from work. So slow down, because in a heartbeat everything can change. Huffman said shes witnessed two crashes at the intersection since the bypass opened. If Ive seen two, how many have happened? she said. At the very least, she said, there should be caution lights on the stop signs. People arent used to having to stop there, she said. I knew it was going to happen, Huffman added, referring to the fatal crash. We were just concerned about the whole project to begin with. A Casper man who admitted Monday to slamming his stepsons head into the floor could get probation for the crime. Ryan Dale Townsend pleaded guilty in Natrona County District Court to one count of child abuse. A plea agreement with state prosecutors calls for Townsend to be sentenced to three years of supervised probation. Townsend is out of jail on bond pending sentencing. In Wyoming, child abuse carries a penalty of up to five years in prison. A judge will make the final decision as to Townsends sentence, and isnt required to accept the plea agreement. According to court documents, the 8-year-old boy reported the abuse to a school employee on Feb. 9, one day after it occurred at the home where he and Townsend lived. The boy had bruising to his forehead and around his eye, as well as red marks on his neck. The victim was interviewed at the Childrens Advocacy Project and evaluated at Wyoming Medical Center, the documents state. His 6-year-old brother, who witnessed the abuse, was also interviewed at the Childrens Advocacy Project. Officers and Department of Family Services agents visited the familys home on Kit Carson Avenue to determine if the boys, along with their younger brother, could continue to live there with their mother. However, officials found the house was very dirty and smelled of urine and feces, according to the documents. They also discovered marijuana in the home and the boys mother tested positive for marijuana. The children were placed with an aunt. The 8-year-old boy told investigators he had been watching TV with his brother when Townsend became angry over a mess the familys dogs had made, the documents state. The boys mother was not home at the time. He said Townsend took hold of his arm and threw him face down to the hardwood floor. Townsend then laid on top of the boy and choked him. The boy told investigators he tried to pull Townsends arms away from his neck. He said he was crying and gasping for air during the incident. After Townsend let him go, the boy went to the bathroom to wash blood off his face, according to the documents. Townsend later apologized to the boy and told him to lie to his mother about what happened. DALLAS Republican Gov. Greg Abbott of Texas sustained extensive second- and third-degree burns on both legs below the knees and both feet while visiting Wyoming last week. Abbot may miss next weeks Republican National Convention as a result, his office said Sunday. Spokesman Matt Hirsch said Abbott was with his family in Jackson Hole on Thursday when he was scalded in an accident involving hot water. He declined to provide further details. The governor was treated for several hours at nearby St. Johns Medical Center. As he was being released, a top aide called from Texas to say a gunman had opened fire in downtown Dallas an attack that killed five police officers and wounded seven others. His first words to us were, Ive got to come back, Hirsch said. Abbott held a press conference in Dallas on Friday, but didnt disclose being burned. Hirsch said that his legs were wrapped at the time, but that wasnt evident since they were covered by his pants. The only hint anything was wrong, Hirsch said, was that the governor was wearing orthopedic shoes that his staff purchased that morning rather than the dress shoes or boots he usually dons in public. Hirsch said surgery wont be required immediately, but that Abbott was heading to Brooke Army Medical Center in San Antonio on Monday afternoon to see specialists. He continues to get his legs wrapped daily and should be required to do so for two or three weeks, Hirsch said. The governors communications team had been working on a statement detailing the burning Thursday, but abandoned it in the aftermath of the mass shooting, Hirsh said. Instead, Abbott released statements about the attack late Thursday night and early Friday morning, as well as an open letter to Texans, urging unity in the face of tragedy. For him it was important not to distract from what was happening in Dallas, Hirsh said. The accident wasnt reported until late Sunday. Word first appeared in The Austin American Statesman. Abbott has used a wheelchair since a tree fell on him while jogging in 1984, paralyzing him from the waist down. Hirsch said the governor still has functioning nerve receptors in his legs and feet, however, and that he has felt pain as they react to the shock of being burned. The governor is chairman of Texas delegation to the Republican convention in Cleveland, which begins July 18. But Hirsch said he may now not make the trip, calling a decision about Abbotts attendance day-to-day. Abbott endorsed the presidential bid of Texas Sen. Ted Cruz, but says he supports presumptive nominee Donald Trump. Yellowstone National Park has hired three Mandarin-speaking interpretive rangers this summer to help ease communication with a growing influx of Chinese tourists. Its great to show the Chinese visitors my country, after they showed me theirs, said Evan Hubbard, one of the rangers, who studied in China for two years. They are coming here and everything that is so familiar to us is completely foreign to them. During last summer we saw that this could be helpful, said Rich Jehle, South District resource education ranger in Yellowstone. We have all kinds of basic safety publications in different languages. But its different having someone who can speak directly to a visitor. Yellowstone doesnt track visitation by nation of origin. Instead, the parks staff is simply relying on a perceived increase, one that the West Yellowstone Chamber of Commerce has noted as well. We just know its a significant number, said Mary Sue Costello, president of the chamber. We have felt this switch for probably three or four years. Its probably been recognized for a few years, but last year was where the increase was very evident, Jehle said. Huge increase Chinese visitation to the U.S. increased by 451 percent between 2007 and 2015, according to statistics provided by the U.S. Department of Commerce. Of the 2.1 million Chinese visitors in 2014, 34 percent were on vacation, or more than 740,000. The trend has been noticed by states that are bringing in experts to help them cater to Chinese visitors. Costello said Montanas 2015 Governors Conference on Tourism and Recreation brought in Haybina Hao, vice president of the National Tour Association, to help Montana businesses adapt. Hao told Travel Pulse magazine in an interview earlier this year that The China market, we have to understand, is culturally very diverse, totally different from the typical North American culture. Its politically very sensitive, and you need to always be politically correct. It needs to be diplomatically appropriate. So its a very challenging market. A story in the July 5 Idaho Falls newspaper said the city is seeing a big overflow of Chinese visitors who are on their way to and from Yellowstone and businesses are starting to cater to them with Mandarin-speaking hotel receptionists, instructions written in Chinese and the use of translations apps on cellphones by businesses to close the language barrier. The jump in Chinese tourism to the United States is due to the countrys estimated 300 million members of the middle class who have more expendable income and a relaxation of government travel restrictions. One statistic that Yellowstone does track is the number of buses that pass through the parks gates. In 2015 the park counted more than 10,500 buses, an increase of 17 percent over 2014, which saw a 21 percent increase from 2013. Tour buses on average have about 50 seats, but its not clear what the park counts as a bus. Some may be much smaller. We dont know how they are coming in, Jehle said. But there are a lot on tour buses and driving cars. Ranger responsibilities The three Mandarin-speaking interpretive rangers have the same duties as any other interpretive ranger providing information about park resources, orientation, giving formal interpretive programs like guided walks, roving the Old Faithful or Madison areas to talk to visitors informally and providing education on regulations. They also can interpret during medical emergencies. Hubbard said Chinese tourists in Yellowstone are often looking to other visitors for cues on how to behave. There tends to be two very distinct interactions: safety or regulation issues, like walking off the boardwalk in a thermal area, he said. That becomes an education opportunity. Often they say they had seen someone else or saw tracks. The more fun interaction is hearing Chinese speakers while Im out roving and I will give them a greeting and their eyes will light up, Hubbard said. Usually its their first time here and they are excited to have this unique opportunity. Another record? The three Mandarin-speaking rangers were hired from a pool of about 10 applicants, Jehle said. Two are Caucasians who taught in China and one is a Chinese resident who just became a U.S. citizen. The increase in Chinese tourism comes as Yellowstone is on pace for another year of record visitation. Last year more than 4 million people visited the park, and this years monthly statistics show the park is on pace to top last year. With more people, the parks services everything from bathroom facilities to roads and parking lots are being filled to capacity. Each day the Old Faithful Visitor Center alone records more than 10,000 visitors at this time of the year, Jehle said. I would say this has been building for several years, he said. Obviously, solving all of the problems of how popular this park is isnt easy to do. But if we can solve little problems like basic communication to address visitors, it is helpful. Behold the reluctant warrior. Five years and two weeks ago, President Barack Obama addressed the nation about the end of the war in Afghanistan. There would be no more Americans in combat in Afghanistan in 2014, he said, vowing to match what hed already accomplished in Iraq. Tonight, we take comfort in knowing that the tide of war is receding, he said. Weve ended our combat mission in Iraq, with 100,000 American troops already out of that country. And even as there will be dark days ahead in Afghanistan, the light of a secure peace can be seen in the distance. These long wars will come to a responsible end. Some time later, he said the United States would have no more than a normal embassy presence in Afghanistan by the end of 2016. But on Wednesday, the 2009 Nobel Peace Prize winner announced that the war would go on into the term of his successor and with an 8,400-troop force that will be more than 50 percent larger than he had set in his last announcement that he was slowing the pullout from Afghanistan. The security situation in Afghanistan remains precarious, Obama, in charcoal gray, said from the Roosevelt Room, his defense secretary and his top uniformed officer at his side. He allowed that Afghan security forces are still not as strong as they need to be and that the Taliban remains a threat. The 15-year war, already well longer than the Soviets 10-year adventure there, goes on. Todays decision best positions my successor to make future decisions about our presence in Afghanistan, Obama said. For Obama, it was another acknowledgment that, as he put it in 2014, its harder to end wars than it is to begin them. He pulled American troops out of Iraq, but a power vacuum and Islamic State violence there has caused the military presence to creep back up, to nearly 5,000. Since Obama surged troops into Afghanistan and then pulled them out faster than his generals wanted, he has had to keep a larger presence there than he had planned as the Taliban has rebounded. He successfully decapitated al-Qaeda, only to see the terrorist hydra spread in the form of the Islamic State and other groups, making the world seem more chaotic and dangerous. The terrorism prison at Guantanamo Bay, Cuba, which Obama pledged to close, remains open. U.S. forces have been involved in bombing campaigns in Libya, Syria and elsewhere, and Obama has exponentially increased the use of targeted killings in places such as Libya, Pakistan, Somalia and Yemen. The administration recently announced that such strikes have killed about 2,500 members of terrorists groups and between 64 and 116 civilians, though human rights advocates say the civilian figures are dramatically understated. Certainly, there are far fewer U.S. troops in harms way than there were at the start of the Obama presidency, but to revisit his speeches over the years is to see his journey from hope to hard reality. In mid-2011, despite concerns in the military, he began what he said would be a steady Afghanistan withdrawal. Let us responsibly end these wars and reclaim the American Dream that is at the center of our story, he said. A few months later, he triumphantly announced that the last troops would leave Iraq, and he was still ebullient in May 2012: My fellow Americans, weve traveled through more than a decade under the dark cloud of war. Yet here, in the pre-dawn darkness of Afghanistan, we can see the light of a new day on the horizon. Two years ago, Obama announced that this is the year we will conclude our combat mission in Afghanistan, declaring that by the end of 2016 the military would have but a normal embassy presence. But by October 2015, Obama reported that Afghan forces are still not as strong as they need to be, while the Taliban has made gains. He said he would drop the military presence only to 5,500 troops by the end of 2016. Thats the number Obama increased Wednesday. When we first sent our forces into Afghanistan, ... few Americans imagined wed be there in any capacity this long, he said Wednesday. Still, we have to deal with the realities of the world as it is. He spoke of the need for troops and funds to keep strengthening Afghan forces through the end of this decade. It was a sensible, perhaps inevitable decision. But it felt a long way from Oslo. MADRID President Obama on Sunday urged respect and restraint from Americans angered by the killing of black men by police, saying anything less does a disservice to the cause of ridding the criminal justice system of racial bias. He also urged law enforcement to treat seriously complaints that they are heavy-handed and intolerant, particularly toward minorities. Id like all sides to listen to each other, Obama said in response to a reporters question after he met with Spains acting prime minister, Mariano Rajoy, during an abbreviated first visit to Spain as president. Obamas appeal for greater understanding from opposing sides of the emotionally charged debate over police practices followed the weekend arrests of scores of people in Louisiana and Minnesota who protested the shooting deaths by police of black men in both states last week. Those deaths were followed by a stunning sniper attack last Thursday in Dallas that killed five police officers and wounded seven others as they watched over a peaceful protest against the weeks earlier shootings. Among those arrested in Louisiana was an activist prominent in the Black Lives Matter movement, which gained national prominence following earlier deaths of mostly unarmed black men at the hands of police across the U.S. Obama, in his remarks, urged protesters to recognize that police officers have a difficult job. Whenever those of us who are concerned about failures of the criminal justice system attack police, you are doing a disservice to the cause, Obama said, the fourth straight day that he has commented on the distressing events at home. The presidents remarks on the issue likely foreshadowed the message he will deliver Tuesday in Dallas, when he addresses an interfaith memorial service for the slain officers. He was invited to speak by Mayor Mike Rawlings, the White House said. The White House released more details about Obamas planned visit to Dallas on Tuesday, saying the president will meet privately with relatives of police officers killed in Thursdays attack. George W. Bush and his wife, Laura, will also attend, and the ex-president will deliver brief remarks. Vice President Joe Biden will also be there. Obama repeated Sunday that most U.S. police officers do a good job, and said rhetoric portraying them as doing otherwise does little to build support for eliminating racial bias from the criminal justice system. JERUSALEM Egypts foreign minister paid a rare visit to Israel on Sunday, saying that his country remains a steadfast and unwavering supporter of a peace agreement between Israel and the Palestinians, but warning that conditions for achieving that are deteriorating. Sameh Shoukrys trip was the first official visit to Israel since 2007 and reflected the strong but low-profile ties that have developed between the two countries in recent years. The Egyptian Foreign Ministry said Shoukrys visit was aimed at reviving the Israeli-Palestinian peace process. Peace efforts have made no headway since Israeli Prime Minister Benjamin Netanyahu took office in 2009. The last round of U.S.-led talks broke down two years ago after the sides could not agree on the contours of a future peace deal. The Palestinians seek the establishment of an independent state in the West Bank, east Jerusalem and the Gaza Strip lands captured by Israel in the 1967 Mideast war. Netanyahu has endorsed the idea of an independent Palestinian state, but he opposes a return to Israels pre-1967 borders and has continued to build Jewish settlements on occupied lands. Speaking at a news conference with Netanyahu, Shoukry said the vision of the two-state solution is not far-fetched but rather required steps to build confidence. He warned that worsening conditions for Palestinians threatened to undermine prospects for peace. The plight of the Palestinian people becomes more arduous every day, Shoukry said. And the dream of peace and security moves further out of the Israeli peoples reach as long as the conflict continues. After decades of wars followed by a cold peace, Israel has emerged as a discreet ally to Egyptian President Abdel-Fattah el-Sissi. In particular, the two sides share intelligence in a common battle against Islamic militants operating in Egypts Sinai desert and the Gaza Strip. El-Sissi recently made a high-profile speech calling for a resumption of efforts to bring peace between Israel and the Palestinians. In a press conference with Shoukry, Netanyahu called on the Palestinians to follow the courageous example of Egypt and Jordan and join us for direct negotiations. Jordan also has made peace with Israel. Editor Curt has been with the Star since 2015. He covered the border, immigration and federal courts. He now is the Opinion editor at the Star. He previously worked at the Nogales International. A federal land agency has plans to cut down an enormous, century-old cottonwood tree at the popular San Pedro House near Sierra Vista because the decaying tree poses a danger to visitors but hundreds of people have signed a petition opposing the tree removal. They describe the cottonwood as iconic and magnificent, and want it to be left standing until it dies naturally. Fencing, which is already in place around the tree, will protect the public from harm, they say. But officials of the San Pedro Riparian National Conservation Area, where the tree has been growing for an estimated 90 to 130 years, say extensive studies by a certified arborist determined that the tree poses a serious risk to public safety, even with a fence in place. The U.S. Bureau of Land Management, which oversees the site, is accepting public comments through July 29 before making a final decision on cutting down the tree. Comments should be substantive rather than simply for or against cutting, and they should be emailed to blm_az_tfoweb@blm.gov by July 29. REMOVAL RATIONALE The reason for the proposed action (removing the tree) is based purely on safety, said Pamela Mathis, acting district manager for the Bureau of Land Managements Tucson office. You have to look at the height of the tree and the sheer volume and weight. Even a branch falling would be detrimental if someone was under it, Mathis said. If it were to fall, a fence would not withstand that kind of pressure, putting visitors in danger. She emphasized that the scenic San Pedro House site is an area with a concentration of humans and property, an area where people gather and picnic. Mathis noted that the arborist who studied the situation came to this conclusion: The decay of the tree is basically advanced beyond recovery and that it is not known how long the tree will hold together, but that the signs are there for a catastrophic failure. The trunk is buckling, tree supports such as brace rods and cabling are not advised. Pruning the tree to the point where it no longer posed a danger would reduce it to just a stump, Mathis said. The cost of removing the tree? Just to cut it down would be somewhere in the vicinity of $40,000, Mathis said The bureaus proposal also calls for pruning, but not removing, another cottonwood tree very near the sites visitor center. The arborist found that decay has caused some branches to fall off the tree but that pruning would greatly minimize the risk of it falling. REMOVAL OPPONENTS About 900 people have signed a petition asking the bureau to not cut down the magnificent cottonwood tree that is the centerpiece of the San Pedro House site. The tree is certainly decaying. Its dying, and we all understand and acknowledge that, said Tricia Gerrodette, an environmental activist and opponent of the tree-removal plan. But all of us who are concerned and passionate about the tree feel it should be allowed to die naturally. That would offer a tremendous learning opportunity as well. People could see the stages of its decay and death. Gerrodette noted that the BLM has had the tree site fenced off from the public since early this year. A limb of the tree is resting atop a nearby log building. We dont have any problem with keeping the public out for safety, she said. We just want the tree to expire on its own instead of being terminated. Erick Campbell, a former project manager for the San Pedro Riparian National Conservation Area, said, That tree is an absolute icon. Its important to many, many people who use the San Pedro, Campbell said. The Friends of the San Pedro (group) have volunteered to pay for a wrought iron fence around the tree for human safety. BLMs excuse is that it cant accept gifts from the public. Campbell, a co-founder of the Friends of the San Pedro, said the group has donated hundreds of thousands of hours to management of that property down there. What do you mean they cant accept gifts? The Friends run tours every week and staff not only the San Pedro House but also the Fairbank School (another site along the San Pedro River). It is just that someone made a decision and they are not willing to look at alternatives. to GET TO SAN PEDRO HOUSE From Tucson, drive east on Interstate 10 to Benson and take Arizona 90 south to Sierra Vista and the junction with Arizona 92. From the junction, continue east on Arizona 90 about 7 miles to a signed, right-hand turnoff for the San Pedro House. WASHINGTON, July 11, 2016 - USDA is providing nearly $55 million in grants and loan guarantees to help 821 small businesses in rural areas save on energy costs. The latest round of grants awarded under the Rural Energy for America Program total about $11.6 million; loan guarantees add up to approximately $43.2 million, USDA said. Since 2009, the Rural Energy for America Program has helped roughly 15,000 small businesses and farms save enough energy to power about 730,000 homes and reduce greenhouse gas emissions by more than 5 million metric tons annually, Agriculture Secretary Tom Vilsack said in a release. These investments in clean energy are good for the environment, are good for each business' bottom line and they support the broader rural economy by encouraging the production of renewable energy sources. One of the grants is going to Delaneys Landscape Center in Polson, Montana, which will receive $10,298 to install a geothermal renewable energy system to heat its greenhouse. The system is expected to save the business nearly $2,000 per year and generate more than 15,000 kilowatts of energy, USDA said. The project also supports USDAs StrikeForce for Rural Growth and Opportunity by investing in a high-poverty area. Another example: The Sundry Store in Etowah, Tennessee, which provides home improvement tools and household items, is receiving $15,565 to replace its fluorescent- and incandescent-based lighting system with a light-emitting diode (LED) system. The business expects to save more than $13,000 and cut its energy use by 136,766 kilowatts annually enough to power 13 homes. There are a host of other grants, from small (Postma Brothers Maple Syrup in Michigan, $2,211 to make energy-efficient improvements in the maple syrup process) to large (Umatilla Electric Cooperative Inc. in Oregon, $250,134 for a solar energy system). A couple of the larger loan guarantees went to McDonald Solar Farm in Indiana ($4.6 million to buy and install a solar energy system) and Pastime Farms (also Indiana, $4.45 million for the same purpose). Lakeview Biodiesel in Missouri will receive a loan guarantee of $3.9 million to buy a biodiesel production facility. Congress created REAP in the 2002 farm bill. Its success prompted Congress to reauthorize it in the 2014 farm bill with guaranteed funding of at least $50 million annually for five years. Read about other USDA news such as this. Sign up for a four-week free trial Agri-Pulse subscription for the latest ag and rural policy news. One example of its success, said USDA, is Silverleaf Family Farms of Corrales, New Mexico, which used a $4,522 REAP grant in 2014 to install a 6.1 kilowatt photovoltaic array system. The system generates about 10,600 kilowatts per year, replacing 33 percent of the farms energy usage, USDA said. The savings have allowed the owners to reinvest in their hydroponic vegetables business. Silverleaf subsequently received a $44,068 USDA Value Added Producer Grant to expand vegetable sales into additional farmers markets and grocery stores. Taking other programs into account, USDA has invested $38 billion in electric loans and more than $1 billion for smart grid technologies since 2009, helping build more than 185,000 miles of transmission and distribution lines serving approximately 5 million rural customers annually, the department said. Today, more than 2,200 USDA wind and solar renewable electricity generation projects power more than 130,000 homes. #30 Even though special master Ken Feinberg, who was in charge of the first federal Sept. 11 Victim Compensation Fund, distributed $6 billion to the estates of those killed on 9/11 an average of more than $2 million to the nearly 3,000 victims the House of Representatives passed its new Fairness for 9/11 Families Act to allow additional claims for the deaths inflicted by the terrorists and set aside $2.7 billion for them. PHOENIX A founder of the Minuteman border-watch group was sentenced to 19 years in prison for his convictions on charges that he molested a 5-year-old girl and showed her pornography. The punishment given to Chris Simcox on Monday fell below the 36-year sentence sought by prosecutors. The former border group leader, who was dressed in a black and white jail uniform, declined to make a statement before the sentence was handed down by Judge Jose Padilla. Simcox shook his head as Padilla announced the sentence. His trial ended in June with Simcox being convicted of sexually abusing the 5-year-old. But he escaped a mandatory life sentence after the jury acquitted him on charges that he engaged in sexual conduct with a 6-year-old girl. PHOENIX In a case that would set new legal precedents, the Arizona Supreme Court is to rule Monday whether the odor of marijuana is enough to give police legal reason for a search. The justices will decide whether the fact that voters in 2010 made marijuana legal for some people to possess and use means its smell, absent more, is actual evidence that a crime is being committed. What makes what the high court will decide more relevant is that neither of the two people who are challenging the searches that were conducted based on odor searches that resulted in their arrest are medical marijuana users. But they contend, in essence, theyre still entitled to the presumption of innocence, odor or not. The justices are being forced to confront the issue because two separate panels of the state Court of Appeals last year reached diametrically opposed conclusions. In the first case, three police officers, one from South Tucson and two from Tucson, said there was an overpowering odor of fresh marijuana coming from a warehouse in a four-unit complex. After obtaining a search warrant based on the smell, police entered and discovered 357 plants and 53 pounds of cultivated marijuana. Police subsequently arrested Ronald J. Sisco II. A trial judge rebuffed his bid to quash the search warrant and what was found and he was found guilty. Appellate Judge Peter Eckerstrom acknowledged that, at one time, the odor of the plant, whether fresh or freshly smoked, was enough to provide police with probable cause that a crime was taking place. That would give them a legal basis to go to a judge to seek permission to enter where the smell was coming from. But Eckerstrom, writing for the majority, said that changed in 2010 when voters approved the Arizona Medical Marijuana Act. That law allows individuals with a doctors recommendation to obtain up to 2 ounces of the drug every two weeks. It also allows dispensaries to grow an unspecified number of marijuana plants at off-site facilities. Also, registered caregivers can cultivate up to 60 plans. What that means, Eckerstrom wrote, is that the odor of marijuana indicates a crime may be being committed. But he said that, given the 2010 law, what police smell may be legal. A reasonable, prudent and cautious person could not, in the absence of further information, form a well-founded belief that a criminal offense was committed, the judge wrote. Just days later, however, a different division of the appellate court reached a contrary conclusion. In that case, the judges upheld actions by police officers who searched a vehicle they had stopped after detecting the small of burnt marijuana. That search yielded what police said was a marble size quantity of the unburnt drug. An attorney for Ian H. Cheatham argued that once voters approved the Arizona Medical Marijuana Act, the smell of burnt marijuana, absent more, is no longer evidence that a crime is being committed. That, he argued, made the search illegal. Judge Samuel Thumma, writing for the unanimous three-judge panel, acknowledged the 2010 law and the ability of people who have a doctors recommendation and state-issued card to possess the drug. But Thumma, unlike Eckerstrom, said he does not read the law as making possession of the drug legal by medical marijuana patients. The AMMA does not decriminalize marijuana possession or use, the judge wrote, but instead only provides immunity from prosecution. What that means, the judge said, is police remain free to search based on smell alone, subject to being unable to pursue someone who is a legal medical marijuana user. As it turned out, Thumma wrote, Cheatham was not an authorized user. The fact that a registered patient under the AMMA with a valid registry identification card can affirmatively claim immunity from arrest, prosecution or penalty for possession or use of marijuana ... does not eliminate the significance of the smell of marijuana as an indicator of criminal activity in this case, he wrote. SAN DIEGO Once a canyon created over thousands of years, Smugglers Gulch is now a massive earthen berm with two border fences and a road on top the result of landscape alterations that cost taxpayers millions of dollars. The federal government lopped off the tops of two mesas in 2008 and filled what was a 230-foot chasm with enough dirt to pack 72,000 dump trucks. Smugglers Gulch, two miles east of the Pacific Ocean at the southernmost tip of San Diego County, is an extreme example of the challenges involved in fencing the entire U.S.-Mexico border. Federal officials wanted the gulch filled to enhance the security of the border and the safety of U.S. personnel. The department expedited the project through the Real ID Act, which Congress passed in 2005. The law allows the federal government to suspend all laws impeding the construction of border barriers in the name of national security. In other words, when the federal government builds fences and other barriers along the border, it does not have to follow the Endangered Species Act, the Clean Water Act, the National Environmental Policy Act or the Migratory Bird Treaty Act, among others. Directives to build border barriers often come from politicians who are not familiar with the borders craggy canyons and meandering lines, nor with its natural heritage and ecology. The Border Patrol, which carries out the directives, struggles to prevent illegal activity on the border while working with public land managers trying to protect natural habitat. The agency also has funded projects to mitigate damage caused by the fence in California and other border states. In Arizona, Organ Pipe Cactus National is restoring 230 miles of roads. Also, San Bernardino National Wildlife Refuge built a fish barrier to keep non-native species from entering the refuge and threatening native populations. Many of the headline-generating environmental projects on the border have been in California, but the issues are similar all along the line. Fencing added in the last decade has been devastating to wildlife migration, to the natural flow of water after a storm, and to plant life along the border, environmental advocates say. There has been flooding, soil erosion and increased roadkill from night lighting and vehicle traffic, says the Sky Island Alliance, a Tucson-based conservation group. Affected wildlife include ocelots and jaguars, migratory birds and bats, black bears, desert bighorn sheep, white-nose coati all dependent on the ability to move back and forth across the borderlands. Barriers can block passage for animals in search of food, water or mates, for migration, or in response to drought and fire, says Jessica A. Moreno, conservation, outreach and development manager for the Sky Island Alliance. This infrastructure also pushes human activity to the last remaining open areas rugged and wild habitat and wilderness that are now seeing more human impacts from border activities such as a lighting, roads, trash and noise. The Yaqui chub fish, for example, is endangered and has little habitat left. Why does that matter to humans? Because the chub eats mosquitoes that bring viruses into the United States. We are all connected in one way or another, says Bill Radke, manager of the San Bernardino Wildlife Refuge. To not see that, to live with dire consequences of that, its not something that we should be headed for. THE CALIFORNIA BORDER: SAN DIEGO, EL CENTRO AND YUMA SECTORS 140.4 total border miles 100.6 pedestrian miles 15.1 vehicle barrier miles 18 percent unfenced The San Ysidro Port of Entry between California and Tijuana, Mexico, is the busiest land border crossing in the Western Hemisphere and is undergoing an expansion. It typically handles 50,000 northbound vehicles and 25,000 northbound pedestrians per day. Of its 115.7 linear miles of Californias border with Mexico, 87 percent is pedestrian fencing that prevents people from crossing on foot. More hard drugs are seized at ports of entry overseen by the San Diego field office than any other along the Southwestern border. In one particularly rugged area of California called the Otay Mountain Wilderness Area, installing border fencing cost $16 million per mile. INTERACTIVE MAP OF CALIFORNIA-MEXICO BORDER RED LINE = FENCED AREAS Drag to move along the border. Tap dots to learn more about key spots along the way. No more car chases Before Smugglers Gulch was filled in, the San Diego Audubon Society tried to stop the project with a lawsuit that predicted disastrous effects on the fragile Tijuana Estuary, the largest coastal wetland in Southern California. Storm runoff from Mexico runs north through Smugglers Gulch and ends up in the estuary and eventually in the Pacific Ocean. The estuary is considered an essential breeding, feeding and nesting ground and key stopover point for more than 370 species of migratory and native birds, including six endangered species. But the lawsuit was tossed out of federal court because of the Real ID Act. It was really spectacular with beautiful geology, says Jim Peugh, conservation manager for the San Diego Audubon Society. Everyone expected they would screw it up and they did. Border infrastructure is one more impact on the estuary, along with agriculture, the military and a huge population boom in Tijuana, now home to an estimated 2.5 million people. Carol Kimzeys ranch is across the street from Smugglers Gulch. A decade ago, she told the Star she was skeptical about plans to fill the canyon. She worried that flooding at her home would get worse. But her skepticism is, for the most part, gone. She no longer sees vehicles picking people up at night or people running through. She no longer hears car chases like the one that left a vehicle upside down in her front yard, the men inside jumping out and fleeing. There used to be hordes of 28 to 30 people, it sounded like an earthquake, she says. Now, I cant remember the last time Ive seen one. She gets wistful remembering her drives up to the top of the canyon, where shed park and take in a view that included both her ranch and Mexico. I do miss that, she says. Her neighbor, James Butch Martin Jr., liked the old Smugglers Gulch. The 54-year-old retiree says he never minded the border crossers who passed through. Most were just looking for work and many found it at nearby ranches, he says. He worries that the earthen berm could become unstable with heavy rains. When that thing blows, its going to take us all out, he says. Water that once ran through the canyon is now redirected through a man-made culvert. That pushes runoff, including trash and sediment, faster and farther into the fragile Tijuana River Estuary, says University of California-San Diego professor Oscar Romo, who runs the binational nonprofit conservation group Alter Terra. It took 2 to 4 million years for nature to create this basin, Romo says as he walks alongside the culvert. Now all of that is gone. As the only remaining estuary in Southern California, the Tijuana Estuarys value is incalculable, he says. We treasure it. However, we are trashing it with these pieces of infrastructure that are not helping, that are not well-designed, that are generating heavy impacts on the natural resources of this area. Customs and Border Protection spent $58 million to fill in Smugglers Gulch, plus build 3.5 miles of secondary fencing, roads and lighting in the San Diego Sector. The sector includes 60 miles of border and more than three quarters of it 46 miles has some type of fencing. A decade ago, 60 percent of the sector was fenced. Border Patrol officials believed at the time that certain parts of the sector, like the Otay Mountain Wilderness, did not need a fence because of their harsh terrain. Theres no reason to disrupt the land when the land itself is a physical barrier, Richard Kite, then spokesman for the Border Patrols San Diego Sector, told the Star in 2006. But three years later, the Department of Homeland Security completed a barrier in the Otay Mountain Wilderness Area 3.6 miles of primary fencing and more than five miles of access road. The rugged terrain required grading and leveling a federally designated wilderness area. That meant cutting down Tecate cypress trees and scraping off deep root systems of ground cover that held topsoil and moisture in place, says Jill Holslin, a Tijuana resident who maintains a blog about the borderlands called At the Edges. The problem out there now is dryness and the absence of native plants, Holslin says. When it rains, theres nothing to hold the soil in place. Theres also been an invasion of a parasitic plant that is taking advantage of conditions there, sapping whatever moisture there is in the soil. The best move to prevent more damage is to overturn Section 102 of Real ID Act, Holslin says. It has been three years since the government has used the act to grant waivers of federal laws in the name of border security, but it still has the power to do so, says Dinah Bear, former chief lawyer for the Council on Environmental Quality under four different U.S. presidents and now a Tucson resident. Lawsuits challenging the constitutionality of Real IDs waivers so far have failed. Theyve taken away the mechanism for the public to fight back, Holslin says. Area conservation groups like the Audubon Society and Wildcoast are the ones that understand the topography, she says. You have to have their professional input in these processes and youve literally taken their voice away. But the voice of people who live on the border and the safety of those who protect it should count, too, says Dan Russell, 73, a retired firefighter from San Diego. The border is wide open, its not secure, Russell says during one of his regular visits to the border community of Campo, California. The Trump wall is a good example of a secure border. What we have now isnt stopping people. Seven years ago they crawled underneath it, they jumped Border Patrol Agent Robert Rosas and shot him in the neck and killed him. Just four miles east of here. Multilayer deterrent As he drives along a road between the double fencing in the U.S. Border Patrols San Diego Sector, agent James Nielsen points to grates in the fence that let water through. Its a complicated setup, letting water drain without creating tunnels smugglers can use. Illegal tunnels have been found here, he says, motioning toward a building on the Mexican side where the floor was removed and turned into an elevator to a sophisticated cross-border tunnel that included a rail system and lights. The sector has almost 2,400 agents, up 42 percent from a decade ago. Apprehensions of people trying to slip across the border have dropped during that same time, by nearly 80 percent. Eight months into this fiscal year, there have been nearly 21,000 such apprehensions. Ten years ago the number topped 100,000 per year. At the same time, seizures of harder drugs like cocaine and heroin have gone up, creating concerns about more sinister criminal activity. Some San Diego and Imperial Beach residents refer to the border barrier near them as a triple fence because of chain link property fencing in some areas in front of the double line of fencing. Having those extra fences gives the Border Patrol more time, Nielsen says. He says the more deterrents and obstacles at the border, the less likely someone will make it across. When they built the fence, they said that one of the environmental benefits was that the Border Patrol would no longer need the spider web of roads they used to look for border crossers, says Peugh of the San Diego Audubon Society. They said they would get rid of them and restore the damaged habitat. I looked at an aerial photo of the river valley and estuary recently. I do not have a pre-triple-fence photo to compare, but there is still a spider web of roads. One of the agencies working to mitigate damage to habitat in the area is the state of California, which operates the Tijuana River National Estuary and Research Park. The state works with the Border Patrol to minimize roads and disruption of the natural ecosystem, says Chris Peregrin, an environmental scientist who is manager of the park. The states mission is to restore the natural functions of the area. Yet, in an example of how their missions differ, the Border Patrol doesnt want plants near the border growing too high because people can hide in them. Wildlife blocked Environmental advocates point to numerous spots along the border where fencing has gone up without regard to existing laws. The San Pedro River and the San Pedro Riparian National Conservation Area in Arizona, just west of Naco, is a biologically diverse area and home to one of the last free-flowing rivers in the Southwest. Its a beautiful riparian area thats the lifeblood of the desert, U.S. Border Patrol sector spokesman John Lawson says. The river, which flows south to north across the border, is less than a mile wide. Vehicle barriers across it let large wildlife and water flow freely, although the river is dry part of the year. As he walks in the riverbed along the border, Lawson points out the paw prints of a black bear that indicate the animal has come north from Mexico. Moments later, a deer crosses the Normandy-style criss-crossed posts of the vehicle barrier and is later seen further north where the San Pedro is flowing. Barriers like these are the only place where large wildlife can regularly pass along a 47-mile stretch of border running east from the Huachuca Mountains. The rest of that stretch of border has pedestrian fencing thats impenetrable to large wildlife, though some areas have gates the Border Patrol opens during monsoon season. Just east of the river is a compound that belongs to Glenn Spencer, president and founder of the nongovernment American Border Patrol. Spencer is a retired systems engineer whose group has developed technology, including sensors in the ground, to detect illegal activity. Before the U.S. Department of Homeland Security put up 18-foot fencing behind his home, Spencer says it was like the Wild West. There was so much illegal activity, including cars driven across his property by drug smugglers, that he was afraid to walk his dogs. Now its like a gated community, he says. Spencer dismisses environmental arguments against the high fence behind his home. Rabbits run through it all the time. The fence design allows certain critters to get through, he says. Javelina and deer are limited to the riparian area around the river, but look at Google Earth. They have plenty of habitat in Mexico. The Sierra Club and others tried to stop six miles of 18-foot-high bollard-style pedestrian fencing from going up in the San Pedro area, but they failed because of the Real ID Act. Since then, wildlife such as mule deer and javelina have been unable to access habitat in Mexico, says Dan Millis, borderlands coordinator for the Sierra Clubs Grand Canyon chapter in Tucson. Millis refers to the fencing as a wall, since wildlife cannot pass through. Every day wildlife is coming up to the wall and turning around. I saw a doe and two fawns at San Pedro. They walked up to the wall and took a look they looked for a way to cross and came back, Millis says. They need to migrate and need to get up and down in elevation to survive. The border is blocking their ability to do that and is a threat to their survival. Border barriers create problems for desert bighorn sheep and pygmy owls, University of Arizona researchers found in a 2009 study published in the journal Conservation Biology. The fence divided habitats and put bighorn at risk for inbreeding in a smaller gene pool, the study says. It takes a long time for wildlife to adapt to this kind of thing, and by the time they adapt it could be too late, Millis says. Intermittent fencing does not prevent humans from illegally crossing the border, but it does restrict the movement of puma and coati in Arizona, says a study conducted by British researchers and published in the journal Plos One in 2014. That same study found that every 1,000 unauthorized immigrants crossing an international boundary generates 110 pounds of litter, 11 campfires and disturbs habitat of plants and animals. Of concern to many wildlife advocates is an adult male jaguar dubbed El Jefe that was photographed by a remote camera 118 times over 34 months in the Santa Rita Mountains southeast of Tucson. Even after the federally funded research by the UA and the U.S. Geological Survey ended last June, El Jefe the only known wild jaguar in the United States continued to show up in photos regularly through mid-October of last year. Researchers speculate the jaguar might have gone to Mexico to breed, signifying the need for continued wildlife corridors for larger animals along the border. VOICES FROM THE CALIFORNIA BORDER Value of interaction When Donald Trump and his supporters talk about building a solid border wall, it shows how little they understand about life along it, many border residents and environmentalists say. In my mind, building a wall means giving up on our homeland severing the very tie that nourishes our people, culture, wildlife, and land, says Moreno of the Sky Island Alliance. We believe that border security should equally value the very homeland we are trying to protect: the clean, drinkable water, clean air and abundant wildlife that provide the quality of life we enjoy in our borderland communities. In 2011, the Sky Island Alliance commissioned a nationwide survey that found 64 percent of Americans oppose or strongly oppose waiving laws along the border to build infrastructure. And a wall along the whole border would further complicate efforts to work with Mexico on issues that affect both sides, like pollution and water quality, says Fay Crevoshay, policy director for Wildcoast, a conservation group based in Imperial Beach, California. Heavy rains that cause runoff from Tijuana regularly close beaches near the border. A solid wall would only make that worse, Crevoshay says. We should harness the possibilities of interaction between the two countries, she says. There is no one-sided solution to problems like pollution. ... We need each other. Close 1 of 34 2016 Border Project: California Two men are taken into custody by the U.S. Border Patrol from the New River near Calexico, California. The river has sewage and industrial waste and is one of the most contaminated rivers in California. GPS: 32 39' 55.3 N -115 30 05.1 W 2016 Border Project: California A culvert built in Smuggler's Gulch near San Diego carries water from Tijuana, Mexico under the U.S.-Mexico border fence. The secondary border fence runs along the top of the hill. 2016 Border Project: California Women walk past border monument #258 near the U.S.-Mexico border fence at Playas de Tijuana in Tijuana, Mexico. 2016 Border Project: California Margarita Espinoza sorts through donated clothing to be sold at a bazaar at the Nuesta Senora de Guadelupe in Tecate, Mexico. The church is near the U.S.-Mexico border. 2016 Border Project: California A sediment basin built in 2005 catches debris and trash coming from Tijuana, Mexico which is uphill from San Diego. With a development and population boom in Tijuana, more trash flows downward when it rains. 2016 Border Project: California A boy sticks his arm through the U.S.-Mexico border fence near San Diego. 2016 Border Project: California The rusted shell of a pickup truck, believed to have been used by drug traffickers at one time, rests in the shifting sand of the Imperial Sand Dunes west of the California-Arizona state line. 2016 Border Project: California The Libertad neighborhood in Tijuana, Mexico can be seen over the primary U.S.-Mexico border fence in San Diego. 2016 Border Project: California Marie Teresa Fernandez walks through the Tijuana Estuary on her way to Border Field State Park in San Diego. Fernandez has been documenting the U.S.-Mexico border fence on both sides for 15 years. 2016 Border Project: California Scott Sondergaard, 28, of Victoria, Canada and Kaleigh Szponarski, 28, of Waterloo, Canada, sign the logbook at the Pacific Crest Trailhead before starting on their hike in Campo, California. The U.S.-Mexico border fence is behind them. 2016 Border Project: California A stick in the sand marks the U.S.-Mexico border in El Centro, California. Mount Signal is in the background. 2016 Border Project: California Dan Russell of Campo, California, made this sign to show his support for presumptive Republican presidential candidate Donald Trump. 2016 Border Project: California Arturo Estrada lives in Calexico, California, but he regularly visits a barbershop just across the U.S.-Mexico border in Mexicali. He says it's cheaper that way. 2016 Border Project: California Veronica Nepomuceno, 43, right, visits with her mother through the U.S.-Mexico border fence on Saturday May 07, 2016 in Friendship Circle at Border Field State Park in San Diego, California. Every weekend the U.S. Border Patrol allows people to walk up to a portion of the border fence to visit with friends and family members. Nepomuceno says she hasn't hugged her mother in over 20 years. 2016 Border Project: California Veronica Nepomuceno, 43, becomes emotional at seeing her mother through the U.S.-Mexico border fence at Friendship Park near San Diego. 2016 Border Project: California Daniel Armendariz, 31, rests his head against the U.S.-Mexico border fence as he visits with his wife at Friendship Park in San Diego. Armendariz has been away from his wife and kids for two months. 2016 Border Project: California Every Saturday and Sunday for four hours, the U.S. Border Patrol lets up to 25 people up to the U.S.-Mexico border fence in Friendship Park near San Diego to visit with friends and family on the other side. 2016 Border Project: California Carmen Nepomuceno has tears of joy and she looks through the U.S.-Mexico border fence and can see her family at Friendship Park near San Diego. 2016 Border Project: California A decade ago, shifting sands at the Imperial Sand Dunes just west of the California-Arizona state line meant this area was considered unfenceable. But the U.S. government found a solution in this "floating fence" periodically, agents bring in heavy machinery to clear away sand as wind shifts the dunes. 2016 Border Project: California Drivers lined up in Tijuana, Mexico wait to cross into the United States at the San Ysidro port of entry. 2016 Border Project: California An effigy stands just under Interstate 8, two miles from the U.S.-Mexico border near Jacumba, California. The effigy was made by a local resident from wood and possibly from clothing found in the desert. 2016 Border Project: California Oscar Romo, professor in urban studies and planning at the University of California, San Diego, looks over a culvert built at Smuggler's Gulch near San Diego. 2016 Border Project: California The desert and mountain terrain makes driving from Mexico into the United States difficult in some areas in Jacumba, California. The U.S.-Mexico border fence can be seen in the distance in the O'Neill Valley. 2016 Border Project: California Margarita Espinoza sorts through donated clothing to be sold at a bazaar at the border church of Nuesta Senora de Guadelupe in Tecate, Mexico. 2016 Border Project: California A border monument can be seen through a hole in the landing mat border fence in Campo, California. The fence was built in the early 1990's by the Army Corps of Engineers. 2016 Border Project: California The landing mat U.S.-Mexico border fence, built in the early 1990's by the Army Corps of Engineers, runs through a portion of Jacumba, California. 2016 Border Project: California Francisco Javier Cruz Villa, aka Tashiro Malakium, 26, hosts a radio show called La Botama on California Medios, 88.5, in Tecate, Mexico. 2016 Border Project: California A portion of the U.S.-Mexico bollard border fence breaks near the steep Airport Mesa range in Jacumba, California. Boulders are placed on the ground to stop vehicles from Mexico driving into the U.S. 2016 Border Project: California Border Patrol agent Eduardo Jacobo stands where the U.S.-Mexico border fence changes from vehicle barriers to bollard type fencing line in El Centro, California. 2016 Border Project: California A U.S. Border Patrol agent stands watch near the U.S.-Mexico border fence in Border Field State Park at Imperial Beach in San Diego. The border fence ends in the Pacific Ocean. 2016 Border Project: California Imperial Beach visitors are restricted from walking up to the U.S.-Mexico border fence at Border Field State Park in San Diego. The border fence stretches into the Pacific Ocean. 2016 Border Project: California Playas de Tijuana in Tijuana, Mexico is a popular place for couples and families. Lesly Perez, 18, and Carlos Valenzuela, 20, enjoy a quiet moment together. The U.S.-Mexico border fence is on the left. 2016 Border Project: California A dog sits in the beach at Playas de Tijuana in Tijuana, Mexico near the U.S.-Mexico border fence. On the U.S. side is Imperial Beach and Border Field State Park. 2016 Border Project: California Gerardo Vasquez, 26, plays with his daughter, Tabata Abigail, 3, at Playas de Tijuana in Tijuana, Mexico. The U.S.-Mexico border fence runs into the Pacific Ocean on the right. More like this... Photos: California and the Mexican border Beyond the Wall: California border with Mexico in photos. 1 of 34 2016 Border Project: California Two men are taken into custody by the U.S. Border Patrol from the New River near Calexico, California. The river has sewage and industrial waste and is one of the most contaminated rivers in California. GPS: 32 39' 55.3 N -115 30 05.1 W 2016 Border Project: California A culvert built in Smuggler's Gulch near San Diego carries water from Tijuana, Mexico under the U.S.-Mexico border fence. The secondary border fence runs along the top of the hill. 2016 Border Project: California Women walk past border monument #258 near the U.S.-Mexico border fence at Playas de Tijuana in Tijuana, Mexico. 2016 Border Project: California Margarita Espinoza sorts through donated clothing to be sold at a bazaar at the Nuesta Senora de Guadelupe in Tecate, Mexico. The church is near the U.S.-Mexico border. 2016 Border Project: California A sediment basin built in 2005 catches debris and trash coming from Tijuana, Mexico which is uphill from San Diego. With a development and population boom in Tijuana, more trash flows downward when it rains. 2016 Border Project: California A boy sticks his arm through the U.S.-Mexico border fence near San Diego. 2016 Border Project: California The rusted shell of a pickup truck, believed to have been used by drug traffickers at one time, rests in the shifting sand of the Imperial Sand Dunes west of the California-Arizona state line. 2016 Border Project: California The Libertad neighborhood in Tijuana, Mexico can be seen over the primary U.S.-Mexico border fence in San Diego. 2016 Border Project: California Marie Teresa Fernandez walks through the Tijuana Estuary on her way to Border Field State Park in San Diego. Fernandez has been documenting the U.S.-Mexico border fence on both sides for 15 years. 2016 Border Project: California Scott Sondergaard, 28, of Victoria, Canada and Kaleigh Szponarski, 28, of Waterloo, Canada, sign the logbook at the Pacific Crest Trailhead before starting on their hike in Campo, California. The U.S.-Mexico border fence is behind them. 2016 Border Project: California A stick in the sand marks the U.S.-Mexico border in El Centro, California. Mount Signal is in the background. 2016 Border Project: California Dan Russell of Campo, California, made this sign to show his support for presumptive Republican presidential candidate Donald Trump. 2016 Border Project: California Arturo Estrada lives in Calexico, California, but he regularly visits a barbershop just across the U.S.-Mexico border in Mexicali. He says it's cheaper that way. 2016 Border Project: California Veronica Nepomuceno, 43, right, visits with her mother through the U.S.-Mexico border fence on Saturday May 07, 2016 in Friendship Circle at Border Field State Park in San Diego, California. Every weekend the U.S. Border Patrol allows people to walk up to a portion of the border fence to visit with friends and family members. Nepomuceno says she hasn't hugged her mother in over 20 years. 2016 Border Project: California Veronica Nepomuceno, 43, becomes emotional at seeing her mother through the U.S.-Mexico border fence at Friendship Park near San Diego. 2016 Border Project: California Daniel Armendariz, 31, rests his head against the U.S.-Mexico border fence as he visits with his wife at Friendship Park in San Diego. Armendariz has been away from his wife and kids for two months. 2016 Border Project: California Every Saturday and Sunday for four hours, the U.S. Border Patrol lets up to 25 people up to the U.S.-Mexico border fence in Friendship Park near San Diego to visit with friends and family on the other side. 2016 Border Project: California Carmen Nepomuceno has tears of joy and she looks through the U.S.-Mexico border fence and can see her family at Friendship Park near San Diego. 2016 Border Project: California A decade ago, shifting sands at the Imperial Sand Dunes just west of the California-Arizona state line meant this area was considered unfenceable. But the U.S. government found a solution in this "floating fence" periodically, agents bring in heavy machinery to clear away sand as wind shifts the dunes. 2016 Border Project: California Drivers lined up in Tijuana, Mexico wait to cross into the United States at the San Ysidro port of entry. 2016 Border Project: California An effigy stands just under Interstate 8, two miles from the U.S.-Mexico border near Jacumba, California. The effigy was made by a local resident from wood and possibly from clothing found in the desert. 2016 Border Project: California Oscar Romo, professor in urban studies and planning at the University of California, San Diego, looks over a culvert built at Smuggler's Gulch near San Diego. 2016 Border Project: California The desert and mountain terrain makes driving from Mexico into the United States difficult in some areas in Jacumba, California. The U.S.-Mexico border fence can be seen in the distance in the O'Neill Valley. 2016 Border Project: California Margarita Espinoza sorts through donated clothing to be sold at a bazaar at the border church of Nuesta Senora de Guadelupe in Tecate, Mexico. 2016 Border Project: California A border monument can be seen through a hole in the landing mat border fence in Campo, California. The fence was built in the early 1990's by the Army Corps of Engineers. 2016 Border Project: California The landing mat U.S.-Mexico border fence, built in the early 1990's by the Army Corps of Engineers, runs through a portion of Jacumba, California. 2016 Border Project: California Francisco Javier Cruz Villa, aka Tashiro Malakium, 26, hosts a radio show called La Botama on California Medios, 88.5, in Tecate, Mexico. 2016 Border Project: California A portion of the U.S.-Mexico bollard border fence breaks near the steep Airport Mesa range in Jacumba, California. Boulders are placed on the ground to stop vehicles from Mexico driving into the U.S. 2016 Border Project: California Border Patrol agent Eduardo Jacobo stands where the U.S.-Mexico border fence changes from vehicle barriers to bollard type fencing line in El Centro, California. 2016 Border Project: California A U.S. Border Patrol agent stands watch near the U.S.-Mexico border fence in Border Field State Park at Imperial Beach in San Diego. The border fence ends in the Pacific Ocean. 2016 Border Project: California Imperial Beach visitors are restricted from walking up to the U.S.-Mexico border fence at Border Field State Park in San Diego. The border fence stretches into the Pacific Ocean. 2016 Border Project: California Playas de Tijuana in Tijuana, Mexico is a popular place for couples and families. Lesly Perez, 18, and Carlos Valenzuela, 20, enjoy a quiet moment together. The U.S.-Mexico border fence is on the left. 2016 Border Project: California A dog sits in the beach at Playas de Tijuana in Tijuana, Mexico near the U.S.-Mexico border fence. On the U.S. side is Imperial Beach and Border Field State Park. 2016 Border Project: California Gerardo Vasquez, 26, plays with his daughter, Tabata Abigail, 3, at Playas de Tijuana in Tijuana, Mexico. The U.S.-Mexico border fence runs into the Pacific Ocean on the right. EXPLORE BY STATE CALIFORNIA ARIZONA PORTLAND, Ore. Don Waggoner, who helped create the nation's first "bottle bill," has died at the age of 81. The Oregonian/OregonLive (http://bit.ly/29vWlIW ) reported Waggoner died at home on June 19. Waggoner graduated from Stanford University with bachelor and master's degrees in industrial engineering. In the 1970s, Waggoner was an environmental activist with the Oregon Environmental Council, and was one of the people who spearheaded the Oregon Bottle Bill. That law was passed in 1971 and requires deposits to be paid on beverages in recyclable bottles, cans and other containers. Oregon's bottle bill was the first in the United States; now 10 states have similar laws. Help India! By IANS, Coimbatore : Prime Minister Manmohan Singh Saturday said Tamil Nadu has become a model state in the country under the leadership of Chief Minister M. Karunanidhi, who heads the DMK. Support TwoCircles Under the visionary leadership of the Kalaignar (scholar of arts as Karunanidhi is popularly described), Tamil Nadu has become a model state for others, the prime minister saaid. For the past five years, the Congress at the centre and the DMK at the state worked together for rapid development of the state and the country, Singh said. The prime minister was addressing at an election rally here, around 500 km from the state capital Chennai. Praising the rich tradition and the culture of the Tamil civilisation, Singh said the central government accorded the Tamil the classical language status fulfilling the 150-year-long aspiration of the states people. Referring to the central schemes implemented in the state, the prime minister said unprecedented expansion and modernisation work has been undertaken on the national highways sector. He also referred to the other major centrally aided projects in Tamil nadu. Singh assured the people that the Sethusamudram Canal Project will be implemented in the state after clearing the legal hurdles. Expressing concern over the problems faced by the dyeing units in Tirupur and the textile units in the state, he said the Rs.25,000 crore Textile Upgradation Fund will help the weavers to a large extent. Declaring that the Congress and its allies are alive to the problems of the common man, the prime minister said the country cannot progress if all the sections of the society do not move ahead together. He said the United Progressive Alliance government is sensitive to the concerns of the socially and economically disadvantaged groups like the Dalits, minorities, women and the children. He also said that the government is committed to implement reservation for women in the Lok Sabha and cited the reservation for them at the local body level. Referring to a report of eminent economists that ranked Tamil Nadu as the number one state in the country, Singh appealed to the voters to elect the Congress-DMK combine to take the state to further heights. The Congress is contesting 63 seats as part of the DMK-led alliance in the April 13 assembly elections in the state. Help India! By Sayed Abdullah Sadat for TwoCircles.net, For past few weeks, especially after the horrific Dhaka incident a trend of a maligning campaign against popular theologian Dr. Zakir Naik has gained a lot of momentum, particularly in the pro-government media. Among the many voices, one of them was of the former Supreme Court judge Markandey Katju, which was bold enough to attract some media attentions. This has motivated me to study Katjus remarks and find out whether his controversial and often contradictory remarks are having any psychological warfare pattern or he is simply a doltish sympathizer who may be seeking some attention after his retirement. Support TwoCircles For quite some times now, I have been following Markandey Katjus post, articles, and interviews about different issues pertaining India and surrounding neighbours. Interestingly he mostly focuses on the issues that directly or indirectly affect Muslim populations or masses. Be it politics, corruption, security or religious affairs, most of his posts, articles and interviews point to this aspect, even when there is no direct reference to it. Although, he does speak once in a while about other aspects, they are very rare and often used as a breaker to the obvious pattern. This has created a sense of curiosity in me to conduct an investigating study on his viewpoints and remarks that he has expressed regarding different issues. This was led to a series of findings that I have come across them in this study, and the significant points that I have noticed in the trend that he has followed so far, are described in the following. One of the easy ways to stand out in the crowd is to not go with the flow and speak controversy. I believe Markandey Katju is following this trend, however following this trend is not entirely wrong by itself; it rather becomes unpleasant and often is a sign of dishonesty when a series of contradictions and inconsistencies arise from the controversial remarks. The contradictions and inconsistencies can be clearly seen in many of Katjus remarks and posts pertaining Indian Muslims. It would be very hard to believe that these contradictions and inconsistencies are the results of his immaturity or lack of understanding of Indian Islamic society, since Katju has served in the Indian Judiciary in different capacities, any room for immaturity and lack of experience to this level will certainly bring the entire judiciary system under question, and ultimately the whole democratic system in India. Some of the instances about inconsistencies and contradictions are as following: The most recent issue of popular theologian Dr. Zakir Naik who is believed to have been liked by one of the horrific Dhaka incident attackers has become a center point for Katjus remarks. Firstly, the biased and unprofessional attitude towards Dr. Zakir Naik is condemnable, despite my difference of opinion with him on different issues; I believe he is a scholar that deserves every bit of respect. Maligning the image of a prominent scholar on the basis of admiration by a terrorist is not only unjustifiable but also points to the fact that how some popular media is moving towards unprofessionalism in India, and perhaps in the entire world. I believe many of us do remember the merciless Pakistani terrorist Ajmal Kassab, who also expressed his admiration towards iconic film star Amitabh Bachan, and even requested a visit as his last wish before the justice was served onto him. Will this allow anybody or the system to tarnish the image or even take actions against iconic film star Amitabh Bachan?. Think how silly and irrational would that sound. Similarly, what is currently happening in India in terms of a defamation campaign against Dr. Zakir Naik is of the same nature, however, many have failed to notice it. This effect is called mass hypnotization that is often practiced by some media outlets. What is the role of Katju in this equation? To recall the many debates of Dr. Zakir Naik, many people have requested an appointment for debate with Dr. Zakir Naik, which was obviously rejected, as Dr. Zakir wouldnt have time to engage in debates with every single ordinary person. He will definitely choose to debate with the experts of the topic of debate. Katju has challenged Dr. Zakir Naik to debate him on science and theology while forgetting his own capacity and authority in these very topics. How can you challenge a person who is involved in these issues and is his field of expertise for past many decades to spare a significant time and debate you on a topic that you neither have any expertise on and nor any background of it?!. It just resembles that somebody who normally cannot meet Amitabh Bachan threatens him that if he doesnt facilitate a visit and ultimately a picture with him, he would call him a radical and extremist on the basis that a terrorist liked him. Sounds stupid right?. Katju started his retirement career by posting soft condemnations of discriminations against minorities and the people of lower caste; this has gained him some popularity from the aforementioned groups but also invited strong criticism from Hindu radical groups. In an appeasing move, then he has begun speaking against many Muslim scholars, he first tried to attack Muslim politicians, and after establishing a group of fans for himself, he started extending his campaign to non-political Muslim religious scholars. While he always stresses his neutrality in the religion by publicly showcasing his atheism and sympathy with Muslims by observing one day fast for the sake of Muslims during Ramzan, but there is not a single remark of him exist against any non-political Hindu religious gurus. He claims to be an atheist but he has Hindu Bhagwan Shiva images and Mandir in his house. He softly condemns the discrimination against Muslims, while in return infuses the empathy in the minds of Muslims that they are weak and such practices can be natural against weak sections of society, therefore, they must compromise some of their religious practices. He irrationally ridicules the Islamic faith while claiming to be a close friend of Muslims. In fact, he shares some common core values with the Rashtriya Swayamsevak Sangh (RSS), for instance the non-recognition of Indian partition (i.e. considering Pakistan and Bangladesh as inseparable parts of India), promoting the Hindu culture as the common Indian culture, and maligning the parties standing against BJP, such as his defaming campaign against Aam Admi Party (AAP) and its leader Arvind Kejriwalji during the Delhi elections. He justifies his campaign by softly condemning the BJP as well. But when two parties are competing and he daily criticizes one of them with often inappropriate language and ignores the other, how can he claim neutrality?.After noticing a strong shift in public opinion towards AAP, he swiftly termed his maligning campaign as a merely constructive criticism. He asks Muslims repeatedly and quite irrelevantly to condemn the discrimination against Hindus in Pakistan as well as Bangladesh, in a way trying to emphasize that Muslims are silent on these issues, while I remember many Muslim scholars have condemned these discriminations, and even some of them have distanced themselves from calling Pakistan a Muslim country for its continuous practice of non-Islamic values. He also on many occasions have directly pointed to Pakistan as the legitimate representative and authority of Islam, while undermining the rest of Islamic world and the very Muslim population in India which is considered by many to be larger than that of Pakistan, who all happen to be disapproving many of Pakistans anti-Islamic practices and policies. In short, whether Katju is a dangerous manipulator or mindless friend of Muslims, such controversial and inconsistent remarks by him does not just question his honesty in his claims, but also undermines the very co-existence and secular nature of India that many Indians are proud of, and also undermines the credibility of the Indian Judiciary system of which he was a prominent member. Author is an MBA and holds a diploma in United Nations and International Understanding. He is currently doing research at the University of South Florida. Help India! By Amit Kumar and Raqib Hameed Naik, Twocircles.net Srinagar: Roll back to the summer of 2010. Six years ago, the Kashmir Valley witnessed the death of 120 innocents at the hands of security forces. At that time, Omar Abdullah was the Chief Minster of Jammu and Kashmir, and Mehbooba Mufti was in opposition. Like any other opposition leader, she did her best to gain maximum political mileage out of the situation and acted like if she came to power, no innocents blood would ever be spilled on the streets of Kashmir. Support TwoCircles Yet, as we write this report, 25 civilians have been killed at the hands of security forces, while some say the figure has risen to 30. Some died in police firing, others succumbed to injuries in hospitals, while some died because according to locals the police denied timely treatment to injured people and even attacked ambulances. Mobile internet remained shut in the entire region while in South Kashmir communication lines remain completely shut. The valley, post the death of Hizbul member Burhan Wani, has been on the edge; yet according to Rajnath Singh, Home Minister, the situation is under control, and more importantly, the Amarnath Yatra has been resumed. Meanwhile, the government of Mehbooba Mufti has asked people to show restraint and not come out on streets. If this does not explain the concerns and priorities of the Central and the state government, nothing does. This, even as the Valley remains in complete lockdown and now faces a medical emergency. Patients are pouring in from all over the Valley to the Shri Hari Singh Medical Hospital in Srinagar. According to Gausiya, a 27-year-old resident of Natipora, Srinagar, for the past three days they have been unable to get out f their homes. Every morning we are informed by the forces to stay indoors and that action will be taken against us if we step outside. We are running low on ration and there is nothing we can do about it, she said. But the gruesome reality does not end here. The Jammu Kashmir Coalition of Civil Society (JKCCS) said it was concerned about this policy of attacking the ambulances and the hospital. These raids and assaults on the ambulances and inside the hospitals is not the first time. People in Kashmir have witnessed the same in 2008, 2009 and 2010 civil uprisings. Attacking hospitals and ambulances is a crime under the International Humanitarian Law and Indian armed forces have been repeatedly accused of this crime in Kashmir. There is absolute lawlessness and impunity enjoyed by the Indian armed forces and this must be condemned by the people of conscience in India and internationally, they added. Amongst more than 100 patients who were brought to SMHS Hospital, Srinagar a total 55 patients were admitted on 9 July till 9 p.m. Out of 55 patients, four are women, amongst whom three have bullet injuries in their abdomens and all of them are from Bijbehara. From the list of 55 patients two patients have died in the hospital, while as three are said to be still in critical condition, the JKCSS said. Out of 55 patients who were admitted in the SMHS hospital, 16 have bullet injuries, while as two people have injuries due to torture by soldiers and 37 people were injured due to pellets. From the 37 persons injured due to pellets, 19 have severe eye injuries as well, which according to doctors may result in permanent visual impairment. About five patients at least have bullet or pellet injuries on their back side, which clearly means that despite trying to flee away they were attacked by the armed forces. From the available records, it appears at least 40 out of these 55 patients have above waistline injuries. Around 18 patients out of 55 admitted yesterday at SMHS Hospital are below the age of 18 years. What is even more disturbing, but not surprising, is that the security forces have not even spared the main hospital in Srinagar. According to a report by Valley-based Kashmir Reader, Resident Doctors Association (RDA) of the SMHS and Associated Hospitals said the government forces fired teargas inside SMHS emergency and harassed the staff. Dr Aadil Ashraf, president RDA, said four patients suffering from chronic lung disease were being managed in the emergency unit when tear gas shelling wreaked havoc. The shelling caused severe suffocation of those poor patients. This is in gross violation of international laws, Dr Ashraf told the Kashmir reader. The worlds largest democracy has been accused of violation of international laws, and not by separatist leaders but by the staff of a government hospital. Dozens have been left blinded forever by pellets shot by security forces, yet we are being made to believe that all these measures are being taken to save democracy in the region. According to a police official who wished to remain anonymous, the CRPF has been using excessive forces and as a result the Jammu Kashmir Police is earning a bad reputation.I witnessed how CRPF Jawans forced people to come out of ambulances and beat them too, he told Twocircles.net. In November 2015, following the death of Gowher Ahmed Dar, Twocircles.net had done a story called In Kashmirs Blood Politics, the innocent is always the loser. Eight months later, nothing has changed. Help India! By TCN News, New Delhi: Seventeen candidates, who were selected in Civil Services 2016 through Zakat Foundation of India were felicitated at a glittering ceremony in the over packed auditorium of New Delhis India Islamic Cultural Centre on Sunday. Certificates of inscription for ZFI Fellowship were handed over to them by Justice Rajinder Sachar, former chairman of the Prime Ministers High Level Committee on socio-economic status of Muslims. Support TwoCircles In a PowerPoint presentation the ZFI President Dr Syed Zafar Mahmood reminded the audience of Surah Al-Asr (Chapter 103 of Holy Quran) in which God says that man is in loss except those who have faith in Him and carry out good works for social amelioration. He also recollected article 16(1) of the Indian constitution which says that there shall be equality of opportunity for all citizens in matters relating to employment or appointment to any office under the state. Dr Zafar Mahmood added that individual and the communitys fate can be changed for the better through perseverance. The rain drop falling in the ocean has a choice of comfortably sitting inside a shell in the ocean bed and silently basking in the personal glory of being a pearl though incapacitating itself of useful activity thereby diluting the oceans activism. Alternatively, the rain drop can choose to mix with the vibrant waves and supplement the oceanic vibrancy and positive energy. He recounted the Sachar Committees finding that Muslims are lagging in educational, economic and social fields behind every other socio-religious community. This is basically because of thorough under-representation of Muslims in the countrys governance. Legislative under representation is caused by reservation of Muslim pre-dominant constituencies for scheduled castes. But in executive services under the government which account for more than 90% of Indias governance Muslims are under represented as they dont appear in the UPSC competitive examination in sufficient number and that too after proper preparing. Dr Mahmood went on to disclose that this was the reason why Sir Syed Ahmad Khan had established in 1883 the Mohammedans Civil Services Fund Association through which candidates were sent every year to London to write the ICS examination. Today the community must revive this noble tradition of nation building. The president of India Islamic Cultural Centre, where the program was jointly organized with ZFI, Mr Surajuddin Qureshi thanked Dr Zafar Mahmood to agree to hold this annual ZFI event in IICC. He offered to collaborate with ZFI in its highly successful civil services project. Related: 17 Fellows of Zakat India Foundation clear UPSC exams Help India! By Arshi Javaid for Twocircles.net, Support TwoCircles Burhan Wani, a commander of Kashmiri militant group Hizbul Mujahideen, was killed in an encounter on July 8, 2016, which was the third day of Eid. As the news of Burhans death spread, social media, his favourite medium of communication, started reverberating with pictures of the slain militant. A smile on the face, bullet pumped to his chest and blood-soaked body was how Kashmiris saw Burhan for the last time. Immediately after his killing, curfew was imposed and mobile internet services were blocked in the entire Valley, leaving a huge information void about what is happening in Kashmir. As the mainstream media was celebrating the victory of Burhans killing, it was yet another collective assault on Kashmiris. A festering wound had been opened once again. The turnout in the 2014 Assembly elections was quite indicative of how a common Kashmiri looked forward to some kind of dignified settlement to the conflict. But given the outpouring of grief and outrage following Burhans killing, it is clear that Kashmir has erupted again. This was evident in the scores of people who turned up at Burhans funeral and the subsequent protests which are continuing across the Valley in defiance of the curfew. As of Sunday night, 23 people had been killed during the protests. Watching the mainstream media, the question arises how did Burhan become a thorn in Indias collective conscience? Although extremely popular, Burhan was not the first homegrown militant in Kashmir. He represented a constituency of militants who are educated but surely he wasnt the first among them. Then how did Burhan become the symbol of terror for an average Indian who does not understand Kashmir, leave alone the issue of homegrown militancy or why educated youngsters were picking up guns? Part of the reason is the media projection Burhan received. Popular news anchors, who hold sway over the minds and hearts of Indian audiences, held hour-long prime time programmes on the Burhan phenomenon. Now for someone who does not understand the nuances of Kashmir, Burhans face became another hate figure after Afzal Guru. For a nation which worships its armed forces, seeing a projected terrorist dressed in military fatigues is nothing less than blasphemy. Burhan became a matter of prestige for the armed forces and he had to be hunted down at any cost. TRP-driven frenzy followed and soon every channel did a feature on Burhan. The horrible sound effects soon left no stone unturned to make the Burhan look like an ISIS-in-our-own-backyard terrorist. While one cannot have any grudges against doctored-video playing channels or vocal wrestling rings; how did the liberal English media not understand Burhans popularity within Kashmir? How did they fail to see that demonising him would only widen the chasm between India and Kashmir? Can we absolve these opinion makers of their responsibility over how their actions yielded reactions, denting the Kashmiri psyche individually and collectively? Burhan was on a path in which he would not have lived for long, but his death was made into a gladiatorial battle that was enjoyed publicly. Given what Burhan meant to an average Kashmiri, they wouldnt watch this rejoicing silently. The whole Burhan vortex could also be seen as how for certain journalists, who claim to have a specialisation on Kashmir, drying up of the conflict would mean a death knell to their careers. Stories such as farmer deaths in Maharashtra and favours to Adani cannot be sensationalised beyond a point because it would stretch the audiences thinking in a way that would be detrimental to the interests of corporate media houses. Kashmir is a region where interests of the state, corporate media and and the tourism industry converge. So all sorts of insensitivities can be meted out on Kashmiris, and the Kashmir pot will be kept boiling. The Kashmir conflict has been reopened for all the unemployed experts and conflict connoisseurs to rake it in. But winning Kashmiri hearts, lets forget about that. (The author is a PhD student at JNU, Delhi) SHARE SNMMI 2016: Fluciclovine F18 (FACBC): An Amino Acid Tracer for the Staging of Recurrence Prostate Cancer San Diego, CA. USA (UroToday.com) Coming off the recent FDA approval of Flucicovine F18 (FACBC), trade name Axumin, it was with obvious excitement the CEO of Blue Earth Diagnostics discussed the research which lead to the recent news. Flucicovine is a synthetic amino acid based PET agent, which has been approved in men with suspected prostate cancer recurrence based on elevated PSA. Jonathan Allis, D. Phil Biochemistry, CEO of Blue Earth Diagnostics Ltd. in Burlington, MA presented the data at the 2016 Society of Nuclear Medicine and Molecular Imaging Conference. Dr. Allis reported one-third of men treated for primary prostate cancer will have a biochemical based recurrence in 10 years and of those an additional one-third will progress to metastatic disease. However, only 11% of these recurrences are detected by standard CT imaging. In an effort to increase disease detection FACBC was developed, originally by Emory University, as a amino acid which is transported by the LAT-1 and ASCT2 amino acid transporters, which are up regulated in in many cancer cells, including prostate cancer. Once within the cell, the synthetic amino acid is not metabolized, which provides accumulation of the F18 radiotracer within the cancer cell and resultant high signal to noise. In addition FACBC has been shown to have uptake in both prostate-specific membrane antigen (PSMA) expressing and non-expressing cell lines, suggesting possible increased sensitivity compared to other PSMA PET agents. Unlike many current PET agents only 3% of the radiotracer is excreted into the urine, which provides clearer signal for review of the prostate bed. This can be further improved by the FACBC uptake time of 4-10 minutes, which based on the physiologic distribution provides maximal tumor and lymph node uptake with minimal bladder activity. The safety and efficacy of FACBC were evaluated in two studies, Study 1 and Study 2. Study 1 examined 105 F18-FACBC PET/CT scans and compared the images against histopathologic data, with the images being read by an on-site reader and then subsequently by three blinded independent readers. The results of the three blinded readers were generally consistent and similar to those of the on-site interpretations. Dr. Allis reported patients with PSA of <1.78 had a detection rate of 60% of which 73% were confirmed on histology. In patients with a PSA of >1.78 there was an 80% true detection rate. Of note, the three readers reported a <10% extraprostatic false positive rate. Study 2 looked at 96 patients and compared FACBC to C11-choline. The on-site reader read the C11-choline studies ,while the FACBC scans were reviewed by the three independent readers used for Study 1. The agreement values between FACBC and C11-choline interpretations were reported to range from 61% - 77%. Blue Earth Diagnostics has partnered with PETNET Solutions to be the exclusive provider of FACBC with a goal of having 11 PETNET sites providing the radiotracer by the end of 2016. Why is this important? The FACBC radiotracer may provide significant improvement in early detection of disease recurrence, which will hopefully result in improved patient outcomes. It also is also one of the few molecular imaging agents, which allows for examination of both soft tissue and osseous metastatic disease in a single study. Presented by Jonathan Allis, D. Phil Biochemistry, CEO Blue Earth Diagnostics Ltd. at the 2016 Society of Nuclear Medicine and Molecular Imaging Conference June 11-15, 2016 San Diego Conference Center San Diego, California USA Written By: Rustain Morgan, MD, MS, Duke University Hospital Nuclear Radiology Fellow. GOP Texas Governor Greg Abbott burned his legs with hot water on Thursday while vacationing in Wyoming. How the accident occurred has not been disclosed. Upon hearing of the tragic Dallas Police shootings, Abbott immediately exclaimed, "I've got to come back." The Governor learned of the rampage as he was being treated for his wounds for hours at the nearby St. John's Medical Center. Abbott did not want it revealed to the media or the public that he had sustained burns in an accident after learning of the murderous rampage in Dallas. Injuries hidden. Abbott appeared at a press conference on Friday concerning the Dallas Police shootings. He did not disclose that he had been injured during the press conference, nor that he was wearing bandages on his legs that needed to be changed several times a day. The only possible indication of his injuries was a pair of orthopedic shoes as opposed to the dress shoes or boots that he usually wears. Surgery likely. Abbott's office has revealed that he will need surgery, but that it is not immediately necessary. He is scheduled to see specialists at the Brooke Army Medical Center on Monday afternoon. Abbott's legs still need to be wrapped daily and will need such for at least two to three additional weeks. Press release delayed. Abbott's communications team has now disclosed that they were working on a press release concerning the leg injuries on Thursday but decided to delay the release after the Dallas shootings took place. According to Abbott's spokesperson Matt Hirsch, Abbott did not want to distract attention from the events in Dallas. Hirsch stated, "For him it was important not to distract from what was happening in Dallas." Statements released. Abbott released statements on the rampage on both Thursday and Friday. He also wrote an open letter to his fellow Texans and called for unity statewide. Abbott's paralysis. Abbott is paralyzed from an incident in 1984. In that incident, a tree fell on him as he was jogging, and he has been in a wheelchair ever since. However, the nerve receptors in Abbott's legs still work and he did feel the pain from the scalding water in Thursday's incident. Convention role. Abbott is the chairman of the Texas delegation to the upcoming GOP convention in Cleveland, Ohio, beginning on July 18. He still does not know if he will be able to attend the conventionand has to take it on a daily basis. Although Abbott supported Texas Senator Ted Cruz in the primaries, he is expected to endorse Donald Trump for the Election 2016 November ballot. Politicians react Meanwhile, politicians nationwide have expressed their opinions on the shooting Crime rampage in Dallas, including Abbott's own Lieutenant Governor Dan Patrick, (D), who was critical of the activist group, Black Lives Matter. Minnesota Governor Mark Dayton,(R), expressed empathy for the families of the two black men whose shootings sparked the outrage in Dallas, as well as for the families of the Dallas police officers. Former House Speaker Newt Gingrich, (R), stated that no "normal" white person truly comprehends what it is to be black in America. Gingrich allegedly has gone through the vetting process as a possible vice-presidential nominee in the Donald Trump campaign. 25 injured in Taiwan train blast, terror attack ruled out Updated: 2016-07-08 15:54 (Xinhua) TAIPEI -- Taiwan police on Friday said a train explosion that left 25 injured late Thursday was a sporadic criminal case rather than a terror attack. No relevant information was received prior to the blast and no group has claimed responsibility, a railway police officer surnamed Wang said at a press conference on Friday morning. The explosion happened in a car on commuter train number 1258 between Hsinchu and Keelung as it was approaching Songshan railway station at about 10 p.m. Thursday. A fire broke out after the blast. It was put out within several minutes. Twenty-five people were injured, including five in serious condition. The injured were rushed to six hospitals for treatment. Police found a broken steel tube at the scene believed to have contained black powder, suggesting that it was a homemade explosive device, said Wang, adding police have obtained information about several suspects. Three people with minor injuries left the hospital Thursday night, said Hong Shih-chi, deputy head of Taipei City Hospital Zhongxiao Branch. The other three who remain in the hospital include a 14-year-old boy who sustained serious burns to his arms, legs and face, Hong told Xinhua. The boy is in the intensive care unit. Security measures have tightened at train and subway stations on the island. China condemns S Sudan attack that killed 2 peacekeepers Updated: 2016-07-12 04:51 By WANG QINGYUN(China Daily) A Chinese UN peacekeeper receives treatment after being injured when his vehicle was hit by mortar fire on Sunday in Juba, South Sudan, in this screen shot from CCTV. China has strongly condemned the attack in South Sudan's capital on Sunday that killed two Chinese peacekeepers and wounded five others, and it called on rival factions in the country to cease fire immediately. An armored vehicle of the Chinese peacekeeping troops was hit by stray artillery fire in the camp of the headquarters of the United Nations mission in South Sudan at about 6:40 pm local time on Sunday, Foreign Ministry spokesman Lu Kang said on Monday. Two Chinese soldiers, identified as Li Lei and Yang Shupeng, were killed and five others were injured, China Central Television reported. This is the second deadly incident recently involving Chinese peacekeepers. On June 1, a terror attack in Mali killed a Chinese peacekeeper and injured four others. China is "deeply shocked" by and "strongly condemns" the attack in South Sudan, Foreign Ministry spokeman Lu said. The Chinese embassy in South Sudan was doing its best to coordinate the rescue of injured peacekeepers, and China has asked the South Sudan government to thoroughly investigate the incident and severely punish those responsible, said Lu. Lu said China's Foreign Ministry had an emergency meeting with South Sudan's ambassador to China, and the Chinese embassy in South Sudan met with officials of the South Sudan government. They asked the South Sudan government to take measures to protect the security and property of Chinese in the country, Lu said. Armed conflicts between the South Sudan president's forces and its vice-president's forces resumed on Sunday in Juba, the country's capital, where the UN mission in South Sudan has its headquarters. The fighting erupted on Thursday and broke out again on Friday, Reuters reported. "China is deeply concerned that large-scale armed conflicts have broken out in South Sudan and its peace process is facing setbacks," Lu said. He added that China is calling for the rival factions to immediately cease fire, stop the violence and take effective measures to implement the peace deal. China will consider measures, including evacuation operations, to protect Chinese citizens who are in South Sudan, he said. The Foreign Ministry has asked the Chinese embassy in South Sudan to initiate an emergency response, and it has helped Chinese companies and Chinese people in South Sudan enhance their security, Lu added. The Foreign Ministry also has issued an alert for Chinese not to go to South Sudan, and it said those already there should be very cautious, he said. He Wenping, a researcher at the Institute of West-Asian and African Studies of the Chinese Academy of Social Sciences, said, "The deeper China takes part in the UN peacekeeping, the larger security risks there will be for Chinese peacekeeping troops." She added that the international community, including African countries, has been calling for China to get more involved in peacekeeping in Africa. No arrests in shooting of Chinese student Updated: 2016-07-11 11:00 By NIU YUE in New York(chinadaily.com.cn) No arrests have been made in the July 4 shootings in Pittsburgh that wounded four people, including one student from China, according to Pittsburgh police spokeswoman Sonya Toler, who said police are still looking for the gunmen involved. On the night of July 4th in Pittsburgh, gunfire erupted in the area of 7th Street and Liberty Avenue following the city's fireworks celebration of Independence Day. Police said that none of the four people wounded appeared to be targets of the gunfire, which erupted during an argument among groups of youths. Wang, the Chinese student wounded, had gone to downtown Pittsburgh to watch the firework show when he took a stray bullet in the head shortly after 10:30 pm. According to police, she had been listed as critical but was later listed in stable condition. Her mother, who was in China when the incident occurred, flew to Pittsburgh after learning the news. Two officials from China's Consulate General in New York also went to Pittsburgh, where they met with local police and school officials to ensure the student was in good condition and to help the mother. "We got detailed updates about the incident from the police," consulate officer Ma Chao told reporters from the Xinhua news agency. "We also expressed our expectation for the local police to provide protection and assistance to any Chinese students in need." A news release from Pittsburgh's department of public safety indicated that three other people were injured in the shooting and all were in stable condition. The youngest among the three was a 15-year-old girl. "It does not appear that the victims were involved in the argument that led to the gun fire," said Assistant Police Chief Thomas Stangrecki in a news conference. According to the police, the gunshots were fired as two young males were arguing after the fireworks display. Police also suspect that numerous fights earlier in downtown Pittsburgh involving up to 100 teenagers may have led to the shooting incident. Police Chief Cameron McLay also expressed his concern that the large crowds attracted to the fireworks event made it difficult for the police to control the situation. "One of the things we've been working on is looking at the model of how we manage these things," McLay said during the news conference. Nancy Kong in New York contributed to this story. PLEASE NOTE! Due to the March 23, 2020 NM DOH Public Health Order, These Event Listings Are Not Accurate! All non-essential businesses are closed, public gatherings are prohibited! (One day some of these events will be rescheduled or will resume, but they are not happening now!) Please turn JavaScript on and reload the page. Loading... Checking your browser before accessing the website. This process is automatic. Your browser will redirect to your requested content shortly. Please wait a few seconds. Article 292 recently stirred up controversy as it was stated that any services offered online or via telecommunication networks without prior permission would be deemed illegal. Photo dantri.com.vn By Yen Vy HA NOI Article 292 of the Penal Code adopted by the National Assembly last year recently stirred up controversy as it was stated that any services offered online or via telecommunication networks without prior permission would be deemed illegal. The National Assembly issued a resolution to delay the implementation of Penal Code 2015, along with the Criminal Procedure Code, the Law on the Organisation of Criminal Investigation Agencies, and the Law on the Implementation of Custody and Temporary Detention on June 30. The Penal Code No.100/2015/QH13, adopted by the National Assembly in November last year, was earlier set to take effect on July 1, 2016. But now the implementation of Article 292 under the Penal Code 2015 is also delayed. The local start-up community expressed great concern over that particular article, for fear of going to jail, as most start-up businesses provide applications and services online. Fearing that start-up businesses could be harmed, a petition calling for the scrapping of Article 292 under Penal Code 2015 was sent to officials, ministers and agencies. The petition, also sent to National Assembly Chairwoman Nguyen Thi Kim Ngan and Prime Minister Nguyen Xuan Phuc, collected nearly 6,000 signatures after only one week by seeking support from the business community and individuals, who signed online or at six locations in Ha Noi and Ho Chi Minh City. "The Vietnamese start-up community could be the most harmed by the article, as computer and telecommunication networks remain a business tool for the majority of start-ups in Viet Nam in many fields, as well as being a common trend throughout the world," lawyer Tran uc Hoang from EZLaw Firm told Viet Nam News. The vov.vn quoted Nguyen Van Luat, deputy head of the National Assemblys Judicial Affairs Committee, on the controversial article, saying that there had only been an initial review of the Code. The National Assemblys Standing Committee was now ordering a review of the bill on the amendments and supplements to some articles of the Penal Code 2015, and it could be sure that Article 292 would also be analysed and discussed from many different angles before being reported to the National Assemblys Standing Committee and the National Assembly. There will be an answer at the second meeting session of the 14th National Assembly, he stated. The au tu chung khoan (Securities Investment) quoted Nguyen Thi Kim Thoa, director of the Department of Criminal and Administrative Law under the Ministry of Justice at a meeting last Thursday as saying that these regulations were to ensure businesses operate legally as the telecommunication network had a great influence on people and businesses. Unlicensed service providers on computer and telecommunication networks which generate profits from VN200-500 million or revenue from VN2-5 billion will be dealt with...These will be large-scale enterprises, not start-ups Thoa said. These regulations were needed to ensure a healthy business environment, she said. "It is necessary to handle illegitimate businesses, while protecting legitimate ones. Software start-ups should not worry about Article 292, the director said. Start-up communitys concerns Knowing about Article 292, I felt rather worried for Viet Nams younger generation, since the young people are full of zeal, especially those in start-ups. Article 292 would be like pouring water on that fire, which may put out the fire or make it weaker, Nguyen inh Quynh, CEO of Sunfresh Co. Ltd., shared with Viet Nam News. My company specialises in website programming, and we also have many business projects and trade via the Internet. I know that much of the trade occurring on the Internet is not licensed. If the article comes into force, the number of online businesses will sharply decrease, he added. The article will have an incredible impact on the online business community, especially on start-ups in the technology field, said Nguyen Bao Long, Marketing Executive of UP Co-working Space. Working as a start-up requires innovation and creativity, as well as performing many tests of products and services. Therefore, if the legal conditions are too strict, it will prevent creativity and business activity, which could lead to the failure of many start-ups, Long added. The start-up community wishes for a simplification in administrative procedures for start-ups in Viet Nam and Article 292 will be put into consideration for improvements so that it can be more flexible for start-ups in the IT field, said Long. Currently, Viet Nam has 267 conditional business areas. Article 292 criminalises the acts of conducting unlicensed business on the Internet, while not criminalising most similar acts of other conditional business activities, said Hoang. This will make investors, businesses and the start-up community think that they are being discriminated against, and that the State limits services provided on the Internet, he added. Article 292, which includes a maximum fine of VN5 billion or a prison sentence of up to five years, is too strict and might slow the development of service businesses on the Internet in particular, as well as the information and technology sector in the country overall, he said. According to the lawyer, Article 292 will also cause Vietnamese start-up enterprises to hesitate before developing, leaving a fertile market for foreign Internet providers, which would make the future uncertain for Vietnamese technological development and negatively impact the countrys economic development. - VNS Tax sector will improve administrative reform to prevent tax losses and transfer pricing. Photo baotintuc.vn HA NOI The tax sector will focus on creating a decree to prevent tax losses and transfer pricing in the second half of the year, said o Hoang Anh Tuan, deputy minister of Finance. Tuan spoke at a conference to review the sectors activities in the first half of the year, held in Ha Noi last Friday, saying that the decree would be a legal framework to restructure the sector, while creating significant changes in the prevention of tax losses. In addition, the sector needed to improve competitiveness and administrative reform, focusing on e-tax payments, as well as building data about taxpayers. Furthermore, he said that reported tax collections of 48 per cent in the six month period were relatively high. Tax collections from individuals, value added and corporate incomes increased 12 to 16 per cent. It means that both the businesss activities and tax management have been effective, he added. Additionally, a Nang and HCM City reported high increases of 18 to 22 per cent in tax collections for the January-June period. Phi Van Tuan, deputy chief of the General Department of Taxation, said tax collections from production and business in the first six months of the year were high, reaching some VN393.5 trillion and meeting 48.6 per cent of the set targets. Of this, tax collections from crude oil were VN21 trillion, while domestic tax collections were VN373.2 trillion. Also, tax collections from the industrial and service sectors increased by 23 per cent, reaching 54 per cent of the set targets. Taxes paid by foreign directed companies met 49 per cent of the set targets, while personal income tax reached 56 per cent of the targets. Nguyen The Manh, director of Ha Noi Taxation Department, said they have often monitored businesses to develop correct overviews about incomes. At the same time, they also helped firms resolve difficulties in preparing their tax reports. Viet Nam should accelerate the review and amendment of its tax policies to maintain the countrys advantages after joining the FTAs and TPP international trade agreements. This could help Viet Nam retain its position as a key investment destination for multinational companies. Tuan told the BEPS Action Plan International Practices and Viet Nams Perspectives conference held here last week that the approval of the Base Erosion and Profit Shifting (BEPS) action plan by OECD and the G20 in 2015 has been an important effort for overall reform in international tax regulations. The reform aims to ensure equality and improve effectiveness of the tax system around the world, Tuan said. He added that the national 2016-20 socio-economic development plan foresees continued tax reforms, modernisation of policies, and enhancement of transparency while increasing tax collections. ang Ngoc Minh, deputy chief of the General Department of Taxation, said BEPS was a technical term referring to the negative effects of multinational companies tax avoidance strategies on national tax bases. BEPS is used in a project headed by the OECD which produced detailed reports in September 2014 in response to seven actions agreed previously. The project is said to be an "attempt by the worlds major economies to try to rewrite the rules on corporate taxation to address the widespread perception that the corporations do not pay their fair share of taxes. On October 5, 2015, OECD announced the completion of the project with 15 action programmes to prevent tax evasion of multinational groups. Statistics from OECD showed that the yearly tax losses due to BEPS were between US$100 billion and $240 billion which was equivalent to 4 to 10 per cent of annual corporate income tax, Minh said. He added that in developing countries including Viet Nam, which have been dependent on corporate income tax, BEPSs effects on tax collections were huge. He also said that BEPS had often been implemented by multinational companies by exploiting tax gaps and asynchronous regulations in tax policies. The companies would take advantage of the shortcomings to reduce their profits or shift profits into other countries or territories which have low taxes or tax exemption. This could help them lower their corporate income tax. Diego Conzales, advisor of OECD Tax and Development Programme, said developing countries needed to upgrade the rules for taxation of multinationals to reflect changes in the underlying and digitalised economy and ensure that the system is perceived as fair. From business perspectives, Chas Roy Chowdhury, a representative from the Business and Industry Advisory Committee and head of Taxation at ACCA, said that double taxation was harmful for businesses and prohibits cross-border trade. Dispute resolution is the key to mitigating the risk of double taxation arising from the uncertainty BEPS has created in some areas, he said, and added that the mechanism must be robust, efficient, predictable and fair and more than just a political commitment. VNS HA NOI Viet Nams stock indices may decline this week, as investors continue to take profits from stocks after recent gains and lower oil prices could push energy stocks down, analysts warned. On Friday, the benchmark VN Index on the HCM Stock Exchange edged down 0.4 per cent to finish last week at 658.68 points. The southern market index ended up 2.9 per cent over the week, finishing higher than previous analysts forecasts. The HNX Index on the Ha Noi Stock Exchange fell 0.7 per cent to end Friday at 87.57 points, retreating from a five-day rally of 4.1 per cent. The northern market index rose 2.8 per cent from the previous trading week. Nguyen Ngoc Lan, senior analyst at Agribank Securities Corp (Agriseco), said local markets would decline this week as profit-taking could continue and global markets remained volatile. "However, the decline is not especially significant, as investors may rotate their investment portfolios among listed companies," she said. Le uc Khanh, head of the investment strategy division at Maritime Securities Inc, noted that markets would head down in the first three days, before returning to positive territory during the rest of the week. Hoang Thach Lan, a securities analyst, said the markets had increased because they had been too hot since June 24. During this period, the price-to-earning (P/E) ratio in some blue chips in the VN30 basket, displaying the largest 30 listed companies in terms of capitalisation and trading liquidity, reached above 20. Investors might also seek profits in those large stocks when the companies announce their profits for the second quarter. Those stocks would not be able to receive support from foreign investors, as they already hit the limit of foreign ownership, he added. Stocks that made significant gains last week included Tien Len Steel Group JSC (TLH), Dream House Investment Corp (DRH) and Viet Nam Construction and Import-Export Corp (VCG). These stocks soared 31 per cent, 24 per cent and 28 per cent, respectively, during the week. Meanwhile, pharmaceutical businesses, such as Traphaco JSC (TRA), Domesco Medical Import-Export JSC (DMC) and DHG Pharmaceutical JSC (DHG), also recorded large gains in recent weeks and could run into profit-taking in the future. Investors should also watch for energy stocks, which could be affected by lower oil prices. London-traded Brent crude on Friday finished up 0.8 per cent at US$46.76 per barrel, though it plunged 7.1 per cent during the week. Accordingly, energy stocks, such as PetroVietnam Drilling and Well Service Corp (PVD), PetroVietnam Mud Drilling Corp (PVC) and PetroVietnam Technical Service Corp (PVS), ended lower than the previous week. Bright spots This week, investors may count on banks and securities firms, said Nguyen Ngoc Lan, Agrisecos analyst. Profits for securities firms in the third quarter might increase strongly, as market trading liquidity remained high in recent weeks, she said. Last week, market trading liquidity increased significantly from the previous week. The markets daily average trading volume was more than 210.6 million shares, worth VN4 trillion ($179 million), 37 per cent higher in volume and 36 per cent higher in value. Securities stocks that investors might take a look at included Sai Gon Securities Inc (SSI), HCM City Securities Corp (HCM) and VNirect Securities Corp (VN). The Joint Stock Commercial Bank for Foreign Trade of Viet Nam (VCB) will also be a factor that helps drive markets up, according to Chau Thien Truc Quynh, Viet Capital Securities Corps analyst. "VCB will provide gains on expectations that the bank will offer support for the Government in case the domestic financial system has problems," Quynh said. VNS HCM CITY Viet Nams rice exports in the first half of the year fell by 32 per cent over the same period last year, mostly due to competition from other countries, the Viet Nam Food Association (VFA) has said. In addition to rice exports from Myanmar, Pakistan and India, Vietnamese exporters had to contend with Thailand selling off a huge stockpile of its rice. In the first quarter, rice exports increased more than 50 per cent compared to the same period last year, mostly because of contracts signed last year. But with domestic rice prices higher than global rice prices in March and April, many domestic enterprises were unable to sign new contracts, leading to a fall in exports in the second quarter. Asian countries remained the main buyers of Vietnamese rice, accounting for 67 per cent of total rice exports, followed by Africa (16.4 per cent) and the Americas (11.9 per cent), according to a report released at a VFA meeting held last Friday. However, in the first half of the year, total rice exports to Asia fell by 5.4 per cent, dropping by 9 per cent to China and 52 per cent to the Philippines. Unlike the fall of exports to Asia, Vietnamese rice exports to Africa and the Americas rose 10.8 per cent and 11 per cent, respectively, compared to the first half of last year. During the period, exports of five per cent and 25 per cent broken white rice fell by 29 per cent and 26 per cent, respectively. At the same time, exports of fragrant rice increased by 30 per cent and 15 per cent broken white rice by 18 per cent, while sticky rice rose by 145 per cent. At the VFA meeting, the association adjusted the total export target for the year from 6.5 million to 5.65 million, excluding border trade, a year-on-year fall of 14 per cent. For the first six months, a total of 2.7 million tonnes of rice were exported. Huynh Minh Hue, VFAs general secretary, said that local rice exporters expected to ship three million tonnes in the last half of the year, a drop of 22 per cent over the same period last year. Speaking to the press on the sidelines of the meeting, VFA Chairman Huynh The Nang said the target was based on the associations data analytics, and that market conditions could change if the Philippines, Indonesia and others bought more Vietnamese rice. Lam Anh Tuan, director of Thinh Phat Co Ltd, said the target was feasible, as the price of Viet Nams five per cent broken white rice was the most competitive in the region. Besides official exports, enterprises have also exported rice to China via border trade since 2012, with annual export volume reaching 1.5-1.7 million tonnes. VFA said the Ministry of Industry and Trade would organise a meeting later this month to discuss problems faced by the rice production sector. - VNS HA NOI Policy-makers yesterday were called on to build the law on supporting small- and medium-sized enterprises (SMEs) in a way that helps narrow the gap between policies and expectations of businesses. This opinion was voiced at the conference themed Draft law on supporting small- and medium-sized enterprises from the enterprise communitys perspective which was jointly held by the Viet Nam Chamber of Commerce and Industry (VCCI) and the Ministry of Planning and Investment (MPI). Deputy Minister of Planning and Investment ang Huy ong said the role of SMEs had for long been recognised as a backbone of most of the economies around the world. Despite the governments effort to create a fair and business-friendly environment for local SMEs, there was still a gap between policies and their implementation in practice as well as between policies and businesses expectations, ong said. According to ong, the number of SMEs that go bankrupt or have to temporarily stop operating has been on the rise, while most of the enterprises spent very little on technology applications. He stressed the need to thoroughly study the contents of the bill for the early adoption in order to provide timely support for the development of SMEs. Nguyen Hoa Cuong, deputy director of the MPIs Enterprise Development Department, said the five-chapter and 33-article bill introduced a number of support incentives for SMEs, particularly in terms of access to funding and technology, securing land for operations, information update and consultancy. VCCI Secretary General Pham Thi Thu Hang said it was vital to ensure that the support policies were practical and suitable to domestic SMEs who are still struggling to compete with their foreign rivals. The bill needed more feedback and recommendations from experts and businesses and should be reviewed with reference to international experience, she suggested. The law itself was not enough, but authorities and State officials must change their mind-set and the way they work with enterprises, she added. Agreeing with Hang, director of the Economica Consulting Company, Le Duy Binh suggested that Viet Nam should learn from Japans experience. He said that since 1963 the country had issued many laws to support SMEs which were regarded as a growth engine of the economy. These policies focussed on encouraging the creativity of enterprises and start-ups, improving the management capacity of SMEs, enhancing productivity and facilitate the companies adaptability to economic fluctuations. Thanks to timely and properly issued policies, Japan had seen the growth of many giant groups, Binh stressed. Binh said that the governments target to have one million enterprises by 2020 was feasible, citing that from 2005 to 2013, 600,000 enterprises were registered. However, in reality, only 45 per cent of the companies actually operated during the period. This showed a big gap between business registration and actual operations, and therefore, the law must aim at narrowing the gap, Binh said. VCCI chairman Vu Tien Loc said that the law on supporting SMEs, if passed, would be a legal framework for the development of such group of enterprises, thus it must meet the expectations of enterprises in terms of cutting unnecessary costs, streamlining administrative procedures and easily accessing to supportive loans. Loc also suggested that the law regulate all banks to have programmes to assist SMEs, not just the few banks that were doing so currently. VNS LAM ONG Police and local authorities in the Central Highlands province of Lam ong are hunting for the leader of a large illegal logging ring in Bao Lam District. Le Hong Ha, 48, from the central Nghe An Province, was widely known as Ha en (black Ha) who reportedly led the ring for the past two years. According to the local police, the ring illegally cut wood in the forest area named Unit 390 managed by Loc Bac Forestry Ltd. Co in the districts Loc Bac Commune. The company found illegal loggers were cutting down trees several times, but failed to catch them. Ha used to hire scores of people to cut down valuable centuries-old trees for sale. Local authorities said their latest survey found that at least 30 centuries-old trees had been cut down in the forest. After keeping a close watch on the operation of the ring for a long time, police from the public security ministrys Southern Department of Environment Crime Prevention conducted a surprise raid last week and arrested 20 members of the gang. They told the police that after cutting down the trees, they chopped them into smaller sections that were 3m to 4m in length and transported them to the ong Nai River and let them drift down the flow. A team waiting downstream would collect the logs and transport them by truck to other localities for consumption. The gang members said they exploited between 20cu.m to 30cu.m of timber each day. Gioi (known as Menghundor) was the most popular timber that they exploited for its high value. This timber sells for VN18 million to VN20 million (US$800-880) per cu.m. In a related development on Saturday, police from the public security ministrys southern Department of Environment Crime Prevention searched a facility that was reportedly involved in illegal buying and selling of wood in the southern Binh Phuoc Province. At the facility, the police found and seized 40cu.m of wood identified to have been bought from Ha, the leader of the gang of illegal loggers. About 60cu.m of wood of unclear origins were also found stored in the facility. The case is under further investigation. VNS NGHE AN Some three tonnes of dead fish have been collected from lakes and dams in this central provinces Tan Ky District over the last few days, according to a local official. Nguyen Duy Ket, the Secretary of Giai Xuan Communes Party Committee, said fish had died en masse following heavy rains last Friday. Earlier, the prolonged drought caused the water levels in lakes and dams in the locality to fall dramatically. The largest volumes of dead fish were recorded at the Vinh, Lo and Mai Ly dams. Local residents have collected the fish for drying or to feed their pigs. The cause of the mass deaths is being investigated. Sea bed tested for toxic chemicals In related news of the Formosa widespread fish deaths in four central provinces, scientists are testing the sediment on the sea bed to determine whether it contains residual toxins. Based on the results of the survey and their assessment, management agencies will work with the scientists to create a plan to deal with pollution and restore the marine ecosystem. According to the scientists, among the three main contributing factors for the fish deaths, phenol can decompose quite quickly, iron oxide is harmless to human and sea creatures while cyanide is an extremely toxic substance, and not much research has been done on this substance found in sea bed sediment. If the concentration of this substance in the sediment is too high, the contaminated sediments may need to be sucked away for processing on shore. This is a costly method of resolving the problem. Associate professor Vu Thanh Ca, former director of the Institute for Sea and Islands Research, said the sea could clean itself. The concentration of toxic chemicals will gradually decrease over time. If the results show that the concentration of toxic chemicals is not high, we can leave the sea to clean itself, he said, adding that this approach was less expensive. Vo Si Tuan, director of Nha Trang Oceanography Institute, suggested that besides letting the sea clean itself, it was necessary to grow coral, thereby creating a favourable environment for fish and other organisms to develop. ao Trong Tu, director of the Centre for Sustainable Water Resources and Climate Change, said natural and artificial solutions might help restore the ecosystem of the coastal central provinces. However, a marine monitoring system is needed to preserve the marine ecosystem. The environment supervising capacity of the localities should be enhanced to prevent possible future disasters, he said. VNS Viet Nam News The Government late last month announced the results of a preliminary investigation into the massive fish deaths in four central provinces of Viet Nam, with Formosa being the culprit. In the time to come, much remains to be done to clean up the sea environment and support those whose lives depend on it. Viet Nam News talks to officials, scientists and a representative of fishermen about this issue. How do we guarantee that Formosa will do what it has committed to do to fix the damages? I think that we have many reasons to believe that Formosa (FHS) will comply with its commitments. Firstly, the Vietnamese Government has expressed its determination not to trade off the environment for economic interests. The Prime Minister also confirmed the Government would shut down FHS if it lets a similar incident happen again. We also have quite a strict legal system on environmental protection, which is enough to deter and punish any organisation or individual causing harm to the environment. There are still loopholes, though, I admit, but they will be fixed soon. Last but not least, the global trend now is strongly supporting sustainable development with greener manufacturing to protect the environment. Any organisations or individuals polluting the environment will be condemned and boycotted on a global scale, which seriously pulls down their business competitiveness in the world. What exactly does FHS have to do with its manufacturing system to stop the pollution? The process for producing coke at FHS was one that released toxins, causing the mass fish deaths in the four central provinces. The coke producing technology, therefore, must be changed. FHS has agreed to switch to another eco-friendly technology, which requires a huge amount of investment, in the next three years. In the meantime, Vietnamese authorities will strictly monitor the treatment processes for air emissions, and water and solid waste, produced by the current coke ovens. We will also force FHS to make changes to its wastewater treatment system to meet two big requirements: all wastewater at FHS must be treated comprehensively by particular technologies for each type of wastewater. The second requirement is that FHS must build new biomarker ponds to store the treated wastewater over a period of time for later monitoring and safety assessment. Only when the monitoring parameters, automatically sent to Viet Nams environmental agencies, show that the treated wastewater is safe enough to be discharged to the sea, will the authorities allow FHS to do so. Those ponds will also act as temporary wastewater storage facilities in case unexpected incidents occur. Substandard wastewater will not be released to the sea and will be collected and treated later. Regarding FHSs underground pipelines that discharge wastewater to the sea, what actually matters is whether the water is treated according to environmental standards. Those pipelines are not exclusive in Viet Nam but, on the contrary, are quite commonly used around the world to avoid disrupting traffic on land and the coastal environment. If the wastewater is treated properly, it is totally fine to discharge it via pipelines. The Vietnamese Government itself will invest in an advanced sea environment monitoring system, of which the system in the four particular central provinces will be jointly built by the FHS. The monitoring system will help authorities recognise changes in the sea environment and therefore prevent an environmental disaster before it actually happens. What are the damages identified so far? After three months of research, we can now conclude that about 460ha of coral reefs were severely damaged and have little chance of recovering. The mangrove ecosystem and the seaweed were not affected much. The disaster scale was quite large, but the total losses, both present and long-term, are hard to determine in terms of a final and comprehensive number. Work to completely restore the marine life is difficult and takes a long time. But it is possible. For example, Viet Nam has managed to grow coral reefs at reasonable costs. That could very well be the new job for affected locals in the central region, which might take a few decades before the coral reefs are fully grown and attract tourism. The Government is working on plans to create new jobs for the affected fishermen, one of which is to help the locals switch from near-shore to offshore fishing. Tourism is also a high-potential industry to take in affected locals who have to quit fishing due to the disaster. Vo Si Tuan, director of the Institute of Oceanography, Viet Nam Academy of Science and Technology Was the marine environment in the four provinces of Ha Tinh, Quang Binh, Thua Thien-Hue and Quang Tri damaged a lot? There are small coral reefs near Hai Van Mountain Pass, Son Duong, Son Cha and Con Co islands. Even though these coral reefs are small, they are still an important habitats for the aquatic species there. After the pollution, the coral reefs by Yen Island in Quang Binh Province and Son Duong Island in Ha Tinh Province and some southern sites of Thua Thien - Hue Province have been affected at different levels. We must also pay attention to the degradation of habitats including not only coral reefs but also others such the coastal lagoon and river mounths system. What do you think we should do to restore the sea habitats in these areas? We have to support the natural recovery process. A huge number of fish died, but small fish born in other areas can move there in the future. Our job is support this process, such as protecting habitats and preventing fishermen from using destructive fishing methods, which are popular in these provinces. In addition, we can help restore the coral reefs artificially. For example, in some countries, they place an entire ship underwater to serve as a shelter for fish, or we can put some concrete structures in the sea, like what we did at Nha Phu waters. Instead of waiting for fish to move naturally, we can do sea ranching at the designated areas to enhance recruitment of resources. However we should improve sea management and protection. If not, after the corals and fish recover and start to thrive again, the fishermen will use destructive fishing methods and ruin it all. Asides from restoring the ecosystem, we have to limit direct discharges into the sea because there are many factories, not only Formosa, that are discharging their waste into the sea. Statistics from the Viet Nam Environment Administration during the last five years already showed signs of environmental degradation in the four central provinces. The degradation will hinder the development of aquatic resources. Some have said that in order to properly clean the sea, we would have to suck out all the sediment because pollutants sank into the seabed. Is that true? This is easier said than done. The sea is vast - how can we take out all the sediment? Even if we could remove the polluted sediment, where would we dispose of it? Not to mention that this action would disturb the seabed and affect the coral reefs. If thats the only choice we have, then we must have a very detailed plan and a careful impact assessment. We cant risk taking out all the polluted sediment and causing more harm to the seabed. The sea will clean itself, but whether the process is short or long will depend on how toxic the substances are. Well have to wait for more analysis to get an answer to this question. Professor Yasuaki Maeda, Osaka Prefecture University, Co-director of Biomass Centre in Vietnam National University, Ha Noi What do you think about the environmental damages caused to Viet Nams central region by Formosa steel companys wastewater dumping? According to the economic growth in Viet Nam, we will face a trade-off between economic growth and the environment. Not only Vietnamese companies, but also foreign companies should seriously think about how to keep the environment clean. We have many experiences with environmental improvement in the areas of air pollution, water pollution and soil pollution in Japan, and also in the EU. The Vietnamese Government should learn from those experiences to avoid repeating the same mistakes. The Vietnamese Government is working on a plan to clean up the sea. How long do you think the clean-up work will take before the sea environment is recovered? I think it will take at least 10 years, considering the recovery of the biosphere and also the sediment. For example, 40 years after the Minamata disease outbreak in Japan, caused by the release of methylmercury into Minamata Bay via industrial wastewater, the fishermen still cannot sell the fish caught there. In this case, the toxic chemicals involved might be cyanide and phenol, which do not have as long of a decomposition rate, so I think the recovery of the environment does not need 30-40 years, but at least 10 years. What do you think Viet Nam should do to clean up the sea? Once the marine environment is polluted, we can do nothing about it. All we can do is refrain from adding more pollutants to the polluted area. We should control the wastewater to ensure it has been treated properly. Many Vietnamese now fear they will contract health problems similar to Minamata disease* if they eat the seafood caught from the central regions sea. What do you think about this and what should Viet Nam do to prevent such a tragedy from occurring? The Government, citizens and companies should all collaborate on efforts to improve the environment, and we cannot just look for economic development, but also a good balance between the economy and environment. From now on, we should think about green growth. A member of an independent group working on marine environmental pollution assessment, who wanted to stay anonymous What are the findings of your group? Our group has been collecting samples from the seawater and sediment in certain spots in Ha Tinh Province (on May 1), as well as the provinces of Quang Binh, Quang Tri, Thua Thien-Hue, a Nang and Quang Nam (from May 18 to May 22), and conducting independent analysis of these samples. Our results show that the levels of highly toxic heavy metals such as mercury, lead, cadmium and cromium in the seawater and sediment in these areas are below the allowed concentrations, according to Viet Nams standards. Those levels, however, are only applicable for the sampling sites and at the sampling times. With limited resources, we could only focus on finding out whether the seawater and marine sediment in these areas are contaminated with heavy metals. With such findings, could you estimate how long it would take for the sea to be clean and safe again? In order to answer the question of how long it would take, we need more information. In the coming time, we will try to find out whether the seawater and sediment are contaminated with persistent organic pollutants (POPs). We will focus on two classes of POPs that are controlled in Viet Nams regulations for seawater and sediment quality: polychlorinated biphenyl (PCB) and polycyclic aromatic hydrocarbon (PAH). POP exposure may cause developmental defects and chronic illnesses. According to the Government report, phenol is one of the main causes of the recent mass fish deaths. Therefore, we suspect that POPs might be present and associated with phenol in the organic waste of the industrial plants. While were going to conduct more analysis to be able to better assess the seawater pollution in these areas, we suggest that the Government also focus on comprehensively assessing the levels of POPs in the seawater and sediment. Heavy metals and POPs, due to their persistence, can bioaccumulate in sea creatures, and subsequently humans if the seafood is consumed, with potential harmful impacts to human health. We also think that its essential to have a group working on health risk assessment that can work in collaboration with the analysis group to issue warnings to people about how safe the sea is at certain points of time. In the long run, its very important that proper and rigorous monitoring systems for wastewater treatment and discharge are installed, not only at the steel plant, but also all other industrial plants in the area, like the power plant. Seawater and marine sediments have been polluted, and its vital to keep it as clean as possible so that it can recover quickly. Tran Trung Thanh, Chairman of the Peoples Committee of Canh Duong Commune, Quang Trach District, Quang Binh Province To respond to local residents, the Peoples Committee has held a meeting with more than 100 fishermen in the commune to listen to their concerns about their health and livelihoods after the Formosa incident. At the meeting, most of the fishermen and their families asked the Government to conduct health checks to determine whether they were affected by the toxic water discharged by Formosa. They also wanted to know when the sea will be safe again for them to go fishing inshore. Fourteen residents argued that Formosa should not be allowed to operate in Viet Nam anymore for fear of further environmental incidents in the future. We dont have the competency to address these matters, so we have passed along these concerns to the Peoples Committee of Quang Binh Province. VNS *Minamata disease is a neurological syndrome resulting from severe mercury poisoning, which was first discovered in Minamata city in Kumamoto Prefecture, Japan, in 1956. It was caused by the release of methylmercury into Minamata Bay via industrial wastewater from Chisso Corporations chemical factory. Official figures from March 2001 recorded 2,265 cases of Minamata disease, of which 1,784 resulted in fatalities. VNS Prime Minister Nguyen Xuan Phuc has asked the Viet Nam Womens Union (VWU) to team up with ministries, agencies and localities to better protect womens rights and interests. Photo nguyenxuanphuc.org HA NOI Prime Minister Nguyen Xuan Phuc has asked the Viet Nam Womens Union (VWU) to team up with ministries, agencies and localities to better protect womens rights and interests. The union should revamp its operation, diversify its activities and accelerate the implementation of gender equality-related programmes in order to raise the percentage of female officials in State and people-elected agencies, he said at a working session with the VWU Presidium in Ha Noi on Saturday. The Government leader also urged Party Committees and authorities at all levels to pay more attention to caring for and supporting women in difficult circumstances. He highlighted the coordination between the VWU and the Government in disseminating the guidelines of the Party and the States policies and laws among women, encouraging them to cooperate in national socio-economic development. He agreed with the Presidiums proposals to dovetail a campaign on family building with the national target programme on new-style rural area building, and to develop a project on assisting female entrepreneurs during the 2017-2027 period. Praising achievements the VWU recorded over the recent past, the PM said campaigns on socio-economic development and gender equality launched by the organisation contributed to the growth of localities and the country at large. With increasing members, the VWU had affirmed its role and position as the countrys leading socio-political organisation, he stressed. Care for workers PM Phuc has agreed with the Viet Nam General Confederation of Labour (VGCL)s proposal to pilot a project on building residential areas, supermarkets, pre-schools, cultural houses and legal assistance centres serving workers at 15 industrial and processing zones at a working session with the VGCL Presidium on Saturday. He urged the confederation to play a more active role in studying labourers working and living conditions as well as their legitimate aspirations, and report them to the Government. The leader called on the VGCL to step up its coordination with the Government in protecting workers legitimate rights and interests. Closer collaboration is needed to fulfill the two sides set targets, firstly implementing emulation campaigns aiming to spur production and economic development, and raise labourers living standards, according to the Prime Minister. PM Phuc asked all-level trade unions to partner with relevant agencies and employers to improve productivity, thus increasing workers incomes. According to the confederation, labourers are concerned about regional minimum wage, social and health insurance, infrastructure at industrial and processing zones, labour safety and hygiene, and challenges stemming from Viet Nams international integration, especially after the country joins the Trans-Pacific Partnership (TPP) agreement. The PM said he has assigned the Ministry of Labour, Invalids and Social Affairs to submit the draft Law on Minimum Wage so that the Government can suggest adding the document to the 14th National Assemblys law-making programme during its upcoming session. VNS BANGKOK Thai naval forces have opened fire on three Vietnamese fishing boats from the Mekong Delta province of Ben Tre with 18 fishermen on board, according to the Vietnamese Embassy in Thailand. The firing, at around 14:00 on July 8, has injured two fishermen and left another missing while two of the boats sunk in collision with Thai naval vessels. The fishing boats were chased off by Thai naval police after they were allegedly found illegal operating in Thailands waters earlier on the same day, said Nguyen Hai Ngoc, first secretary of the Vietnamese Embassy. The two wounded, Nguyen Van Teo, 28, who was shot in the right leg, and Nguyen Van Linh, 25, injured in the shoulder, were brought to the shore by Thailands helicopter while the remaining 15 crew members were carried onshore by Thai naval vessels, Ngoc added. He noted that the fishermen are currently kept in custody at Naval Zone 2 of Thailands Royal Navy in Songkhla province and expected to stand trial today (July 11) for illegally intruding into Thai waters and fishing without permission on the area. However, the first secretary stressed that shooting at foreign fishing boats violating one countrys territorial sea is against international law and practice. A group of officials from the Vietnamese Embassy have flown to Songkhla to settle the incident. It was the second time Thai naval vessels shot at Vietnamese fishing boats causing casualties. In September 2015, they opened fire on Vietnamese boats, killing a fisherman. The Vietnamese Ministry of Foreign Affairs has sent a diplomatic note to oppose the shooting and urge Thailand to probe it. The Thai side has not yet produced any official response since then.VNS SINGAPORE Ha Noi and Seoul will enhance co-operation in various areas, the leaders of the two cities said yesterday. Ha Noi Peoples Committee Chairman Nguyen uc Chung and Seoul Mayor Park Won Soon held a bilateral meeting yesterday in Singapore, on the sidelines of the World Cities Summit 2016. The two leaders said the areas of co-operation include e-governance, information and telecommunication technology, flood management, environment, and administrative reform, among others. Nguyen uc Chung said Ha Noi was building a development strategy towards 2030, with a vision towards 2050, and wished to receive help and co-operation from Seoul to reduce the time needed for building and development, particularly in environment. Chung also said the Ha Noi needed Seouls continued support in the framework of mutual co-operation, particularly for the project of developing the area along the Red River to turn Ha Noi into a modern and civilised city. Ha Noi would send a delegation to Seoul in August to organise exchange activities between the two cities and to learn from Seouls development experience, as 2016 marks the 20th anniversary of the two capitals becoming sister cities, Chung said. Seoul Mayor Park Won Soon said the city was committed to accepting Ha Nois requests and sharing its development experience, such as successes, challenges and the lessons learned. He said he hoped the two cities would intensify co-operation in culture, people-to-people exchange and education. VNS HA NOI The 50th session of the 13th National Assembly Standing Committee opened in Ha Noi on July 11 to discuss preparations for the first session of the 14th legislature slated for July 20. Speaking at the opening ceremony of the two-day event, NA Chairwoman Nguyen Thi Kim Ngan said that barring unforeseen changes, this was the last meeting of the NA Standing Committee in the 13th tenure. Deputies are expected to offer ideas regarding Government reporting on the implementation of socio-economic development and State budget tasks in the first six months of 2016 and measures for the remaining months. They will comment on a supervision programme of the NA and its Standing Committee for 2017 and on the legislatures law and ordinance building programme for 2017 and law and ordinance adjustment programme in 2016. They will also discuss the Governments structure and organisation, the members of the 14th National Assembly tenure, and its draft decree on several specific mechanisms of investment, finance, budget and management decentralisation for a Nang City . On the morning of July 11, Minister of Planning and Investment Nguyen Chi Dung delivered a speech on the Governments report on implementation of socio-economic development tasks in the first six months of 2016 and measures for the remaining months, while Minister of Finance inh Tien Dung presented another report on the implementation of State budget tasks in the first half and measures for the rest of the year. The head of the National Assemblys Economic Committee, Nguyen Van Giau, presented a report on the verification of the Government report on the implementation of socio-economic development tasks, and the head of the NAs Committee on Finance and Budget, Nguyen uc Hai, read a report on the verification of the Government report on the implementation of State budget tasks. Discussing the Government reports, lawmakers agreed that in the first half of this year, Viet Nam s GDP growth rate was 5.52 per cent, compared to the rate of 6.32 per cent of the same period last year. The decrease was attributed to drops in the growth of the agricultural and mining sectors. NA Vice Chairman Phung Quoc Hien stated that if no drastic measures were taken, it would be difficult to achieve the GDP growth rate of 6.7 per cent set by the legislative body for this year. The delegates also focused discussions on measures to boost socio-economic development and maintain defence security, stressing the need to carry out preferential policies for families of people who rendered service to the nation, support people affected by disasters like drought and saline intrusion, and help locals affected by the recent environmental pollution in the four central provinces of Ha Tinh, Quang Binh, Quang Tri and Thua Thien-Hue. VNS HA NOI Prime Minister of Romania Dacian Ciolos began his official visit to Viet Nam at the invitation of Prime Minister Nguyen Xuan Phuc on July 11, 2016. Ciolos is scheduled to discuss with Vietnamese top leaders ways and means to step up the traditional friendship and multi-dimensional cooperation between the two countries. As such, bilateral cooperation in trade-investment, education and training, culture-tourism, science-technology, the oil and gas industry, energy, defence technologies, the environment, employment, and local connectivity is expected to enter the next phase. During the visit, regional and international issues of shared concern will be touched upon by the leaders.--VNS Gia Loc HCM City The HCM City Department of Health has encouraged public hospitals to begin preparing for full financial and managerial autonomy, following a recent requirement from the citys Party Committee. Financial autonomy among hospitals is designed to help reduce state expenditures so that funds can be diverted to preventive medicine and targeted community-care programmes. Reduced state spending will also allow the state to pay for more health insurance cards for the poor, including those near the poverty line and patients covered by state policies. Public hospitals in HCM City that have already achieved 50 per cent autonomy will be expected to implement full autonomy by January next year. Of the 54 public hospitals in the city, seven have carried out 100 per cent financial autonomy and 44 partial financial autonomy. The three remaining hospitals, which include a new paediatrics hospital, and two for leprosy and HIV patients, have still been subsidised by the state. Truong Thi Xuan Lieu, chairwoman of the HCM City Medical Association and former head of the Department of Health, said that autonomy had helped hospitals identify their most important needs. The governments subsidy to public hospitals had restricted their development, and full autonomy had brought benefits to both patients and the hospitals. However, "full autonomy brings with it added responsibilities," Lieu noted, adding that the government should develop a strict legal framework to minimise problems. Dr Tran Van Khanh, head of District 2 Hospital, which has carried out full autonomy since mid-May, said the state subsidy had been insufficient for hospital expenditures. The subsidy is based on the number of beds. This is inappropriate, especially for outpatient healthcare services, Khanh said. To raise money, many hospitals, with or without autonomy, often offer health exams and treatment at times outside normal working hours and give periodic check-ups to staff at local businesses. Khanh said that funds from extra exams and services helped pay for staff salaries and allowances. Better service quality With full financial autonomy, hospitals are required by the state to improve the quality of healthcare services, as well as the attitudes of doctors and nurses and other staff when they are serving patients. They also must simplify administrative procedures and shorten the waiting time so they can admit more patients. Dr Le Hoang Qui, deputy head of Binh Thanh District Hospital, which was the first district-level hospital in HCM City to carry out full autonomy in 2015, said that a long-term strategy had been created to improve quality. If hospitals dont change, patients will not come, Qui said. In 2010, the hospital took steps to increase funding when it adopted the view that patients would be the main source of income. Changes in administrative methods such as IT applications and simplification of health examination and treatment processes have also been created. To raise funds, the hospital conducts medical exams and treatments at 6am each day and on weekends as well as holidays. As a result, it has seen an increasing number of patients. In 2014, there were 2,000 patient turns per day, rising to 2,400 in 2015. It is expected to rise to 3,000 in 2016. Thanks to autonomy, better quality is available for patients, Qui said. The income of the hospitals staff has risen and we now have funds to reinvest in equipment and other facilities. Full autonomy has also allowed the District 2 Hospital to make independent decisions on buying medical equipment and medicine, as well as hiring professionals to improve quality. This has led to increased trust from patients. The hospital, which has 25 specialised wards, plans to set up wards for cardiac intervention, dialysis, chemotherapy for cancer, and hepatitis C by 2018. Though the hospital has financial autonomy, it charges medical fees according to the regulations of the Ministry of Health. This wont affect poor patients. The hospital in recent years has allocated VN350 million-500 million a year to help poor patients, Khanh of District 2 Hospital said. VNS HA NOI The Transport Ministrys plan to install electronic toll collection (ETC) systems on national highways by July 1 has failed to meet the deadline due to several difficulties. Early this year, Deputy Minister Nguyen Hong Truong ordered the installation of ETCs on National Highway No. 1, Highway No. 14 and other expressways by July 1 in order to reduce travelling time for drivers and employment costs for the State. To use the system, vehicle owners will be issued an e-tag. Drivers can then transfer the toll by internet banking or at payment points. Nguyen Huu Tri, deputy director of the Viet Nam Register, said only 2,000 e-tags have been issued so far. "Many vehicle owners think they dont need the e-tags as ETC systems have not been installed at many toll stations," Tri said. Deputy Minister Truong blamed slow implementation of ETCs on legal difficulties stemming from the fact that ETC investors and operators are still waiting for investment certificates to be issued by the Ministry of Planning and Investment. The Ministry is expected to issue the certificates for the VETC Viet Nam Joint Stock Company and TASCO Joint Stock Company no later than July 10. After that, the Transport Ministry will order the implementation of other procedures to put into operation ETC systems at 28 toll booths nationwide. Under instructions from the Transport Ministry, each toll booth in Viet Nam must have at least one ETC gate within this year. By 2020, all toll booths nationwide must apply ETC systems. The total investment and capital of the ETC project is VN1.524 trillion (US$67.7 million) under a build-own-operate ( BOO) format. ETC systems use radio frequency identification (RFID) technology, a wireless system comprising two components of e-tags and readers. When a vehicle passes a toll collection lane, the RFID system activates a camera that snaps the vehicles number plate. ETCs can save a lot of time for drivers and curb congestion as drivers will no longer have to stop and pay fees manually. Experts estimated that ETCs at 100 stations will replace workers and save about VN70 billion ($3.1 million), as well as VN233 billion ($10.3 million) in fuel consumption. -VNS President Tran ai Quang meets Nobel laureates and foreign scientists attending the Fundamental Science and Society workshop held as part of the events during the 12th Rencontres du Viet Nam (Meet Viet Nam). VNA/VNS Photo Nhan Sang HA NOI President Tran ai Quang hopes to receive further support from international professors and scientists for human resources training and connections in the science community, helping Viet Nam attain further achievements in science-technology. He stressed this during a reception on Saturday for a group of Nobel laureates and foreign scientists attending the Fundamental Science and Society workshop held as part of the events during the 12th Rencontres du Viet Nam (Meet Viet Nam). The President informed his guests on the countrys significant achievements after 30 years of reform (oi moi) with robust economic growth, socio-culture improvements, stable politics and the elevated prestige in the international arena. Science-technology has been billed as an important driver for socio-economic development, he underscored, adding that fundamental science had made great contributions to the countrys rosy achievements. Viet Nam is leading ASEAN in basic science with its mathematics and physics centres receiving UNESCOs recognition and sponsorship, President Quang said, highlighting that the Vietnamese Olympic math team had been among the worlds top 10 since 1974. At the reception, he hailed great contributions made by Professor Tran Thanh Van and his spouse Le Kim Ngoc in organising the Meet Viet Nam event, which aims to connect international and local scientists. He believed that international science conferences and events organised within Meet Viet Nam would create venues for Vietnamese scientists to exchange experiences with their international counterparts while acquiring the latest knowledge in the field serving the countrys intensive international integration. For their part, Professor Tran Thanh Van and other foreign scientists recommended measures to promote science and technology development as a top-ranking national policy. The Fundamental Science and Society workshop was held in the central coastal province of Binh inh to discuss the role of fundamental science and how to boost its development in emerging economies. VNS Families of seven Vietnamese fishermen, who were missing for nearly one week after their fishing vessel collided with a Philippines cargo ship in central Quang Tri Provinces territorial waters, talked with the fishermen via telephones on Saturday. Photo phapluatplus.vn QUANG BINH Families of seven Vietnamese fishermen, who were missing for nearly one week after their fishing vessel collided with a Philippines cargo ship in central Quang Tri Provinces territorial waters, talked with the fishermen via telephones on Saturday. Trinh Thi Ha, the wife of the fishing vessels captain, told Viet Nam Television that she received a call from her husband, captain Hoang Manh Lam, at around 6pm on Saturday, from the Philippines. Lam told Ha that he and all other crew members were in stable health and were on board the Philippine cargo ship, which has anchored at a port in the Philippines. He told her that their vessel sank after being hit from the rear by the Philippine vessel as a result of the latter vessels captain falling asleep. Ha said she heard about the collision on Monday afternoon when an insurance agent in HCM City telephoned her to inform her of the accident. The QB3917TS vessel from central Quang Binh Province had gone on a fishing expedition in Quang Tri Provinces territorial waters on July 29. It collided with the Philippines cargo ship in the waters last Monday. According to Ha, when the accident occurred, the cargo ship was believed to be carrying goods from Viet Nam to Singapore. After the fishing vessel sank, the cargo ship saved the fishermen. The captain was allowed to telephone his insurance agency to inform them of the situation. However, no further contact had been made since then. All the fishermen were related and lived in Quang Binh provinces Canh Duong Commune. Relevant authorised agencies from Viet Nam are working to complete procedures to help the fishermen return home soon. VNS HA NOI People will be allowed to record traffic violations for the first time, in an attempt to increase road safety awareness. This is in accordance with a new government decree that takes effect in August. Traffic police will verify the violations recorded by the people and then punish the violators. The new decree seeks to enhance the close links between citizens and local authorities, helping people to have more say in law enforcement, an official of the Directorate for Road of Viet Nam said. Traffic police have installed surveillance cameras on some roads, but cannot monitor all violations. With the support of local residents, traffic offences will be detected and settled more quickly, the official said. Lawyer Pham Hong Son, head of Pham Son Law Office, said this was a positive measure and would help increase peoples awareness about traffic laws and their compliance. The society will become better when all people share the responsibility of supervising traffic violations in particular and law violations in general as nobody wants their mistakes recorded, Son told kinh te va o thi (Economics and Urban) newspaper. People who record a traffic offence can report the incident to local traffic offices or contact the Traffic Police Department via hotline 069.2342608 or email: tccs-c67@vnn.vn. The identity of the person informing the traffic police will be kept confidential. Under Decree 46/2016/N-CP, recently issued by Prime Minister Nguyen Xuan Phuc, stricter fines will be imposed for some traffic violations to ensure road and rail traffic safety. Accordingly, the penalty for driving with blood alcohol exceeding 80mg per 100ml of blood, or 0.4mg per litre of breath, will be increased from VN10 million-VN15 million ($445-670) to VN16 million-VN18 million ($710-800). The driving licences of those who are found with drugs in their system will be revoked for 22 to 24 months or they will be fined VN16 million-VN18 million if they do not have a driving licence or it has already been suspended. The decree also introduces a new regulation under which those who steer their vehicles with their feet will incur a fine of VN7 million-VN8 million ($310-360). The same penalty will apply to speeding and reckless driving. Violators who refuse to stop their vehicles following a police order or cause road accidents will face a penalty of VN18 million-VN20 million (up to $880). VNS ONG NAI The building of Long Thanh International Airport must begin by 2019, Deputy Prime Minister Trinh inh Dung told the leaders of ong Nai Province, where the airport will be located. The deputy prime minister made the request following his field trip to the location of the future airport in Long Thanh District, ong Nai Province, on Saturday. Addressing the meeting attended by the provincials authority, related ministries and agencies, Dung highlighted the importance of Long Thanh International Airport as a major national project and urged the province to expedite preparations for its construction. Vice Chairman of ong Nais Peoples Committee Tran Van Vinh said the province had finished drafting the proposal of a special mechanism for the project, focusing on land acquisition, compensation and relocation policies as well as the construction of infrastructure for relocation and sources of funding. Vinh said this special mechanism was needed to stabilise the lives of affected residents and to step up the progress of the project. The building of the new airport would affect as many as 4,730 households, with a total of 15,000 people, besides other organisations, businesses and religious establishments, he said. Vinh asked the government to either allocate an advance fund to the province to complete the land clearance and construction of resettlement areas or allow the province to borrow money from commercial banks for this purpose. The amount of money needed to build two resettlement areas and to compensate for the removal of a cemetery at the construction site is VN5.44 trillion (US$ 242 million), according to the provinces estimate. Deputy Prime Minister Dung asked the provincial authority to submit a written proposal on these matters to the Government Office. The government would need to hear the opinions of the related ministries and agencies before giving its approval, Dung said. The general director of Airports Corporation of Viet Nam, authorised by the government to be the airport investor, told the meeting that the company had received 14 designs for Long Thanh International Airport, all entries in the architecture contest it held from April to June this year. He said the winning design would be chosen on August 18, suggesting public opinions should not be sought in order to save time. Deputy Prime Minister Dung, however, asked the company to gauge public opinion, citing the importance of the project. The contestants designs should be published online for people to choose. This must be done immediately, he said. The airport, set to become Viet Nams largest airport, will have a capacity of 100 million passengers and 5 million tonnes of freight per year when it is fully completed by 2050. The first phase, including the construction of a terminal and a runway with a capacity of 25 million passengers per year, is expected to be finished in 2025, after several threats of delays. VNS ISTANBU The UNs cultural agency met on Sunday in Istanbul to consider adding over two dozen new sites to its prestigious global heritage list, and called for a strong global response to the threats posed by extremism. The meeting of the World Heritage Committee of UNESCO comes less than two weeks after the main airport in Istanbul was hit by a triple suicide attack that left 47 people dead. The strike was blamed on Islamic State (IS) jihadists who have already destroyed irreplaceable heritage in Turkeys neighbours Syria and Iraq. UNESCO director general Irina Bokova told the opening ceremony of the 11-day session that world heritage was under threat in countries riven by unrest from Mali to Yemen. "Our response should be strong on the ground and also strong in a moral sense," she said. Bokova said the fact the committee was holding the meeting in Istanbul a city for centuries controlled by Christian Byzantines and since the Ottoman conquest by Muslims -- was a "strong symbol" of support to Turkey. "The fact we are here... in a city which is a bridge between East and West... is an important message to share with the world when we see violent extremists target human rights and cultural diversity," she said. She said ancient sites such as the remains around Palmyra in Syria and Mosul in Iraq -- ravaged by IS jihadists -- had been "destroyed and laid waste for military goals." Turkish Prime Minister Binali Yildirim said in a video message that at a time when cultural heritage and values were being destroyed by war "an even greater responsibility and bigger task falls upon UNESCO." Deputy Prime Minister Numan Kurtulmus meanwhile told the ceremony UNESCO could work in a "more effective way" to protect global heritage. Healing wounded memories Bokova paid tribute to those who had risked their lives to preserve cultural heritage, in particular the expert caretaker of Palmyra in Syria, Khalid al-Assad, who was murdered by IS in 2015. "It reminds us that human beings are not only made of flesh and blood but also values to be transmitted. And this is transmitted through heritage." The Committee will examine proposals to inscribe more than two dozen sites on UNESCOs World Heritage List. Natural sites under consideration range from extinct volcanoes in France, to an underwater marine reserve in Sudan. Cultural sites include works by the Franco-Swiss architect Le Corbusier, the historic centre of Panama City and mediaeval tombstones in the Balkans. "What is at stake is more than adding new sites on the lists," Bokova said. "It is about affirming human values and human rights. It is about healing wounded memories, harnessing heritage to regain confidence, to recover and to look into the future." The World Heritage List today has 1,031 sites in more than 163 countries. AFP TOKYO Japanese Prime Minister Shinzo Abe has claimed victory in weekend elections, giving a boost to his long-cherished desire to water down the countrys pacifist constitution. Voters backed the hawkish premier, despite a lacklustre economic performance, handing his Liberal Democratic Party (LDP) and its allies control of more than half of the upper house of parliament. Analysts say with the support of small nationalist parties, Abe may now have the numbers to push through a change to the constitutional bar on Japanese troops waging war. "Im relieved that we were able to secure more than... half the seats contested," Abe told private broadcaster TBS Television late on Sunday. Japans constitution, imposed by occupying United States forces after World War II, prohibits the use of aggression to solve international conflicts. The provisions are popular in the public at large, but reviled by rightwingers like Abe, who see them as outdated and punitive. Early results from Sundays vote show the LDP and its Buddhist-backed allies, Komeito, now occupy more than half -- at least 147 -- of the seats in the upper chamber of parliament. With backing from fringe parties that also favour consitutional change, Abe could now have the two-thirds majority that he needs in both houses to push through an amendment to the countrys basic law. However, observers point out that corralling support for a revision from coalition partners who have traditionally shied away from nationalist posturing could be difficult. And the proposal would still face a referendum, with pollsters saying the vast majority of the public are wary of any softening of the countrys pacifist stance. Stimulus hopes The conservative mass circulation Yomiuri Shimbun daily described the expansion of the pro-amendment bloc as "progress" but expressed caution about prospects for change. "It is too early for an amendment proposal to be realistic as opinions on specific revision points vary between parties," it said Monday in an editorial. Abe had played down his constitutional ambitions during the campaign, preferring to stress his management of the economy. The 61-year-old was swept to power in 2012 on a promise of kickstarting growth after decades of lassitude and underperformance. Despite massive fiscal stimulus, his "Abenomics" programme has largely failed to deliver, having done little more than weaken the value of the yen -- which is now back on the rise. But voters appeared to hold out little hope for the dissolute opposition to do a better job, and Abe seems to have benefited from his incumbency and perceived competence. Investors cheered the result on Monday, with expectations high he would unleash yet more stimulus. By lunchtime, the Nikkei 225 surged 3.55 percent, or 535.55 points, to 15,642.53, while the broader Topix index of all first-section shares climbed 3.51 percent, or 42.47 points, to 1,252.35. Speaking late Sunday, Abe said the election was a vote of confidence in Abenomics, and downplayed the consitutional issue. "I dont think voters were asked to express pros and cons on the constitution in this election," he said. "My term lasts for two (more) years, but it is also the goal of the LDP," he said of amending the countrys basic law. "We will sit down and tackle the issue." Full official results are expected later on Monday. AFP When Glenn Kelman became the chief executive of his online real estate start-up, he defied the tech industry's conventional wisdom about how to grow. With the global business climate continuing to look grim, Indian could undo overseas acquisitions and focus at home where consumption trends are relatively encouraging. Making a strong pitch to American firms to invest in India's road infrastructure, Union Road Transport and Highways Minister on Monday said that the Centre's resolve to double the existing national highways network presents an opportunity for the former from the point of view of economic viability and return on investment. Gadkari, who arrived on Sunday on a week-long official visit aimed at attracting Foreign Direct Investment in the infrastructure sector, said studies all over the world have recognized the surge in infrastructure development in India since the National Democratic Alliance (NDA) took over the government. "This is an ideal opportunity for the investor, because the studies done all over the world on infrastructure development in India are appreciating our government and our department. This is golden time for investors," the minister told ANI in Washington D.C. "We have lots of projects. I am going to present it to the investors. Economic viability is good, traffic density is good, and now, we are going to raise the total span of national highway from 96,000 km to 2,00,000km," he added. Gadkari further came out with startling figures that out of the total 52 lakh km of roads in India, a mere 96, 000 km were national highways, on which 40% of the traffic plies. "We have 52 lakh km of road length, out of which just 96,000 km is national highway and 40% of the traffic is on these roads. So we have taken a decision to double it. Traffic density is good, projects are economically viable and rate of return is good," he said. Reports state that the minister would be looking to deepen the scope of Indo-US cooperation in innovative technologies, including improvement of highway development, road engineering, road safety and development of green fuels in the automobile sector and electric vehicles. Gadkari said that the NDA Government's initiatives have been receiving a positive response from countries across the world, adding that he expects the same from the United States. During his week-long visit, Gadkari is scheduled to visit Washington and Los Angeles, with in between stops in New York, St Louis and San Francisco. Australian workers will soon be entitled to paid domestic violence leave More than 11 million Australians will be able to access 10 days of paid domestic violence leave from February 2023 after the Labor government passed a milestone bill on Thursday. Coalition slams Labor for empowering far-left climate activists The Opposition has slammed Tanya Plibersek's defence of the almost $10 million Labor spent on funding climate groups in Tuesday night's budget, accusing the government of "empowering far-left activists" and inflicting "massive damage on our national economy". My lifes been publicly scrutinised: Brittany Higgins tearful statement Brittany Higgins has delivered a tearful statement declaring her life has been publicly scrutinised outside court after the judge in the Bruce Lehrmann rape case discharged the jury. Labor reveals cheaper medicines win The Albanese Government has officially reduced the cost of prescription medicines and revealed how much money millions of Australians can expect to save. HAMPTON Iowa State University Extension and Outreach Franklin County Council soon will begin the process to fill four seats on the council. The elected council, like the hundreds of others across the country, is the grass roots governing body for the Cooperative Extension System. As part of Iowa State University Extension and Outreach, the Franklin County council works in partnership with local citizens, Iowa State University and the U.S. Department of Agriculture to carry the land-grant mission beyond the campus in Ames. Candidates for the council will appear on the general election ballot in November. The elected council is instrumental in fulfilling the land-grant mission and bringing research-based educational opportunities to the county. We invite those interested in Franklin Countys ISU Extension and Outreach programs, to be involved by considering running for council, Donovan Olson, regional extension education director for Region 3, which includes Franklin County, said in a news release. The duties of a council member include hiring county staff, managing the county extension budget and helping select programming. One hundred ISU Extension and Outreach field offices provide local access to extension programs in all 99 counties. We are part of the ongoing life of Iowas communities and are positioned to anticipate trends, build relationships and catalyze opportunities, Olson said. The nomination process began with the appointment of a four-member nominating committee. By law, the current council appoints two men and two women to the committee and it cannot include any current members of the council. The committee must be appointed by Aug. 10. The nine-member council has four vacancies for four-year terms this year. Additionally, there is one vacancy for a two-year term to complete an unexpired term. For more information on becoming a council member, contact Susan Wulf at (641) 456-4811 or wulfs@iastate.edu. SIOUX CITY With racial tensions simmering after a week of horrific violence, U.S. Rep. Steve King, R-Iowa, is facing criticism for displaying a Confederate flag in his congressional office. Sioux City television station KCAU ran a news report Thursday that included footage of several flags, including a Confederate flag, on Kings desk. The banner was the battle flag of Southern states during the Civil War. The controversial flag, cited as a symbol of heritage by some in the South, is considered an emblem of slavery and white supremacy by many. The congressman defended the display of the rebel flag a year ago after a shooting left nine African-Americans dead in South Carolina and spurred removal of the flag from the state Capitol. King, a Republican from Kiron, is a 14-year officeholder. It is not known how long the flag has been on his desk, along with a U.S. flag, an Iowa flag and a Gasdsen flag with the phrase Dont Tread On Me. Gov. Terry Branstad on Monday criticized Kings decision to display the flag. I dont agree with that. I guess thats his decision, Branstad said. People have a right to display whatever they want to, but Im proud to say that (Iowa was) on the side of the Union, and we won the war. Kings office put the KCAU story on the congressmans YouTube page. After several calls to Kings office Monday, his spokeswoman said a comment on the flag is unlikely, but provided a link to a C-SPAN video of Kings 2015 comments about the Confederate flag. After the mass shooting in a predominantly African-American church in Charleston, S.C., federal lawmakers debated whether to allow Confederate flags on some federal lands. King in a July 9, 2015, speech on the House floor said he had ancestors who were abolitionists. Nonetheless, he thinks the Confederate flag shouldnt be pulled down. I grew up in the North, Mr. Speaker, and the Confederate flag was a symbol of pride of the South, King said. He said the country healed in the decades after the Civil War, and he decried the division that occurred after the Charleston slayings. I regret deeply that we are watching this country be divided again over a symbol, King said on the House floor. South Carolina Gov. Nikki Haley, a Republican, in 2015 ordered the removal of the Confederate flag from the state capitol. King is running for re-election in Iowas 4th congressional district, and his Democratic opponent is Kim Weaver of Sheldon. Weaver said she is troubled by a congressman who proudly displays a symbol of racism and white supremacy on his desk. CEDAR RAPIDS A man who received child pornography was sentenced Friday to more than 10 years in federal prison. Robert Turner, 35, of Reinbeck and formerly from Cedar Falls, received the sentence after a Jan. 5 guilty plea to one count of receipt of child pornography. At the plea hearing, Turner admitted, between 2001 and 2013, he knowingly used the internet to receive child pornography. Turner was sentenced in Cedar Rapids by U.S. District Court Chief Judge Linda R. Reade to 121 months of imprisonment. A special assessment of $100 was imposed, Turner was ordered to pay $2,000 in restitution, and he also must serve a 10-year term of supervised release. He must comply with all sex offender registration and public notification requirements. This case was prosecuted by Assistant U.S. Attorney Mark Tremmel and was investigated by the Cedar Falls Police Department and the Iowa Division of Criminal Investigation. This case was brought as part of Project Safe Childhood, a nationwide initiative launched in May 2006 by the Department of Justice to combat the growing epidemic of child sexual exploitation and abuse. CEDAR FALLS Jim Wohlpart has been University of Northern Iowas interim president for about a week, but he already recognizes the enormity of his task as the search gets underway to replace former UNI President Bill Ruud. And what is the most important job he has? It is to assure everybody that the direction were going in is the direction were going to continue to go in and that were not going to slow down, Wohlpart said. The sentiment Wohlpart continually stressed in an interview with The Courier is UNI has momentum, is heading in the right direction and his job during his tenure as interim president will be to ensure it continues and grows. Wohlpart was named the interim president at the June Iowa Board of Regents meeting and assumed the position July 3, after Ruuds July 2 exit to the private Marietta College in Ohio. Wohlpart continues to transition from his previous role as provost and executive vice president for academic affairs. Brenda Bass, the dean of UNIs College of Social and Behavioral Sciences, will take on the provost duties Aug. 1. Wohlpart describes Bass as a strong faculty advocate and very strong leader. Wohlpart said the transition since Ruuds departure has occupied much of his time, which he said has kept him from focusing on the question of whether he wants to try to make his temporary position as president a more permanent one. We are all really trying to make sure that the momentum does not slow down, Wohlpart said, adding, I am here to serve. This is a remarkable institution, and Im honored to be asked to do whatever it is that Im asked to do. Wohlpart came to UNI 13 months ago after 21 years at Florida Gulf Coast University and said he continues to be amazed at the institutions focus on students and the dedication of the faculty and staff. Part of my job as provost, as interim president, will be to continue to remind people that what theyre doing is extraordinary, Wohlpart said, adding of his time on the Cedar Falls campus, When people ask me what I think about UNI, its more something that you feel than that you know and can put into words. Despite still transitioning to the new job, Wohlpart said hes already engaged in a number of areas to ensure progress does not stop. As one example, Wohlpart said hes already scheduling meetings with students who have been active in diversity and inclusion to make sure work continues there. Another area where Wohlpart has been focused is on the universitys strategic plan, which he says will help guide not only the future of the campus but also give a good idea to potential presidential candidates of what UNI is and whether that person will be the right fit. Wohlpart demurred on what will make the right fit for UNIs next president, saying it will be up to the Board of Regents in conjunction with input from people on campus. Wohlpart acknowledged the anxiety the campus and community feel as the search for a new president gets underway, comparing it to a trauma that you have to move through but also something that happens with some frequency across the nations campuses. We have a remarkable community of people and leaders on this campus, and so we will be fine, Wohlpart said. But he still saved some room to recognize all Ruud UNIs 10th president had done during his three years on campus, while also supporting his decision to leave for a new amazing opportunity. I think thats what President Ruud did, Wohlpart said, pointing to a path of collaboration and inclusion within campus and the community. He came at a really tough time. He built some good energy on campus and has laid the foundation for really remarkable stuff that is going to come. And I think hell be remembered for that. DES MOINES Gov. Terry Branstad on Monday applauded a revision made by a state commission to clarify religious activities at churches are exempt from sexual orientation and gender identity public accommodation guidelines under Iowas civil rights law. Officials with the Iowa Civil Rights Commission made changes Friday after a challenge was filed in federal court by a Des Moines church and the Arizona-based Alliance Defending Freedom. I didnt ask them to change it, Branstad said Monday, but I support the action that they took. Commission executive director Kristin Johnson said last week the 2008 publication now clarifies religious activities by a church are exempt from the Iowa Civil Rights Act. The Iowa Civil Rights Commission has never considered a complaint against a church or other place of worship on this issue, Johnson said. The Iowa Civil Rights Commission has not done anything to suggest it would be enforcing these laws against ministers in the pulpit, she added. However, Christiana Holcomb, an alliance attorney representing the Fort Des Moines Church of Christ in its lawsuit against the state, said the revision would not head off a federal lawsuit. Cosmetic changes to the alarming language in one brochure wont fix the unconstitutionality of the Iowa Civil Rights Act, Holcomb said. The revised publication says Iowa law does not prohibit gender-segregated restrooms, locker rooms and living facilities. But the law does require individuals have access to restrooms in accordance with their gender identity rather than their assigned sex at birth, according to the commission. But the revision notes places of worship are generally exempt from the Iowa laws prohibition of discrimination, unless the place of worship engages in nonreligious activities that are open to the public. CEDAR FALLS Iowa Sen. Jeff Danielson, D-Waterloo, is organizing a forum for residents who have questions or concerns about the Medicaid transition that began April 1. The event, which will be a listening post and help session, will be held from 4 to 6 p.m. Wednesday at Cedar Falls Community Center, 528 Main St., in Cedar Falls. Iowa Sen. Liz Mathis, D-Robins, who chairs the Senate Human Resources Committee, also will take part in the meeting, as well as Democratic state Reps. Bob Kressig and Timi Brown-Powers. Representatives from United Healthcare of Iowa and Amerigroup two of the three Medicaid managed care organizations that are part of the states privatization plan also will be available to meet one-on-one with health care providers and individuals who have concerns. Since the program began April 1, several providers have said they have not received timely payment and had other concerns with the implementation of the program. Several family members also have struggled to understand the changes. An earlier forum hosted by Cedar Valley Democrats in mid-April brought out about 50 people, several of them providers, who had initial difficulties with the new system. Iowas $4.2 billion Medicaid program a joint program between the state and federal governments serves about 560,000 residents. Democrats have opposed the transition to a privatized system, which was announced by Republican Gov. Terry Branstad last year as a modernization of the program. DES MOINES Iowa Gov. Terry Branstad on Monday defended the state rule that requires convicted felons to apply to him in order to have their voting rights restored. A recent Iowa Supreme Court ruling upheld the policy. Branstad also announced his office has streamlined the petition for convicted felons who apply to have gun rights restored; similar changes were made earlier this year to the application for the restoration of voting rights. Iowa is one of eight states in which convicted felons must have voting rights restored by the governor or the courts, according to the National Conference of State Legislatures. Other states restore voting rights upon completion of the sentence or do not revoke voting rights. Iowas rule was challenged in court by voting rights groups but upheld by the Iowa Supreme court in a 4-3 ruling June 30. Branstad called voting a privilege, and said that privilege must balance a persons rights and responsibilities. Restoring voting rights to Iowans who have committed felonies is something that I take very seriously as governor, Branstad said. To automatically restore the right to vote without requiring the completion of the responsibilities associated with the criminal conviction would severely damage the balance of rights and responsibilities that we all have as citizens. Critics of the rule say it places undue stress on a person who has paid his or her debt to society, and requiring convicted felons to pay all court costs before having voting rights restored unfairly punishes low-income residents. Branstad said the application is simple and does not require a lawyer, and paying court costs is a part of the punishment that must be completed. Branstad said there are more than $699 million in unpaid fines and court costs in Iowa. Earlier this year Branstads office streamlined the application for the restoration of voting rights, reducing from 29 to 13 the number of questions on the one-page form. Branstad announced a similar tweak that reduces from 43 to 29 the number of questions on the application to have an individuals gun possession rights or to be pardoned. Branstad insisted the process remains thorough. Branstad said he rules out those who committed violent crimes, and all applicants undergo a criminal background check through the state Division of Criminal Investigation. Branstad said he also personally interviews any individual deemed to be a good candidate for having firearms rights restored or for a pardon. CEDAR RAPIDS U.S. House 1st District challenger Monica Vernon is reporting she has topped $1.6 million in campaign fundraising for the 2016 election cycle. Vernon, a Cedar Rapids Democrat, announced she raised $374,000 in the most recent quarter to bring her fundraising total for the election cycle to just more than $1.66 million from more than 5,000 donors. She has $354,000 cash on hand heading into the general election. Vernon is challenging first-term Republican Rep. Rod Blum of Dubuque who, according to Roll Call, is considered the most vulnerable Republican in the U.S. House. Democrats hold a voter registration advantage of approximately 26,000 in a district carried twice by Barack Obama. After Vernons primary victory with 67 percent of the vote, the Cook Political Report changed its rating of the race from toss-up to leans Democratic. Blum hasnt reported his fundraising numbers. However, although Vernon has outraised Blum for four consecutive fundraising quarters, he has raise nearly $1.5 million and had $1.28 million cash on hand at the end of the previous reporting period. In the Democratic primary, Vernon raised three times as much as her opponent. The Blum campaign expects to release its numbers before the end of the week. There is a maxim that states people get the governments they deserve. It is unflattering, but it is often true. If the people are corrupt, they will create a corrupt government. This is a truth liberalism tries to sweep under the rug. Progressives divorce the morality of the government from the people and portray government as the protector of morality. That is what political correctness is all about, demanding that corrupt and stupid people be re-educated and led into the enlightened space already occupied by government. It also is possible a corrupt government will corrupt the people. Unfortunately, we are seeing both ends of the spectrum in this election cycle. About a week ago, someone asked me if Hillary Clinton would be indicted. I said there was no chance. This government will never charge her with a crime for at least two reasons. First, she is the establishment candidate. If the powers that be get their way, she will be the next president. As Sanders supporters have loudly proclaimed, the system is rigged. With the electorate demanding change, it is ironic we have an excellent chance of having a successful candidate who is an almost total creation of the ruling elite. The only person supporters of Clinton like less than Clinton is Donald Trump. Second, she is too important to be brought up on criminal charges. As Drudge reported Tuesday when the FBI essentially said she is guilty as hell but a serious prosecutor would never indict her, Magic: Shes Cleared! If you believe in the rule of law, then it was indeed magic. If you think the system is corrupt, then welcome to America 2016. If you believe Clintons problems are all lies, a witch hunt orchestrated by her political enemies, you are welcome to your loyalties but you have drunk the Kool-Aid. To buy into this line, you would have to display a level of denial that would make you a candidate for the extremist fringe of a group of religious fanatics. Unfortunately, the issue with Clinton is more important than politics. If laws dont apply to the powerful, we essentially have no laws. It means we have a group of powerful people who can do anything they wish to anyone they wish at any time they wish. Most nation states are based on ethnicity or religion. Germany is German and the German people before WWII could be corrupted by that association. The United States was a nation based on ideals, and chief among those was a faith in equality and trust. If the people begin to believe the government cant be trusted and our leaders are corrupt and exempt from the rules that guide the rest of us, the nations basic unifying cords are in danger of shredding. What is left then but self-interest? The voters attitude then becomes, I dont care how corrupt these candidates are. They are all crooks. Ill simply vote for the one who has the best chance of getting me what I want. Which more often than not means taking something from someone else and giving it to me. Corrupt government will create a corrupt people, and a corrupt people will perpetuate a corrupt government. For years Americans have been perfecting the art of non-action action. It began about a generation ago with the non-apology apology: If I offended you, I apologize. Later we moved on to the non-committal commitment: Ill be there unless I get a better offer. Now, courtesy of Congress, our non-legislating legislature, we might soon be buying non-label labeled food. Congress reached this state of higher non-ness by carefully noting what the American public overwhelmingly and repeatedly has said it wants country of origin labeling for meat and poultry and the labeling of all food that contains ingredients derived from genetically modified organisms before choosing to do just the opposite. In 2015, the U.S. House of Representatives tackled the publics GMO labeling demand by punting; it voted 275-to-150 in favor of voluntary GMO labeling. In the Land of Non, voluntary labeling means, of course, almost everyone will voluntarily not label food that contains GMOs. Not to be outdone by the non-labeling labelers in the House, the U.S. Senate finally awakened to act June 29 when it easily approved (68-to-29) a procedural vote to move its complicated GMO labeling bill to a full Senate vote. Unlike the Houses voluntary non-labeling standard, though, the Senate bill contains a mandatory labeling standard albeit one with more dodges in it than a used car lot. The shiniest clunker is something the Senate chose to call the bioengineered food disclosure system. The name alone is certain evidence Big Foods lawyers and Big Ags lobbyists have already washed, rinsed and waxed the pending bill to ensure it contains the highest possible level of effective ineffectiveness. With this outside help, anti-government government insiders reshaped the Senate bill into pure shapelessness. For example, under the Senate language, large food companies have three options to label any GMO ingredients contained in their products. First, explains the National Sustainable Agriculture Coalition, the companies can place a barcode or QR code a quick response code on its food labels. Those codes, however, require consumers (to) use a smartphone to find more information about a product. So no smart phone, no food info; thats so dumb its clever. Second, a company can use a symbol on the package to denote its contents are GMO. What symbol? The one to be created by U.S. Department of Agriculture. That shouldnt take long, eh? Or, third, the food company can place an actual on-package statement that the product contains GMOs. A simple, uncomplicated label? Brilliant! Critics of the Senate bill quickly point out, however, most food companies will choose the first two, less transparent labeling loopholes for non-labeling labels and few, if any, would choose to openly label. The Food and Drug Administration also sees trouble in the Senate bill because it gives new food labeling authority to the U.S. Department of Agriculture that is otherwise under FDAs sole regulatory jurisdiction. For example, explains a FDA Technical Assistance memo that dissects the Senate Bill, We note that (a) provision to allow information regarding the GE, or genetically engineered, content of food to be present only in an electronically accessible form the barcode and QR code exceptions in the bill and not on the package label would be in tension with FDAs statute and regulations, which require disclosures on food labels. Tension, indeed. Also, notes the FDA memo, The definition of bioengineering used in the Senate bill would be somewhat narrow and likely mean many foods from GE sources will not be subject to this bill. Thats not an accident. It is, in fact, exactly what you would do if you want to write a non-labeling label law that, first, doesnt conform to current law and, second, will likely never become law. But thats the trick in running a non-legislating legislature, right? If you actually do anything, it must by definition end up doing exactly nothing. Atlanta, Blacksburg, Charlestown, Chattanooga, Colorado Springs, Columbine, Edmund, Fort Hood, Iowa City, Killeen, Minneapolis, Newtown, Omaha, Orlando, Roseburg, Salt Lake City, San Bernardino and Tucson. The list includes towns where assault weapons in the wrong hands have taken innocent lives. Ninety-two percent of Americans say common sense gun reform is long overdue. How many more people must be killed by gunfire before our elected officials realize they need to enhance gun control? Part of the reason for no action is the National Rifle Association has given $11,971,030 to 5,419 different political filers over the past 26 years. Since 1990, the NRA has donated the following amounts to Iowas congressional members: Sen. Charles Grassley, $46,150; Rep. Steve King, $20,400; Sen. Joni Ernst, $9,900; Rep. David Young, $4,950; Rep. Rod Blum, $3,500; and Rep. Dave Loebsack, $0. Not all gun owners agree with the policies of the NRA. Most hunters support reasonable gun control and adhere to all sorts of regulations when they hunt. Knowledgeable gun owners know the Second Amendment is not going to be eliminated. They know there are more than 280 million firearms in America. No government agency will go door to door to seize guns. Its time for gun owners and non-owners to stand up and say to their federal and state legislators: The NRA does not represent us. We are tired of senseless killings by people who are not responsible gun owners. We want common sense gun control reform now. Its sad our state and federal legislators know since Sandy Hook, one child younger than 12 is shot to death every day. Our politicians know 27 people are killed every by gun violence. Millions more have been the victim of assaults, robberies and other crimes involving a gun. Hundreds of thousands of people committed suicide with a gun and another half a million suffered other gun injuries. Hundreds of law enforcement officers have been shot to death protecting their communities. Despite the facts, our legislators dont have the spine to put a stop to gun violence. The gun laws can only be fixed through legislation: If you sell firearms, you must have a license. No firearm can be sold without a 10-day waiting period and thorough background check including criminal history, no-fly lists, terrorist watch lists, mental illness and domestic violence. The Bureau of Justice Statistics reports our modest background check system has already prevented more than two million guns from getting into the wrong hands. In 2015, the national criminal background check system received more than 22.2 million background check requests, 63,000 per day. Wouldnt an enhanced background check system make Americans safer? Ninety-two percent of Americans think so. Almost all Americans are advocates of the Second Amendment, but a huge majority concur military-style assault weapons and magazines holding 10 bullets or more are not needed by the common person. Contact your state and federally elected representatives and demand common sense gun control reform. Corrects letter GEORGE D. GLENN CEDAR FALLS I was guilty of an error in my letter published Tuesday, July 5, in which I complained about KWWLs presentation of a story on Chicago homicides. I claimed they reported Chicago had seen 30 murders a day so far this year, but this is a misstatement. I received a very nice email from Shane Moreland, KWWL news director, setting me straight: In our 5 p.m. newscast Friday we reported Nearly 15 people were shot every day in Chicago during the month of June. Further, we reported there have been 315 homicides this year. We never reported 30 murders a day in Chicago as you suggest. I stand corrected. Mr. Moreland continued: However, I agree our video did not match the story. We should not have used video of a store where firearms are sold. One other caution: Just because its a homicide doesnt mean it was by a gun, or even that it was illegal. I applaud Mr. Moreland for his response, and again I apologize for my error. Mr. Moreland and I have subsequently engaged in a friendly discourse on the issue. He sounds like a good guy working for a good station. Read this book ROGER W. SMITH WATERLOO One of the most important books published during the present election cycle is Reviving America: How Repealing Obamacare, Replacing the Tax Code and Reforming the Fed Will Restore Hope and Prosperity by Steve Forbes and Elizabeth Ames. Voters who care about the future of our republic should use this book as the basis for campaign debates leading up to the November elections. Never ending PAUL HIGGINS WATERLOO Just when it seems weve seen it all. As Obama is prohibited from gifting amnesty to 4 million-plus illegals, we experience Slick Willie Clintons chance meeting Attorney General Loretta Lynch on a Phoenix tarmac and the FBIs approval of unsecure servers. You cant make up, nor can you fix inexplicable stupidity or accept it as legitimate governing. Obama delusionally touted Clintons strength of character, further suggesting no one has been so qualified for president. Seriously, what would Obama know about character or qualifications for U.S. president? Is he smoking weed again while campaigning for Hillary Clinton like royalty aboard Air Force One? Reality suggests Clintons character is unacceptably absent, incredibly flawed, her accomplishments and qualifications few but mirroring each other as indiscernible. Genders no qualification. Boycott the conventions, please. Why anyone would participate is as much a puzzle as why ever trust a Clinton. Aside from perfecting smoke-blowing, trading laws for votes, stoking racism, demeaning law enforcement, paralyzing Congress, doubling debt and dividing country, name the accomplishments of this tainted Obama regime. Ditto Clinton. Our politically compromised USA desperately needs a new government paradigm immediately. Undeniable integrity, proven management expertise for starters. Hearst Center to show Potter film CEDAR FALLS Harry Potter and the Half-Blood Prince will be shown at 7 p.m. Tuesday at the Hearst Center for the Arts, 304 W. Seerley Blvd. The center is showing all the Potter movies this summer. Admission is free, and no tickets are required. The Hearsts film series is sponsored by Far Reach. Legion events are announced WATERLOO American Legion Post 138 at 728 Commercial St. will serve quarter-pound hot dogs, chili dogs, boneless pork rib chops, brats, coleslaw and chips, starting at 11 a.m. Wednesday. On Saturday, there will be a rummage sale from 9 a.m. to 4 p.m. Veterans and the public are welcome to attend. VFW announces various events WATERLOO The VFW at 1406 Commercial St. will host a crockpot dinner Tuesday, starting at 5 p.m. Bingo is planned from 5 to 7 p.m. Thursday and 2 to 4 p.m. Saturday, with karaoke from 8 p.m. to midnight Friday. A pepper game is set for 2 to 4 p.m. Sunday, with a $3 entry. The VFW also is planning a Spam Saturday event July 23 make a dish with Spam and enter it in the contest. People can sign up now. The post is open to the public. Woodworkers to meet at WCA WATERLOO The Cedar Valley Woodworkers will meet at 6:30 p.m. Tuesday at the Waterloo Center for the Arts. At 7 p.m., the featured speaker will be Kevin Gade to talk about greenwood turning of bowls. Guests are welcome. For more information, call President Dan Webb, 266-4445. YMCA offering new classes WATERLOO The Black Hawk County YMCA is introducing two new classes to its weekly schedule. Power Yoga will take place at 7 a.m. Tuesdays and Thursdays. An introductory level Silver Sneakers session will take place at 10:45 a.m. Tuesdays and Fridays. Additionally, instructors will launch the new BODYPUMP 98 release, which focuses on tone and strength using weight-room exercises like squats, presses, lifts and curls. For more information, go to www.blackhawkymca.org or call 233-3531. By West Kentucky Star Staff Jul. 10, 2016 | 01:37 PM | MCCRACKEN COUNTY, KY Two people were arrested on drug charges Saturday and a third is being sought by police. According to the McCracken County Sheriff's Office, deputies were patrolling the area of Metropolis Ferry Landing when they saw three people exit some nearby woods. Two of the suspects were identified as 26-year-old Alicia Morrison of Hickory and 28-year-old Darren Carroll of Arlington. Deputies said the three appeared nervous and they gave conflicting stories. But because police had no evidence to detain them, the three were released. After they left, deputies entered the woods and found a black bag that contained methamphetamine and items of drug paraphernalia, including needles, scales and glass smoking pipes. There was also mail addressed to Morrison in the bag along with family photographs of her. The bag was collected and deputies started trying to locate the vehicle in which the suspects had left. It was found on BoBo Road and a traffic stop was conducted. After interviewing Morrison and Carroll, they were both arrested and charged with possession of methamphetamine, possession of drug paraphernalia and tampering with physical evidence. They were taken to the McCracken County Regional Jail. Police said the third suspect that exited the woods was not in the vehicle and has not yet been located. Deputies said the investigation is ongoing and other charges are possible. past daily news Sep 13 (1) Sep 09 (15) Sep 06 (12) Sep 04 (10) Sep 03 (10) Aug 31 (17) Aug 29 (14) Aug 26 (13) Aug 22 (11) Aug 21 (12) Aug 19 (21) Aug 14 (6) Aug 13 (10) Aug 10 (10) Aug 08 (9) Aug 07 (10) Aug 06 (10) Aug 05 (8) Aug 03 (8) Aug 02 (7) Aug 01 (7) Jul 31 (14) Jul 29 (1) Jul 27 (7) Jul 25 (5) Jul 24 (10) Jul 22 (11) Jul 19 (16) Jul 17 (6) Jul 16 (10) Jul 15 (13) Jul 12 (7) Jul 11 (5) Jul 10 (8) Jul 08 (8) Jul 07 (3) Jul 06 (5) Jul 05 (8) Jul 04 (11) Jul 03 (8) Jul 02 (7) Jul 01 (5) Jun 30 (8) Jun 28 (7) Jun 27 (8) Jun 26 (7) Jun 25 (8) Jun 24 (6) Jun 23 (6) Jun 22 (9) Jun 20 (5) Jun 19 (9) Jun 18 (8) Jun 15 (9) Jun 13 (13) Jun 11 (11) Jun 09 (19) Jun 06 (10) Jun 04 (10) Jun 03 (8) Jun 01 (6) May 31 (5) May 30 (5) May 29 (6) May 28 (7) May 27 (7) May 26 (6) May 25 (4) May 23 (6) May 22 (6) May 21 (4) May 20 (7) May 19 (9) May 18 (4) May 17 (6) May 16 (5) May 15 (7) May 14 (3) May 13 (3) May 12 (9) May 10 (3) May 09 (7) May 08 (4) May 07 (3) May 06 (5) May 05 (8) May 03 (9) May 02 (1) May 01 (5) Apr 30 (8) Apr 29 (5) Apr 28 (4) Apr 27 (7) Apr 26 (12) Apr 25 (4) Apr 24 (8) Apr 23 (7) Apr 22 (5) Apr 21 (3) Apr 20 (1) Apr 19 (5) Apr 18 (3) Apr 17 (6) Apr 16 (6) Apr 15 (5) Apr 14 (2) Apr 13 (4) Apr 12 (2) Apr 11 (4) Apr 10 (3) Apr 09 (3) Apr 08 (3) Apr 07 (5) Apr 06 (3) Apr 05 (10) Apr 04 (2) Apr 03 (3) Apr 02 (9) Apr 01 (7) Mar 31 (10) Mar 30 (6) Mar 29 (7) Mar 28 (5) Mar 27 (3) Mar 26 (10) Mar 25 (4) Mar 24 (5) Mar 23 (10) Mar 22 (6) Mar 21 (5) Mar 20 (11) Mar 19 (8) Mar 18 (5) Mar 17 (4) Mar 16 (11) Mar 15 (10) Mar 14 (7) Mar 13 (7) Mar 12 (5) Mar 11 (3) Mar 10 (3) Mar 09 (5) Mar 08 (6) Mar 07 (8) Mar 06 (6) Mar 05 (12) Mar 04 (6) Mar 03 (8) Mar 02 (6) Mar 01 (8) Feb 28 (7) Feb 27 (5) Feb 26 (6) Feb 25 (7) Feb 24 (3) Feb 23 (6) Feb 22 (4) Feb 21 (3) Feb 20 (1) Feb 19 (6) Feb 18 (4) Feb 17 (4) Feb 16 (2) Feb 15 (5) Feb 14 (3) Feb 13 (6) Feb 12 (6) Feb 11 (4) Feb 10 (6) Feb 09 (6) Feb 08 (4) Feb 07 (6) Feb 06 (4) Feb 05 (2) Feb 04 (3) Feb 03 (5) Feb 02 (1) Feb 01 (4) Jan 31 (8) Jan 30 (2) Jan 29 (4) Jan 28 (1) Jan 27 (4) Jan 26 (7) Jan 25 (4) Jan 23 (4) Jan 22 (8) Jan 21 (2) Jan 20 (2) Jan 19 (3) Jan 18 (4) Jan 17 (2) Jan 16 (7) Jan 15 (6) Jan 14 (4) Jan 13 (6) Jan 12 (5) Jan 11 (4) Jan 10 (5) Jan 09 (4) Jan 08 (5) Jan 07 (4) Jan 05 (5) Jan 04 (4) Jan 03 (3) Jan 02 (2) Jan 01 (1) Dec 31 (5) Dec 29 (4) Dec 28 (5) Dec 26 (3) Dec 25 (2) Dec 24 (3) Dec 23 (2) Dec 22 (4) Dec 21 (4) Dec 20 (3) Dec 19 (3) Dec 18 (2) Dec 17 (1) Dec 16 (4) Dec 15 (2) Dec 14 (3) Dec 13 (7) Dec 12 (5) Dec 11 (4) Dec 10 (3) Dec 09 (2) Dec 08 (2) Dec 07 (4) Dec 06 (4) Dec 05 (1) Dec 04 (5) Dec 03 (3) Dec 02 (5) Dec 01 (6) Nov 30 (5) Nov 29 (10) Nov 28 (6) Nov 27 (2) Nov 26 (3) Nov 24 (2) Nov 23 (5) Nov 22 (4) Nov 21 (3) Nov 20 (6) Nov 19 (2) Nov 18 (5) Nov 17 (5) Nov 16 (3) Nov 15 (2) Nov 14 (3) Nov 13 (3) Nov 12 (2) Nov 11 (4) Nov 10 (5) Nov 09 (4) Nov 08 (5) Nov 07 (5) Nov 06 (5) Nov 05 (4) Nov 04 (5) Nov 02 (4) Nov 01 (4) Oct 31 (9) Oct 30 (9) Oct 29 (3) Oct 28 (2) Oct 27 (6) Oct 26 (6) Oct 25 (6) Oct 24 (3) Oct 23 (6) Oct 22 (4) Oct 20 (3) Oct 19 (6) Oct 18 (5) Oct 17 (5) Oct 16 (4) Oct 15 (5) Oct 14 (2) Oct 13 (4) Oct 12 (7) Oct 11 (5) Oct 10 (4) Oct 09 (5) Oct 08 (10) Oct 07 (1) Oct 06 (10) Oct 05 (6) Oct 04 (8) Oct 03 (3) Oct 02 (4) Oct 01 (6) Sep 30 (5) Sep 29 (1) Sep 28 (6) Sep 27 (6) Sep 26 (5) Sep 25 (3) Sep 24 (6) Sep 23 (5) Sep 22 (7) Sep 21 (6) Sep 20 (6) Sep 19 (5) Sep 18 (3) Sep 17 (5) Sep 16 (5) Sep 15 (5) Sep 14 (6) Sep 13 (4) Sep 12 (5) Sep 11 (7) Sep 10 (6) Sep 09 (5) Sep 08 (3) Sep 07 (4) Sep 06 (8) Sep 05 (6) Sep 04 (7) Sep 03 (3) Sep 02 (4) Sep 01 (5) Aug 31 (8) Aug 30 (6) Aug 29 (6) Aug 28 (6) Aug 27 (1) Aug 26 (4) Aug 25 (3) Aug 24 (7) Aug 23 (4) Aug 22 (4) Aug 21 (4) Aug 20 (7) Aug 18 (5) Aug 17 (8) Aug 16 (8) Aug 15 (4) Aug 14 (6) Aug 13 (5) Aug 12 (4) Aug 11 (2) Aug 10 (5) Aug 09 (4) Aug 08 (8) Aug 07 (4) Aug 06 (3) Aug 05 (4) Aug 04 (4) Aug 03 (10) Aug 02 (9) Aug 01 (8) Jul 31 (1) Jul 30 (3) Jul 29 (2) Jul 28 (11) Jul 27 (10) Jul 26 (10) Jul 25 (7) Jul 24 (5) Jul 23 (3) Jul 22 (2) Jul 21 (7) Jul 20 (10) Jul 19 (8) Jul 18 (7) Jul 17 (1) Jul 16 (10) Jul 14 (7) Jul 13 (6) Jul 12 (11) Jul 11 (7) Jul 10 (5) Jul 09 (6) Jul 08 (5) Jul 07 (8) Jul 06 (4) Jul 05 (6) Jul 04 (6) Jul 03 (7) Jul 02 (6) Jul 01 (2) Jun 30 (7) Jun 29 (7) Jun 28 (5) Jun 27 (8) Jun 26 (5) Jun 25 (6) Jun 23 (4) Jun 22 (4) Jun 21 (5) Jun 20 (8) Jun 18 (2) Jun 17 (3) Jun 16 (4) Jun 15 (3) Jun 14 (7) Jun 13 (4) Jun 12 (7) Jun 11 (3) Jun 10 (2) Jun 09 (8) Jun 08 (8) Jun 07 (8) Jun 06 (10) Jun 05 (14) Jun 04 (6) Jun 03 (6) Jun 02 (8) Jun 01 (6) May 31 (7) May 30 (2) May 29 (7) May 28 (7) May 27 (2) May 26 (4) May 25 (5) May 24 (4) May 23 (5) May 22 (5) May 21 (5) May 20 (3) May 19 (10) May 18 (6) May 17 (3) May 16 (6) May 15 (2) May 14 (3) May 13 (5) May 11 (1) May 10 (5) May 09 (3) May 08 (4) May 07 (2) May 06 (4) May 05 (6) May 04 (5) May 03 (5) May 02 (1) May 01 (6) Apr 30 (6) Apr 29 (7) Apr 28 (8) Apr 27 (9) Apr 26 (14) Apr 25 (6) Apr 24 (6) Apr 23 (7) Apr 22 (1) Apr 21 (8) Apr 20 (3) Apr 19 (6) Apr 18 (4) Apr 17 (7) Apr 16 (1) Apr 15 (8) Apr 14 (1) Apr 13 (7) Apr 12 (10) Apr 11 (7) Apr 10 (2) Apr 09 (2) Apr 08 (4) Apr 07 (3) Apr 06 (6) Apr 05 (6) Apr 04 (9) Apr 03 (4) Apr 02 (5) Apr 01 (2) Mar 31 (5) Mar 30 (4) Mar 29 (8) Mar 28 (5) Mar 27 (9) Mar 26 (4) Mar 25 (5) Mar 24 (11) Mar 23 (10) Mar 22 (9) Mar 21 (10) Mar 20 (11) Mar 19 (5) Mar 18 (7) Mar 17 (3) Mar 16 (7) Mar 15 (6) Mar 14 (6) Mar 13 (9) Mar 12 (6) Mar 11 (3) Mar 10 (3) Mar 09 (5) Mar 08 (6) Mar 07 (13) Mar 06 (6) Mar 05 (3) Mar 04 (7) Mar 03 (4) Mar 02 (5) Mar 01 (6) Feb 28 (6) Feb 27 (4) Feb 26 (5) Feb 25 (6) Feb 24 (6) Feb 23 (9) Feb 22 (6) Feb 21 (7) Feb 20 (8) Feb 19 (6) Feb 18 (3) Feb 17 (4) Feb 16 (6) Feb 15 (5) Feb 14 (7) Feb 13 (5) Feb 12 (3) Feb 11 (4) Feb 10 (5) Feb 09 (9) Feb 08 (8) Feb 07 (7) Feb 06 (10) Feb 05 (7) Feb 04 (2) Feb 03 (8) Feb 02 (7) Feb 01 (5) Jan 31 (4) Jan 30 (4) Jan 29 (7) Jan 28 (3) Jan 27 (7) Jan 26 (8) Jan 25 (6) Jan 24 (6) Jan 23 (5) Jan 22 (4) Jan 21 (6) Jan 20 (8) Jan 19 (6) Jan 18 (8) Jan 17 (12) Jan 16 (5) Jan 15 (4) Jan 14 (8) Jan 12 (6) Jan 11 (6) Jan 10 (7) Jan 09 (4) Jan 08 (6) Jan 07 (4) Jan 06 (6) Jan 05 (9) Jan 04 (9) Jan 03 (4) Jan 02 (6) Jan 01 (8) Dec 31 (2) Dec 30 (1) Dec 29 (5) Dec 28 (4) Dec 27 (8) Dec 26 (4) Dec 24 (5) Dec 23 (7) Dec 22 (12) Dec 21 (4) Dec 20 (7) Dec 19 (3) Dec 18 (5) Dec 17 (3) Dec 16 (1) Dec 15 (7) Dec 14 (10) Dec 13 (7) Dec 12 (12) Dec 10 (3) Dec 09 (6) Dec 08 (7) Dec 07 (12) Dec 06 (6) Dec 05 (13) Dec 04 (6) Dec 02 (8) Dec 01 (8) Nov 30 (6) Nov 29 (7) Nov 28 (7) Nov 27 (4) Nov 26 (8) Nov 24 (2) Nov 23 (5) Nov 22 (11) Nov 21 (7) Nov 20 (3) Nov 19 (10) Nov 18 (7) Nov 17 (6) Nov 16 (11) Nov 15 (10) Nov 14 (7) Nov 13 (3) Nov 12 (5) Nov 11 (12) Nov 10 (4) Nov 09 (14) Nov 08 (10) Nov 07 (11) Nov 06 (8) Nov 05 (5) Nov 04 (11) Nov 03 (9) Nov 02 (10) Nov 01 (8) Oct 31 (12) Oct 30 (5) Oct 29 (5) Oct 28 (5) Oct 27 (11) Oct 26 (13) Oct 25 (9) Oct 24 (10) Oct 23 (8) Oct 22 (5) Oct 21 (11) Oct 20 (8) Oct 19 (6) Oct 18 (5) Oct 17 (5) Oct 16 (6) Oct 15 (4) Oct 14 (9) Oct 13 (10) Oct 12 (11) Oct 11 (9) Oct 10 (10) Oct 09 (7) Oct 08 (5) Oct 07 (10) Oct 06 (9) Oct 05 (14) Oct 04 (9) Oct 03 (12) Oct 02 (4) Oct 01 (9) Sep 30 (5) Sep 29 (7) Sep 28 (13) Sep 27 (10) Sep 26 (11) Sep 25 (3) Sep 24 (9) Sep 23 (7) Sep 22 (10) Sep 21 (12) Sep 20 (12) Sep 19 (4) Sep 18 (5) Sep 17 (7) Sep 16 (11) Sep 15 (8) Sep 14 (5) Sep 13 (8) Sep 12 (8) Sep 11 (6) Sep 10 (10) Sep 09 (5) Sep 08 (9) Sep 07 (8) Sep 06 (11) Sep 05 (2) Sep 04 (8) Sep 03 (2) Sep 02 (6) Sep 01 (9) Aug 31 (9) Aug 30 (7) Aug 29 (9) Aug 28 (4) Aug 27 (8) Aug 26 (6) Aug 25 (5) Aug 24 (8) Aug 23 (4) Aug 22 (5) Aug 21 (2) Aug 20 (4) Aug 19 (6) Aug 18 (4) Aug 17 (4) Aug 16 (6) Aug 15 (3) Aug 14 (4) Aug 13 (7) Aug 12 (6) Aug 11 (3) Aug 10 (5) Aug 09 (8) Aug 08 (9) Aug 07 (7) Aug 06 (7) Aug 05 (7) Aug 04 (7) Aug 03 (11) Aug 02 (6) Aug 01 (9) Jul 31 (11) Jul 28 (7) Jul 27 (11) Jul 26 (5) Jul 25 (5) Jul 24 (1) Jul 22 (3) Jul 21 (2) Jul 20 (9) Jul 19 (8) Jul 18 (6) Jul 17 (7) Jul 15 (4) Jul 14 (2) Jul 13 (6) Jul 12 (10) Jul 11 (11) Jul 10 (2) Jul 09 (3) Jul 08 (5) Jul 07 (5) Jul 06 (6) Jul 05 (3) Jul 04 (6) Jul 03 (5) Jul 02 (3) Jun 30 (8) Jun 29 (5) Jun 28 (6) Jun 27 (4) Jun 26 (4) Jun 25 (1) Jun 24 (5) Jun 23 (11) Jun 21 (5) Jun 20 (5) Jun 19 (7) Jun 17 (4) Jun 16 (7) Jun 15 (4) Jun 14 (6) Jun 13 (4) Jun 12 (4) Jun 11 (6) Jun 10 (6) Jun 09 (8) Jun 08 (6) Jun 07 (8) Jun 06 (7) Jun 05 (5) Jun 04 (7) Jun 03 (1) Jun 02 (9) Jun 01 (5) May 31 (8) May 30 (7) May 29 (5) May 28 (5) May 27 (4) May 26 (4) May 25 (4) May 24 (3) May 23 (5) May 22 (2) May 21 (3) May 20 (7) May 19 (11) May 18 (1) May 17 (7) May 16 (3) May 15 (4) May 14 (3) May 13 (4) May 12 (4) May 11 (11) May 10 (2) May 09 (6) May 08 (6) May 07 (2) May 06 (3) May 05 (4) May 04 (5) May 03 (8) May 02 (4) May 01 (4) Apr 30 (6) Apr 29 (13) Apr 28 (5) Apr 27 (7) Apr 26 (5) Apr 25 (5) Apr 24 (2) Apr 23 (7) Apr 22 (9) Apr 21 (11) Apr 20 (2) Apr 19 (2) Apr 18 (5) Apr 17 (5) Apr 16 (6) Apr 14 (5) Apr 13 (2) Apr 12 (9) Apr 11 (10) Apr 10 (6) Apr 09 (5) Apr 08 (3) Apr 07 (10) Apr 06 (7) Apr 05 (7) Apr 04 (7) Apr 03 (9) Mar 31 (12) Mar 30 (9) Mar 29 (7) Mar 28 (4) Mar 27 (3) Mar 26 (6) Mar 25 (3) Mar 24 (8) Mar 23 (7) Mar 22 (4) Mar 21 (10) Mar 20 (6) Mar 19 (6) Mar 17 (7) Mar 16 (11) Mar 15 (6) Mar 14 (9) Mar 13 (4) Mar 12 (6) Mar 10 (3) Mar 09 (9) Mar 08 (10) Mar 07 (4) Mar 06 (5) Mar 05 (3) Mar 04 (2) Mar 03 (4) Mar 02 (5) Mar 01 (5) Feb 28 (3) Feb 27 (8) Feb 26 (9) Feb 24 (11) Feb 23 (8) Feb 22 (9) Feb 21 (8) Feb 20 (7) Feb 19 (4) Feb 18 (9) Feb 17 (6) Feb 16 (5) Feb 15 (7) Feb 14 (11) Feb 13 (2) Feb 12 (5) Feb 11 (5) Feb 10 (3) Feb 09 (10) Feb 08 (9) Feb 07 (9) Feb 06 (2) Feb 05 (9) Feb 03 (7) Feb 02 (5) Feb 01 (7) Jan 31 (4) Jan 30 (5) Jan 29 (6) Jan 28 (5) Jan 27 (2) Jan 26 (7) Jan 25 (7) Jan 24 (8) Jan 23 (4) Jan 22 (14) Jan 20 (8) Jan 19 (10) Jan 18 (11) Jan 17 (9) Jan 16 (5) Jan 15 (3) Jan 14 (9) Jan 13 (6) Jan 12 (7) Jan 11 (7) Jan 10 (2) Jan 09 (7) Jan 08 (6) Jan 07 (10) Jan 06 (8) Jan 05 (7) Jan 04 (9) Jan 03 (8) Jan 02 (5) Jan 01 (14) Dec 30 (13) Dec 29 (13) Dec 28 (9) Dec 27 (5) Dec 26 (4) Dec 25 (7) Dec 24 (4) Dec 23 (5) Dec 22 (4) Dec 21 (8) Dec 20 (3) Dec 19 (8) Dec 18 (9) Dec 16 (8) Dec 15 (5) Dec 14 (5) Dec 13 (8) Dec 12 (4) Dec 11 (17) Dec 09 (8) Dec 08 (5) Dec 07 (10) Dec 06 (12) Dec 05 (6) Dec 04 (8) Dec 02 (6) Dec 01 (7) Nov 30 (9) Nov 29 (6) Nov 28 (11) Nov 27 (6) Nov 26 (15) Nov 24 (7) Nov 23 (15) Nov 22 (9) Nov 21 (6) Nov 20 (11) Nov 18 (11) Nov 17 (13) Nov 16 (8) Nov 15 (13) Nov 14 (7) Nov 13 (7) Nov 12 (3) Nov 11 (13) Nov 10 (13) Nov 09 (6) Nov 08 (9) Nov 07 (6) Nov 06 (4) Nov 05 (12) Nov 04 (8) Nov 03 (9) Nov 02 (8) Nov 01 (6) Oct 31 (10) Oct 30 (8) Oct 29 (3) Oct 28 (8) Oct 27 (15) Oct 26 (10) Oct 25 (10) Oct 24 (13) Oct 23 (9) Oct 21 (8) Oct 20 (13) Oct 19 (6) Oct 18 (11) Oct 17 (8) Oct 16 (14) Oct 14 (9) Oct 13 (11) Oct 12 (9) Oct 11 (13) Oct 10 (7) Oct 09 (15) Oct 07 (7) Oct 06 (11) Oct 05 (18) Oct 04 (14) Oct 03 (1) Oct 02 (10) Sep 30 (11) Sep 29 (11) Sep 28 (11) Sep 27 (15) Sep 26 (7) Sep 24 (9) Sep 23 (11) Sep 22 (7) Sep 21 (17) Sep 20 (20) Sep 19 (4) Sep 18 (11) Sep 16 (10) Sep 15 (12) Sep 14 (9) Sep 13 (12) Sep 12 (14) Sep 11 (4) Sep 10 (8) Sep 09 (9) Sep 08 (5) Sep 07 (13) Sep 06 (15) Sep 05 (8) Sep 04 (11) Sep 03 (10) Sep 02 (12) Sep 01 (12) Aug 31 (14) Aug 30 (14) Aug 29 (8) Aug 28 (8) Aug 27 (9) Aug 26 (12) Aug 25 (6) Aug 24 (8) Aug 23 (12) Aug 22 (6) Aug 21 (5) Aug 20 (6) Aug 19 (9) Aug 18 (4) Aug 17 (7) Aug 16 (11) Aug 15 (2) Aug 14 (12) Aug 12 (15) Aug 11 (11) Aug 10 (6) Aug 09 (7) Aug 08 (3) Aug 07 (4) Aug 06 (5) Aug 05 (7) Aug 04 (7) Aug 03 (4) Aug 02 (5) Aug 01 (5) Jul 31 (7) Jul 30 (5) Jul 29 (9) Jul 28 (8) Jul 27 (8) Jul 26 (7) Jul 25 (6) Jul 23 (8) Jul 22 (6) Jul 21 (5) Jul 20 (9) Jul 19 (5) Jul 18 (15) Jul 15 (14) Jul 14 (5) Jul 13 (6) Jul 12 (12) Jul 11 (8) Jul 10 (3) Jul 09 (11) Jul 08 (8) Jul 07 (7) Jul 06 (10) Jul 05 (4) Jul 04 (4) Jul 03 (5) Jul 02 (7) Jul 01 (8) Jun 30 (7) Jun 29 (10) Jun 28 (8) Jun 27 (4) Jun 26 (5) Jun 25 (4) Jun 24 (2) Jun 23 (11) Jun 22 (5) Jun 21 (7) Jun 20 (3) Jun 19 (7) Jun 18 (10) Jun 17 (11) Jun 16 (5) Jun 15 (5) Jun 14 (7) Jun 13 (14) Jun 11 (6) Jun 10 (8) Jun 09 (9) Jun 08 (11) Jun 07 (14) Jun 06 (16) Jun 03 (8) Jun 02 (12) Jun 01 (5) May 31 (7) May 30 (15) May 28 (7) May 27 (5) May 26 (21) May 25 (14) May 24 (10) May 23 (7) May 22 (8) May 21 (11) May 20 (5) May 19 (4) May 18 (10) May 17 (11) May 16 (5) May 15 (6) May 14 (7) May 13 (12) May 12 (10) May 11 (7) May 10 (13) May 09 (4) May 08 (7) May 07 (3) May 06 (6) May 05 (9) May 04 (14) May 03 (7) May 02 (10) May 01 (10) Apr 30 (6) Apr 29 (9) Apr 28 (5) Apr 27 (9) Apr 26 (8) Apr 25 (8) Apr 24 (6) Apr 23 (14) Apr 22 (16) Apr 21 (11) Apr 20 (7) Apr 19 (16) Apr 18 (8) Apr 17 (7) Apr 16 (10) Apr 15 (8) Apr 14 (5) Apr 13 (11) Apr 12 (10) Apr 11 (8) Apr 10 (12) Apr 09 (5) Apr 08 (13) Apr 07 (9) Apr 06 (11) Apr 05 (15) Apr 04 (7) Apr 03 (15) Apr 02 (5) Apr 01 (11) Mar 31 (12) Mar 30 (10) Mar 29 (8) Mar 28 (7) Mar 27 (12) Mar 26 (8) Mar 25 (8) Mar 24 (7) Mar 23 (15) Mar 22 (17) Mar 21 (9) Mar 20 (8) Mar 19 (4) Mar 18 (16) Mar 17 (8) Mar 16 (19) Mar 15 (13) Mar 14 (7) Mar 13 (20) Mar 11 (5) Mar 10 (11) Mar 09 (13) Mar 08 (13) Mar 07 (7) Mar 06 (6) Mar 05 (9) Mar 04 (10) Mar 03 (16) Mar 02 (16) Mar 01 (13) Feb 29 (8) Feb 28 (6) Feb 27 (16) Feb 26 (10) Feb 25 (6) Feb 24 (12) Feb 23 (14) Feb 22 (9) Feb 21 (11) Feb 20 (8) Feb 19 (12) Feb 18 (12) Feb 17 (11) Feb 16 (8) Feb 15 (9) Feb 14 (7) Feb 13 (10) Feb 12 (11) Feb 11 (13) Feb 10 (5) Feb 09 (6) Feb 08 (4) Feb 07 (9) Feb 06 (13) Feb 05 (10) Feb 04 (11) Feb 03 (7) Feb 02 (19) Jan 31 (21) Jan 29 (11) Jan 28 (10) Jan 27 (13) Jan 26 (7) Jan 25 (5) Jan 24 (2) Jan 23 (8) Jan 22 (13) Jan 21 (11) Jan 20 (9) Jan 19 (13) Jan 18 (4) Jan 17 (11) Jan 15 (7) Jan 14 (13) Jan 13 (9) Jan 12 (9) Jan 11 (5) Jan 10 (8) Jan 09 (7) Jan 08 (7) Jan 07 (6) Jan 06 (11) Jan 05 (7) Jan 04 (7) Jan 03 (3) Jan 02 (8) Jan 01 (5) Dec 31 (10) Dec 30 (9) Dec 29 (7) Dec 28 (9) Dec 27 (4) Dec 26 (1) Dec 25 (5) Dec 24 (6) Dec 23 (6) Dec 22 (7) Dec 21 (6) Dec 20 (7) Dec 19 (13) Dec 18 (16) Dec 17 (10) Dec 16 (13) Dec 15 (11) Dec 14 (8) Dec 13 (4) Dec 12 (9) Dec 11 (10) Dec 10 (12) Dec 09 (10) Dec 08 (13) Dec 07 (7) Dec 06 (12) Dec 05 (8) Dec 04 (11) Dec 03 (12) Dec 02 (16) Dec 01 (14) Nov 30 (10) Nov 29 (11) Nov 28 (15) Nov 27 (16) Nov 26 (11) Nov 25 (9) Nov 24 (13) Nov 23 (10) Nov 22 (1) Nov 21 (7) Nov 20 (12) Nov 19 (10) Nov 18 (11) Nov 17 (11) Nov 16 (10) Nov 15 (3) Nov 14 (10) Nov 13 (14) Nov 12 (8) Nov 11 (13) Nov 10 (10) Nov 09 (6) Nov 08 (9) Nov 07 (11) Nov 06 (12) Nov 05 (17) Nov 04 (12) Nov 03 (11) Nov 02 (5) Nov 01 (12) Oct 31 (11) Oct 30 (11) Oct 29 (10) Oct 28 (18) Oct 27 (16) Oct 26 (11) Oct 25 (9) Oct 24 (12) Oct 23 (11) Oct 22 (14) Oct 21 (12) Oct 20 (17) Oct 19 (12) Oct 18 (13) Oct 17 (15) Oct 16 (14) Oct 15 (10) Oct 14 (16) Oct 13 (12) Oct 12 (13) Oct 11 (8) Oct 10 (12) Oct 09 (21) Oct 08 (22) Oct 07 (19) Oct 06 (18) Oct 05 (6) Oct 04 (17) Oct 03 (13) Oct 02 (14) Oct 01 (13) Sep 30 (14) Sep 29 (15) Sep 28 (12) Sep 27 (11) Sep 26 (15) Sep 25 (13) Sep 24 (9) Sep 23 (10) Sep 22 (12) Sep 21 (8) Sep 20 (4) Sep 19 (12) Sep 18 (12) Sep 17 (16) Sep 16 (21) Sep 15 (14) Sep 14 (7) Sep 13 (5) Sep 12 (10) Sep 11 (16) Sep 10 (7) Sep 09 (8) Sep 08 (10) Sep 07 (7) Sep 06 (5) Sep 05 (8) Sep 04 (9) Sep 03 (8) Sep 02 (11) Sep 01 (10) Aug 31 (4) Aug 30 (6) Aug 29 (1) Aug 28 (10) Aug 27 (8) Aug 26 (8) Aug 25 (14) Aug 24 (4) Aug 23 (3) Aug 22 (5) Aug 21 (13) Aug 20 (9) Aug 19 (13) Aug 18 (3) Aug 17 (3) Aug 16 (3) Aug 15 (6) Aug 14 (8) Aug 13 (7) Aug 12 (12) Aug 11 (9) Aug 10 (8) Aug 09 (14) Aug 08 (6) Aug 07 (1) Aug 06 (4) Aug 05 (8) Aug 04 (6) Aug 03 (6) Aug 02 (2) Aug 01 (6) Jul 31 (6) Jul 30 (3) Jul 29 (6) Jul 28 (8) Jul 27 (7) Jul 25 (4) Jul 24 (6) Jul 23 (5) Jul 22 (3) Jul 21 (7) Jul 20 (5) Jul 18 (6) Jul 17 (5) Jul 16 (4) Jul 15 (9) Jul 14 (2) Jul 13 (8) Jul 12 (1) Jul 11 (5) Jul 10 (8) Jul 09 (3) Jul 08 (3) Jul 07 (13) Jul 05 (2) Jul 04 (5) Jul 03 (6) Jul 02 (6) Jul 01 (7) Jun 30 (7) Jun 29 (3) Jun 28 (1) Jun 27 (4) Jun 26 (7) Jun 25 (4) Jun 24 (6) Jun 23 (9) Jun 22 (4) Jun 21 (3) Jun 19 (4) Jun 18 (7) Jun 17 (7) Jun 16 (7) Jun 15 (11) Jun 12 (6) Jun 11 (3) Jun 10 (10) Jun 09 (3) Jun 08 (3) Jun 07 (4) Jun 06 (2) Jun 05 (9) Jun 04 (8) Jun 03 (9) Jun 02 (6) Jun 01 (4) May 30 (7) May 29 (9) May 28 (13) May 26 (8) May 25 (5) May 24 (2) May 23 (8) May 22 (9) May 21 (7) May 20 (4) May 19 (6) May 18 (7) May 17 (8) May 15 (9) May 14 (5) May 13 (8) May 12 (6) May 11 (6) May 09 (7) May 08 (6) May 07 (11) May 06 (7) May 05 (4) May 04 (11) May 03 (5) May 02 (4) May 01 (9) Apr 30 (6) Apr 29 (4) Apr 28 (9) Apr 27 (4) Apr 26 (3) Apr 25 (5) Apr 24 (3) Apr 23 (10) Apr 22 (8) Apr 21 (9) Apr 20 (3) Apr 19 (4) Apr 18 (8) Apr 17 (7) Apr 16 (4) Apr 15 (6) Apr 14 (8) Apr 13 (3) Apr 12 (6) Apr 10 (2) Apr 09 (4) Apr 08 (5) Apr 07 (5) Apr 06 (2) Apr 05 (2) Apr 04 (5) Apr 03 (7) Apr 02 (7) Apr 01 (12) Mar 31 (12) Mar 30 (3) Mar 29 (1) Mar 28 (2) Mar 27 (6) Mar 26 (2) Mar 25 (5) Mar 24 (4) Mar 23 (7) Mar 22 (4) Mar 21 (6) Mar 20 (9) Mar 19 (9) Mar 18 (8) Mar 17 (9) Mar 16 (7) Mar 15 (11) Mar 13 (5) Mar 12 (12) Mar 11 (9) Mar 10 (12) Mar 09 (4) Mar 08 (5) Mar 07 (5) Mar 06 (5) Mar 05 (5) Mar 04 (6) Mar 03 (11) Mar 02 (5) Mar 01 (8) Feb 27 (9) Feb 26 (9) Feb 25 (8) Feb 24 (6) Feb 23 (4) Feb 22 (3) Feb 21 (6) Feb 20 (3) Feb 19 (10) Feb 18 (9) Feb 17 (7) Feb 16 (5) Feb 15 (2) Feb 14 (8) Feb 13 (12) Feb 12 (8) Feb 11 (10) Feb 10 (7) Feb 09 (6) Feb 08 (3) Feb 07 (2) Feb 06 (7) Feb 05 (4) Feb 04 (11) Feb 03 (5) Feb 02 (7) Feb 01 (4) Jan 31 (5) Jan 30 (8) Jan 29 (12) Jan 28 (6) Jan 27 (8) Jan 26 (13) Jan 24 (8) Jan 23 (12) Jan 22 (8) Jan 21 (10) Jan 20 (8) Jan 19 (6) Jan 18 (9) Jan 17 (6) Jan 16 (4) Jan 15 (11) Jan 14 (4) Jan 13 (6) Jan 12 (7) Jan 11 (6) Jan 10 (2) Jan 09 (6) Jan 08 (5) Jan 07 (6) Jan 06 (4) Jan 05 (4) Jan 04 (3) Jan 03 (6) Jan 02 (2) Jan 01 (3) Dec 31 (6) Dec 30 (4) Dec 29 (6) Dec 28 (4) Dec 27 (4) Dec 26 (2) Dec 25 (3) Dec 24 (5) Dec 23 (7) Dec 22 (5) Dec 21 (4) Dec 20 (4) Dec 19 (5) Dec 18 (8) Dec 17 (5) Dec 16 (9) Dec 15 (7) Dec 14 (3) Dec 13 (10) Dec 12 (10) Dec 11 (9) Dec 10 (10) Dec 09 (11) Dec 08 (5) Dec 07 (5) Dec 06 (6) Dec 05 (9) Dec 04 (3) Dec 03 (8) Dec 02 (10) Dec 01 (6) Nov 30 (1) Nov 29 (3) Nov 28 (9) Nov 27 (3) Nov 26 (7) Nov 25 (12) Nov 24 (3) Nov 23 (8) Nov 22 (4) Nov 21 (3) Nov 20 (12) Nov 19 (6) Nov 18 (10) Nov 17 (12) Nov 16 (5) Nov 15 (5) Nov 14 (12) Nov 13 (3) Nov 12 (7) Nov 11 (8) Nov 10 (7) Nov 09 (6) Nov 08 (5) Nov 07 (5) Nov 06 (6) Nov 05 (12) Nov 04 (9) Nov 03 (6) Nov 02 (14) Nov 01 (3) Oct 31 (6) Oct 30 (7) Oct 29 (9) Oct 28 (9) Oct 27 (3) Oct 26 (6) Oct 25 (9) Oct 24 (8) Oct 23 (4) Oct 22 (3) Oct 21 (4) Oct 20 (2) Oct 19 (11) Oct 17 (6) Oct 16 (7) Oct 15 (7) Oct 14 (8) Oct 13 (5) Oct 12 (8) Oct 11 (6) Oct 10 (5) Oct 09 (11) Oct 08 (10) Oct 07 (8) Oct 06 (3) Oct 05 (7) Oct 04 (8) Oct 03 (3) Oct 02 (10) Oct 01 (3) Sep 30 (7) Sep 29 (6) Sep 28 (5) Sep 27 (8) Sep 26 (11) Sep 25 (11) Sep 24 (15) Sep 23 (8) Sep 22 (9) Sep 21 (4) Sep 20 (8) Sep 19 (9) Sep 18 (10) Sep 17 (10) Sep 16 (5) Sep 15 (5) Sep 14 (7) Sep 13 (5) Sep 12 (5) Sep 11 (8) Sep 10 (6) Sep 09 (7) Sep 08 (5) Sep 07 (2) Sep 06 (4) Sep 05 (7) Sep 04 (11) Sep 03 (7) Sep 02 (7) Sep 01 (2) Aug 31 (3) Aug 30 (1) Aug 29 (10) Aug 28 (5) Aug 27 (4) Aug 26 (10) Aug 25 (6) Aug 24 (9) Aug 22 (11) Aug 21 (8) Aug 20 (12) Aug 19 (8) Aug 18 (4) Aug 17 (4) Aug 16 (3) Aug 15 (6) Aug 14 (4) Aug 13 (7) Aug 12 (8) Aug 11 (7) Aug 10 (12) Aug 08 (5) Aug 07 (6) Aug 06 (6) Aug 05 (8) Aug 04 (5) Aug 03 (4) Aug 01 (7) Jul 31 (6) Jul 30 (12) Jul 29 (4) Jul 28 (5) Jul 27 (7) Jul 25 (7) Jul 24 (8) Jul 23 (8) Jul 22 (3) Jul 21 (8) Jul 20 (6) Jul 19 (3) Jul 18 (8) Jul 17 (2) Jul 16 (7) Jul 15 (6) Jul 14 (9) Jul 13 (10) Jul 11 (9) Jul 10 (8) Jul 09 (3) Jul 08 (7) Jul 07 (7) Jul 06 (7) Jul 05 (10) Jul 04 (4) Jul 03 (6) Jul 02 (6) Jul 01 (8) Jun 30 (5) Jun 29 (6) Jun 28 (1) Jun 27 (15) Jun 26 (10) Jun 25 (9) Jun 24 (16) Jun 23 (6) Jun 22 (12) Jun 20 (6) Jun 19 (8) Jun 18 (10) Jun 17 (6) Jun 16 (7) Jun 15 (5) Jun 14 (5) Jun 13 (13) Jun 12 (7) Jun 11 (14) Jun 10 (3) Jun 09 (2) Jun 08 (2) Jun 07 (7) Jun 06 (16) Jun 05 (7) Jun 04 (18) Jun 03 (12) Jun 02 (8) May 31 (3) May 30 (6) May 29 (6) May 28 (7) May 27 (4) May 26 (4) May 25 (6) May 23 (4) May 22 (8) May 21 (5) May 20 (6) May 19 (2) May 18 (9) May 17 (1) May 16 (5) May 15 (5) May 14 (7) May 13 (7) May 12 (7) May 11 (4) May 10 (4) May 09 (5) May 08 (10) May 07 (4) May 06 (13) May 05 (4) May 04 (10) May 02 (2) May 01 (5) Apr 30 (9) Apr 29 (6) Apr 28 (3) Apr 27 (4) Apr 26 (9) Apr 25 (9) Apr 24 (7) Apr 23 (11) Apr 22 (7) Apr 21 (3) Apr 20 (10) Apr 19 (6) Apr 18 (5) Apr 17 (6) Apr 16 (6) Apr 15 (7) Apr 14 (11) Apr 13 (4) Apr 12 (5) Apr 11 (9) Apr 10 (4) Apr 09 (6) Apr 08 (6) Apr 07 (3) Apr 06 (6) Apr 05 (10) Apr 03 (9) Apr 02 (9) Apr 01 (12) Mar 31 (4) Mar 30 (9) Mar 29 (10) Mar 28 (7) Mar 27 (8) Mar 26 (8) Mar 25 (15) Mar 24 (11) Mar 23 (8) Mar 22 (7) Mar 21 (14) Mar 20 (6) Mar 19 (11) Mar 18 (11) Mar 17 (12) Mar 16 (8) Mar 15 (8) Mar 14 (13) Mar 13 (8) Mar 12 (10) Mar 11 (8) Mar 10 (7) Mar 09 (3) Mar 08 (12) Mar 07 (15) Mar 06 (16) Mar 05 (9) Mar 04 (6) Mar 03 (12) Mar 02 (20) Feb 28 (11) Feb 27 (8) Feb 26 (11) Feb 25 (6) Feb 24 (14) Feb 23 (5) Feb 22 (6) Feb 21 (8) Feb 20 (11) Feb 19 (7) Feb 18 (4) Feb 17 (8) Feb 16 (11) Feb 15 (3) Feb 14 (10) Feb 13 (4) Feb 12 (10) Feb 11 (7) Feb 10 (7) Feb 09 (4) Feb 08 (6) Feb 07 (5) Feb 06 (4) Feb 05 (10) Feb 04 (5) Feb 03 (4) Feb 02 (4) Feb 01 (3) Jan 31 (3) Jan 30 (5) Jan 29 (2) Jan 28 (6) Jan 27 (3) Jan 26 (2) Jan 25 (5) Jan 24 (7) Jan 23 (4) Jan 22 (4) Jan 21 (5) Jan 20 (5) Jan 19 (6) Jan 18 (7) Jan 17 (6) Jan 16 (4) Jan 15 (3) Jan 14 (5) Jan 13 (4) Jan 12 (5) Jan 11 (3) Jan 10 (5) Jan 09 (6) Jan 08 (6) Jan 07 (3) Jan 06 (1) Jan 05 (4) Jan 04 (5) Jan 03 (3) Jan 02 (6) Jan 01 (2) Dec 31 (6) Dec 30 (1) Dec 29 (5) Dec 27 (1) Dec 26 (2) Dec 25 (4) Dec 24 (8) Dec 23 (2) Dec 22 (1) Dec 20 (3) Dec 19 (8) Dec 18 (3) Dec 17 (4) Dec 16 (3) Dec 15 (3) Dec 14 (3) Dec 13 (3) Dec 12 (4) Dec 11 (4) Dec 10 (7) Dec 09 (5) Dec 08 (2) Dec 07 (5) Dec 06 (6) Dec 05 (10) Dec 04 (9) Dec 03 (4) Dec 02 (2) Dec 01 (8) Nov 29 (5) Nov 28 (7) Nov 27 (5) Nov 26 (9) Nov 25 (3) Nov 24 (5) Nov 23 (6) Nov 22 (5) Nov 21 (12) Nov 20 (12) Nov 19 (10) Nov 18 (4) Nov 17 (3) Nov 16 (8) Nov 15 (7) Nov 14 (7) Nov 13 (6) Nov 12 (12) Nov 11 (6) Nov 10 (3) Nov 09 (4) Nov 08 (10) Nov 07 (5) Nov 06 (5) Nov 05 (9) Nov 04 (4) Nov 03 (4) Nov 02 (3) Nov 01 (3) Oct 31 (10) Oct 30 (4) Oct 29 (11) Oct 28 (3) Oct 27 (7) Oct 26 (7) Oct 25 (6) Oct 24 (7) Oct 23 (11) Oct 22 (2) Oct 21 (7) Oct 20 (4) Oct 19 (6) Oct 18 (7) Oct 17 (5) Oct 16 (8) Oct 15 (5) Oct 14 (5) Oct 13 (3) Oct 12 (7) Oct 11 (20) Oct 10 (2) Oct 09 (4) Oct 08 (21) Oct 07 (20) Oct 06 (34) Oct 04 (24) Oct 03 (21) Oct 02 (3) Oct 01 (7) Sep 30 (3) Sep 29 (5) Sep 28 (6) Sep 27 (5) Sep 26 (6) Sep 25 (5) Sep 24 (2) Sep 23 (8) Sep 22 (4) Sep 21 (3) Sep 20 (9) Sep 19 (11) Sep 18 (5) Sep 17 (7) Sep 16 (6) Sep 15 (3) Sep 14 (7) Sep 13 (8) Sep 12 (11) Sep 11 (7) Sep 10 (6) Sep 09 (5) Sep 08 (3) Sep 07 (6) Sep 06 (10) Sep 05 (7) Sep 04 (7) Sep 03 (5) Sep 02 (4) Sep 01 (8) Aug 31 (5) Aug 30 (7) Aug 29 (10) Aug 28 (7) Aug 27 (6) Aug 26 (6) Aug 25 (3) Aug 24 (8) Aug 23 (6) Aug 22 (6) Aug 21 (8) Aug 20 (8) Aug 19 (4) Aug 18 (2) Aug 17 (5) Aug 16 (7) Aug 15 (4) Aug 14 (3) Aug 13 (4) Aug 12 (6) Aug 11 (6) Aug 10 (4) Aug 09 (8) Aug 08 (6) Aug 07 (4) Aug 06 (6) Aug 05 (4) Aug 04 (12) Aug 03 (3) Aug 02 (4) Aug 01 (10) Jul 31 (3) Jul 30 (7) Jul 29 (3) Jul 28 (6) Jul 27 (4) Jul 26 (5) Jul 25 (4) Jul 24 (7) Jul 23 (10) Jul 22 (8) Jul 21 (5) Jul 20 (4) Jul 19 (7) Jul 18 (9) Jul 17 (10) Jul 16 (11) Jul 15 (5) Jul 13 (5) Jul 12 (9) Jul 11 (11) Jul 10 (12) Jul 09 (6) Jul 08 (5) Jul 07 (8) Jul 06 (9) Jul 05 (10) Jul 04 (8) Jul 03 (10) Jul 02 (12) Jul 01 (8) Jun 30 (5) Jun 29 (6) Jun 28 (23) Jun 27 (18) Jun 26 (12) Jun 25 (14) Jun 24 (15) Jun 23 (11) Jun 22 (11) Jun 21 (15) Jun 20 (9) Jun 19 (8) Jun 18 (11) Jun 17 (7) Jun 16 (6) Jun 15 (6) Jun 14 (6) Jun 13 (5) Jun 12 (6) Jun 11 (9) Jun 10 (10) Jun 09 (9) Jun 08 (6) Jun 07 (2) Jun 06 (6) Jun 05 (4) Jun 04 (3) Jun 03 (4) Jun 02 (3) Jun 01 (6) May 31 (3) May 30 (5) May 29 (8) May 28 (7) May 27 (2) May 26 (2) May 25 (8) May 24 (7) May 23 (6) May 22 (9) May 21 (6) May 20 (5) May 19 (6) May 18 (9) May 17 (10) May 16 (11) May 15 (5) May 14 (11) May 13 (6) May 12 (7) May 11 (7) May 10 (5) May 09 (3) May 08 (10) May 07 (8) May 06 (11) May 05 (5) May 04 (9) May 03 (3) May 02 (2) May 01 (5) Apr 30 (5) Apr 29 (8) Apr 28 (6) Apr 27 (4) Apr 26 (9) Apr 25 (11) Apr 24 (4) Apr 23 (11) Apr 22 (7) Apr 21 (5) Apr 20 (7) Apr 19 (10) Apr 18 (8) Apr 17 (10) Apr 16 (8) Apr 15 (4) Apr 14 (5) Apr 13 (7) Apr 12 (11) Apr 11 (6) Apr 10 (7) Apr 09 (6) Apr 08 (3) Apr 07 (3) Apr 06 (9) Apr 05 (10) Apr 04 (7) Apr 03 (2) Apr 02 (6) Apr 01 (4) Mar 31 (3) Mar 30 (4) Mar 29 (3) Mar 28 (5) Mar 27 (10) Mar 26 (5) Mar 25 (4) Mar 24 (5) Mar 23 (7) Mar 22 (6) Mar 21 (9) Mar 20 (5) Mar 19 (5) Mar 18 (9) Mar 17 (2) Mar 16 (8) Mar 15 (10) Mar 14 (9) Mar 13 (10) Mar 12 (10) Mar 11 (2) Mar 10 (1) Mar 09 (6) Mar 08 (4) Mar 07 (4) Mar 06 (3) Mar 05 (3) Mar 04 (7) Mar 03 (6) Mar 02 (8) Mar 01 (9) Feb 28 (6) Feb 27 (3) Feb 26 (8) Feb 25 (7) Feb 24 (3) Feb 23 (4) Feb 22 (4) Feb 21 (7) Feb 20 (4) Feb 19 (4) Feb 18 (2) Feb 17 (1) Feb 16 (6) Feb 15 (6) Feb 14 (5) Feb 13 (4) Feb 12 (7) Feb 11 (2) Feb 10 (2) Feb 09 (5) Feb 08 (5) Feb 07 (9) Feb 06 (4) Feb 05 (9) Feb 04 (3) Feb 03 (3) Feb 02 (10) Feb 01 (9) Jan 31 (5) Jan 30 (8) Jan 29 (5) Jan 28 (3) Jan 27 (4) Jan 26 (5) Jan 25 (6) Jan 24 (5) Jan 23 (4) Jan 22 (8) Jan 21 (3) Jan 20 (3) Jan 19 (7) Jan 18 (3) Jan 17 (6) Jan 16 (8) Jan 15 (7) Jan 14 (9) Jan 13 (1) Jan 12 (7) Jan 11 (1) Jan 10 (3) Jan 09 (3) Jan 08 (5) Jan 07 (4) Jan 06 (2) Jan 05 (3) Jan 04 (5) Jan 03 (4) Jan 02 (4) Jan 01 (4) Dec 31 (3) Dec 30 (4) Dec 29 (5) Dec 28 (8) Dec 27 (4) Dec 26 (4) Dec 25 (2) Dec 24 (4) Dec 23 (4) Dec 22 (7) Dec 21 (5) Dec 20 (3) Dec 19 (4) Dec 18 (6) Dec 17 (4) Dec 16 (5) Dec 15 (5) Dec 14 (8) Dec 13 (3) Dec 12 (6) Dec 11 (8) Dec 10 (5) Dec 09 (4) Dec 08 (4) Dec 07 (7) Dec 06 (7) Dec 05 (6) Dec 04 (6) Dec 03 (7) Dec 02 (1) Dec 01 (6) Nov 30 (2) Nov 29 (8) Nov 28 (16) Nov 27 (7) Nov 26 (5) Nov 25 (2) Nov 24 (6) Nov 23 (5) Nov 22 (5) Nov 21 (5) Nov 20 (15) Nov 19 (8) Nov 18 (2) Nov 17 (3) Nov 16 (5) Nov 15 (7) Nov 14 (6) Nov 13 (9) Nov 12 (7) Nov 11 (8) Nov 10 (3) Nov 09 (5) Nov 08 (8) Nov 07 (9) Nov 06 (9) Nov 05 (1) Nov 04 (4) Nov 03 (8) Nov 02 (6) Nov 01 (3) Oct 31 (6) Oct 30 (7) Oct 29 (3) Oct 28 (3) Oct 27 (4) Oct 26 (4) Oct 25 (8) Oct 24 (4) Oct 23 (1) Oct 22 (6) Oct 21 (1) Oct 20 (8) Oct 19 (6) Oct 18 (10) Oct 17 (6) Oct 16 (15) Oct 15 (4) Oct 14 (5) Oct 13 (3) Oct 12 (9) Oct 11 (7) Oct 10 (1) Oct 09 (5) Oct 08 (7) Oct 07 (3) Oct 06 (8) Oct 05 (5) Oct 04 (3) Oct 03 (7) Oct 02 (6) Oct 01 (6) Sep 30 (8) Sep 29 (6) Sep 28 (13) Sep 27 (10) Sep 26 (8) Sep 25 (8) Sep 24 (8) Sep 23 (3) Sep 22 (7) Sep 21 (9) Sep 20 (7) Sep 19 (8) Sep 18 (4) Sep 17 (3) Sep 16 (4) Sep 15 (8) Sep 14 (5) Sep 13 (7) Sep 12 (7) Sep 11 (9) Sep 10 (4) Sep 09 (10) Sep 08 (4) Sep 07 (12) Sep 06 (13) Sep 05 (15) Sep 04 (5) Sep 03 (4) Sep 02 (6) Sep 01 (9) Aug 31 (7) Aug 30 (6) Aug 29 (8) Aug 28 (11) Aug 27 (2) Aug 26 (6) Aug 25 (15) Aug 24 (6) Aug 23 (8) Aug 22 (5) Aug 21 (6) Aug 20 (7) Aug 19 (2) Aug 18 (5) Aug 17 (5) Aug 16 (11) Aug 15 (4) Aug 14 (6) Aug 13 (9) Aug 12 (4) Aug 11 (5) Aug 10 (6) Aug 09 (5) Aug 08 (7) Aug 07 (9) Aug 06 (4) Aug 05 (4) Aug 04 (4) Aug 03 (8) Aug 02 (9) Aug 01 (10) Jul 31 (11) Jul 30 (4) Jul 29 (3) Jul 28 (11) Jul 27 (4) Jul 26 (7) Jul 25 (7) Jul 24 (4) Jul 23 (8) Jul 22 (5) Jul 21 (4) Jul 20 (10) Jul 19 (6) Jul 18 (9) Jul 17 (6) Jul 16 (7) Jul 15 (6) Jul 14 (4) Jul 13 (7) Jul 12 (8) Jul 11 (6) Jul 10 (14) Jul 09 (6) Jul 08 (5) Jul 07 (4) Jul 06 (9) Jul 05 (8) Jul 04 (5) Jul 03 (8) Jul 02 (5) Jul 01 (5) Jun 30 (6) Jun 29 (3) Jun 28 (3) Jun 27 (4) Jun 26 (8) Jun 25 (3) Jun 24 (5) Jun 23 (14) Jun 22 (11) Jun 21 (5) Jun 20 (8) Jun 19 (7) Jun 18 (4) Jun 17 (3) Jun 16 (12) Jun 15 (12) Jun 14 (10) Jun 13 (10) Jun 12 (9) Jun 11 (6) Jun 10 (12) Jun 09 (4) Jun 08 (3) Jun 07 (12) Jun 06 (6) Jun 05 (7) Jun 04 (6) Jun 03 (3) Jun 02 (4) Jun 01 (8) May 31 (4) May 30 (3) May 29 (8) May 28 (7) May 27 (4) May 26 (3) May 25 (5) May 24 (9) May 23 (16) May 22 (12) May 21 (11) May 20 (7) May 19 (10) May 18 (8) May 17 (8) May 16 (10) May 15 (8) May 14 (5) May 13 (1) May 12 (6) May 11 (9) May 10 (9) May 09 (10) May 08 (9) May 07 (6) May 06 (5) May 05 (7) May 04 (10) May 03 (7) May 02 (9) May 01 (10) Apr 30 (4) Apr 29 (9) Apr 28 (12) Apr 27 (9) Apr 26 (4) Apr 25 (5) Apr 24 (9) Apr 23 (4) Apr 22 (7) Apr 21 (8) Apr 20 (9) Apr 19 (6) Apr 18 (4) Apr 17 (2) Apr 16 (4) Apr 15 (10) Apr 14 (7) Apr 13 (5) Apr 12 (7) Apr 11 (7) Apr 10 (7) Apr 09 (6) Apr 08 (7) Apr 07 (10) Apr 06 (8) Apr 05 (8) Apr 04 (9) Apr 03 (6) Apr 02 (4) Apr 01 (4) Mar 31 (11) Mar 30 (12) Mar 29 (16) Mar 28 (8) Mar 27 (10) Mar 26 (12) Mar 25 (6) Mar 24 (9) Mar 23 (3) Mar 22 (12) Mar 21 (12) Mar 20 (14) Mar 19 (8) Mar 18 (7) Mar 17 (8) Mar 16 (4) Mar 15 (10) Mar 14 (9) Mar 13 (9) Mar 12 (6) Mar 11 (5) Mar 10 (13) Mar 09 (8) Mar 08 (10) Mar 07 (12) Mar 06 (6) Mar 05 (4) Mar 04 (2) Mar 03 (3) Mar 02 (12) Mar 01 (8) Feb 29 (11) Feb 28 (5) Feb 27 (3) Feb 26 (13) Feb 25 (10) Feb 24 (13) Feb 23 (10) Feb 22 (9) Feb 21 (18) Feb 20 (6) Feb 19 (7) Feb 18 (9) Feb 17 (5) Feb 16 (9) Feb 15 (7) Feb 14 (6) Feb 13 (5) Feb 12 (6) Feb 11 (4) Feb 10 (8) Feb 09 (5) Feb 08 (8) Feb 07 (10) Feb 06 (7) Feb 05 (7) Feb 04 (5) Feb 03 (11) Feb 02 (4) Feb 01 (3) Jan 31 (12) Jan 30 (7) Jan 29 (7) Jan 28 (7) Jan 27 (12) Jan 26 (7) Jan 25 (11) Jan 24 (4) Jan 23 (6) Jan 22 (8) Jan 21 (12) Jan 20 (11) Jan 19 (6) Jan 18 (6) Jan 17 (11) Jan 16 (9) Jan 15 (4) Jan 14 (3) Jan 13 (6) Jan 12 (9) Jan 11 (9) Jan 10 (10) Jan 09 (5) Jan 08 (10) Jan 07 (5) Jan 06 (6) Jan 05 (8) Jan 04 (5) Jan 03 (8) Jan 02 (7) Jan 01 (7) Dec 31 (10) Dec 30 (11) Dec 29 (6) Dec 28 (5) Dec 27 (10) Dec 26 (4) Dec 25 (5) Dec 24 (7) Dec 23 (2) Dec 22 (9) Dec 21 (8) Dec 20 (8) Dec 19 (5) Dec 18 (1) Dec 17 (5) Dec 16 (6) Dec 15 (5) Dec 14 (13) Dec 13 (8) Dec 12 (7) Dec 11 (9) Dec 10 (12) Dec 09 (7) Dec 08 (11) Dec 07 (9) Dec 06 (11) Dec 05 (10) Dec 04 (6) Dec 03 (8) Dec 02 (6) Dec 01 (14) Nov 30 (7) Nov 29 (8) Nov 28 (8) Nov 27 (6) Nov 26 (9) Nov 25 (10) Nov 24 (12) Nov 23 (10) Nov 22 (10) Nov 21 (10) Nov 20 (4) Nov 19 (4) Nov 18 (8) Nov 17 (9) Nov 16 (9) Nov 15 (12) Nov 14 (6) Nov 13 (9) Nov 12 (3) Nov 11 (9) Nov 10 (10) Nov 09 (10) Nov 08 (7) Nov 07 (8) Nov 06 (10) Nov 05 (8) Nov 04 (7) Nov 03 (10) Nov 02 (11) Nov 01 (10) Oct 31 (5) Oct 30 (8) Oct 29 (8) Oct 28 (8) Oct 27 (11) Oct 26 (6) Oct 25 (9) Oct 24 (10) Oct 23 (5) Oct 22 (14) Oct 21 (10) Oct 20 (8) Oct 19 (11) Oct 18 (13) Oct 17 (7) Oct 16 (6) Oct 15 (9) Oct 14 (7) Oct 13 (12) Oct 12 (13) Oct 11 (9) Oct 10 (8) Oct 09 (9) Oct 08 (7) Oct 07 (12) Oct 06 (8) Oct 05 (13) Oct 04 (11) Oct 03 (7) Oct 02 (5) Oct 01 (14) Sep 30 (12) Sep 29 (12) Sep 28 (11) Sep 27 (11) Sep 26 (7) Sep 25 (10) Sep 24 (3) Sep 23 (7) Sep 22 (8) Sep 21 (8) Sep 20 (8) Sep 19 (7) Sep 18 (5) Sep 17 (14) Sep 16 (7) Sep 15 (11) Sep 14 (13) Sep 13 (11) Sep 12 (9) Sep 11 (5) Sep 10 (4) Sep 09 (13) Sep 08 (11) Sep 07 (11) Sep 06 (16) Sep 05 (1) Sep 04 (10) Sep 03 (8) Sep 02 (8) Sep 01 (7) Aug 31 (1) Aug 30 (6) Aug 29 (2) Aug 28 (3) Aug 27 (6) Aug 26 (8) Aug 25 (5) Aug 24 (5) Aug 23 (6) Aug 22 (7) Aug 21 (6) Aug 20 (4) Aug 19 (9) Aug 18 (7) Aug 17 (7) Aug 16 (10) Aug 15 (2) Aug 14 (5) Aug 13 (5) Aug 12 (10) Aug 11 (5) Aug 10 (4) Aug 09 (8) Aug 08 (3) Aug 07 (5) Aug 06 (12) Aug 05 (5) Aug 04 (7) Aug 03 (6) Aug 02 (7) Aug 01 (14) Jul 31 (7) Jul 30 (7) Jul 29 (13) Jul 28 (10) Jul 27 (6) Jul 26 (7) Jul 25 (7) Jul 24 (4) Jul 23 (12) Jul 22 (14) Jul 21 (6) Jul 20 (9) Jul 19 (12) Jul 18 (9) Jul 17 (4) Jul 16 (6) Jul 15 (8) Jul 14 (15) Jul 13 (8) Jul 12 (10) Jul 11 (6) Jul 10 (6) Jul 09 (6) Jul 08 (6) Jul 07 (9) Jul 06 (15) Jul 05 (6) Jul 04 (10) Jul 03 (6) Jul 02 (6) Jul 01 (11) Jun 30 (7) Jun 29 (4) Jun 28 (8) Jun 27 (8) Jun 26 (5) Jun 25 (11) Jun 24 (9) Jun 23 (10) Jun 22 (8) Jun 21 (8) Jun 20 (6) Jun 19 (5) Jun 18 (15) Jun 17 (8) Jun 16 (13) Jun 15 (15) Jun 14 (11) Jun 13 (6) Jun 12 (15) Jun 11 (7) Jun 10 (7) Jun 09 (18) Jun 08 (20) Jun 07 (17) Jun 06 (9) Jun 05 (9) Jun 04 (12) Jun 03 (13) Jun 02 (14) Jun 01 (8) May 31 (13) May 30 (8) May 29 (6) May 28 (8) May 27 (17) May 26 (8) May 25 (13) May 24 (12) May 23 (9) May 22 (4) May 21 (4) May 20 (11) May 19 (14) May 18 (6) May 17 (10) May 16 (4) May 15 (5) May 14 (28) May 12 (9) May 11 (17) May 10 (15) May 09 (12) May 08 (5) May 07 (4) May 06 (10) May 05 (8) May 04 (10) May 03 (5) May 02 (6) May 01 (8) Apr 30 (8) Apr 29 (12) Apr 28 (6) Apr 27 (11) Apr 26 (12) Apr 25 (6) Apr 24 (3) Apr 23 (5) Apr 22 (10) Apr 21 (19) Apr 20 (13) Apr 19 (11) Apr 18 (11) Apr 17 (5) Apr 16 (12) Apr 15 (11) Apr 14 (17) Apr 13 (6) Apr 12 (16) Apr 11 (10) Apr 10 (1) Apr 09 (18) Apr 08 (14) Apr 07 (6) Apr 06 (10) Apr 05 (21) Apr 04 (12) Apr 03 (4) Apr 02 (13) Apr 01 (8) Mar 31 (10) Mar 30 (11) Mar 29 (10) Mar 28 (8) Mar 27 (6) Mar 26 (12) Mar 25 (15) Mar 24 (10) Mar 23 (12) Mar 22 (12) Mar 21 (8) Mar 20 (4) Mar 19 (11) Mar 18 (7) Mar 17 (7) Mar 16 (9) Mar 15 (10) Mar 14 (4) Mar 13 (2) Mar 12 (14) Mar 11 (13) Mar 10 (7) Mar 09 (9) Mar 08 (17) Mar 07 (5) Mar 06 (7) Mar 05 (13) Mar 04 (10) Mar 03 (14) Mar 02 (12) Mar 01 (18) Feb 28 (8) Feb 27 (2) Feb 26 (9) Feb 25 (13) Feb 24 (17) Feb 23 (13) Feb 22 (12) Feb 21 (11) Feb 20 (11) Feb 19 (16) Feb 18 (17) Feb 17 (15) Feb 16 (15) Feb 15 (15) Feb 14 (10) Feb 13 (8) Feb 12 (10) Feb 11 (15) Feb 10 (11) Feb 09 (13) Feb 08 (10) Feb 07 (9) Feb 06 (6) Feb 05 (15) Feb 04 (15) Feb 03 (11) Feb 02 (14) Feb 01 (15) Jan 31 (11) Jan 30 (9) Jan 29 (19) Jan 28 (9) Jan 27 (9) Jan 26 (16) Jan 25 (19) Jan 24 (17) Jan 23 (8) Jan 22 (15) Jan 21 (9) Jan 20 (11) Jan 19 (7) Jan 18 (9) Jan 17 (6) Jan 16 (7) Jan 15 (12) Jan 14 (9) Jan 13 (14) Jan 12 (11) Jan 11 (13) Jan 10 (8) Jan 09 (8) Jan 08 (20) Jan 07 (11) Jan 06 (11) Jan 05 (8) Jan 04 (14) Jan 03 (6) Jan 02 (7) Jan 01 (7) Dec 31 (14) Dec 30 (15) Dec 29 (7) Dec 28 (10) Dec 27 (4) Dec 26 (3) Dec 25 (11) Dec 24 (9) Dec 23 (9) Dec 22 (15) Dec 21 (12) Dec 20 (11) Dec 19 (4) Dec 18 (16) Dec 17 (6) Dec 16 (12) Dec 15 (14) Dec 14 (11) Dec 13 (10) Dec 12 (6) Dec 11 (10) Dec 10 (17) Dec 09 (11) Dec 08 (12) Dec 07 (16) Dec 06 (11) Dec 05 (5) Dec 04 (12) Dec 03 (15) Dec 02 (15) Dec 01 (12) Nov 30 (16) Nov 29 (7) Nov 28 (11) Nov 27 (13) Nov 26 (13) Nov 25 (16) Nov 24 (15) Nov 23 (10) Nov 22 (10) Nov 21 (4) Nov 20 (8) Nov 19 (9) Nov 18 (16) Nov 17 (11) Nov 16 (11) Nov 15 (10) Nov 14 (9) Nov 13 (6) Nov 12 (10) Nov 11 (12) Nov 10 (15) Nov 09 (9) Nov 08 (10) Nov 07 (6) Nov 06 (7) Nov 05 (12) Nov 04 (14) Nov 03 (10) Nov 02 (13) Nov 01 (9) Oct 31 (9) Oct 30 (11) Oct 29 (18) Oct 28 (13) Oct 27 (23) Oct 26 (12) Oct 25 (14) Oct 24 (20) Oct 22 (18) Oct 21 (18) Oct 20 (19) Oct 19 (12) Oct 18 (11) Oct 17 (5) Oct 16 (18) Oct 15 (8) Oct 14 (11) Oct 13 (9) Oct 12 (13) Oct 11 (6) Oct 10 (7) Oct 09 (27) Oct 08 (14) Oct 07 (10) Oct 06 (9) Oct 05 (7) Oct 04 (10) Oct 03 (6) Oct 02 (9) Oct 01 (13) Sep 30 (12) Sep 29 (13) Sep 28 (8) Sep 27 (9) Sep 26 (8) Sep 25 (14) Sep 24 (4) Sep 23 (14) Sep 22 (20) Sep 21 (11) Sep 20 (6) Sep 19 (9) Sep 18 (14) Sep 17 (8) Sep 16 (17) Sep 15 (6) Sep 14 (11) Sep 13 (9) Sep 12 (4) Sep 11 (7) Sep 10 (14) Sep 09 (12) Sep 08 (17) Sep 07 (12) Sep 06 (13) Sep 05 (9) Sep 04 (20) Sep 03 (16) Sep 02 (16) Sep 01 (10) Aug 31 (13) Aug 30 (4) Aug 29 (9) Aug 28 (6) Aug 27 (8) Aug 26 (11) Aug 25 (10) Aug 24 (14) Aug 23 (12) Aug 22 (13) Aug 21 (10) Aug 20 (13) Aug 19 (15) Aug 18 (8) Aug 17 (10) Aug 16 (8) Aug 15 (3) Aug 14 (11) Aug 13 (12) Aug 12 (15) Aug 11 (10) Aug 10 (17) Aug 09 (6) Aug 08 (13) Aug 07 (11) Aug 06 (13) Aug 05 (11) Aug 04 (11) Aug 03 (10) Aug 02 (7) Aug 01 (6) Jul 31 (10) Jul 30 (21) Jul 29 (14) Jul 28 (13) Jul 27 (16) Jul 26 (10) Jul 25 (15) Jul 24 (17) Jul 23 (15) Jul 22 (15) Jul 21 (19) Jul 20 (17) Jul 19 (9) Jul 18 (7) Jul 17 (26) Jul 16 (18) Jul 15 (20) Jul 14 (16) Jul 13 (19) Jul 12 (11) Jul 11 (5) Jul 10 (13) Jul 09 (11) Jul 08 (8) Jul 07 (12) Jul 06 (16) Jul 05 (9) Jul 04 (5) Jul 03 (15) Jul 02 (11) Jul 01 (14) Jun 30 (13) Jun 29 (19) Jun 28 (8) Jun 27 (9) Jun 26 (16) Jun 25 (22) Jun 24 (17) Jun 23 (11) Jun 22 (15) Jun 21 (14) Jun 20 (8) Jun 19 (17) Jun 18 (10) Jun 17 (10) Jun 16 (17) Jun 15 (13) Jun 14 (14) Jun 13 (4) Jun 12 (13) Jun 11 (15) Jun 10 (25) Jun 09 (10) Jun 08 (23) Jun 07 (14) Jun 06 (20) Jun 05 (10) Jun 04 (11) Jun 03 (12) Jun 02 (21) Jun 01 (14) May 31 (10) May 30 (14) May 29 (8) May 28 (23) May 27 (20) May 26 (16) May 25 (13) May 24 (12) May 23 (10) May 22 (18) May 21 (14) May 20 (12) May 19 (18) May 18 (14) May 17 (13) May 16 (4) May 15 (7) May 14 (16) May 13 (13) May 12 (8) May 11 (18) May 10 (8) May 09 (7) May 08 (13) May 07 (11) May 06 (15) May 05 (18) May 04 (17) May 03 (7) May 02 (5) May 01 (11) Apr 30 (19) Apr 29 (21) Apr 28 (18) Apr 27 (16) Apr 26 (8) Apr 25 (11) Apr 24 (9) Apr 23 (20) Apr 22 (23) Apr 21 (5) Apr 20 (16) Apr 19 (13) Apr 18 (6) Apr 17 (6) Apr 16 (16) Apr 15 (18) Apr 14 (13) Apr 13 (14) Apr 12 (9) Apr 11 (3) Apr 10 (16) Apr 09 (14) Apr 08 (12) Apr 07 (18) Apr 06 (7) Apr 05 (11) Apr 04 (9) Apr 03 (19) Apr 02 (17) Apr 01 (16) Mar 31 (16) Mar 30 (22) Mar 29 (16) Mar 28 (16) Mar 27 (19) Mar 26 (31) Mar 25 (25) Mar 24 (26) Mar 23 (27) Mar 22 (22) Mar 21 (22) Mar 20 (13) Mar 19 (21) Mar 18 (20) Mar 17 (24) Mar 16 (18) Mar 15 (9) Mar 14 (9) Mar 13 (29) Mar 12 (15) Mar 11 (11) Mar 10 (11) Mar 09 (20) Mar 08 (12) Mar 07 (6) Mar 06 (21) Mar 05 (22) Mar 04 (19) Mar 03 (9) Mar 02 (20) Mar 01 (11) Feb 28 (11) Feb 27 (27) Feb 26 (15) Feb 25 (18) Feb 24 (17) Feb 23 (19) Feb 22 (24) Feb 21 (10) Feb 20 (14) Feb 19 (25) Feb 18 (16) Feb 17 (19) Feb 16 (23) Feb 15 (8) Feb 14 (11) Feb 13 (25) Feb 12 (16) Feb 11 (12) Feb 10 (18) Feb 09 (12) Feb 08 (14) Feb 07 (8) Feb 06 (27) Feb 05 (28) Feb 04 (24) Feb 03 (17) Feb 02 (20) Feb 01 (23) Jan 31 (16) Jan 30 (20) Jan 29 (26) Jan 28 (17) Jan 27 (21) Jan 26 (24) Jan 25 (16) Jan 24 (14) Jan 23 (16) Jan 22 (17) Jan 21 (19) Jan 20 (21) Jan 19 (17) Jan 18 (13) Jan 17 (14) Jan 16 (10) Jan 15 (21) Jan 14 (16) Jan 13 (19) Jan 12 (30) Jan 11 (14) Jan 10 (11) Jan 09 (8) Jan 08 (23) Jan 07 (13) Jan 06 (21) Jan 05 (15) Jan 04 (18) Jan 03 (9) Jan 02 (12) Jan 01 (15) Dec 31 (18) Dec 30 (7) Dec 29 (13) Dec 28 (11) Dec 27 (8) Dec 26 (6) Dec 25 (8) Dec 24 (28) Dec 23 (12) Dec 22 (12) Dec 21 (17) Dec 20 (19) Dec 19 (19) Dec 18 (22) Dec 17 (24) Dec 16 (17) Dec 15 (29) Dec 14 (22) Dec 13 (12) Dec 12 (22) Dec 11 (24) Dec 10 (25) Dec 09 (18) Dec 08 (15) Dec 07 (21) Dec 06 (24) Dec 05 (30) Dec 04 (28) Dec 03 (26) Dec 02 (22) Dec 01 (33) Nov 30 (23) Nov 29 (9) Nov 28 (18) Nov 27 (25) Nov 26 (17) Nov 25 (23) Nov 24 (27) Nov 23 (12) Nov 22 (10) Nov 21 (15) Nov 20 (23) Nov 19 (23) Nov 18 (24) Nov 17 (21) Nov 16 (20) Nov 15 (13) Nov 14 (15) Nov 13 (27) Nov 12 (23) Nov 11 (19) Nov 10 (21) Nov 09 (13) Nov 08 (16) Nov 07 (16) Nov 06 (32) Nov 05 (24) Nov 04 (20) Nov 03 (29) Nov 02 (12) Nov 01 (15) Oct 31 (20) Oct 30 (22) Oct 29 (27) Oct 28 (20) Oct 27 (23) Oct 26 (21) Oct 25 (15) Oct 24 (23) Oct 23 (26) Oct 22 (27) Oct 21 (28) Oct 20 (24) Oct 19 (13) Oct 18 (9) Oct 17 (30) Oct 16 (8) Oct 15 (20) Oct 14 (14) Oct 13 (17) Oct 12 (16) Oct 11 (8) Oct 10 (19) Oct 09 (22) Oct 08 (16) Oct 07 (18) Oct 06 (23) Oct 05 (7) Oct 04 (15) Oct 03 (21) Oct 02 (17) Oct 01 (22) Sep 30 (25) Sep 29 (20) Sep 28 (17) Sep 27 (13) Sep 26 (20) Sep 25 (15) Sep 24 (24) Sep 23 (23) Sep 22 (18) Sep 21 (20) Sep 20 (11) Sep 19 (24) Sep 18 (25) Sep 17 (25) Sep 16 (19) Sep 15 (21) Sep 14 (15) Sep 13 (10) Sep 12 (23) Sep 11 (23) Sep 10 (25) Sep 09 (25) Sep 08 (17) Sep 07 (3) Sep 06 (17) Sep 05 (14) Sep 04 (24) Sep 03 (16) Sep 02 (11) Sep 01 (19) Aug 31 (20) Aug 30 (11) Aug 29 (24) Aug 28 (24) Aug 27 (16) Aug 26 (26) Aug 25 (21) Aug 24 (15) Aug 23 (19) Aug 22 (15) Aug 21 (25) Aug 20 (27) Aug 19 (19) Aug 18 (24) Aug 17 (14) Aug 16 (10) Aug 15 (15) Aug 14 (16) Aug 13 (21) Aug 12 (30) Aug 11 (19) Aug 10 (8) Aug 09 (12) Aug 08 (17) Aug 07 (21) Aug 06 (26) Aug 05 (23) Aug 04 (21) Aug 03 (12) Aug 02 (7) Aug 01 (19) Jul 31 (21) Jul 30 (25) Jul 29 (29) Jul 28 (23) Jul 27 (17) Jul 26 (11) Jul 25 (21) Jul 24 (14) Jul 23 (15) Jul 22 (19) Jul 21 (15) Jul 20 (9) Jul 19 (10) Jul 18 (15) Jul 17 (22) Jul 16 (18) Jul 15 (21) Jul 14 (20) Jul 13 (7) Jul 12 (9) Jul 11 (29) Jul 10 (19) Jul 09 (17) Jul 08 (26) Jul 07 (21) Jul 06 (18) Jul 05 (14) Jul 04 (20) Jul 03 (17) Jul 02 (24) Jul 01 (23) Jun 30 (23) Jun 29 (18) Jun 28 (16) Jun 27 (16) Jun 26 (17) Jun 25 (23) Jun 24 (32) Jun 23 (29) Jun 22 (8) Jun 21 (17) Jun 20 (25) Jun 19 (28) Jun 18 (19) Jun 17 (25) Jun 16 (23) Jun 15 (9) Jun 14 (11) Jun 13 (14) Jun 12 (22) Jun 11 (19) Jun 10 (17) Jun 09 (15) Jun 08 (16) Jun 07 (7) Jun 06 (29) Jun 05 (27) Jun 04 (24) Jun 03 (22) Jun 02 (22) Jun 01 (13) May 31 (9) May 30 (26) May 29 (19) May 28 (15) May 27 (15) May 26 (23) May 25 (13) May 24 (12) May 23 (24) May 22 (13) May 21 (21) May 20 (18) May 19 (16) May 18 (7) May 17 (12) May 16 (25) May 15 (24) May 14 (23) May 13 (19) May 12 (17) May 11 (8) May 10 (6) May 09 (14) May 08 (21) May 07 (26) May 06 (14) May 05 (14) May 04 (3) May 03 (3) May 02 (24) May 01 (13) Apr 30 (15) Apr 29 (24) Apr 28 (24) Apr 27 (11) Apr 26 (8) Apr 25 (13) Apr 24 (27) Apr 23 (15) Apr 22 (21) Apr 21 (19) Apr 20 (17) Apr 19 (8) Apr 18 (20) Apr 17 (27) Apr 16 (27) Apr 15 (21) Apr 14 (8) Apr 13 (8) Apr 12 (7) Apr 11 (7) Apr 10 (22) Apr 09 (15) Apr 08 (15) Apr 07 (17) Apr 06 (14) Apr 05 (5) Apr 04 (12) Apr 03 (19) Apr 02 (17) Apr 01 (19) Mar 31 (25) Mar 30 (13) Mar 29 (9) Mar 28 (16) Mar 27 (23) Mar 26 (22) Mar 25 (17) Mar 24 (25) Mar 23 (16) Mar 22 (13) Mar 21 (24) Mar 20 (27) Mar 19 (20) Mar 18 (24) Mar 17 (17) Mar 16 (11) Mar 15 (6) Mar 14 (20) Mar 13 (28) Mar 12 (30) Mar 11 (20) Mar 10 (21) Mar 09 (12) Mar 08 (8) Mar 07 (17) Mar 06 (20) Mar 05 (19) Mar 04 (15) Mar 03 (17) Mar 02 (8) Mar 01 (12) Feb 28 (16) Feb 27 (17) Feb 26 (8) Feb 25 (23) Feb 24 (15) Feb 23 (8) Feb 22 (10) Feb 21 (24) Feb 20 (14) Feb 19 (24) Feb 18 (19) Feb 17 (27) Feb 16 (13) Feb 15 (11) Feb 14 (15) Feb 13 (13) Feb 12 (13) Feb 11 (21) Feb 10 (16) Feb 09 (15) Feb 08 (10) Feb 07 (17) Feb 06 (21) Feb 05 (17) Feb 04 (14) Feb 03 (23) Feb 02 (5) Feb 01 (8) Jan 31 (17) Jan 30 (22) Jan 29 (23) Jan 28 (10) Jan 27 (24) Jan 26 (12) Jan 25 (9) Jan 24 (12) Jan 23 (19) Jan 22 (19) Jan 21 (14) Jan 20 (21) Jan 19 (12) Jan 18 (8) Jan 17 (20) Jan 16 (14) Jan 15 (23) Jan 14 (8) Jan 13 (20) Jan 12 (9) Jan 11 (7) Jan 10 (18) Jan 09 (11) Jan 08 (18) Jan 07 (13) Jan 06 (12) Jan 05 (12) Jan 04 (11) Jan 03 (10) Jan 02 (9) Jan 01 (9) Dec 31 (12) Dec 30 (11) Dec 29 (6) Dec 28 (9) Dec 27 (13) Dec 26 (15) Dec 25 (8) Dec 24 (6) Dec 23 (8) Dec 22 (5) Dec 21 (6) Dec 20 (14) Dec 19 (17) Dec 18 (14) Dec 17 (14) Dec 16 (13) Dec 15 (9) Dec 14 (9) Dec 13 (11) Dec 12 (16) Dec 11 (18) Dec 10 (4) Dec 09 (24) Dec 08 (11) Dec 07 (19) Dec 06 (6) Dec 05 (26) Dec 04 (15) Dec 03 (20) Dec 02 (17) Dec 01 (11) Nov 30 (10) Nov 29 (18) Nov 28 (21) Nov 27 (10) Nov 26 (22) Nov 25 (16) Nov 24 (12) Nov 23 (8) Nov 22 (18) Nov 21 (9) Nov 20 (17) Nov 19 (16) Nov 18 (16) Nov 17 (5) Nov 16 (9) Nov 15 (21) Nov 14 (17) Nov 13 (20) Nov 12 (16) Nov 11 (13) Nov 10 (9) Nov 09 (10) Nov 08 (16) Nov 07 (15) Nov 06 (18) Nov 05 (19) Nov 04 (16) Nov 03 (11) Nov 02 (5) Nov 01 (17) Oct 31 (17) Oct 30 (21) Oct 29 (9) Oct 28 (16) Oct 27 (6) Oct 26 (6) Oct 25 (16) Oct 24 (18) Oct 23 (14) Oct 22 (17) Oct 21 (10) Oct 20 (6) Oct 19 (8) Oct 18 (11) Oct 17 (12) Oct 16 (14) Oct 15 (19) Oct 14 (15) Oct 13 (11) Oct 12 (9) Oct 11 (10) Oct 10 (23) Oct 09 (13) Oct 08 (15) Oct 07 (20) Oct 06 (13) Oct 05 (4) Oct 04 (16) Oct 03 (17) Oct 02 (17) Oct 01 (20) Sep 30 (17) Sep 29 (9) Sep 28 (8) Sep 27 (14) Sep 26 (20) Sep 25 (19) Sep 24 (13) Sep 23 (11) Sep 22 (9) Sep 21 (5) Sep 20 (8) Sep 19 (21) Sep 18 (12) Sep 17 (20) Sep 16 (16) Sep 15 (10) Sep 14 (6) Sep 13 (18) Sep 12 (14) Sep 11 (24) Sep 10 (17) Sep 09 (16) Sep 08 (16) Sep 07 (10) Sep 06 (20) Sep 05 (13) Sep 04 (23) Sep 03 (14) Sep 02 (12) Sep 01 (11) Aug 31 (11) Aug 30 (13) Aug 29 (18) Aug 28 (14) Aug 27 (21) Aug 26 (10) Aug 25 (8) Aug 24 (10) Aug 23 (17) Aug 22 (15) Aug 21 (14) Aug 20 (20) Aug 19 (20) Aug 18 (7) Aug 17 (9) Aug 16 (11) Aug 15 (12) Aug 14 (14) Aug 13 (19) Aug 12 (14) Aug 11 (6) Aug 10 (12) Aug 09 (7) Aug 08 (18) Aug 07 (16) Aug 06 (16) Aug 05 (20) Aug 04 (12) Aug 03 (8) Aug 02 (12) Aug 01 (14) Jul 31 (16) Jul 30 (16) Jul 29 (11) Jul 28 (8) Jul 27 (9) Jul 26 (17) Jul 25 (20) Jul 24 (17) Jul 23 (11) Jul 22 (18) Jul 21 (7) Jul 20 (10) Jul 19 (14) Jul 18 (11) Jul 17 (15) Jul 16 (12) Jul 15 (10) Jul 14 (8) Jul 13 (8) Jul 12 (17) Jul 11 (18) Jul 10 (16) Jul 09 (13) Jul 08 (10) Jul 07 (12) Jul 06 (8) Jul 05 (16) Jul 04 (14) Jul 03 (17) Jul 02 (13) Jul 01 (16) Jun 30 (19) Jun 29 (7) Jun 28 (19) Jun 27 (21) Jun 26 (27) Jun 25 (23) Jun 24 (23) Jun 23 (12) Jun 22 (9) Jun 21 (18) Jun 20 (15) Jun 19 (24) Jun 18 (21) Jun 17 (13) Jun 16 (9) Jun 15 (9) Jun 14 (18) Jun 13 (24) Jun 12 (18) Jun 11 (23) Jun 10 (25) Jun 09 (24) Jun 08 (27) Jun 07 (5) Jun 06 (25) Jun 05 (30) Jun 04 (23) Jun 03 (22) Jun 02 (16) Jun 01 (17) May 31 (18) May 30 (19) May 29 (17) May 28 (23) May 27 (15) May 26 (10) May 25 (19) May 24 (16) May 23 (16) May 22 (27) May 21 (20) May 20 (26) May 19 (6) May 18 (8) May 17 (20) May 16 (8) May 15 (18) May 14 (5) May 13 (21) May 12 (9) May 11 (8) May 10 (12) May 09 (18) May 08 (11) May 07 (27) May 06 (12) May 05 (16) May 04 (19) May 03 (14) May 02 (18) May 01 (18) Apr 30 (25) Apr 29 (27) Apr 28 (11) Apr 27 (10) Apr 26 (18) Apr 25 (10) Apr 24 (29) Apr 23 (29) Apr 22 (14) Apr 21 (15) Apr 20 (20) Apr 19 (22) Apr 18 (16) Apr 17 (32) Apr 16 (12) Apr 15 (21) Apr 14 (21) Apr 13 (15) Apr 12 (13) Apr 11 (14) Apr 10 (16) Apr 09 (20) Apr 08 (36) Apr 07 (22) Apr 06 (11) Apr 05 (28) Apr 04 (20) Apr 03 (29) Apr 02 (32) Apr 01 (18) Mar 31 (12) Mar 30 (9) Mar 29 (15) Mar 28 (22) Mar 27 (24) Mar 26 (17) Mar 25 (17) Mar 24 (13) Mar 23 (5) Mar 22 (12) Mar 21 (15) Mar 20 (18) Mar 19 (19) Mar 18 (16) Mar 17 (10) Mar 16 (6) Mar 15 (18) Mar 14 (24) Mar 13 (18) Mar 12 (18) Mar 11 (17) Mar 10 (13) Mar 09 (12) Mar 08 (18) Mar 07 (25) Mar 06 (16) Mar 05 (16) Mar 04 (22) Mar 03 (17) Mar 02 (6) Mar 01 (23) Feb 29 (19) Feb 28 (25) Feb 27 (26) Feb 26 (23) Feb 25 (12) Feb 24 (13) Feb 23 (15) Feb 22 (26) Feb 21 (31) Feb 20 (12) Feb 19 (21) Feb 18 (15) Feb 17 (10) Feb 16 (15) Feb 15 (19) Feb 14 (15) Feb 13 (25) Feb 12 (20) Feb 11 (9) Feb 10 (7) Feb 09 (28) Feb 08 (20) Feb 07 (22) Feb 06 (20) Feb 05 (19) Feb 04 (14) Feb 03 (16) Feb 02 (28) Feb 01 (37) Jan 31 (27) Jan 30 (31) Jan 29 (18) Jan 28 (14) Jan 27 (10) Jan 26 (18) Jan 25 (26) Jan 24 (34) Jan 23 (21) Jan 22 (21) Jan 21 (18) Jan 20 (18) Jan 19 (18) Jan 18 (26) Jan 17 (24) Jan 16 (23) Jan 15 (30) Jan 14 (20) Jan 13 (18) Jan 12 (24) Jan 11 (11) Jan 10 (23) Jan 09 (22) Jan 08 (17) Jan 07 (17) Jan 06 (9) Jan 05 (18) Jan 04 (15) Jan 03 (19) Jan 02 (14) Jan 01 (6) Dec 31 (12) Dec 30 (4) Dec 29 (15) Dec 28 (11) Dec 27 (7) Dec 26 (10) Dec 25 (16) Dec 24 (13) Dec 23 (16) Dec 22 (11) Dec 21 (26) Dec 20 (28) Dec 19 (14) Dec 18 (25) Dec 17 (23) Dec 16 (19) Dec 15 (22) Dec 14 (38) Dec 13 (26) Dec 12 (25) Dec 11 (27) Dec 10 (31) Dec 09 (15) Dec 08 (30) Dec 07 (31) Dec 06 (27) Dec 05 (38) Dec 04 (25) Dec 03 (27) Dec 02 (15) Dec 01 (36) Nov 30 (23) Nov 29 (17) Nov 28 (23) Nov 27 (13) Nov 26 (16) Nov 25 (14) Nov 24 (18) Nov 23 (21) Nov 22 (21) Nov 21 (24) Nov 20 (20) Nov 19 (23) Nov 18 (17) Nov 17 (17) Nov 16 (34) Nov 15 (25) Nov 14 (17) Nov 13 (21) Nov 12 (18) Nov 11 (9) Nov 10 (15) Nov 09 (9) Nov 08 (9) Nov 07 (12) Nov 06 (8) Nov 05 (4) Oct 29 (1) Oct 01 (1) Jul 29 (1) May 11 (1) Jul 11 (1) Zaha Hadid Architects (ZHA) has unveiled two projects in Lusail Citys Marina District, Doha, Qatar commissioned by Al Alfia Holding. Zaha Hadid Architects will now develop the first project, a 70,000 sqm hotel with residential apartments to complete in 2020, in Lusail Citys Marina District. The second of Hadids designs commissioned by Al Alfia Holding will be built within the on-going plan for future development of the city. Commissioned by H.H. Sheikh Mohammed Bin Khalifa Al Thani in 2013 as part of Lusail Citys masterplan to create a sustainable, integrated community, ZHA designed with innovative solutions as an environmentally sustainable community of 450,000 residents and visitors, Lusail City incorporates a 38km light rail system to transport them throughout the city with direct connection to the wider Doha Metro network. Integrating its management and conservation of water within an urban landscape, the citys energy, communications and transportation systems are also planned to automatically adapt to continually changing weather and traffic conditions, making Lusail the most sustainable city in the region. Continuing these ecological considerations, the formal composition of Hadids design has been inspired by the structure of the Desert Hyacinth; a flowering plant native to the landscapes and coastlines of the Arabian Gulf. The nine-pointed form of the buildings podium surrounds a central core defined by the interwoven fluid geometries of the hyacinth. A filigreed mashrabiya facade envelops the building to reduce solar gain. Fluidity is embedded within the regions architectural heritage and traditions. Continuous calligraphic and geometric patterns flow from domes to ceilings, ceilings to walls, walls to floors, establishing seamless relationships and blurring distinctions between architectural elements. Zaha Hadid developed this historical understanding of the regions architecture in a contemporary interpretation evolving from her research into natural systems of organization and structure, as well as applying the possibilities achieved through advancements in design, construction and material technologies to deliver workable solutions for the 21st century. ''We often look at natures systems when we work to create environments; at her unrivalled logic and coherence,'' Zaha Hadid previously explained. Hadids work sees form and space composed into fluid spatial progressions. Transforming notions of what can be achieved in concrete, steel and glass, Zaha Hadids architecture combines her unwavering optimism for the future and belief in the power of invention with concepts of connectivity and fluidity. Working with Arup Engineering and Atelier Ten, global leaders in environmental design and engineering, Zaha Hadid Architects vision for the 38-storey project embraces collaboration between disciplines, responding to current and future environmental challenges and providing the most comfortable living spaces for residents, guests, visitors and staff. With truly inspirational public spaces and atrium, 120 unique residences and 200 hotel rooms of Zaha Hadids unmistakable signature, we celebrate her remarkable legacy and continue Lusail Citys commitment to creating the regions most sustainable, interconnected community, said H.H. Sheikh Mohammed Bin Khalifa Al Thani, Chairman of Al Alfia Holding. Project Facts Project Team Architect: Zaha Hadid Architects Design: Zaha Hadid and Patrik Schumacher ZHA project director: Charles Walker ZHA project associate: Tariq Khayyat ZHA project architect: Kutbuddin Nadiadi ZHA project team: Gerry Cruz, Drew Merkle, Dennis Brezina, Alia Faisal Zayani, Alessandra Lazzoni, Matthew Le Grice, Mu Ren, Alexandra Fisher, Kwanphil Cho, Joris Pauwels, Jose Pareja Gomez, Katherina Hieger, Konstantinos Psomas, Leo Alves, Mei-Ling Lin, Nicholette Chan, Yifan Zhang Consultants Structure and Facade Engineers: Arup MEP and Sustainability: Atelier Ten Landscape: Francis Landscape Hotel: GC Hotel Consultancy Food Services: Tricon Top image Zaha Hadid Architects > via Zaha Hadid Architects "We recognize him for his significant contributions and achievements and look forward to his future successes," said Shawn Goff, Salt Lake City Office Managing Partner. SALT LAKE CITY, UT, July 11, 2016 /24-7PressRelease/ -- Ernst & Young LLP recently announced the promotion of Kevin Ediger, a professional based in its Salt Lake City office. Promotions to the firm's partner ranks involve a rigorous selection process that recognizes each person's achievements and potential. Candidates for promotion are evaluated for professional excellence, including technical acumen, the ability to deliver exceptional client service and a commitment to the firm's purpose of building a better working world. "Kevin has achieved a notable and well-deserved career milestone. He has served as a role model for our EY core values by demonstrating integrity, respect and teaming and by displaying the energy, enthusiasm and courage of a leader," said Shawn Goff, Salt Lake City Office Managing Partner. "We recognize him for his significant contributions and achievements and look forward to his future successes." Kevin Ediger was promoted to partner from senior manager. He is a member of Ernst & Young LLP's People Advisory Services practice and has 14 years of experience in taxation and HR advisory. Ediger coordinates global tax compliance and advisory services for large multinational corporations, with experience in international assignment and tax equalization policy design and application, global payroll process and implementation, and mobility analytics and process improvement. Ediger received his Bachelor of Science in Accounting and Finance from Southern Utah University and his MBA from the University of Utah. He is a Certified Public Accountant licensed to practice in Utah and a member of the Utah Association of Certified Public Accountants and the American Institute of Certified Public Accountants. About Ernst & Young LLP's Salt Lake City practice Ernst & Young LLP is the professional services leader in Utah, providing services to the majority of Utah's public companies, and helping high-growth companies prepare for and execute successful initial public offerings. Over the past ten years, EY's Salt Lake City practice has assisted its clients with more IPOs than any other firm in Utah. About EY EY is a global leader in assurance, tax, transaction and advisory services. The insights and quality services we deliver help build trust and confidence in the capital markets and in economies the world over. We develop outstanding leaders who team to deliver on our promises to all of our stakeholders. In so doing, we play a critical role in building a better working world for our people, for our clients and for our communities. EY refers to the global organization and may refer to one or more of the member firms of Ernst & Young Global Limited, each of which is a separate legal entity. Ernst & Young Global Limited, a UK company limited by guarantee, does not provide services to clients. For more information about our organization, please visit ey.com. This news release has been issued by Ernst & Young LLP, an EY member firm serving clients in the US. # # # "All of our new partners, principals, and executive directors have dedicated their careers to delivering exceptional client service," said Kailesh Karavadra, San Jose Office Managing Partner. SAN JOSE, CA, July 11, 2016 /24-7PressRelease/ -- Ernst & Young LLP recently announced the promotions of several professionals in its San Jose office. View images of the professionals: http://bit.ly/29rP8Ew "All of our new partners, principals, and executive directors have dedicated their careers to delivering exceptional client service. They embody our EY core values of integrity, respect and teaming and they all personify the energy, enthusiasm and courage of a leader," said Kailesh Karavadra, San Jose Office Managing Partner. "We congratulate them on their significant contributions and achievements and look forward to their future successes." Karavadra noted that promotions to the partner, principal, executive director and director ranks involve a rigorous selection process that recognizes each person's achievements and potential. Candidates for promotion are evaluated for professional excellence, including technical acumen, the ability to deliver exceptional client service and a commitment to the firm's purpose of building a better working world. James W. Brundage was promoted to partner from senior manager. Brundage is a member of Ernst & Young LLP's Transaction Advisory Services practice, where he serves technology and telecommunication clients. He has more than a decade of professional services experience and provides financial and operational due diligence assistance to public and private corporations, as well as private equity investors. He has deep experience in buy- and sell-side transactions, including carve-outs and cross-border transactions, across the software, SaaS, IT and managed services, internet, communications equipment, fintech and satellite sub-segments. Brundage holds a bachelor's degree in Business Administration and a master's in Accounting from The University of Texas at Austin. Brundage is a Certified Public Accountant licensed to practice in California and a member of the American Institute of Certified Public Accountants. Jill Franciosi was promoted to partner from senior manager. Franciosi is a member of Ernst & Young LLP's Transaction Advisory Services where she has more than a decade of experience serving corporate and private equity accounts and providing due diligence, structuring and modeling services for buy-side and sell-side transactions. She currently works with technology clients on tax consulting for strategic corporate mergers and acquisitions, and internal restructurings. Franciosi holds a bachelor's degree in Accounting from Boston University and a Juris Doctor degree from Northeastern University. She is a Certified Public Accountant licensed to practice in California and Massachusetts. Franciosi is a member of the American Institute of Certified Public Accountants and the Massachusetts Bar Association. Terumi Katano was promoted to partner from senior manager. Katano is a member of Ernst & Young LLP's Assurance practice where she specializes in the technology industry and Japanese Business Services (JBS), as well as serving US SEC registrants. With her deep understanding of US GAAP and US and Japanese business and cultural norms, Katano plays a key leadership role as a liaison between the San Jose JBS practice and EY Japan. As part of her role, she actively develops integrated service opportunities for inbound Japanese companies. In July, Katano will begin a two-year secondment with EY Japan. Katano holds a bachelor's degree with distinction in Business and an MBA from Indiana University. She is a Certified Public Accountant licensed to practice in California and a member of the American Institute of Certified Public Accountants. Adi Maheshwari was promoted to partner from senior manager. Maheshwari is a member of Ernst & Young LLP's Transaction Advisory Services practice, where he focuses on mergers and acquisitions. He has executed more than 110 M&A transactions ranging from $10M to $8B in a broad range of industries, with a focus on software and technology clients. Maheshwari has deep experience with divestitures, carve outs, exit readiness strategies, growth strategy development, complex revenue recognition models, internal controls and GAAP compliance. He holds a bachelor's degree in Accounting and Finance from the University of Mumbai and an MBA from the University of Illinois. He is a Certified Public Accountant licensed to practice in California and a member of the American Institute of Certified Public Accountants. Eric C. Olson was promoted to principal from senior manager and is a member of Ernst & Young LLP's Tax practice. Olson has more than a decade of experience focusing on transfer pricing and economic analysis. He serves some of the most high-profile technology companies in Silicon Valley and specializes in intercompany pricing for tangible goods, intangibles and services, as well as multi-phase transfer pricing, intangible property (IP) valuation and migration projects. Olson holds a bachelor's degree in Mechanical Engineering and a master's degree in Business Administration from the University of California, Davis, as well as a master's degree in Mechanical Engineering from Stanford University. Dan Scott was promoted to principal from senior manager. Scott is a member of Ernst & Young LLP's Transaction Advisory Services practice, where he helps his clients quantify and evaluate the financial aspects of major decisions. Prior to joining the firm's San Jose office, Scott worked for the firm's Assurance practice in the United Kingdom and with several technology companies. He holds a bachelor's degree in History from Lancaster University and an MBA from University College Dublin. Scott is a member of the Chartered Institute of Management Accountants in the United Kingdom. Mike Avery was promoted to executive director from senior manager. Avery is a member of Ernst & Young LLP's Assurance practice, where he focuses on serving technology clients -- ranging from high-growth companies to mature multinational corporations. He has extensive experience advising companies through a variety of transactions and transformations, including initial public offerings, complex revenue arrangements, equity and debt transactions, business combinations and SEC reporting issues. Avery holds a bachelor's degree in Accounting from Santa Clara University and is a Certified Public Accountant licensed to practice in California and a member of the American Institute of Certified Public Accountants. Amanda Powell was promoted to executive director from senior manager. Powell is a member of Ernst & Young LLP's Assurance practice, where she serves technology clients, including large multinational SEC registrants. As a member of EY's Quality Network, Powell supports inspection and other quality-related activities. She holds a bachelor's degree in Business Administration from San Jose State University and is a Certified Public Accountant licensed to practice in California. Powell is a member of the American Institute of Certified Public Accountants and the Honor Society of Phi Kappa Phi. Sri Vasudevan was promoted to executive director from senior manager. Vasudevan is a member of Ernst & Young LLP's National Advisory Cybersecurity practice, where he stewards the development of innovative cybersecurity service offerings in the emerging technologies space. He is responsible for identifying and addressing cybersecurity issues affecting emerging technologies such as cloud computing, big data, medical devices, connected vehicles, IOT, artificial intelligence, augmented/virtual reality and interstellar communications. Vasudevan holds a bachelor's degree and a master's degree in Computer Engineering from Regional Engineering College and Virginia Tech, respectively. He actively supports Child Advocates of Silicon Valley, a nonprofit that helps foster children in the community achieve better outcomes. Vasudevan is a Certified Information Systems Security Professional, a Certified Information Security Manager and is certified in Risk and Information Systems Control. About Ernst & Young LLP's Bay Area practice Ernst & Young LLP has more than 1,900 people in its San Francisco, San Jose and Redwood Shores offices who serve a diverse client base of innovative and entrepreneurial companies spanning the technology, real estate, life sciences, consumer products, asset management and insurance, banking and capital markets, health care and social media industries. Ernst & Young LLP is a market leader in the Bay Area, serving more than 75% of the region's companies listed on the Silicon Valley 150. The firm celebrates the successes of Northern California's entrepreneurs through its EY Entrepreneur Of The Year program, founded in 1986. Ernst & Young LLP's San Jose office is also home to EY's Global Technology Center, which brings together worldwide teams of professionals with deep technical and industry knowledge. About EY EY is a global leader in assurance, tax, transaction and advisory services. The insights and quality services we deliver help build trust and confidence in the capital markets and in economies the world over. We develop outstanding leaders who team to deliver on our promises to all of our stakeholders. In so doing, we play a critical role in building a better working world for our people, for our clients and for our communities. EY refers to the global organization and may refer to one or more of the member firms of Ernst & Young Global Limited, each of which is a separate legal entity. Ernst & Young Global Limited, a UK company limited by guarantee, does not provide services to clients. For more information about our organization, please visit ey.com. This news release has been issued by Ernst & Young LLP, an EY member firm serving clients in the US. # # # "...bail reform movements across the country...would drastically affect not only our industry, but public safety!" said Beth Chapman. BILOXI, MS, July 10, 2016 /24-7PressRelease/ -- The Professional Bail Agents of the United States ("PBUS"), which represents over 15,000 bail agents nationwide, will be presenting their 2016 Mid-Year Conference from today, Sunday, July 10th, through Wednesday, July 13th, at the IP Casino Resort & Spa in Biloxi, MS. The meeting will be presided over by Beth Chapman who was elected Chair of the Board and President of PBUS in February. Chapman's husband and business partner, Duane "Dog" Chapman, will also be in attendance. The Chapmans starred in "Dog the Bounty Hunter" (A&E) for eight seasons, and "Dog & Beth: On the Hunt" (CMT) for two seasons. Both shows continue to air in the U.S., and "Dog the Bounty Hunter" also airs around the world in syndication. One of the key issues the conference will be addressing is PBUS' fight against current federal legislation aimed at eliminating financial bail. The all-day "Ground Zero" seminar will focus on the legislative action that PBUS is taking against Federal Bill H.R. 4611 - known as the "No Money Bail Act," and federal lawsuits filed by Equal Justice Under the Law (EJUL) which challenges that the money bail system is unconstitutional. "There are bail reform movements across the country that are trying to put an end to the cash bail system. This would be a disaster which would drastically affect not only our industry, but public safety!" said Beth Chapman. "The bail industry provides a service to the government and the community. Removing the bail system would have a deleterious effect on the criminal justice system, and make it easier for the bad guys to just walk out of jail. PBUS members will definitely not want to miss this critical all-day seminar!" "Ground Zero" will feature several speakers who will provide information on this nationwide fight against bail reform, as well as the strategies PBUS is employing to address the issues, and how PBUS members can participate. The keynote speaker during the main PBUS dinner on July 11th will be the Honorable David A. Clarke, Jr., Sheriff of Milwaukee County, WI. Sheriff Clarke, currently serving his fourth consecutive term, has been an outspoken, highly-visible personality in Milwaukee and in national media, admired for his no-nonsense approach to law enforcement. Niger Roy Innis, the National Spokesperson for the Congress of Racial Equality (CORE), will also be a featured speaker on July 11th. Innis is an American activist and politician, an MSNBC commentator, and political consultant. The PBUS Mid-Year Conference agenda may be found at http://c.ymcdn.com/sites/www.pbus.com/resource/resmgr/Files/2016_Mid_Web_Agenda.pdf. For more information, please visit www.pbus.com. ABOUT PBUS Education, Information, and Representation Professional Bail Agents of the United States (PBUS ) is the professional association representing the 15,500 bail agents nationwide as the National Voice of the Bail Agent. Since its founding in 1981, PBUS and its alliance with state associations have advanced the profession through legislative advocacy, professional networking, continuing education, support of bail agent certification, liability insurance and development of a code of ethics. PBUS 801 N. Magnolia Avenue, Suite 418 Orlando, FL 32803 [email protected] Tel. (202) 783-4120 / (800) 883-7287 www.pbus.com # # # Jul 11, 2016 | By Alec While low-cost 3D printed prosthetics are already changing the lives of people with disabilities everywhere, a team of Belgian engineers and physiotherapists is working hard to tackle an even bigger challenge. With backing from Belgian 3D printing specialists Materialise, they have developed a special walker that can be used to teach children with Cerebral Palsy to walk. Called the Hibbot, it stands out from all other devices for being completely hands-free in use and packed with sensors to track progress. Such a solution is desperately needed. Cerebral Palsy (or CP) is a permanent movement disorder that often sets in right before or during birth. Caused by a lesion in the developmental brain, it results in muscle weakness, abnormal tone, and significant movement and balance disorders. While extensive physiotherapy does yield some results, theres no absolute cure and most patients are end up restricted to a wheelchair for the rest of their lives. But the Hibbot might change that. The brainchild of Belgian engineer Dirk Wenmakers, prosthetist Jos Selyer and physiotherapist Ria Cuppers, it was first conceived in 2014 when Cuppers explained to Wenmakers how she worked with children suffering from CP. As existing tools were very limited in their usefulness, Wenmakers set out designing an ergonomic system that could enable more frequent and more efficient exercise. Whats more, existing strollers like the Kaye walker rely on hand grips, while physiotherapy aims to provide hip and pelvis movement to enable hands-free walking and keep the childs legs and hips in constant alignment. During design, Wenmakers therefore extensively relied on Cuppers physiotherapeutic knowledge, and used his 3D printer to prototype different components. Fortunately, Belgian 3D printing experts Materialise caught wind of the project, and very happy to provide advice on efficient and lightweight production. Our engineers provided advice on what technology would work best where, and also helped to design parts for him which were as light as possible while still keeping their structural strength and rigidity, they revealed. With their backing, the Hibbot was developed and Cuppers and Wenmakers are now working hard to bring he device to children everywhere through their startup Medical Robots (backed by iMinds). And what they came up with is impressive. The Hibbot (hip-robot or an reference to the Hobbit, the smallest and bravest inhabitants of Middle Earth), is a modular walking tool for children. In a nutshell, it helps the little patients learn to walk, but without a reliance on their arms which reduces the strain on the leg muscles. Development needs that strain, as it will provide the patient with more strength and control over their leg muscles and a greater sense of balance. Instead of hand grips, the child is supported by a pelvic brace, which only supports the lower body. This does, however, mean that patients need to have some control over their legs already. Whats more, it can be fully customized to suit the progress of the patients with a special support structure, which is a unique feature enabled by 3D printing. The result is a walker that provides balanced and adjustable support. Finally, the Hibbot can also turn on its axis, and features a special falling prevention mechanism. The Hibbot stabilizes the pelvic region enabling hands-free standing. It greatly reduces the barrier for everyday interaction, playing with friends and performing normal activities, Wenmakers says. But theres more. With help from researchers from the University of Hasselt en Hogeschool PXL, the Hibbot was turned into a smart tool for physiotherapists as well. Through a process of rapid prototyping, we packed the robot with sensors, professor Ronald Thoelen revealed. The sensors enable researchers to study the progress of therapy, and make it possible to set up customized treatment procedures. Among others, the sensors measure walking speed, the size of the steps and the posture of the child, and send all that data to the cloud. Even the feet are filmed, to allow for very specific feedback. The added value of all of those features is already being felt. Visible above is Yano De Laet, a CP patient who undergoes treatment at the Cerebral Palsy Reference Center at Pellenberg, UZ Leuven. After his doctor found out about the Hibbot, he immediately arranged one for Yano. The brave young patient quickly saw its effects, learning to walk without the use of his hands in very little time at all. In therapeutic terms, it also provided the exact amount of necessary support. But fortunately Yano already had some degree of control over his legs; otherwise the Hibbot might not have been suitable for him. As Yano parents revealed, they quickly saw positive results. We notice that for him this way of walking is much more demanding; he loves it but its also quite a workout because he needs to coordinate and use his muscles much more intensively to be able to walk, they say. That perfectly underlines the added value of the Hibbot, as older CP patients often use their muscles too little and lose the ability to walk. Additional strain and exercise can prevent this from happening. We especially hope that Yano will remain a happy child, that despite his handicap he will receive all possible chances to lead a great life and that he becomes as independent as possible, Yanos father concluded. But Yano isnt the only child benefiting from the Hibbot. With financial backing from the Rotary Club Hasselt-Herckenrode, the Sint-Gerardus Institute was able to cover the development of four Hibbots for their patients. The four-year-old Josse has been working with one since October 2015. It helps him practice walking without manual support, and with a better reliance on his hips, his therapists said. His motor skills are progressing thanks to this device, as it activates his muscles and helps his pelvis and upper body stand upright. But the Hibbot also provides additional therapeutic possibilities by keeping Josses hands free. The Medical Robots team is currently working hard to make their Hibbot available to more patients, including for adults and for patients with different disabilities, Wenmakers revealed. The device can currently be rented for 250 per month from Medical Robots, though they advise using it with close medical supervision. For more information on this possibly life-changing tool, check out the Medical Robots website here. Posted in 3D Printing Application Maybe you also like: kapil wrote at 4/6/2018 7:56:16 AM:i need this for my little baby .where i take thisBasharat Asim wrote at 8/25/2016 2:55:31 PM:hi, it is awesome can i get this for my son he is 9.5 years old,Narjes wrote at 7/23/2016 4:09:56 PM:High Dear It looks amazing and wondering how I can get one. Appreciate your kind help and support Jul 11, 2016 | By Tess Norsk Titanium AS, a leading company in additively manufactured structural titanium parts for the aerospace industry, has gained significant attention for its most recent endeavour: the creation of a factory for 3D printed aerospace parts in Plattsburgh, New York. The factory, which will be the first in the world to create titanium parts from Norsks patented Rapid Plasma Deposition (RPD) process, is expected to open by the end of 2017. The large-scale project has also received a significant amount of support, having initially secured $125 million in funding from the 2016 New York State Budget, $25 million from diverse investors and a recent $4 million from the State of New York in partnership with SUNY Polytechnic University. According to the company, the recent funding will go towards financing the first 20 MERKE IV RPD 3D printers for the factory. This initial batch will allow the facility to cover a baseline production level of 400 metric tons per year of aerospace-grade titanium parts. Eventually, the facility is expecting to add another 20 machines to increase the baseline production level to 800 metric tons per year. The NY-based facility, which is expected to create over 500 jobs within the Plattsburgh area, will also receive additional investment funding from Norsk Titanium for a total of nearly $1 billion over the first 10-year period. John Andersen Jr., Norsk Titaniums chairman of the board, says of the project, We are proud to be a part of the unwavering vision and leadership of [New York State] Governor Cuomo and are moving forward in support of his efforts to revitalize upstate New York with jobs, technology, and community pride. Our researchers have spent 10 years pioneering the Rapid Plasma Deposition process that is now ready to cut millions of dollars in cost from the worlds premier commercial and military aircraft, and with the foresight displayed in other sectors, the State of New York is the ideal place to launch this manufacturing revolution. Norsk Titaniums innovative and patented RPD 3D printing technology consists of transforming titanium wire into complex and industrially sound components using plasma torches. The process, which takes place in a controlled argon environment, is said to create high quality titanium parts at 50-70% less cost and in 75% reduced time than similar manufacturing technologies. The innovative technology will help to develop Plattsburghs technological economy and presence. Today marks the beginning of a new era in the way aircraft, marine vessels, automobiles, spacecraft and many industrial products are designed and built, said Norsk president and CEO Warren M. Boley Jr. Not only are we creating jobs, huge economic impact and great visibility for the wider Plattsburgh community, we are also making history by kicking off a new phase of on-demand, near-net-shape manufacturing that sets a new benchmark of efficiency and customer responsiveness. In addition to the 3D printing facility, the Norwegian company will also be partnering with the North County Chamber of Commerce in Plattsburgh to develop a training program for the facility workforce as well as a STEM outreach program for local universities and schools, including SUNY Plattsburgh. Currently, Norsk Titanium is showcasing a mockup of its MERKE IV RPD 3D printer at the Farnborough Airshow in England as well as a sort of petting zoo section, where visitors can touch and pick up parts made using their novel additive manufacturing process. Chip Yates, Norsk Titaniums VP of marketing expressed excitement for the event saying, This is our first trade show and excitement has been building. We have a full-scale mockup of our MERKE IV machine that has video monitors showing the conversion of titanium wire into structural aerospace-grade titanium parts for the worlds top aircraft manufacturersThis is the first time the public can see how our process works and handle the parts that result from it! Posted in 3D Printer Company Maybe you also like: Jul 11, 2016 | By Benedict The United Instrument Manufacturing Corporation (UIMC), a Russian state corporation, has built an entirely 3D printed drone which will be used for monitoring and reconnaisance. The UAV, which can be printed and assembled in one day, was unveiled today at the Innoprom trade fair in Ekaterinburg. Russia, land of Matryoshkas, neoclassical architecture, and vodka, is not generally regarded as a hotbed of additive manufacturing, but has made strides in recent yearsparticularly within its state organizationsto catch up with its international peers in the 3D printing race. The Russian military, for example, recently claimed to have used additive manufactured in the development of a partially 3D printed Armata tank, while the countrys Center for the Study of Natural Substances has suggested that Roscosmos could build a 3D printed base on the moon. Today, Russia has showcased its progress in developing 3D printed drones, with its United Instrument Manufacturing Corporation unveiling a 3D printed drone designed for scouting operations. According to a statement released by UIMC, the new 3D printed drone can be manufactured in a single day, while spare parts for it can be fabricated in just 15-20 minutes. Equipped with an onboard video camera, its primary functions will be reconnaissance and monitoring missions, flying within a radius of 50 kilometers. The drone is equipped with a domestically produced engine, weighs 4 kilograms, and has a wingspan of 2.4 meters. Its manufacturer, UIMC, is part of Rostec, Russias state technologies corporation, which was established by the government to stimulate the production of high-end products for technology and communications systems. Rostec, the parent company of UIMC, unveiled a similar 3D printed drone last year. According to UIMC, the state corporation behind the 3D printed drone, the new UAV offers several advantages over comparable vehicles. Since they are cheap and easy to produce, the 3D printed drones can be deployed in groups, with relatively little at stake if a vehicle is damaged or destroyed. Other advantages include the ability to create new, on-the-go parts for the drone at multiple locations. The use of additive technologies can significantly speed up and reduce the cost of creating and producing a new product, said Sergey Skokov, Deputy General Director of UIMC. The advantage of this aircraft lies in the fact that any of its parts can be efficiently 3D printedeven in the field. The 3D printed UAV is being showcased at Innoprom, an international industrial trade fair being held in Ekaterinburg, July 11-14. The event, which has taken place annually since 2010, expects to receive over 52,000 visitors this year. Posted in 3D Printing Application Maybe you also like: JP wrote at 7/13/2016 5:44:21 PM:Anyone know where I can download the .stl files for it? : ) Jul 11, 2016 | By Alec When it comes to metal 3D printing, most attention usually goes to the iconic titanium powders even though aluminum might be even more potent, especially for the aerospace and aviation industries. While perhaps not as strong, it is extremely lightweight and thus perfect for applications where every gram counts. With an eye on those applications, Russian aluminum expert UC Rusal has just announced that they will be working with German machine tool developer Sauer GmbH to pioneer new aluminum 3D printing powders and solutions. As industry experts doubtlessly know, Sauer is actually part of German firm DMG Mori AKTIENGESELLSCHAFT a manufacturer of metal cutting tools that is currently paving the way in subtractive metal processing and additive metal 3D printing. Just a few months ago, DMG Mori revealed that they had begun using a LASERTEC hybrid 3D printer and CNC mill to produce parts for Porsche racing cars. The company further revealed that metal 3D printing is rapidly becoming a core part of the DMG Mori enterprise, both creatively and financially. In 2015, the companys additive manufacturing products and services resulted in a turnover of 70 million euros, a figure expected to rise to 100 million euros this year. DMG Moris DMC 850 Machining Center. Perhaps this new agreement can be seen in the light of those developments. According to Rusal, they signed a memorandum with Sauer with the express purpose of developing industrial 3D printing solutions for aluminum (and its alloys). Specifically, they are doing so with an eye on the machinery-producing, aerospace, and automotive sectors. As part of this collaboration, Rusal will develop aluminum alloy powders, which can subsequently be tested by Sauer. Both firms will further conduct quality assessments of the raw aluminum, aluminum alloys and powders, while Sauer will realize new production platforms and equipment. Both companies will also advertise any new products and technologies among their client base. As Rusal revealed, this collaboration will pave the way for them to enter the 3D printing market which they see as a key target for the future. The key benefit of 3D printing technology is its ability to make industrial parts of any shape and complexity that cannot be produced using conventional means. With this technology, we can produce unique prototypes over a very short period of time. Also, the technology is practically waste-free, Rusals director for new projects Alexey Arnautov revealed. Rusal is very strong in R&D and manufacturing in aluminum powders, and by combining our efforts with DMG Mori, the largest manufacturer of hybrid 3D additive manufacturing machines in the world, to expand industrial use of the 3D technology, we hope to become a leading global player in this sector. It looks like 3D printable aluminum alloys could become much more common in the near future. Posted in 3D Printer Company Maybe you also like: Looking for somewhere new to eat? Book your reservation at these hot new openings. Louie's Gen-Gen Room (Medium Raw Arts) Louie's Gen-Gen Room They've done it again. The incredible team behind Liholiho Yacht Club has opened their long-awaited watering hole, a kind of modern tiki bar called Louie's Gen-Gen Room. The cocktails are differant than what you'd find upstairs at LYC (think El Nino with arrette tequila, guava, amaro nonino, and lime and the Young Coconut with avua cachaca, falernum, coconut water, lime, and green chartreuse ) and the eats by chef Ravi Kapur are more bite size (black truffle grilled cheese, Okonomiyaki Waffle with housemade spam). Yanni Kehagiaras is creating the cocktails and sommelier Lulu McAllister, well known for her wine program at Nopa, is overseeing the all-magnum wine list. With seating limited to just 20 people, this is one of the hottest seats in town! // 871 Sutter St. (Lower Nob Hill), liholihoyachtclub.com Don Pisto's Tequila Bar's Bar (Don Pisto's Tequila Bar) Don Pisto's Tequila Bar Those of us used to heading to North Beach for delicious tacos and the well-known Don Pisto's burger will be thrilled to hear of the new Marina location opening this month. The restaurant will offer a full bar and smaller portions of Pisto's classics including the adored burger, their tortilla soup, enchiladas, and of course, tacos. The best part? Everything will be less than $15 (except for specials).Don't forget to check out the street food section, featuring a fish taco, braised beef tamale, and ceviche tostada. // 2030 Lombard St. (Marina), donpistos.com Corridor Corridor, a new Mid-Market restaurant from the team behind Trestle, Fat Angel, and Stones Throw, offers guests their take on flexible dining. For those who prefer to plan ahead, reservations can be made for their mezzanine dining room. Or, for a more casual experience, guests can drop in to enjoy first come, first serve seating in the restaurant or grab a bite and go in the cafe a few doors down. For some Californian comfort food there are plenty of options including a burrata or cobb salad, vegetable pot pie, a half-roast chicken, meat lasagne, a French dip sandwich, and even the Stones Throw's Da Burga.// 100 Van Ness (Mid-Market), corridorsf.com Tratto margarita pizza with wild arugula fennel salad. (Patrick Chin) Tratto Tratto, a new concept led by Executive Chef Kevin Scott and created by San Francisco's Puccini Group is a spirited, bar-driven restaurant serving rustic Italian fare with modern sensibilities. "Tratto," which means "the stroke of a pen" in Italian, nods to both the neighborhood's artistic history and the Marker, the Joie de Vivre boutique hotel that houses it. (San Franciscans may remember the shake up last year where restaurants BDK and Dirty Habit lost their contracts with both Hotel Monaco and Hotel Palomar.) The menu includes small plates and full entrees including house-made pastas and sausages, pizza, seasonal vegetables and seafood. Highlights include the prosciutto with pickled watermelon rinds, handmade Spinach tagliatelle with meat sauce, and watermelon and honeydew sorbet for dessert.Cocktail lovers will enjoy the twist on traditional Italian cocktails (think The Tratto with Limoncello, four roses bourbon, dry vermouth, angostura and The Negroni Flip with Beefeater gin, Campari, sweet vermouth, and egg) and the wine list features wines from Italy and California. // 501 Geary St. (Tendernob), tratto-sf.com The Starbird breakfast sandwich. (Starbird) Starbird Starbird, the nation's first super premium fast food concept (according to the Starbird team), just opened in Sunnyvale. By super premium fast food, we mean it has premium organic ingredients and offers a pretty "super" fast experience compared to the typical fast food ordering time you may be used to. Instead of a drive thru, Starbird offers the convenience of ordering ahead with their app. Upon arrival, select "I'm here," pull into a numbered parking spot, and within five minutes your food is delivered to your car. For those that want to stay, they offer a dining room or outdoor patio. Menu items include fast food staples such as waffle sticks, chicken and waffles, Chinese chicken salad and chicken tenders.// 1241 El Camino Real (Sunnyvale), starbirdchicken.com Our Poke Place Our Poke Place opened earlier this month offering custom made-to-order bowls featuring salmon, tuna, hamachi and shrimp. For those of you unfamiliar with Poke, it is a raw fish salad that originated in Hawaii. Poke is the Hawaiian verb for "section" or "to slice" or "cut". More recently, foodies in San Francisco may have noticed Poke locations opening throughout the city. While the Hawaiian cuisine has been around for ages, we're to see the trend finally make it to SF. // 2517 20th St. (Mission) Where to shop 'til you drop this week. Everlane x Gia Coppola Capsule Boy, are we glad Everlane asked director Gia Coppola (Palo Alto) to design her first clothing line. The just-launched, stripes-only Gia Collection reflects the Cali-cool aesthetic for which Francis Ford Coppola's granddaughter is known. Fresh stripes pop off minimalist tanks, tees, shorts and dresses for the ultimate summer capsule. Says Gia: "I wanted to create something inspired by California that my friends and I would want to wear every day." Mission accomplished. Even better? The most expensive piece is $48. // Shop online at everlane.com Maiden Lane just welcomed a very dapper new neighborhi, Suitsupply. (Courtesy of SuitSupply) Suitsupply Opens on Maiden Lane Welcome to Union Square, Suitsupply! Rumors of a San Francisco storefront have been floating around town for a couple of years; now there can be no doubt as the Dutch menswear label officially opened its doors last week at 175 Maiden Lane. ICMYI, the brand is loved the world over for its custom-fitted suits in high-end fabrics and modern stylesall at mind-blowingly attainable (or mass market, if you prefer) prices. Founder Fokke de Jong couldn't be happier with his 19th U.S. store: "I guess we don't take ourselves as seriously as many of our English and Italian colleagues. We don't really fit in, but we provide a perfect fit, and a pioneering spirit that I think is especially well understood in San Francisco. I know I always feel at home here." With that, we're betting the retailer will be shaking up the city's suit supply game in no time. // 175 Maiden Lane (Union Square), suitsupply.com US stocks fell for a second day on Thursday as investors worried over the key employment figures, which are scheduled to release on Friday. Most economists expect the data will show the unemployment rate climbed to 9.6 per cent, from a 26-year high of 9.5 per cent in June. Metro Mining Limited (ASX:MMI) is an Australian exploration and mining company based in Brisbane, Australia. The company emerged from MetroCoal Ltd's 2014 takeover of Cape Alumina Ltd. Metro Mining believes commodity diversification delivers better shareholder value. We have major bauxite projects and one of Australia's largest thermal coal resources, in Queensland's Sarut Basin. - Bauxite Resources in Cape York 53.6 Million Tonnes - Thermal Coal Resources in the Surat Basin 4.5 Billion Tonnes Our immediate focus is on the Bauxite Hills Project in Western Cape York Distribution of company announcements to the professional platforms, finance portals and syndication of important corporate news to a wide variety of news aggregators and financial news systems. Capital Raising to Push Ahead with Drilling at Namdini Perth, July 11, 2016 AEST (ABN Newswire) - Cardinal Resources Limited ( ASX:CDV ) ("the Company") is pleased to announce that it has completed a share placement of up to 75 million new shares at $0.29 per share ("Placement"). The Placement will welcome a number of new, well credentialed fund managers to the Company's share register, including Precious Capital Global Mining and Metals Fund ("Precious Capital") in Switzerland and Colonial First State Growth Equities ("Colonial First State") in Australia, amongst others. Highlights: - Introduction of two new cornerstone investors: -- Precious Capital Global Mining and Metals Fund (Switzerland); and -- Colonial First State Growth Equities (Australia) - Strongly oversubscribed placement of 75 million shares at 29 cents per share - Active work programme fully funded to push ahead with plans to drill out the gold discovery at the Namdini Project, Ghana - Objective to define a maiden JORC Resource at Namdini Gold Project late in 2016 - Drilling will also begin at other regional targets in Ghana The Placement at $0.29 cents per share represents a discount of only 6.7% to Cardinal's 5-day VWAP, and is a 20% premium to Cardinal's 30 day VWAP. Precious Capital and Colonial First State provided cornerstone support for this capital raising, which was also well supported by a number of leading international institutional investors. The funds raised will be applied primarily to fund further RC and diamond drilling at the Company's Namdini Gold Project in Ghana, West Africa, exploration of the Company's other Ghanaian properties, provide capital for any potential payments in relation to acquiring tenements located adjacent to the Namdini Gold Project, technical studies in respect of the Namdini Gold Project, and for working capital. Hartleys Limited (Australia) is Lead Manager to the Placement, with Clarus Securities Inc. (Canada) and RFC Ambrian Limited (London) acting as Co-Managers to the Placement. Cardinal's Managing Director, Archie Koimtsidis said: "The addition of Precious Capital and Colonial, along with several other notable domestic and international institutional investors joining the share register in this placement, is a testament to the Namdini Gold Project, and of the team we have at Cardinal. The Company has a solid group of core shareholders in Australia, North America and Europe, with capacity to be part of future funding needs of the Company as we progress the Namdini Gold Project through technical studies, and eventually into gold production. "The size of the bids received for the placement from a handful of well-respected resource investors is a positive step for the Company as we seek to grow our institutional and global profile." Approximately 55.5 million shares to be issued in the Placement will be issued under the Company's current ASX Listing Rule 7.1 and 7.1A capacity. The balance of the Placement shares, being up to approximately 19.5 million shares, will be issued subject to shareholder approval at a General Meeting of Cardinal shareholders to be held in late August 2016. A notice of meeting will be provided to shareholders in due course with respect to, amongst other things, the approval for the second tranche of the Placement. About Cardinal Resources Ltd Cardinal Resources Ltd (ASX:CDV) (TSE:CDV) (OTCMKTS:CRDNF) is a West African gold exploration and development Company that holds interests in tenements within Ghana, West Africa. The Company is focused on the development of the Namdini Project with a gold Ore Reserve of 5.1Moz (0.4 Moz Proved and 4.7 Moz Probable) and a soon to be completed Feasibility Study. Exploration programmes are also underway at the Company's Bolgatanga (Northern Ghana) and Subranum (Southern Ghana) Projects. Cardinal confirms that it is not aware of any new information or data that materially affects the information included in its announcement of the Ore Reserve of 3 April 2019. All material assumptions and technical parameters underpinning this estimate continue to apply and have not materially changed. Secures $8.9 Million for Bauxite Hills Sydney, July 11, 2016 AEST (ABN Newswire) - Greenstone Resources, a specialist mining and metals private equity fund based in the Channel Islands, has agreed to provide a major financial injection to Metro Mining's flagship Bauxite Hills Project, near Weipa. In an ASX announcement today, Metro Mining ( ASX:MMI ) confirmed the signing of definitive and binding agreements with Greenstone to invest $8.9 million in Metro via a two tranche placement of 105 million shares at 8.5 cents per share. This represents a 26% premium to the last closing price of Metro shares. The initial Placement, which does not require Metro shareholder approval, will raise $8.058 million via the issue of 94.8 million shares. A second tranche, requiring shareholder approval, will raise $0.867 million via the issue of 10.2 million shares. Greenstone will become a 19.9% shareholder of Metro and, subject to agreement on the terms and conditions, is also willing to provide up to an additional US$20 million of follow-on equity funding to support financing the Bauxite Hills Project into development. Metro Mining CEO Simon Finnis says the company is firmly focused on commencing mining operations at Bauxite Hills in September next year, with Greenstone's involvement and support considerably assisting that process. Their agreement to provide strategic and financial support in the long term shows their commitment to the project and the company. "We view Greenstone's investment as a significant demonstration of support for the Bauxite Hills Project and the mining industry in Queensland as a whole. The Bauxite Hills Project will also provide a much needed boost for Cape York communities," Mr Finnis said. Metro and Greenstone will establish a strong strategic relationship with Greenstone gaining a Metro Board seat and representation on the Bauxite Hills development steering committee. Greenstone's approach to investing is to develop a collaborative relationship with management leveraging upon in-house skills, expertise and global networks to add value to projects. About Metro Mining's Bauxite Hills Project: - Bauxite Hills has a Direct Shipping Ore (DSO) resource of 65.3 million tonnes and a mine life in excess of 13 years. - Bauxite Hills has been classified as a Project of Regional Significance by the Queensland Government. - The Queensland Government Department of Environment & Heritage Protection (DEHP) has accepted Metro's Environment Impact Statement. - Metro believes final determination of the EIS may be achieved later this year - enabling site construction to commence after the wet season, and mining operations to commence in September, next year. - In January Metro announced it had secured Native Title and Land Access Agreements with the Ankamuthi People and the Old Mapoon Aboriginal Corporation (OMAC). The agreements include the provision of employment and training opportunities for traditional owners, business development and contracting opportunities for Ankamuthi businesses and payment of mining benefits for the life of the Project. - Metro expects 200 - 250 people at Bauxite Hills during peak production. - The Definitive Feasibility Study for the Project is expected to be completed in July. - In August last year Metro secured an off-take Memorandum of Understanding (MoU) with Xinfa, one of the largest integrated aluminium companies in China and a major metro shareholder. Further information about Metro Mining can be found at http://www.metromining.com.au About Greenstone Greenstone is a private equity fund specialising in the mining and metals sector. Greenstone invests in post exploration development projects and supports management teams in bringing projects into production. Greenstone's long-term investment horizon provides close alignment with management's long-term capital decisions. The Greenstone team has over 100 years of real industry experience in the resource sector, with particular expertise in developing and operating mines globally, including specific expertise in the alumina and aluminium industry. Further information about Greenstone can be found at http://www.greenstoneresources.com. About Metro Mining Ltd Metro Mining Limited (ASX:MMI) is an Australian exploration and mining company based in Brisbane, Australia. The company emerged from MetroCoal Ltd's 2014 takeover of Cape Alumina Ltd. Metro Mining believes commodity diversification delivers better shareholder value. We have major bauxite projects and one of Australia's largest thermal coal resources, in Queensland's Sarut Basin. - Bauxite Resources in Cape York 53.6 Million Tonnes - Thermal Coal Resources in the Surat Basin 4.5 Billion Tonnes Our immediate focus is on the Bauxite Hills Project in Western Cape York Steve Cogan must emanate responsibility and integrity because people always want to put him in charge of something. Whether it was presiding over the condo association in his 600-home community or guiding the boards of local nonprofits including the Albuquerque Museum Foundation and Catholic Charities of Central New Mexico Cogan has consistently found himself in leadership roles. It has kind of always been this way. As a 16-year-old working as an attendant in the beach parking lots around his native Los Angeles, Cogans bosses approached him about overseeing the roughly 20 lots dotting the citys coastline. (He turned it down since he didnt even have his own car at the time to drive back and forth. And because his parents didnt like the idea as hed recently been held up at gunpoint while on the job at his regular lot.) The trend mystifies Cogan who says he never aims for the top spot. It just seems to happen. Somehow, Ive always ended up in these positions, he says. He just now took on a new one perhaps his biggest to date. He last month took over as managing principal at REDW LLCs, a certified public accounting and business consulting firm with about 200 employees between its Albuquerque headquarters and Phoenix office. Q: Describe yourself as a teenager. A: School was really easy for me, I didnt really do much in school. I spent most of my time as a teenager at the beach, in two different roles. I was in a junior lifeguard program all summer. I grew up in Southern California, so I spent the whole summer at the beach. I never surfed, but (did) bodysurfing and running on the beach, and whatever else we did in that program. The other thing I did was I was a parking lot attendant at the beach. I used to work at Cabrillo Beach down in Los Angeles harbor. We let people in and took money. It was a great experience because I had grown up in Palos Verdes, which is kind of an upper-middle-class area and not very diverse. Being a parking lot attendant at the beach was great. It was really a life-changing experience to be around really a diverse group of people, people from all over the world, and people from all different socio-economic groups and different places in life. Everybody goes to the beach. Q: What was your first job? A: My first job was pulling weeds in an oil field. My parents had a little tiny investment in an oil field company in Southern California. That was before they pretty much outlawed oil wells in Southern California. This guy would pick me up (and drive me to the site). He was one of the guys who drove and pushed up and down on the gas pedal all the time, so I would get to the oil well it was like a half-an-hour drive to the oil wells and Id be just this far from throwing up from being car sick. Id get out of the car, and rake weeds and pull weeds at the oil field. That was my first job. After that, I upgraded to the beach. Q: What put you on the track to being an accountant? A: I figured I could get a job. Q: It wasnt some burning desire to audit? A: No (laughs). It seemed like a way to get a job. Its a really practical career path. Theres lots of things you can do with it. Accounting is something thats necessary for every business. You dont run a business without accounting and finance. And its something where you can do all kinds of different things. Q: You started at what is now PricewaterhouseCoopers. What was it like working for one of those big firms? A: Pricewaterhouse is a great company. Its a fantastic company and I loved working with Pricewaterhouse. (There were) great people. I really learned a lot about hard work and accountability, and doing really high-quality work but, at least at that time, the people who were successful at Pricewaterhouse worked 70 hours a week year-round. I wasnt going to do that because I wanted to be able to spend time with my family and my church and my faith and the community and work. Its pretty hard to work that much all the time and have family and community and faith, so I quit. Q: Did you have a plan in mind when you quit there? A: Yes and no. I took a month off and studied for the CPA exam, but then my wife had always wanted to be in the Peace Corps. We fiddled around to see if we were going to join the Peace Corps. I had never done anything like that. We decided the Peace Corps wasnt going to work for some reason, so we just did this random research and found this nondenominational Christian missionary school in Guatemala, and we applied and got on a plane and flew down there. It was nuts. It was a fantastic experience. We were sitting in a restaurant (with my family) before we left to go to Guatemala. We were all sitting there, weve got the whole family there and my dad says, Well, have you done a will yet? You have to do a will before you go to Guatemala. He was certain something bad was going to happen to us. Q: Were you were teaching at the school? A: My wifes a science teacher, so she taught science. I taught computer science and business class, and helped with their bookkeeping. Q: What was the Guatemala experience like? A: I learned that human beings are just incredibly adaptable. (It was) the fact I could go from my lifestyle I had to a Third World country and figure out how to make that work, and get to a point where we loved it. I just think theres no limit to what people can accomplish if we set our minds to it and if we stretch ourselves. From that, I just really have a strong belief we can do anything we set our minds to, and human beings are incredibly adaptable and incredibly capable. The other thing I learned from being in a Third World country is we dont appreciate what we have. Theres always someone somewhere who has something worse or is in a worse situation. And we dont appreciate what we have in this country, just really basic things. The other thing from Guatemala is we have an assumption, I think sometimes in this country that theres a direct relationship between happiness and wealth, that just because you have money you can be happy. And I saw some of the most joyful people that Ive ever met lived in what we would say is abject poverty a little, tiny shared house with a dirt floor, one-room dirt floor house in a compound. And some of the most miserable people Ive ever known are some of the most wealthy people Ive known in this country. You can be happy or miserable in a variety of economic states. Q: Youve been at REDW since you came back to the U.S. in 1992. What has kept you here? A: We just have a great group of people. Ive just always enjoyed working here. I really like the innovation and entrepreneurial spirit of the firm. It fits well with me. Ive done all of these kind of crazy different things in my life. That fits with me because the firm has been willing to let me spend a lot of time on the board of Catholic Charities or on the board of the Museum Foundation or (give me the) flexibility to do things with my family and my church. Its been good in that way. I think the other reason Im still here is Im just kind of stubborn and persevered through the challenging times. There have been challenging times and Ive persevered through that. A lot of times, new students ask me, What do you have to do to be successful in public accounting? I usually respond that you have to be able to cope with life, and persevere through the ups and downs. I think its really as much about having a good attitude and enjoying what youre doing, and not giving up when theres difficulties and challenges. Q: If you werent doing this, what else could you see yourself doing? A: If I wasnt doing this, if I could afford it, I probably would go back and do some sort of volunteering full time, work for a charity or go back and do some sort of overseas work, some sort of work in a Third World country or some place like that. (Guatemala) was a terrific experience, something we could really make a difference (doing). Hopefully, I can make a really big difference at REDW; thats my mission now but, if I wasnt doing that and we could afford it, Id just help some charity. Q: What are your pet peeves? A: I like to be direct and forthright about things. Maybe sometimes I can be too direct. Its difficult if people arent forthright and honest about things; its a challenge for me. Q: What is one food you cant live without? A: I have sort of a sweet tooth. (I) like small little gummy candies. My wifes a chocolate nut; she cant even understand why I tolerate that stuff like any sweet, gooey chewy candies like those little Swedish fish. Q: What was your last splurge? A: We were at an Angels game. My sons into the Angels. I bought him a signed Mike Trout jersey for graduation. I paid something ridiculous, like $800, for a signed Mike Trout jersey. Q: How would you describe yourself in three words? A: A little crazy. THE BASICS: Born Steven Robert Cogan on March 15, 1964, in Los Angeles; bachelors degree in business administration/accounting from Cal Poly San Luis Obispo; married to Nancy Cogan since 1989; one son, Marc, 22; and one daughter, Shayla, 20; one dog, Silver, and two cats, Popcorn and Autumn. POSITION: Managing principal at REDW since May, but has been with the firm since 1992; former senior auditor at Pricewaterhouse (1987-91); former Albuquerque Museum Foundation board president; former board chairman for Catholic Charities of New Mexico. DID YOU KNOW? Cogan was an avid water skiier in his native California and still manages to get his fix, despite his current desert environs. We try to go to Lake Navajo at least once a year, he says. While neither of his parents were in accounting, Cogan says it might run in his family. His grandfather was treasurer for Union Oil Co. Now Cogans son is starting a job at the same PricewaterhouseCoopers office where Cogan worked early in his career. Cogan does not have a TV, preferring to spend his spare time with his church, community organizations or entertaining friends at his home. We have a monitor we can play Netflix or something, but we dont even use it, he says. Save Since 1937, the New Mexicos Workers Compensation Act has excluded farm and ranch workers from its scope. On June 30, in a case called Rodriguez v. Brand West Dairy, our state Supreme Court struck down the 1937 law as a violation of the state constitution. Singling out farm and ranch workers, the 4-1 majority ruled, deprived them of the equal protection of the workers compensation law. The workers compensation system is built on a basic compromise. Workers lose their right to sue for on-the-job injuries, but in return they receive the promise of compensation regardless of fault. With the new decision, almost all New Mexico employers with three or more workers on their payrolls must buy workers compensation insurance (or self-insure to the satisfaction of the Workers Compensation Administration). However, an exemption from workers compensation law remains on the books for employers of three or more private domestic servants. For the moment, the Downton Abbeys of our state are safe. The recent decision wasnt unanimous. Dissenting Justice Judith Nakamura pointed out that, as recently as 2009, the Legislature considered and rejected an amendment that would have repealed the farm and ranch exemption. The Legislature was persuaded by testimony that repeal would impose additional annual costs totaling $10.5 million on New Mexicos farms and ranches. Its no secret that many farms and ranches run on very thin margins. It was to protect them, Justice Nakamura contended, that the Legislature rejected the proposed repeal. Thats when the Supreme Court majority stepped in, doing what the Legislature refused to do. This column explains how the majority did it. The federal Constitutions 14th Amendment, ratified in 1868, was intended to protect the rights of newly freed slaves. It contains the original equal protection clause: No state shall deny to any person within its jurisdiction the equal protection of the laws. New Mexicos Bill of Rights was ratified by the people of the territory in 1911, becoming effective when we joined the union the following year. Its equal protection clause uses the same words in different order: nor shall any person be denied equal protection of the laws. Taken too literally, the equal protection clause could be read to prohibit any legislation that treats groups of people differently. After all, if two groups are treated differently, theyre not being treated equally, right? But most legislation implicitly distinguishes between groups of people. Only drivers need licenses, for example, and not passengers. Restaurants are subject to intrusive inspections, but not home kitchens. Different laws apply to different people based on what they do. It cant be otherwise. So equal protection of the laws cant mean exactly the same laws for everyone. In recognition of this reality, our Supreme Court has long permitted laws to distinguish between groups of people if two conditions are met. First, and most importantly, the distinction cant be based on a forbidden category such as race, religion or sex. Second, the distinction must bear a rational relationship to some legitimate governmental purpose served by the legislation. In Rodriguez v. Brand West Dairy, the majority held that the exemption for farm and ranch workers isnt rationally related to any legitimate governmental purpose. In reaching that holding, the court didnt examine the Legislatures reasons for enacting the exemption in 1937. Rather, it examined the evidence and arguments presented by the states lawyers earlier this year, which is hardly the same thing. Its like conducting a home inspection by studying a charcoal sketch of the place. And then the four justices in the majority altered the meaning of rational. If they had given the word its dictionary meaning, they would have had to agree that the Legislature was rational to worry about imposing additional costs on small farms and ranches. Worrying about the economic impact of legislation isnt crazy. The worry might be misplaced, but its based on reason. But instead of considering whether the Legislatures action was rational in the dictionary meaning of the word, the four justices evaluated the economic data to determine whether it was convincing. They pointed out that workers compensation law applies only to outfits with three or more employees and 92.5 percent of New Mexico farms are smaller than that, so most family farms arent even affected by its decision. Its an important point. But that still leaves 1,864 larger farms that will now need to lay off workers or start paying workers compensation premiums. Even so, the majority wrote, the extra costs would not fall disproportionately on smaller operations because workers compensation is payroll-based. Im not sure why proportion rather than cost should matter. But even if the majority is eventually proved right and the economic impact of its decision proves to be minor or transitory, that doesnt mean it was irrational for the Legislature to fear otherwise. It just means four justices of the Supreme Court didnt share the fear. In their usage, rational means convincing to me. In the course of its opinion, the majority used the phrase political branches to refer to the elected branches of government. The implication, of course, is that the judiciary is nonpolitical. We can certainly wish and hope our judges will be free from partisan passions, although its hard to overlook the recent spate of 4-4 decisions from the federal Supreme Court, with Republicans and Democrats squaring off. And in Rodriguez v. Brand West Dairy, our court also split on party lines. But politics is more than party platforms. Any constitutional ruling is a restriction on democracy. It puts certain topics out of reach of self-government. That point is hard to miss when a court takes an action the Legislature previously refused to take. In the big picture, you cant get more fundamentally political than that. Joel Jacobsen is an author and has recently retired from a 29-year legal career. If there are topics you would like to see covered in future columns, please write him at legal.column.tips@gmail.com In the Land of Enchantment, government mandates require that 15.7 percent of electricity must come from wind, solar and other forms of renewable energy by 2021. The state is already halfway to achieving its goal. Unfortunately, because renewable forms of energy are more costly and less efficient, state governments eager to be seen as green had to make their use by utility companies mandatory. In other words, utility companies and ratepayers have no choice but to comply with these laws, known as renewable portfolio standards, or RPS. But theres a catch. According to my new study published by the Rio Grande Foundation, New Mexicos 15.7 percent RPS will increase state electricity prices by nearly 7 percent in 2020. Already, residential electricity prices are 29 percent higher in states with mandatory RPS than in states without them, according to data from the Energy Information Administration. Its not surprising then, that many states are facing blowback relating to their RPSs. At the end of May, Maryland Gov. Larry Hogan vetoed a bill to raise his states RPS from 20 percent to 25 percent, noting he couldnt support the soaring costs. Last year, West Virginia and Kansas completely repealed their RPSs. And the year before that, Ohio hit the pause button on its RPS. In numerous statehouses, legislation has been proposed to either cut RPSs or scrap the mandates completely. With the soaring electricity prices associated with RPS, this shouldnt come as a surprise. According to the Brookings Institute, wind power is twice as expensive as conventional power, and solar power is three times as expensive. These higher energy costs are passed on to electrical ratepayers, depressing economic output and disproportionately hurting the poor, who spend a larger fraction of their incomes on electricity. My new RPS research sheds more light on the degree and scope of these costs and explains how they impact various states differently. Understandably, I find that states with moderate RPS goals experience moderate rate increases, while states with ambitious RPS goals experience more significant rate increases. The economic costs associated with RPS go beyond heftier electricity bills for ratepayers. Since energy is an essential factor of production and consumption activities, businesses pass along higher rates in the form of higher prices for customers. As a result, net economic output in states with RPSs is reduced often by billions of dollars. Our study concludes that New Mexicos 15.7 percent RPS will reduce its economic output by $444 million in 2020. In neighboring Utah, the states 20 percent RPS leads to a $1.4 billion reduction in economic output in the same year. Finally, we know that less economic output means fewer jobs. We anticipate RPS to cost thousands of jobs per state, varying based on each states unique labor market. For New Mexico, we estimate that RPS will cost our state nearly 3,500 jobs in 2020. While the RPS does create some jobs in building and maintaining solar, wind and other renewable capacity, these job gains are dwarfed by the job losses caused by reduced economic output. RPSs are beneficial insofar as they reduce carbon dioxide emissions. But these benefits come at a high cost of between $60 and $80 per ton on average across the 12 states my study analyzed. This is a far higher price than the social cost of carbon estimated by the federal government. Reducing carbon emissions in New Mexico and throughout the U.S. is a worthy goal. But how great a price is the Land of Enchantment willing to pay? As my research shows and numerous states are recognizing, removing the next ton of carbon from the atmosphere outweighs the benefits. It is essential for our leaders in Santa Fe to consider such cost-benefit analyses when crafting policy, in spite of what radical environmentalist have led many to believe. It was clear from FBI Director James Comeys congressional testimony Thursday that he thinks Hillary Clinton lied to the American people, even if he was reluctant to say it in so many words. But then he didnt need to. Weve known for over a year that Clinton has been lying about her server. She lied about the reason she set it up she claimed she wanted the convenience of using just one device. She claimed she never sent or received any classified email. She claimed she handed over all of her work-related emails. She claimed that her stealth system had been approved. She claimed that her lawyers read every one of her emails before opting to hand them over or delete them. Except for that last lie, all of these and there are many more were proven to be falsehoods a long time ago. Of course, lying to the American people is not a crime. If it were, most politicians would be waiting their turn to use the weights in the prison yard. I do not buy Comeys explanation for why he decided not to recommend prosecution to the Justice Department. He concedes that there is little difference between gross negligence the standard in the relevant law and extreme carelessness, his description of Clintons conduct. But Comey says that the DOJ does not prosecute cases of gross negligence unless there is criminal intent. The problem is that the whole reason there is a statute criminalizing gross negligence in mishandling classified information is to cover cases where there wasnt criminal intent. Comey argues that the relevant law, on the books for 99 years, is constitutionally suspect because it doesnt require criminal intent for prosecution. Its a strange argument given that lack of criminal intent is no defense in cases of negligent homicide and many other crimes. Also, the federal government routinely invokes disparate impact theory in civil rights cases, when the whole point of disparate impact law is to punish allegedly unintended harms. Youd think that if this standard were such a constitutional horror, the Supreme Court would have noticed it by now. For me, its pretty simple. Clinton maintained an illegal, unsecured server in violation of law, policy and common sense to protect her political privacy. As Ive been shouting for over a year, the server itself is the smoking gun. If its illegal to ship classified information in a secret pneumatic tube from your office to your home, the mere fact that you had a pneumatic tube installed for such purposes is all the proof of intent you need. But its worse than that. When Clinton and her aides were informed that what she was doing was wrong, she kept doing it anyway. When the facts came to light, she lied to the public. As Rep. Trey Gowdy (R-S.C.) noted during Thursdays hearing, those lies illuminate her intent. You only lie about not doing something wrong if you know that what you did is wrong. That said, I dont buy the conspiracy theories that Comey was bought off somehow. I think his decision to side against prosecuting Clinton stems from the fact that it was the safest course for him and the FBI. For millions of people, this decision taints the FBI, but much, much less than it would have if he opted to fatally damage the candidacy of a major party nominee, even one whos always been willing to attack, belittle and smear anyone standing in her way. This has always been at the heart of why I think the Clintons are so repugnant. If a woman goes public with a credible or demonstrably true accusation of sexual harassment or assault, Bill and Hillary Clinton are perfectly happy to destroy the accuser. If a law enforcement official or journalist tries to get the truth about any of their myriad misdeeds, then Clinton Inc. goes into overdrive to discredit the truth-seeker. Comey was presented with a terrible set of options. He chose the one that would least damage his reputation and the reputation of his agency. I dont like the decision, and I dont agree with his arguments defending it. But the ultimate blame resides entirely with Hillary Clinton and her gang. They taint and politicize everything they touch, because they put their interests above everything else. U.S. Agriculture Secretary Tom Vilsack stomped his foot last week, and the nations forests should be shaking. Thats because Vilsack told Journal Washington bureau correspondent Michael Coleman that if the U.S. Forest Service, which he oversees, runs out of money to fight fires this summer, it will be up to Congress to give him an emergency appropriation to battle the flames because he wont take money from any other part of his budget. He certainly wouldnt want to disrupt all those recreation specialists and their long-range planning. His threat would carry more weight if the Forest Service was actually doing more fire suppression, using thinning and prescribed burns to remove fuel and improve the health of public lands while reducing the threat of catastrophic wildfires. Instead, the agency spends its time successfully suing communities like Otero County in federal court to stop tree cutting to proactively thin overgrown forests. It bears noting that New Mexico repeatedly and unsuccessfully petitioned the Forest Service to address unsafe fire conditions in national forests before passing a state law in 2001 a year after the Cerro Grande fire burned hundreds of Los Alamos homes and threatened one of the nations nuclear weapons laboratories to exert local sovereignty over the safety of public lands. Last year a federal judge ruled against the state law. So under Vilsacks vision, Forest Service employees have: 1. The full authority not to thin forests, and 2. The full authority not to fight forest fires. And that begs the question, if trees burn in a national forest, will anyone in the U.S. Department of Agriculture listen? New Mexicos senior senator, Democrat Tom Udall, has already convinced his colleagues to kick in an extra $600 million for firefighting in the 2017 federal budget. And while he agrees major wildfires should be classified as natural disasters to be fought using federal emergency money, he rightly calls Vilsacks petulant stance to not use all funds at his disposal irresponsible. If you run out of money and you dont do borrowing then youre not fighting fires, he said. And Rep. Steve Pearce, R-N.M., who vowed to cut the first tree in a 2011 emergency thinning in Cloudcroft, connects the dots Vilsack wont. Simply changing the way wildfires are funded will not solve the problems facing our national forests. To fix the problem, we must address the real problem: lack of effective management. The most effective way to prevent wildfires are thinning and controlled burns; however, these practices have not been properly utilized by this administration due to radical regulations supported by the far left. If and when Vilsack, who hails from the corn forests of Iowa, runs through his entire forest fuel removal account actually improving the health of national forests, he will be on higher ground to claim poverty when it comes to fighting forest fires. But New Mexicans who have watched their forests burn every fire season know hes not even close to there yet. This editorial first appeared in the Albuquerque Journal. It was written by members of the editorial board and is unsigned as it represents the opinion of the newspaper rather than the writers. CAN WE CALM THESE SPEED DEMONS DOWN? Thats what Terry Ihnat wants to know. Terry emails some cities have plans and ways to calm streets in an effort to reduce accidents and maintain safe streets. Anywhere I travel locally, be it Central, Montgomery, Coors, Rio Grande, Interstate 40, Interstate 25, etc., traffic passes as if I were standing still if I attempt to observe the speed limit. Zig-zaggers, red-light runners and texters weaving from lane to lane are common. There has been a plan for more than a year. Melanie Martinez, program manager and public information officer for Albuquerques Department of Municipal Development, says in February 2015, City Council adopted the Neighborhood Traffic Management Program. The Department of Municipal Development has been working with City Council on implementing various traffic calming measure throughout the City of Albuquerque. These include physical tools, such as lane narrowing, turn restrictions and curb bulb-outs, as well as non-physical tools like radar speed signs and targeted enforcement. Martinez adds that an example of recent measures to aid in traffic calming was the re-striping of Lead and Coal. Studies have shown that narrowing of driving lanes narrows the drivers perception of the roadway, which, in turn, may cause them to slow down. Residents who would like to request a traffic calming measure can visit cabq.gov/traffic. Through this page, requests will be submitted and shared with Department of Municipal Development and City Council. Additionally, requests can be submitted by calling 311 or using the 311 app. AND WHO IS IN CHARGE OF HIGHWAY SPEEDERS? That brings Terry to question No. 2, which police agency is responsible for traffic stops on city, county or interstate roads? In the last five years since I returned to Albuquerque, I have seen only three traffic stops total. I understand I am not out driving the streets day and night and there are no doubt more traffic stops than I have witnessed, but I often wonder who is in charge? Albuquerque Police Department officer Tanner Tixier says APD can enforce traffic violations on any road, highway (and) thoroughfare that lies inside Bernalillo County, as we are cross-commissioned as deputies. However, APD focuses on roads/highway/freeways within the city limits. The Bernalillo County Sheriffs Office can enforce traffic violations on any road, highway (and) thoroughfare that lies inside Bernalillo County, including inside the city limits. However, they focus on the unincorporated areas of Bernalillo County. And the New Mexico State Police have jurisdiction to enforce traffic violations anywhere in the state, except tribal land unless the driver is a non Tribe member. FIXING THAT WYOMING DRIFT: M. Riley emails there is a condition on Wyoming Boulevard NE at and north of the Academy intersection that bothers me continually. (On Wyoming) the surface of the road is sinking where the weight of the vehicles presses down the asphalt. M. says drivers can unexpectedly find their cars drifting out of the traffic lane. One of my cars behaves fine and continues straight in the tracks. This car is 12 years old and never needed alignment. The other car is one year old and tends to wander in these areas of Wyoming. Only a road resurfacing would correct the problem. Perhaps the city has one scheduled. It does. Martinez says the city has a roadway rehab program that identifies segments of roadways that are in need of rehabilitation. At this time, micro-surfacing is scheduled for summer 2016 on Wyoming to Paseo del Norte. Every year a new rehab program is developed. Based on the existing conditions of the roadway, surface streets that are rated with poor to very poor conditions are included in the program. She adds that if citizens would like to request an area be reviewed and added to the schedule, please call 311 or use the 311 app. A NEW NAME MEANS A NEW SPEED LIMIT? Dan emails that when it was Paseo del Volcan, the speed limit was 55 mph. With a new road and name (Atrisco Vista Boulevard), now it is 40 mph. How does that make sense? Because it got a new design as well. Martinez says the name change was made before the reconfiguration of the roadway, which led to the change in speed limit. Speed limit is determined by various factors, including design of the road. Assistant editorial page editor DVal Westphal tackles commuter issues for the Metro area on Mondays. Reach her at 823-3858; road@abqjournal.com; or P.O. Drawer J, Albuquerque, NM 87103. It was the opposite of what courtroom observers have come to expect. Last week, the prosecutor was recommending probation, and defendant Ashley Zarates mother was calling her and her co-defendant and friend Samantha Ross monsters who should get no leniency from 2nd Judicial District Judge Christina Argyres. Behind it all was the May 23, 2014, death of Ashley Zarates grandfather and Angel Zarates father, Richard Zarate, 65, who was robbed and fatally beaten in his home. Richard Zarate died about 10 days later in the hospital, never having regained consciousness. Angel Zarate, the oldest daughter of Richard Zarate, said she learned of her fathers death on the same day she found out her daughter had something to do with it. Ashley Zarate, 21, and Ross, 23, entered guilty pleas to fourth-degree felonies Zarate to three, Ross to four related to use of the grandfathers credit card. The card came from the grandfathers wallet, which was taken by Marcus Hamilton, 22, and Phillip Martin, 26. Hamilton and Martin entered Richard Zarates home on Shire Street and attacked him based on allegations that he had sexually abused a family member. Hamilton, arrested after he and Ross were seen using the credit card, told police after his arrest that he and Martin had repeatedly stomped on Zarates face. Neither of these (two) is the one who beat up Richard Zarate, Assistant District Attorney Spirit Gaines told Argyres at the sentencing hearing, referring to the two young women. There wasnt a plan. What these girls did was after the fact make very stupid decisions. She said they appeared to be good candidates for probation and asked for probation for both. Argyres imposed five years after a probation officer confirmed that Ashley Zarate had turned in no dirty urine tests, obtained a high school equivalency diploma, attended AA meetings and held a job while the case was pending. Ashley Zarates attorney, Megan Mitsunaga, said her client had been out of state in California, dealing with a boyfriends suicide, at the time of the beating. This was her grandfather, but he betrayed her trust in some very improper ways, Mitsunaga said. She suggested that family members who spoke out against her had conflated Ashleys role with that of the people who actually caused the grandfathers death. Kari Morrissey, who represents Ross, said her client had been in a relationship with a man who physically and sexually assaulted her twin sons, and that both Ross and her boyfriend had been charged with the abuse in a separate case although scientific evidence later exonerated her. Meanwhile, Ross had agreed to termination of her parental rights. Ross was in the car when the men went into Richard Zarates home, she said, and Ross became frightened when they emerged from the home carrying a television set dripping in blood and discussed out loud what do we do with her. Martin and Hamilton are set for sentencing Aug. 5 before Argyres. Martin entered a no-contest plea to conspiracy, larceny, unauthorized credit card use and identity theft and faces up to 10 years at sentencing. Hamilton entered a guilty plea to second-degree murder and unauthorized use of a credit card. His plea agreement calls for sentences to be served consecutively, with all but seven years suspended, plus five years of supervised probation. He is to be sentenced last. Copyright 2016 Albuquerque Journal Catron County officials have long made claims that the U.S. Fish and Wildlife Service has mishandled a program to return the endangered Mexican wolf to the wild. A new federal investigation backs them up. The investigation by the Department of Interior Office of the Inspector General, expected to be made public today, substantiates many of the allegations made by Catron County in a 2013 complaint namely that the service protected genetically valuable wolves in the wild, even after they preyed on cattle, did not tell residents when wolves were near and did not fully compensate ranchers for cattle killed by wolves. Fish and Wildlife spokesman John Bradley told the Journal, We have been working to improve all aspects of our work with the county and the people who live there and added that the current field office coordinator considers this matter closed and resolved. The investigation stems from a July 2013 complaint by the Catron County Board of Commissioners, which was referred to the OIG by U.S. Rep. Steve Pearce, R-N.M., in January 2014. Pearce called the OIG findings incendiary and said it pointed to problems at the highest management levels. The upper level management of the Fish and Wildlife Service is tolerating a culture of lies, deception and outright manipulation of data, he said. I think a whole overhaul is needed. Three strikes Catron County is the heart of the wolf reintroduction program in New Mexico. Although the programs boundaries legally stretch across the southern half of the state to the Mexican border, in practice, wolves roam mostly in the Gila National Forest. When locals notice a wolfs nuisance behavior getting too close to humans or pets or preying on cattle they may report it to Fish and Wildlife. A wolf is supposed to be removed from the wild after three strikes, but the Catron County complaint alleged that certain wolves considered to be especially valuable to the species genetic diversity were given passes and nuisance behavior reports were instead assigned to other, less genetically valuable wolves. The report largely blamed the mishandling of nuisance wolf reports on one staffer who coordinated a field team in Catron County between 2011 and 2013 and who has since been reassigned to another area. Pearce said the fact that the coordinators mismanagement went on for two years points to problems at a higher level. Although she claimed at first that she did not show preferential treatment toward genetically valuable wolves, later she acknowledged that she did treat them differently from other wolves, according to the report. She said that she gave genetically valuable wolves more care, allowed their nuisance behavior to continue, and provided them more opportunities to breed. Even though the coordinator has changed, basically the culture is the same, Pearce said. Ranchers in the area have long been against the reintroductions that began in 1998, since they are prone to losing livestock to wolf depredations. The report substantiates claims that ranchers were not fully compensated for their losses during the former coordinators tenure. Most said that they received compensation for only a small percentage of the cattle they lost, the report said, adding that ranchers blame the difficulty of confirming that a missing or dead animal has been killed by a wolf as well as unresponsiveness and past interference in investigations by the field office. The OIG said other allegations by Catron County officials were unsubstantiated. The OIG could also not prove claims that the Mexican gray wolf is really an extinct species or that wolf DNA is contaminated with that of coyotes or domestic dogs a misperception, according to a Service biologist quoted in the report, although she added anything can happen. History of lies Although the allegations investigated by the OIG are now three years old, the relationship between New Mexico and the service has rarely been more fraught. Last year, New Mexicos Game and Fish Department prohibited the service from releasing wolves into the wild and is suing the service after it released two wolf pups in Catron County anyway earlier this year. The OIGs report is likely to throw fuel on the fire, vindicating ranchers claims that the service hasnt been cooperative with locals. The report notes that while the service has been documenting nuisance complaints and has attempted to improve communication with county residents, it goes on to say that many of the county residents we spoke to said they were still concerned about poor communication and a perceived lack of concern for public safety. Catron County rancher Alan Tackman said the service still falls short when it comes to letting ranchers know when wolves are near. He has lost dozens of cattle and calves to wolves over the years, he said. To me, there has been a history of the Fish and Wildlife Service lying to us, misrepresenting things, he said. Its hard to say whether its improved in the last couple of years. Michael Robinson of the Center for Biological Diversity said he believes the service has been overly solicitous of the livestock industry. The bottom line is that the Fish and Wildlife Service is responsive to the livestock industry to the point that the wolf population is again declining, he said. There were 97 wolves in the wild in New Mexico and Arizona at the last federal count in early 2016, down from 110 wolves the prior year. Forty-seven of the wolves were found in New Mexico, largely in the Gila. As for changes made in recent years to improve relations, the report points to the formation of the Mexican Wolf/Livestock Coexistence Council, a board comprised of ranchers, environmentalists and Service workers to review compensation payments that now cover the full market value of the animals lost but ranchers still have the difficult task of proving that a wolf caused the kill. Pearce said, The county does not feel any more secure, any more listened to today compared with three years ago. We are walking through the parking lot, picking our way through the used syringes scattered in the gravel. I stop counting after eight. Here, it is not unusual to find so many needles, fluttering flags of used toilet paper, the occasional condom, crushed beer can, broken bottle of cheap whiskey. The druggies flick the needles into our property all the time, says James Lyle, an Albuquerque attorney whose office is next door to the St. Martins Hope Center, one of the newer locations for the nonprofit serving the citys homeless population. We have to clean these out every couple of days, if not more so. We take a walk around the center, a large two-story building with a smaller office building several steps away at Second and Mountain NW. The larger building had been a church but was remodeled as office space for the various programs St. Martins offers. It held its grand opening in November 2014, attracting political leaders, Albuquerque Chamber of Commerce members and other decidedly non-homeless pillars of the community. Its very likely they dont know about what Lyle calls the dark side of the Hope Center. All this trash and these drug dealers, he says. Its dangerous. Its nasty. Its just sad. Its morning in mid-June when I visit, so its quiet. But as the day wears on and night descends, things will get nastier, sadder, so much so that Lyles longtime assistant is sometimes afraid to walk out to her car in the parking lot, separated from the back end of the Hope Center by an iron-barred fence. You can hear them fighting, screaming at each other, Lyle says of the street people who congregate around the center, sleep in the narrow alley between his fence and the centers wall, transact their deals of meth and flesh in a filthy port-a-potty covered in graffiti and filled with excrement, empty bottles, more needles and angst. Dont waste your love on ingrates, and hate with a passion. Hail Satan, someone has written inside the fetid stall. Lyle says his biggest concern is not for his property or his assistant but for the homeless clients the Hope Center serves. I dont want anything bad to happen to their effort to help the homeless, he says. But Im tired of seeing these drug dealers take advantage of these people and make this a dangerous environment. Lyle says he has been complaining about the Hope Center for months and has even considered legal action, but nothing is done. He has contacted staff members there, contacted Albuquerque police, citys zoning and code enforcement officials and the Mayors Office. They just say, Well get back to you, he says. And then they dont. But the Rev. Rusty Smith, who later this month leaves his longtime position as executive director of St. Martins Hospitality Center to become president and CEO of the New Mexico Community Foundation, says he and his staff had been fighting drug activity, trespassers and trash long before Lyle began complaining. I wish it was a simple issue, but it is not, and drug use, drug dealers and drug gangs are making life very difficult for all of us that share the Downtown community, Smith says. We serve over 7,000 clients, and some of our clients have issues with drug use. We attempt to both engage and curtail drug use as we provide support services to help people become stable and leave the streets. St. Martins officials meet regularly with other Downtown providers, such as Healthcare for the Homeless and law enforcement to discuss how to combat the issues, he says. Drug use is not tolerated on the property, signs are posted and police are called as needed, he says. The port-a-potty was installed after the center received complaints about clients using the neighborhood as their toilets. Although it was certainly not apparent when I was there, Smith says the potty is cleaned and sanitized weekly. Mayor Richard Berry, who has made ending homelessness one of his pet projects, is a fan of the Hope Center and the work St. Martins does. But he says he knows that this vulnerable population is easy prey for drug dealers and human traffickers. Its part of the reason Im trying to spend so much time and energy getting homeless off the streets and out of tent cities, Berry says. He is sympathetic to Lyles concerns, he says. Though his staff could not find a record of having been contacted by Lyle in the past, he says he will reach out now. Lets give it another look, he says. Lets have a conversation. That is, Lyle says, what he has been asking for. Its been almost four weeks now since I walked through the needle park that is Lyles parking lot. Things are better even before a meeting with the mayor, he says. Someone from the center is apparently coming by regularly to clean up the debris and cover up the graffiti, he says. Except for the weekends when no one is working at the center, the trespassers are not as common. Its kind of interesting, he says. Perhaps, at least for now, there is more hope. UpFront is a daily front-page news and opinion column. Comment directly to Joline at 823-3603, jkrueger@abqjournal.com or follow her on Twitter @jolinegkg. Go to www.abqjournal.com/letters/new to submit a letter to the editor. MOSCOW Ukraine and Canada on Monday signed a free trade agreement during Canadian Prime Minister Justin Trudeaus first visit to Ukraine. Canada is home to a sizeable Ukrainian minority and has been a key backer for Ukraine during the two-year separatist war in the east. President Petro Poroshenko told a news conference at the end of the talks in Kiev on Monday that the free trade deal will do away with 99 percent of barriers within the next seven years in trade between the two countries. Trudeau received a rapturous welcome in Kiev with Ukraine rolling out a red carpet for Trudeau at the welcome ceremony outside Poroshenkos office in central Kiev. Ive been looking forward to this visit since being elected prime minister. Coming to Ukraine was a real priority to me, Trudeau said, lauding the trade deal as a milestone agreement that will improve market access and create more predictable conditions for trade. For Canada, the deal was signed by International Trade Minister Chrystia Freeland, who has Ukrainian ancestry. Trudeau reiterated Canadas support for the Kiev governments fight against Russia-backed separatists in eastern Ukraine but stopped short of pledging weapons supplies that Poroshenko is anxious to get from the West. Asked about the possibility of Canadian lethal aid to Ukraine, Trudeau said right now the focus is on the training mission that Canada is involved in helping the Ukrainian army. But he added that his government will continue to monitor the situation to assess what would be the best way to support Ukraines fight against the separatists. PHOENIX The mere smell of marijuana can still be the basis for police to obtain a warrant to conduct a search, Arizonas top court said Monday in a ruling that generally maintains a decades-old legal doctrine in the wake of the states legalization of medical marijuana. However, the state Supreme Courts ruling also said the smell-based legal foundation for a search can be dispelled if a person has a valid medical marijuana card or authorities have other indications the suspected marijuana use or possession is authorized by the 2010 medical marijuana law. Arizona adopted the so-called plain smell doctrine in a 1975 ruling. The unanimous ruling Monday upheld a trial judges decision in a Tucson case to allow evidence from a search conducted after police smelled marijuana and obtained a warrant. Officers who had noted an overpowering odor found a growing operation with hundreds of plants, the ruling said. Arizona voters approval of the 2010 medical marijuana law didnt generally decriminalize marijuana use or possession and makes marijuana legal in only limited circumstances, Chief Justice Scott Bales wrote. Because of that, the odor of marijuana in most circumstances will warrant a reasonable person believing there is a fair probability that contraband or evidence of a crime is present, Bales wrote Two Arizona Court of Appeals panels issued contradictory rulings on the marijuana smell issue in the Tucson case and a case in Maricopa County. The Arizona ruling largely tracks a 2012 decision by the state high court in Vermont, which also has a medical marijuana law. The Vermont decision said a trial judge properly considered the odor of fresh marijuana coming from a defendants home in deciding to give police a search warrant in the absence of any indication that a resident of a home is a registered patient. The Arizona case of Ronald James Sisco II now returns to a lower court for consideration of other appeals issues. He is serving a 3.5-year prison sentence on marijuana and child abuse convictions. David Euchner, a Pima County assistant public defender helping represent Sisco in the Supreme Court appeal, did not immediately return a call for comment. BATON ROUGE, La. The Latest on protests in Louisiana over the shooting death of Alton Sterling in a struggle Tuesday with two Baton Rouge police officers (all times local): 11:15 p.m. Protests in Baton Rouge were low-key on Monday night following a tumultuous weekend marked by arrests and standoffs between protesters and police in riot gear. Protesters gathered in front of the convenience store where 37-year-old Alton Sterling was fatally shot by police on July 5, sparking days of protests. Outside the store, members of the Nation of Islam renewed calls for boycotts of businesses. Protesters have targeted a boycott of the Mall of Louisiana, a large mall in Baton Rouge. ___ 8:30 p.m. The owner of a convenience store where a Louisiana man was shot and killed by police is suing Baton Rouge police, alleging officers illegally detained him for about six hours after he recorded the confrontation on his cellphone. The video shot by Abdullah Muflahi, the owner of Triple S Food Mart, sparked a wave of anger over the arrest and killing of Alton Sterling, a 37-year-old man who Muflahi allowed to sell CDs in front of his store. Muflahi filed suit in state court Monday. In the suit, he alleges police illegally seized his stores surveillance video equipment without a warrant. The suit also alleges that officers illegally seized his cellphone and did not allow him to call his family or lawyer. The suit says he was kept in the back of a police vehicle for four hours and detained at the Louisiana State Police headquarters for two hours while he was questioned. The suit says Muflahi was only a witness and should not have been detained nor had his store equipment seized. Sgt. Don Coppola, a police spokesman, said the department does not comment on pending litigation. ___ 8 p.m. Baton Rouge police allege in a search warrant filed in state court Monday that a 37-year-old man shot and killed by police July 5 refused to place his hands on the hood of a vehicle and that the officers saw a butt of a gun in the mans front pocket. An affidavit filed with the warrant, obtained by The Associated Press, provides the most detailed account yet by police of what happened in the deadly altercation with Alton Sterling. Sterlings death and that of a motorist in Minnesota has sparked nationwide protests. Sterling was selling CDs in front of the Triple S Food Mart when two officers confronted him after an anonymous caller said Sterling had threatened him with a gun. The warrant was filed to obtain surveillance video from the store. It says the officers gave Sterling direct orders to place his hands on the hood of a nearby vehicle. The warrant says Sterling did not obey the order and resisted arrest, at which point the officers deployed their BRPD issued Tasers. During the arrest, the warrant says the officers saw the butt of a gun in Sterlings pocket and that he tried to reach for the gun from his pocket. Cellphone video of the altercation shows Sterling being struck by a stun gun and tackled by an officer before he is shot several times. ___ 7 p.m. Leaders of the Black Lives Matter movement have delivered to Memphis officials a list of changes they want to see to improve the lives of African-American residents in this majority black city. Minister Devante Hill, an organizer of a protest that blocked Interstate 40 on Sunday night, listed the groups demands during a community meeting with Memphis Mayor Jim Strickland and interim Police Director Michael Rallings at a church Monday. The gathering drew more than 1,200 people, many of whom attended the protest Sunday that also included marches on downtown Memphis streets, including the tourist destination of Beale Street. Traffic on Interstate 40 was blocked in both directions for hours after hundreds of angry Black Lives Matter protesters marched onto the bridge to show their anger about police killings of black people. Police in squad cars tried to stop them, but several hundred had already made their way up the ramp, and the crowd swelled to more than 1,000. ___ 4:50 p.m. The funeral for a Louisiana black man fatally shot by police will be held Friday in Baton Rouge. Alton Sterlings family will hold his funeral at the Southern University F.G. Clark Activity Center. A viewing is scheduled from 8 a.m. to 10:30 a.m., with the service to follow at 11 a.m. Carney and Mackey Funeral Home of Baton Rouge is coordinating arrangements. Sterling, a 37-year-old black man, was killed Tuesday by two white police officers. His death, captured on video by bystanders, has sparked days of protests. ___ 2:15 p.m. A Louisiana civil rights group is criticizing law enforcement officers over their treatment of protesters. The American Civil Liberties Union of Louisiana said Monday that Baton Rouge police used violent, militarized tactics on groups of people who have gathered peacefully in protest of Alton Sterlings killing. On Sunday, Amnesty International questioned the high number of arrests during Saturdays protests and whether it was a proportionate response to peaceful protests. Sterling, a 37-year-old black man, was killed Tuesday by two white police officers. His death as well as the video recordings of it captured by bystanders have sparked days of protests. Authorities have arrested about 200 people so far over three days of demonstrations. At times police have used riot gear and military-style vehicles in demonstrations. ___ 1:40 p.m. Louisianas attorney general says he wont have access to the federal investigation on the Alton Sterling shooting until its completed and a decision has been made on potential federal charges. Attorney General Jeff Landrys statement came minutes after the district attorney in the parish were Sterling died announced that he was recusing himself from the case. Landry said his department has been advised by the U.S. Attorneys Office that we will not have access to the ongoing and extensive federal investigation being conducted. He said his office looks forward to fulfilling our responsibilities upon completion of the investigation and receipt of the complete investigative materials. The Department of Justice has opened a federal civil rights investigation into Sterlings death. But any decision on whether to pursue other charges such as murder or negligent homicide or assault would generally come from the state. ___ 12:10 p.m. Baton Rouges top prosecutor says his office hasnt made any decisions on charges against protesters who were arrested over the weekend in demonstrations against killings by police. East Baton Rouge District Attorney Hillar C. Moore III said Monday at a news conference that those decisions will be made on a case-by-case basis. Police made nearly 200 arrests over the weekend in Baton Rouge. Moore also said that hes recusing himself from any state criminal investigation into the shooting death of Alton Sterling, a black man, at the hands of two white police officers. He cited his professional relationship with the parents of one of the officers, Blane Salamoni. Salamonis parents have both worked for Baton Rouge police, and Moore says theyve interacted directly and worked on hundreds of cases in common. ___ 9 a.m. New Orleans residents account for nearly half of the 50 people who were arrested Sunday in Baton Rouge at protests over deadly police shootings. A list released Monday by the East Baton Rouge Parish Sherriffs office shows that 38 of the 50 people arrested at protests that began Sunday are from Louisiana. Twenty-two of them are New Orleans residents, while seven are from Baton Rouge. A Baton Rouge police spokesman, Sgt. Don Coppola, has said that protests have become more violent as protesters from other cities arrived in Baton Rouge. More than 180 people have been arrested at protests in Louisiana since the July 5 fatal shooting of Alton Sterling, a 37-year-old black man, during a struggle with two white Baton Rouge police officers. Most of Sundays arrests took place near downtown Baton Rouge, where police said they stopped a group of protesters from walking onto Interstate 110. ___ 2:30 a.m. Authorities made more than 160 arrests in Louisianas capital during a long hot weekend of protests over killings by police, with only one reported injury among the ranks when an officer was hit by a projectile. Police in riot gear kept protesters from entering Interstate 110 in Baton Rouge on Sunday, thwarting a tactic activists have attempted around in the country in the aftermath of the killings of Alton Sterling in Louisiana and Philando Castile in Minnesota. More than a thousand demonstrators left a Black Lives Matter rally in Memphis, Tennessee, and occupied a Mississippi River bridge Sunday night, temporarily halting traffic on Interstate 40. Hundreds walked onto I-264 in Portsmouth, Virginia, marooning motorists for hours. Demonstrators failed to block highways in Atlanta, and in San Francisco in recent days. Many insurers are shedding their Obamacare plans lately, and that now includes the largest health plan in New Mexico. Presbyterian Health Plan will no longer offer individual and family policies on the Affordable Care Act marketplace, starting next year, company officials said Monday. The decision will affect 10,000 exchange members, 80 percent of whom now receive federal subsidies, said Brandon Fryar, president of the health plan, a for-profit subsidiary of Presbyterian Healthcare Services. Presbyterian, which insures about 18 percent of the Obamacare market in New Mexico, has sent letters to members notifying them of the changes. Existing exchange policies will remain in force until the end of the year. If members want to continue coverage with Presbyterian, they can still buy policies from the company off the exchange, rather than through HealthCare.gov or the New Mexico Health Insurance Exchange, www.beWellnm.com. But if they do so, they will not be eligible for ACA subsidies. Presbyterians current 16,000 enrollees in its off-exchange insurance plans, those who receive coverage through employers and those in small group plans will not be affected by the change. Fryar said Presbyterian made the decision to stop offering exchange plans because patients who purchased there used medical services 30 percent more than other patient groups off the exchange. He did not provide a dollar figure. Presbyterian insures over 466,000 New Mexicans. The largest group is its Medicaid line, with 220,000 enrollees, followed by 170,000 commercially insured individuals and 45,000 Medicare Advantage enrollees. Also on Monday, Presbyterian said it will be requesting an average increase of 21 percent on its non-exchange, individual plan premiums. The insurer had sought an average 30 percent hike on its exchange plans before deciding to pull out of the exchange. John Franchini, superintendent of the Office of Insurance, said he has been in discussions with Presbyterian executives for several months to find a way to keep the company in the exchange. Franchini said the discussion included raising rates to appropriately reflect their claims experience. He said hes concerned when carriers leave the exchange because of the costs of providing insurance to a sicker population. Fortunately, the remaining carriers New Mexico Health Connections, Molina, Christus, and again this year Blue Cross Blue Shield of New Mexico are ready to handle the transition, Franchini said. Presbyterian members receiving subsidies under the Affordable Care Act can seek coverage with insurers that are still offering exchange plans. And, depending on where they live, many of these patients may be able to continue to access the network of Presbyterian providers, according to Jim Hinton, Presbyterian Healthcare Service president and CEO. The other insurers providing care to the current 55,000 New Mexicans on Obamacare are in the process of filing 2017 rate proposals, and many have suggested they will seek big increases. In preliminary filings this spring, New Mexico Health Connections sought a bump of 20 percent to 30 percent on its individual plans. Christus and Molina asked for increases of between 3 percent and 6 percent. Blue Cross, which is returning to the states health insurance exchange after taking a year off, has requested rates that are between 20 percent and 83 percent higher than its 2015 rates. Thousands of New Mexicans had to shop for new health insurance after Blue Cross stopped offering individual insurance plans through the state health exchange. The company had said it lost $19.2 million in 2015 on the 35,000 individuals covered by plans they purchased on and off the exchange. Nationwide, a number of large carriers have said they are pulling out of Obamacare in 2017. UnitedHealthcare in April announced it would exit nearly all of the ACA exchanges because of heavier-than-expected losses from covering a population that turned out to be sicker than it expected. And Humana has sent letters to insurance regulators in Alabama, Kansas, Wisconsin and Virginia, saying it would no longer offer individual products there. SILVER CITY The local board in charge of plans to divert water from the Gila River decided Monday to pursue a combination of two projects that may cost less but would also deliver less water than planners originally intended. Facing a Friday deadline to inform the Bureau of Reclamation of its plans, the New Mexico Central Arizona Project entity selected alternatives for further study that would, together over the long term, divert water from a northern point on the river to storage areas underground and in one or two canyons. Contract engineering firm AECOM estimated the initial phases of the projects would cost $84 million and $82.5 million, respectively although there is expected to be significant overlap in the infrastructure. Total cost for each alternative fully built, but excluding potential savings due to overlap, is $366 million and $336 million, respectively, according to the AECOM analysis. An estimate for the combined project, stripping out duplication, was not discussed but would be significantly less than the totals added together, said NM CAP entity Executive Director Anthony Gutierrez. New Mexico is entitled to an average 14,000 acre-feet of water per year under the Arizona Water Settlement Act, and the act allots the state up to $128 million to pursue a diversion. NM CAP entity board members made it clear at a recent meeting that they wanted a project that was feasible with a budget of $80 million to $100 million and a yield of up to 4,000 acre-feet. Together, the projects selected would yield in their initial phases up to 1,800 acre-feet annually and in the longer term up to 3,000 acre-feet, according to AECOM estimates. At one point, the Bureau of Reclamation had estimated the cost of a major diversion could reach $1 billion. The CAP entitys chosen alternatives scale back the size and scope of the diversion and storage systems. Should the project costs exceed the settlement funding available, its not clear where the additional funding would come from. Its also not clear what the water would cost likely beneficiaries: local farmers and ranchers. Gutierrez recommended the two alternatives, and the board agreed unanimously with his choices. Now the plans go to the Bureau of Reclamation for a multiyear review under the National Environmental Policy Act, or NEPA. Gutierrez told board members that in making their decision, they needed to consider the long-term goals and needs of southwest New Mexico and noted that the days decision is only a steppingstone for decisions to be made by people who are going to outlive us all. The entity could take 50 years to fully build out the diversion and reservoir projects, he said, spreading the higher costs across decades. Todd Schulke, co-founder of the Center for Biological Diversity and an advocate for Gila River protections, said the projects are additive and the costs must be summed with the cost of operation and maintenance over 30 or 40 years costs not included in the top-line AECOM estimates. You have two roughly $350 million projects on opposite sides of the river, and the only common piece of them is the diversion, he said, noting that plus the operation and maintenance costs, when you add all those things up, yeah, its less than a billion dollars but not a lot less. Gutierrez disputes that. The storage canyons contemplated in the different projects are an either-or option not both, he said, and the storage reservoirs are the biggest cost to each. The existing infrastructure wont have to be rebuilt. The boards primary choice alternative No. 4 would divert water at a bend in the river called the Gila Gage, move it into infiltration ponds for storage in an aquifer storage and recovery system, which is like a reservoir underground but the water keeps flowing. In a later phase, the project would add a reservoir in Winn Canyon on the west side of the river farther south. A second, overlapping choice alternative No. 1 would use the same Gila Gage diversion to pipe water into a reservoir in Upper Spar Canyon on the east side of the river, also farther south. The board members asked questions of engineers about how quickly the water would be available from diversion to storage under the different alternatives and about whether the infiltration ponds could be made to look natural in an area that is popular with hikers and campers. Of particular concern was competing data regarding elevations of the diversion and reservoirs, which has bearing on whether the projects use gravity conveyance much cheaper than pumping and lifting water from one spot to another. The alternatives presented by engineers represent minimal design and leave a number of questions unanswered. Engineers and Gutierrez told the board that many of the design details will be worked out through the NEPA process. PHOENIX A man who pleaded guilty to attempted first-degree murder in a 2012 case in Phoenix has been sentenced to 12 years in prison. Maricopa County Superior Court officials say Ricco Monge was sentenced Monday. Prosecutors say the 23-year-old Monge was arrested in connection with a 2012 murder-for-hire case in Phoenix. Monge was accused of being the getaway driver for a co-defendant who shot and wounded a woman. The woman was scheduled to testify against the co-defendant in an April 2012 aggravated assault trial and he faced up to 15 years in prison. Prosecutors believe Monge didnt know the other man planned to shoot the woman and instead thought he was planning a drug rip-off. Gov. Susana Martinez will attend tomorrows memorial service for five Dallas law enforcement officers who were killed in the line of duty last week, according to the Governors Office. President Barack Obama and former President George W. Bush are both scheduled to speak at the interfaith memorial service, with the president cutting short a European trip to attend the event. Both Bush and Obama will also reportedly meet with the victims families. Martinez, who also called Texas Gov. Greg Abbott last week to express her condolences about the shooting, is traveling to Dallas tonight, a spokesman said. The governor will return to New Mexico tomorrow after the memorial service, Martinez spokesman Michael Lonergan said. The official trip will be funded by taxpayer dollars. In a statement released last week, Martinez said she was heartbroken by the ambush on police officers in downtown Dallas. As the wife and daughter of police officers, I know just how brave and committed our law enforcement officers are, Martinez said. When others run from danger, they run straight toward it to protect us. Per the state Constitution, Lt. Gov. John Sanchez will serve as acting governor while Martinez is traveling out of state. IMGCAP(1)]Retirement plans are often considered strategic advantages for businesses, yet many small businesses do not offer their employees 401(k) plans. As an accountant, this is another discussion you can have with your business clients, to help them understand the value of retirement plans and how they can build upon the success of their company. There are a number of reasons why your clients may choose not to offer this benefit to their employees. Some of these misconceptions include: Plans are an expense small businesses cannot afford. Organizing and tracking retirement plans is too complex and time-consuming. Offering a 401(k) plan can increase other costs, such as health care. However, retirement plans are one of the lowest-cost and highest-value benefits an employer can offer. Discussing retirement plan options with your business clients will not only educate them on the advantages, but will allow you to play a crucial role in your clients success. This is because there is one key benefit many business owners overlook when contemplating adding plans: the impact on employee productivity and satisfaction. Your clients should consider a retirement plan as an attractive retention tool. Although many small businesses feel they are not profitable enough to offer a 401(k) plan, in reality their profitability is hindered when employees do not feel valued or enfranchised by company owners. The quality of employees can make or break a small business and satisfied employees are good for your clients bottom lineand in turn, how your clients do business with you. Benefits are a major differentiator for candidates in the job market today and help companies attract the top performers needed to close their talent gaps. Prospective candidates pay significant attention to compensation. However, compensation does not stop with salary and bonus potential. Benefits are a core part of a companys total compensation offer, and 401(k) plans can help your clients out-compete for talented employees, particularly among smaller companies. These plans also provide a distinct advantage when it comes to achieving business and personal goals. Employers who help employees prepare for retirement can also increase that employees competitiveness. This is because an attractive benefit, such as a 401(k) plan, demonstrates the value the employer places on the employee and can therefore increase employee loyalty and productivity. This also increases employee satisfaction, which can help your clients secure a competitive advantagean important element for small businesses competing against larger corporations. When you combine all of these benefits, a thriving company culture is inevitable. This significantly impacts brand perception and plays a key role in attracting and retaining high caliber employees. Retirement readiness is a growing concern for todays workforce, with greater responsibility placed on the individual to plan accordingly. Business owners will not only strengthen employee relationships by offering 401(k) plans, but safeguard their companys potential. Having successful business clients is equally important for accountants. As your clients continue to grow, so do the opportunities for you to serve their business. Many accountants find that discussions around employer-sponsored retirement benefits also provide a natural extension to valuable conversations around the business owners financial plan, offering yet another opportunity to enhance your role as trusted advisor. With recent uncertainties surrounding Social Security and Medicare, having a strategy in place is necessary for the future. If your business clients dont currently offer a retirement plan, its worth discussing their options with them. Paul Davidson is director of product management at Paychex, a provider of integrated human capital management solutions for payroll, HR, retirement and insurance services. The Internal Revenue Service has released a new revenue procedure and regulations outlining how organizations can notify the IRS they are operating as tax-exempt social welfare groups under Section 501(c)4 of the Tax Code. The PATH Act, the tax extenders legislation that Congress passed last December, included a provision requiring organizations to notify the IRS of their intent to operate under Section 501(c)4 within 60 days after they are established. Revenue Procedure 2016-41 describes the procedure for an organization to notify the IRS that it is operating as a 501(c)(4) organization. In addition, TD 9775 provides temporary and final regulations relating to the requirement. The IRS has also opened an online registration system for 501(c)4 certification where a new form, Form 8976, Notice of Intent to Operate Under Section 501(c)(4), can be submitted. The IRS introduced a streamlined procedure in 2013 under which organizations could self-certify themselves as 501(c)4 social welfare agencies after a scandal erupted over revelations that the IRS gave extra scrutiny to certain political groups applying for tax-exempt status, such as those with the term Tea Party in their names (see IRS Outlines Steps to Fix Problems with Tax-Exempt Applications). The fallout from the scandal led to the resignations and early retirements of a number of top officials, including the former director of the IRSs Exempt Organizations unit, Lois Lerner. The IRS proposed regulations in 2013 for how to better assess applications for 501(c) status, but those were withdrawn the following after the IRS received an unprecedented avalanche of more than 150,000 comments, most of them criticizing the proposed rules (see IRS Withdraws Proposed Regulations on 501(c)4 Groups). Relatively few groups opposed the idea of self-certification, though, and Congress added the 60-day requirement to the tax extenders legislation last December. The use of Section 501(c)4 tax-exempt status for political activity has remained controversial, as the groups are allowed to hide the names of donors, but so far such groups have not seemed to have made much of an impact on this years electoral cycle. A roundup of our favorite recent tax fraud cases. Baltimore: Preparer Charles Imariagbe has received 20 months in prison and three years of supervised release after being convicted of 15 counts of aiding and assisting in the preparation of false income tax returns. According to court documents and evidence at trial, between 2008 and 2012 Imariagbe operated JC Tax Service and prepared false federal individual returns for at least seven clients. These returns claimed false and fraudulent income and expenses from Schedule C businesses and grossly inflated or wholly fictitious mileage expenses, all to inflate undeserved refunds. Imariagbe must also pay $151,927 restitution to the IRS. Oakland Park, Fla.: A federal court has permanently barred preparer Eli St. Phard from preparing federal returns for others. The complaint alleges that he prepared income tax returns that fraudulently understated clients tax liabilities by falsely claiming deductions for business expenses and fraudulently overstating clients claims for refunds by falsely claiming undeserved education or fuel tax credits. According to the complaint, the IRS audited 340 of the returns St. Phard prepared and found that he understated the tax owed on all but five of the returns, a total of more than $1.8 million in understatements. In addition to barring St. Phard from preparing federal returns, the court ordered him to hand over a list of his clients. St. Phard consented to entry of the order and admitted, for purposes of this case, that he had engaged in conduct subject to penalty under the federal tax laws, but he did not admit to civil or criminal wrongdoing or to the specific allegations in the complaint. Stockton, Calif.: Preparer Vivian Marie Williams, 51, has pleaded guilty to a conspiracy to submit false claims for income tax refunds and to ID theft. According to court documents, Williams prepared taxes out of her home under the business name Williams Financial Service. Between January 2010 and March 2011, she submitted returns for both legitimate clients and in the names of victims of ID theft. The returns for legitimate clients reported inflated business and wage income to inflate refunds under the EITC and the Child Tax Credit. The returns for victims of ID theft were submitted without the knowledge of the taxpayers and allowed Williams to collect refunds on their behalf. During the scheme, Williams submitted at least $61,531 in false claims to the IRS, she later admitted. Co-defendant Darrell Lemont Morris, 45, also of Stockton, allegedly conspired with Williams and allowed her to use his bank accounts for the deposit of refunds of victims of ID theft and then shared in the proceeds with Williams. The case against Morris is still pending. Chicago: Preparer Gerrie Cokenour, 42, has been sentenced to 18 months in prison after pleading guilty to falsifying data on returns, according to published reports. Cokenour and another woman were reportedly accused of preparing 764 state income tax returns that included inflated property-tax data and other fraudulent deductions while working for Cokenours company, Tax Advocators Inc. The falsified returns cost the state more than $400,000, according to prosecutors cited by news outlets. The current age demands connection. They can be provoked by transforming them into buyers or at least having them contemplate on the purchase. It is impossible to simply reach out to our target group today with a simple TVC or print ad. The job of a marketer gets tougher as the Millennials rise in numbers and importance. Moreover, Generation X has become Generation Y and the Millennials are growing up. To figure a brand strategy for the new generation, it is crucial to understand who the new generation is and what interests them. Marketers these days have begun to shift focus on to Gen Z the next major consumer group comprising teenagers below the age of 19. Gen Z can be defined as those consumers with birth years ranging from the mid- to late 1990s through the 2010s or alternately ranging from the early 2000s through the early 2020s. It goes without any argument that the millennial are considered to be like the Holy Grail for numerous brands. While the Millennial continue to be an important market for most companies, a new purchasing powerhouse, that is, the new Gen Z is demanding this attention. The Generation Z is known to be comfortable with technology and engage on social media platforms for a significant portion of time in terms of socialising. This is the generation which has grown up having complete access to the Internet and technology. They are digital natives, and its tougher for brands to woo this group and win their loyalty, love and income. Combine this influence with the fact that they make up nearly 30 per cent of the population in India and youve got more than enough reasons to start paying attention to them. Just like with the Millennials, companies need to discover how to genuinely connect with Gen Z to build trust and loyalty. Even if Gen Z isnt your current target audience, they will soon be and the best time to grab their attention is now. I consider Generation Z the insider trading of whats trending. They create trends. They create movements. They care about whats going on in the world, and they believe that they can make that difference. Here are three key lessons from Generation Z that brands can learn from: Born in the Digital Age: Gen Z may be the most socially savvy group marketers will ever meet, with 81 per cent of teenagers on social media, 93 per cent of Gen Z say they visit YouTube at least once a week, and 54 per cent visit the site multiple times throughout the day. Gen Z also uses Twitter (26 per cent), Google+ (26 per cent), and Instagram (17 per cent) on a weekly basis, but less often. With mobile-only, disposable media networks, brands need to create shorter form content whether its a video or an animated image that is tailored to each social platform. When marketing to teenagers and juniors, brands are the most digitally adept retailers online. Social media is where they start conversations that carry customers into purchase. Marketers establish a dialogue between the brand and its customers because they learned where they spent their time online. Empower them to do things themselves: According to a recent survey, 76 per cent of Gen Z want to turn their hobbies into a business. For Gen Z, its all about going their own way, starting their own company, or creating a new product without waiting for permissions, the right skills, an academic degree or even years of work experience. They do not look at their future as a perfect shiny opportunity to become a doctor or lawyer and have great healthcare; they are looking across the world, at their peers, they connect via Snapchat, WhatsApp, etc., and engage to have deeper and globalised connections. They witness different cultures, foods, stories, experiences and want to be a part of it all. Self-reliance and empowerment are the key elements of engagement. And marketers will need to speak this language to be successful. Brands should give customers the tools to learn, or experience things themselves. Building a Community: Gen Z, even more than their Gen Y counterparts, travel across digital mediums. Its hence important for brands to travel with them. Brands will need to master platform-specific tactics for a variety of channels, ensuring maximum engagement with their target audience. They want to show the world that they want to stay in the space the past generations have created for them, and that they have the ability to shape their future. They clearly are not interested in listening to those who messed it all up. Lets have a look at how such integration works. For instance, if a brands overall goal is to create brand awareness, a cross-platform strategy takes this overarching concept one step further by developing platform-specific tactics. The tactic for reaching customers might change, but the overall message should remain consistent regardless of the platform. It should still be easily recognised as an extension of the overall brand. As generations evolve and become more proficient with technology, brands must devolve in order to make one-on-one connections. From Gen Z, I learned that with the exposure they receive they know more than adults do and that they need to be tapped in an entirely different mechanism. They understand. They do not want to be sold to and they want to be a part of the process. They want to experience what they are purchasing, choosing, or be a part of what is created for them. Deepak Choudhary is Founder, EMDI, Eventfaqs and Event Capital. As a first-generation entrepreneur passionate about education, Choudhary has been instrumental in creating companies, working on funding strategies and diversified business opportunities for successful start-ups in a span of 12 years. Indias largest luxury car maker, Mercedes-Benz, continued its strong momentum in the luxury car segment, despite facing ongoing market restrictions. Mercedes-Benz India registered a sale of 6,597 units in the January to June 2016 period. This continued sales momentum firmly reiterates the customer preference for the Three Pointed Star in India, which is resultant of a highly focused customer centric strategy. This sales performance becomes even more significant against the backdrop of the diesel ban in the key Delhi and NCR market, in force for more than 6 months. Roland Folger, Managing Director & CEO, Mercedes-Benz India, commented We are quite satisfied with our overall sales performance in the first six months of the year, despite facing market challenges throughout the first half year. This further strengthens the fact that luxury car buyers overwhelmingly prefer Mercedes-Benz. With our customer-centric approach, we have been successful in retaining the loyalty of our discerning patrons while introducing new customers to the brand. The sales growth would have been much higher and in double digit had we not lost sales in the important Delhi NCR and Kerala markets. Having said that, our consumer first approach will continue to guide all our planned activities for 2016 and we will strive to delight our consumers and fans alike. Mr. Folger further added, The second half of the year promises to be extremely exciting, as there is a pent-up demand for our products and we are hoping for a positive decision. We will witness some of the most fascinating product introductions including the ones without a predecessor, rolling-out some industry benchmark service initiatives, further expand our network into new markets, as well as some key growth announcement for Mercedes-Benz. We are keenly looking forward to a long-term policy roadmap for the auto industry, which is a key contributor to Indias GDP and Make in India campaign. Mercedes-Benz India provides complete peace of mind to customers with its innovative service and finance programmes. Mercedes-Benz is the only luxury car brand that offers 2 years standard warranty and additionally a one Year Star Care program as standard. Customers can also avail benefits from the extended warranty for the 4th year, with no mileage restriction. Mercedes-Benz Financial Services offers a full range of unique finance and insurance products providing unparalleled value to our customers. These unique programmes comprise of, among others, Star Agility, Corporate Star Lease and Star Finance. Legendary survival expert and Indian televisions most loved action hero Bear Grylls - is back with yet another exciting series THE ISLAND HOSTED BY BEAR GRYLLS only on Discovery Channel. Bear Grylls, the presenter of Discovery Channels popular series - Man Vs. Wild, is a celebrated name when it comes to tackling the harshest locations on Earth and surviving the most impossible situations. Now, Discovery Channel brings back the same thrill in a new series THE ISLAND HOSTED BY BEAR GRYLLS where 14 modern American men will compete to outlive one another in the perilous wilds in a bid to impress the greatest survival expert of all times Bear Grylls. Premiering July 18, THE ISLAND HOSTED BY BEAR GRYLLS will air Monday to Wednesday at 10 pm. In the series THE ISLAND HOSTED BY BEAR GRYLLS, fourteen modern men from various backgrounds will be dropped on a remote, inhabited Pacific island to survive for 28 days. Stripped of all the luxuries and conveniences of modern living, they will step out of their comfort zones and embark on an empowering journey. With only a few clothes on their backs and some basic tools, they will be compelled to tackle various challenges on the ruthless island without the aid of modern technology. Twenty first century man has come a long way from its hunter gatherer origins. But has his modern life robbed him of his ability to survive in the wild? Bear Grylls will present the ultimate challenge for these technology driven men to live the primeval life. Filming themselves, these men will hunt for food, source water, erect shelter, build community and fight for their existence using their strength, will-power and survival skills. By every passing week, the toughest of the tough start losing hope. Tensions run high and contestants get overwhelmed. The 28 days in the wild, struggling to survive will be a life-changing experience for these ordinary men as they sign up for the toughest survival show on television. There will be no prizes, no eliminations. The winner will take away the feeling of pride and sense of achievement as he overcomes his fears. Will these men still have the spirit and resources to survive? Will they be able to summon the skills of their forefathers and find the hunter-gatherer within? And what will they learn about themselves and each other? Will the ruthless wilderness show mercy to men who find all their solutions in this internet led world? Will they give up or develop a new zenith of strength within? Will these men impress Bear Grylls with their survivalist instincts? Watch THE ISLAND HOSTED BY BEAR GRYLLS to find out more. International aerospace engineering group MB Aerospace has successfully completed the acquisition of Vac Aero (Poland) from its Canadian parent company Vac Aero International. The business, which employs over 100 employees at two sites in Kalisz (central Poland) and Rzeszow (south-east Poland), specializes in providing protective and performance enhancing coatings for aero-engine and industrial gas turbine components. These OEM licensed and proprietary coatings provide wear, corrosion and heat resistance properties across performance critical compressor, combustor and turbine components. Since the company was founded in 2003, it has developed an extensive range of special process capabilities including heat treatment and vacuum brazing, vacuum carburizing, air plasma spray (APS) and high-velocity oxygen fuel (HVOF) protective coatings, as well as corrosion and oxidation resistant paint coatings. The former Vac Aero subsidiary has a range of customer approvals and will continue to serve key customers in Europe including UTC, Pratt & Whitney and Siemens. The business will remain at its two current sites and will be immediately rebranded as MB Aerospace Technologies (Poland). In order to support the existing customer base and growing aerospace market in Eastern Europe, MB Aerospace is planning to invest across the business with particular focus on its surface coating services, including thermal and plasma coatings and a range of paint capabilities, as well as heat treatment and brazing processes. Craig Gallagher (above), MB Aerospace chief executive officer, said: We are delighted to acquire the Polish operations of Vac-Aero - now trading as MB Aerospace Technologies (Poland) - as its extensive range of capabilities and skilled employees will help us broaden our offering to existing customers and the expanding aerospace market in Poland and the surrounding industrial areas of Western and Eastern Europe. With thermal and plasma coating capabilities now available to us in the region, we can provide customers with fast, responsive lead times for aero-engine and industrial gas turbine component treatments, whilst mitigating the logistics, and cost, of shipping products long distances to have such critical work done. Dave Farmery, managing director of MB Aerospace Poland, added: We have inherited a well-established and respected business in Poland with highly skilled employees and a wide range of customer approvals. Working closely with the existing team, we will invest heavily to provide the local market with the capabilities and capacity required to ensure customers continue to receive a world-class service. Tomasz Krazynski, General Manager, of MB Aerospace Technologies (Poland) said: After more than a decade of growth and success under Vac-Aero we look forward to starting a new chapter within the MB Aerospace family of companies. The acquisition offers a fantastic opportunity for the business and the planned investment will help us establish ourselves as one of the premier providers of thermal processes and surface coating services in Europe. Rolls-Royce and Microsoft Corp. are collaborating to harness the power of digital technology to transform the aerospace industry. This collaboration brings together best-in-class solutions for aerospace engineering and cloud computing, including advanced analytics and the Internet of Things (IoT), to fundamentally change engine-related operations and services. This capability will be incorporated into Rolls-Royces TotalCare services to significantly reduce cost, improve on-time performance and provide better value to our customers and the industry as a whole. Built on the Microsoft Azure cloud platform and utilising Microsofts Azure IoT Suite to collect and aggregate data from disparate, geographically distributed sources and Cortana Intelligence Suite to uncover data insights, the new digital capability is able to manage and integrate much broader quantities of airline operational data. Using a range of smart data analysis capabilities and predictive tools, and engineering expertise to add additional insight, the results will help airlines reduce fuel usage, fly routes more efficiently, and ensure the right teams and equipment are in place to service engines more quickly and maintain the highest levels of availability. Rolls-Royce is targeting a significant improvement in airline efficiency, a step-change in resolving engine-related issues, supporting a vision of every journey, for every passenger, taking off and landing on time, every time Tom Palmer, Rolls-Royce, Senior Vice President, Services Civil Aerospace, was joined by Caglayan Arkan, Microsoft, General Manager, Worldwide Manufacturing & Resources and also Captain KK Goh, Singapore Airlines, Vice President Flight Operations Control and Technical, to affirm that Singapore Airlines is supporting the work of Rolls-Royce as lead airline development partner, ensuring that data services deliver solutions that really work for airlines. Tom Palmer said: We have done much over the last 20 years to reduce costs and improve efficiency but we want more. We must now exploit digital capability and big data technologies to proactively drive to an even higher level of operational performance. Teams will take signals and information from many sources and turn it into an individual aircraft strategy that uses the least fuel, avoids disruption, and is serviced with minimum downtime. We are excited to have an industry leader like Microsoft working with us and have Singapore Airlines, a highly-valued, forward-looking organisation, providing their guidance and insight. Caglayan Arkan said: We are excited to bring the power of the Microsoft Azure cloud to the aerospace market and support a world leader such as Rolls-Royce. Aircraft engines are hugely valuable assets and we want to help Rolls-Royce ensure they are operational and function with the highest level of fuel efficiency. When we combine our skills with those of Rolls-Royce and their customers we have a powerful solution. Captain KK Goh said: Our Flight Operations and Efficiency teams are working closely with Rolls-Royce to drive performance to the highest possible level in our business. We can see real savings when data and robust analytics are applied to support decision making in our processes and actions. Air coalition discusses capability, recent strikes WASHINGTON (AFNS) -- Air Force pilots discuss precision air coalition capability and recent strikes against Islamic State of Iraq and the Levant terrorists to diminish enemy resources. The 20-nation air component, part of a 66 country Operation Inherent Resolve coalition, demonstrated its precision, capability and commitment to denying the enemy safe haven while degrading ISILs ability to construct their tools of terror. Exiled Kashmiri leader and spokesperson of the United Kashmir Peoples National Party (UKPNP) Nasir Aziz Khan has alleged that Pakistan waged a proxy war in Kashmir since 1998 and had been using the religious sentiment of the Kashmiri people, adding that slain Hizbul Mujahideen militant Burhan Wani was also part of that war. Kashmiris are pro-peace and peace loving people and they believe in peace and harmony. If we look into history, 4000-6000 years ago, Kashmiris whether they were Hindus and Muslims, they were living with peace and harmony. But unfortunately since 1998, Pakistan has started a proxy war in Kashmir, are using the religious sentiment of the Kashmiri people and Mr. Burhan Wani was also part of that movement I mean the proxy war of Pakistan, Khan said on Sunday. Stating that terrorist have similar fate worldwide as that of Wani, he said, If we see in the whole world, terrorists are treated and eliminated by the same way, whether they are in Syria, the US, the UK, and Spain or in Pakistan. If a terrorist attacks any government building, civilians or law enforcement agencies, they are killed by the same way. Pointing out that in state relations there is no elder or younger brother and every state has its own interest whether it is Pakistan or any other country, Khan said, We should keep in mind that Pakistan is using religion as a weapon and they are exploiting the religious sentiment of the Kashmiri youth. And, Burhan was also issuing statements that he is going to attack law enforcement agencies army and police in Kashmir. Khan also warned the Kashmiri people, saying one thing we should keep in mind that Pakistan has no love with Kashmiris. He called upon them to join hands with the secular democratic forces of Kashmir because, according to him, that is the only way through which they can achieve freedom and their rights. Trying out all possible ways to control pulse prices that have neared Rs 200 per kg, the Centre today decided to set up a committee to relook at the minimum support price and bonus to promote pulse cultivation. While increasing the size of the buffer stock of pulses to 20 lakh tonnes from the existing 8 lakh tonnes, the government decided to explore avenues for imports from more pulse-growing nations on a government-to-government basis. These decisions were taken at a meeting of a high- powered ministerial team headed by Finance Minister Arun Jaitley here. Food Minister Ram Vilas Paswan and Urban Development Minister M Venkaiah Naidu were present. Briefing media after the meeting, Paswan said: The government has decided to set up a committee under the chief economic advisor to frame a long-term policy on pulses, which will look into various options, including MSP and bonus. The committee will re-examine the MSP and bonus being given to pulse growers at present and frame an appropriate policy to promote cultivation of lentils in India, he said. In my sense, production bonus is more fruitful as compared to MSP, Paswan said, adding that the panel will submit the report within two weeks. The government has already announced a sharp increase in MSP of kharif pulses for the 2016-17 crop year. It is to be seen if the government would announce more incentives for pulse growers based on the panels report for the ongoing kharif season or consider it for the rabi season. Besides this, Paswan said, the government has decided to increase the size of the pulse buffer stock to 20 lakh tonnes from the existing 8 lakh tonnes for this year. Already, the government has procured over 1.19 lakh tonnes of pulses like tur in the 2016-17 crop year (July-June), which is being given to state governments for retail distribution at a subsidised rate of Rs 120 per kg. The Gujarat High Court on Monday granted bail to Patel quota agitation leader Hardik Patel in a case related to violence at the office of Visnagar MLA, paving the way for his release after being in jail for around nine months. On Friday, the high court had granted bail to Hardik in two sedition cases with a condition that he will have to stay outside Gujarat for the next six months. He could not be released from jail on Friday as hearing on the Visnagar case bail application was scheduled to take place on Monday. Justice PP Bhatt on Monday granted bail to Hardik after the state government did not oppose his application and he will now be able to walk out of jail. However, the court has imposed a restriction that Hardik cannot enter Mehsana district, in which Visnagar town is located, till the trial of this case is over. The court also added that Hardik can seek modification to this condition after nine months and the court at that time can pass an appropriate order based on his conduct. The 22-year-old Patidar Anamat Andolan Samiti (PAAS) leader, who had spearheaded agitation for seeking quota for his Patidar community, is now lodged at Surats Lajpore jail. He was arrested in October 2015. Hardik was accused of inciting violence to put pressure on the government to accept the demand of OBC reservation for Patels. Hardiks mega rally on reservation held in Ahmedabad on 25 August last year had sparked violence, in which ten people, including one policeman, were killed and public properties and vehicles worth crores of rupees were damaged across Gujarat. During the hearing on Monday, government pleader Mitesh Amin told the court that as 17 other accused in this case have secured bail, he has nothing to say against Hardiks bail application. However, he demanded that Hardik should be barred from entering Mehsana district. The case against Hardik and 17 others was filed by Visnagar town police for ransacking the office of Visnagar MLA Rishikesh Patel during one of the Patidar communitys reservation rallies. The lower court had refused bail to him, after which Hardik had approached the high court. Controversial Islamic preacher Zakir Naik has changed his plans of returning to India from Saudi Arabia and issue a clarification through video conferencing. He is likely to leave for a tour of African countries in the next few weeks. Naik didnt arrive in India as he was worried about facing legal action in the country. Naik has come under the scanner of the Indian government after reports emerged that two among a group of terrorists, who killed 22 people in a posh Dhaka cafe last week, were allegedly inspired by his speeches. The National Investigative Agency (NIA) and other investigative agencies are already investigation Naiks speeches after reports pertaining to him influencing Islamic terrorists in India and overseas through his conversations. The Mumbai Police already will probe about the CDs and books owned by him. They will also investigate about the speeches delivered by him through internet. Police will question those people who had got inspired by the speech delivered by him. Zakir Naik is not coming back to India neither today nor tomorrow, the spokesperson from Islamic Research Foundation (IRF), said adding he will return only after things become clear on investigations by agencies. It was being reported that Naik will be summoned by the Mumbai Police as well as the National Investigation Agency (NIA) upon his return to India. Naiks name had first cropped in 2002-2003 after a series of blasts that rocked Mumbai and led Mumbai crime branch to Islamic Research Foundation (IRF) founded by him. The Mumbai Police was unable to gather any evidence about his link with terrorism activities despite questioning him extensively. But since then Naik has been under continuous surveillance of the intelligence units and agencies, a senior IPS officer who questioned Naik, said. Earlier, security was beefed up Mumbai as different groups had planned to hold protests as well as marches in Naiks support after his return. Further, policemen were posted outside the Mumbai office of the Islamic Research Foundation. Parents of two brothers from Kerala who converted to Islam had claimed that their sons had travelled to Mumbai and met Naik on more than one occasion. The brothers are among 20 missing youth from the state who travelled to the Middle East, and are suspected of joining the Islamic State (IS). The Bangladesh government has already banned a television channel run by Naik. Even ministers from India had raised objections against Peace TV and said that it had no license to downlink from the country. Even the Muslim Community have started speaking against Naik and said he is misinterpreting Quran. So far he has received support from some members of Samajwadi party and Indian Union Muslim League (IUML). The way fanatics like Pakistan-based Azhar Masood openly spew venom, people like Zakir Naik carry out through their social work in the name of peace by covert meansNaik has been nurturing anti-nationals for the last several years and the lessons in peace preached by him have been unmasked after the Dhaka carnage, the Sena said in a stinging editorial in its moutpiece Saamana. On the other hand, Zakir Naik has defended himself by saying that he never backed terrorism. He said, I do not support terrorism or violence in any form whatsoever. I have never supported any terrorist organisations and have mentioned this over and over again in thousands of my public talks worldwide. I strongly condemn anyone taking my statements out of context and using it for violence of any form. The Supreme Court on Monday extended the parole of Sahara Group chief Subrata Roy to August 3 but also asked him to pay Rs. 300 crore more saying either pay or go back to jail. The apex court said that parole given on humanitarian grounds must not be misused. The top court also approved Saharas interest in the sale of its three overseas hotels- Grosvenor House Hotel (London), Plaza Hotel (New York) and Dream Downtown Hotel (New York) to Qatar Investment Authority (QIA), which is Qatars sovereign wealth fund. The Sahara group on Friday had sought the Supreme Courts nod for sale of the properties to Qatar Investment Authority (QIA) for $1.6 billion. The company claims that freezing the groups bank accounts by the top court has crippled the groups business interests. Roy was granted parole on May 6 this year which was extended by two months on May 11. Monday was the last day of Roys extended parole. The Sahara chief was sent to jail on May 4, 2014, for his failure to comply with 2012 order directing him to return investors Rs 17,600 crore with 15 per cent interest that his two companies Sahara India Real Estate Corp. Ltd. and Sahara Housing Finance Corp. Ltd. had raised through optionally fully convertible debentures (OFCD) in 2007 and 2008. One of the most prominent ministers of PM Narendra Modis cabinet, Sushma Swaraj is a real mass leader. This honest and kind leader has won many hearts since she took over as External Affairs Minister. She has helped people time and again, be it any country and also apologetic to victims who had experienced bad treatment from officers under her ministry. Recently, a Manipur woman has alleged racial harassment at Delhi airport, as a social media was outraged. Monika Khangembam is another victim of racism in India and she hails from north east. Ms Khangembam was asked if she was an Indian at the IGI airport. Officer asked her questions about Manipur despite, she possessing Indian passport. In recent years, the country has seen a spate of attacks in major cities targeting people from the Northeast, whose physical appearance is often different from people belonging to other regions of the country. According to Monica Khangembam, a corporate communications professional who was travelling to South Korea for a conference, she was asked questions like, How many states are there in India? Monica took to social networking site Facebook to express her anger over the alleged racist behaviour of the immigration official. Sushma Swaraj not only apologised to Monica but immediately took action against the officers. In another incident, a Russian woman in Agra, who had accused her in-laws of harassment, was united with her family after an intervention by Sushma Swaraj and Uttar Pradesh Chief Minister Akhilesh Yadav. Ms Efimenkova has done her post-graduation in Chinese philosophy from the Russian State University in Moscow. She was about to pursue a doctorate in Confucianism but she fell in love and married Vikrant, who ran a beach-side restaurant in Goa, in 2011. She lives with her husband in Goa and came to visit her in-laws last month. As differences flared in the family, her mother-in-law forced them to move out, saying she would only let her in if she brought dowry. Denying charges of dowry harassment, her mother-in-law Nirmala Chandel gave statements saying her daughter-in-law gambles, she drinks and uses drugs. They take away whatever money she manages to save. After the womans plight was reported by local news networks Sushma tweeted to Akhilesh Yadav, saying Akhilesh ji, please help this lady. Responding later in the evening, Mr. Yadav tweeted, Yes, we are. A few hours after the exchange, Mr. Yadavs office tweeted a photo of the re-united family saying, The lady has been united with the family after counselling the in-laws. The External Affairs Minister has been winning hearts since the time she took over, by helping many Indians in times of trouble. Despite many controversies, she just kept doing good work. She has been very active on social media in helping Indians everywhere facing consular and other issues. Using Twitter, her team has helped several Indians living abroad in times of crisis. Lately, she helped an Indian who was stuck in a conflict zone in the Saudi Arabian city of Najran. His employer didnt return his passport, so the minister took the matter in her hands. When Neha Agarwal Parikh, an analyst with a credit rating agency, tweeted a request at Swaraj to get her parents out of Turkey, the minister immediately helped. Parishs senior citizen parents were stuck at Istanbul for a day as her mother had misplaced her passport while returning home from their trip to Europe. This time, she helped a lady whose mother met with an accident while on a vacation in Bali and the hospital refused to accept Indian insurance. In April, a woman in Yemen tweeted out a picture of her baby, asking Swaraj to help her with evacuation, and madam was right there with every help. Indians across the globe are very happy with her. She literally walks with people and delivers the help when they are in trouble. One minister brought achche din to many peoples lives. Indian needs few more such ministers who care for human values. Swaraj, a lawyer by profession and a seasoned parliamentarian with many first-timer records in her name, is often considered to be among the best spoken Indian politician and also known for her diplomatic skills. She served as the Leader of the Opposition in the 15th Lok Sabha from 2009 to 2014 and by virtue of her designation; she had the opportunity to meet a galaxy of world leaders visiting India apart from her official foreign trips. This has significantly improved her understanding in world affairs and also helped her to develop a personal rapport with many important dignitaries. (Any suggestions, comments or dispute with regards to this article send us on feedback@afternoonvoice.com) By routinize, Tan clarified that it meant the practitioner, or someone on staff, can have a conversation about the need for boosters or other adult vaccines. However, the caveat in the details is that it means your personal physician can write in your medical charts a standing order, which can then be done against your knowledge or will in any hospital setting. According to Dr. L.J. Tan from IAC, Our challenge is adult immunization rates are very poor. Standing Orders allow a practice to routinize administration of vaccines, Tan said. Demonstrators explained the problem is that Standing Orders is a form of collusion and corruption to push pharmaceutical interests, spreading it out across the country via the kind of workshops held in Boston. In other words, they are going straight to health departments and doctor practices to show them how to increase adult immunizations rates via on file Standing Orders to vaccinate according to the CDC adult schedule (flu, shingles, boosters). The move essentially shuts down public knowledge and discourse on the subject by going around state legislators or open forums for discussion. If IAC sounds familiar it is because they are the group that boasted how they used their listserv to get Vaxxed banned from Tribeca Film Festival. At a recent event held in Boston, a small group of demonstrators stood outside the Westin Copley hotel where the Take A Stand: Use Standing Orders to Improve Adult Immunization Rates workshop was taking place. The workshop is described as a unique national program being conducted for a limited time by the Immunization Action Coalition (IAC), supported by a sponsorship from Pfizer. State and local health departments, along with large medical practices, are poised to increase adult immunization rates by implementing policies and procedures that support a program known as Standing Orders. When you enter any hospital for an emergency or surgery, you sign a bunch of forms prior to them treating you or a loved one. One of the things you consent to is to be treated with biologics, which is a code word for a wide range of products including vaccines. So if your regular physician has in the chart a standing order (even if you dont know they wrote it) you could be given a vaccine against your will or knowledge. Informed patients may write No vaccines on any admittance form, but what happens if there are contradictory standing orders from your physician? Who would be accountable if they vaccinate an unconscious patient who had objected in writing? Truthfully, probably no one. What medical professionals know that legislators dont I first heard about this program when Boston area nurses notified me their offices received a flyer via fax for the four-hour workshop. They were the demonstrators at the hotel, one of whom recognized her office colleagues as they headed into the event. According to them, there is very real potential harm from Standing Orders as they have witnessed or knew about patients who were vaccinated while unconscious. I text messaged a state representative to ask if he knew the event was going on just across town, and he messaged back he knew nothing about it nor was there anything on the docket that covered adult immunization rates. Now perhaps the reason why state legislators are not involved in any discussion on the Standing Orders program is because it falls under the umbrella and in the jurisdiction of Public Health solely. Clearly they are not currently involved with the CDC vaccine schedule for infants except to regulate how personal beliefs, medical and religious waivers are determined as a school requirement. But look what happened in West Virginia when state lawmakers had no say when the State Health Department moved to mandate vaccines for school admittance. Legislators were not involved, and therefore neither was public discourse. On the extreme end for discourse was Californias foray into vaccine mandates via SB277 and the huge public outcry which exposed pharmaceutical nepotism at the highest levels. Is it just the adults that are the target? There is not a shred of doubt other states are looking at the debacle that happened in California as an example of what they want to avoid, taking cue from the seamless nature of the process by going through the State health departments. To be clear, even though Standing Orders is geared to increasing adult immunization rates, nothing within it defines how children will be excluded from a similar program. HPV and flu shots could easily become a standing order from a pediatrician for a minor. With health records now electronic, the ramifications of a standing order program have yet to be fully understood or realized. In a private conversation with a Public Health Pediatrician, he lamented what former Texas Governor Rick Perry did when he mandated HPV for school aged children. As the pediatrician explained, the move spotlighted HPV nationally for greater scrutiny, which then led to public outcry and pushback. Perry reneged on the mandate after the outcry, but the damage to Public Health was done, according to the pediatrician. Instead he would have preferred a more nuanced approach, to keep it as a conversation between health professionals and their patients, so that the public would not be squeamish about HPV: enter Standing Orders to routinize the conversation. Avoiding public discussion The concept of health departments mandating health policy is nothing new. What is new is how the vaccine schedule is increasing along with public awareness of potential harm from any and all of them. At another Massachusetts health event sponsored by area hospitals, a crowd of 300 or so medical professionals and community leaders gathered to hear how a comprehensive health initiative was improving the quality of health in the county. Conspicuously missing from the 73 page handout and hour long presentation was any mention of increasing immunization rates. In its place were the words access to fresh produce. It was confusing to witness the push for increasing vaccine rates potentially coming from State Health Departments (albeit not publicly), in contrast to how the local communities have identified nutrition as a better answer. Then again, it could be that a public forum with the word immunizations would stigmatize the whole program, which brings it back to what the pediatrician pointed out. The more the public knows about and discusses how vaccine politics encroach in our lives, the greater the pushback. So then from their perspective it may be better to avoid publicly talking about immunization rates, and instead just implement the backdoor approach: Standing Orders. Mary Romaniec is a reporter who lives in Massachusetts. She is the author of Victory over Autism: Practical Steps and Wisdom toward Recovery for the Whole Family. She writes, speaks and advocates for families of special needs children. Here is the interview of a mother in Portland, Maine, Susan Meehan. Her daughter was severely injured and eventually died as a result of her vaccinations. Polly Tommey and the rest of the Vaxxed team continue to talk about all the parents theyre meeting on the tour and their accounts of horrific suffering and death as a result of routine vaccination. These children must not be forgotten. Their lives have to mean something. This must stop. NOTE: Here is Anne's "Wake up." We hope Americans wake up to the tragedy that is vaccine injury. Baby Cyndimae will never wake to another day. By Anne Dachel For doctors and officials who would discount this as merely a coincidence, the childs neurologist agreed that her seizures were because of vaccine-induced encephalitis. For those doctors and officials who would tell parents that this is rare, and its all for the greater good, I would ask how much training doctors receive to even recognize a vaccine reaction. Who keeps a record of all the children with injuries like Cyndimae? Our federal government compensates those who are damaged by their vaccines, but they never study them to determine what made them susceptible for side effects in the first place. While the courts have declared vaccines to be unavoidably unsafe, how much do doctors increase the risks when children are given five vaccines at once, like in the case of Cyndimae? How is it possible that a doctor gave Cyndimae this barrage of vaccines at the same time she had a fever and was on antibiotics for ear infections in both ears? Susan: This is Cyndimaes babybook. She was our fourth daughter. a completely normal birth She was a normal little baby. She was eight pounds, nine ounces, started nursing right away. She met her infancy milestones. By seven months she was clapping her hands, waving bye bye, saying da da, saying ma ma. She was standing in her crib, walking while holding hands. She at eight months took two or three steps alone. By ten months she was walking alone. On June 12, 2003, which is eight days before her final set of vaccinations I was put under immense pressure to vaccinate Cyndimae. There are a couple of factors. I was returning to work after six years at home with the children; Cyndimae was going to go to daycare, so they wanted her vaccines up to date. With all our other children, we had done one vaccine at a time. We also required separate vaccines. We wouldnt do the pertussis vaccine. We required the D and T separate. At ten months, on June 20, I took Cyndimae to the doctors, and she was already a month behind on her nine month vaccinations. She had a double ear infection, a temperature of 101 degrees. They gave her Tylenol; they put her on amoxicillin. And they talked me into catching her up on her vaccines, so she wouldnt get sick so often. So they gave herafter guaranteeing me that nothing would go wrong with thisthey gave her DTaP, hepatitis B, and prevnar. Dr. Howard SilversmithIll never forget his words when he guaranteed me that nothing would go wrong. And on June 21, twenty-seven hours after these vaccinations, I called the doctors office panicked. When I got my daughter out of her car seat, her eyes were roving back and forth, and she wouldnt respond to me. They told me it was REM sleep. I knew it was a seizure. I was an emergency medical technician, and I was terrified. And when we were feeding her in her highchair and she was wide awake about an hour later, it happened again, and she slumped over in her highchair with her eyes roving back and forth. She became unresponsive. And I called the doctor and I asked is this anything to do with the vaccinations, should I bring her to the emergency room. It was a Friday evening, and they told me to wait until Monday because the neurology team would be onboard. In the doctors records here, they note that mom called, they spoke to the mom on Saturday, two days ago about persistent seizure episode, they advised us to follow up with neuro on Monday. So that started our thirteen year battle with these seizures that Cyndimae fought for the rest of her life. Eventually after labeling them infantile spasms, irretractable epilepsy, they finally settled on Dravet Syndrome. But Cyndimae never had the genetic markers for Dravet Syndrome. Her neurologist had no doubt that her seizures were vaccine-induced encephalitis, and that they hoped she might outgrow them. But she never outgrew them. [They] eventually told us her lifespan would probably not be very long with the number of seizures she had. She had over a thousand seizures an hour on her worse days. She battled this demon for her whole thirteen years, and it was a horrible journey. When she had a generalized clonic tonic seizure, the fear in her face was horrible. There was nothing you could do to stop this fear. And she always knew when a seizure was coming. You would see that fear in her face, and she would tell you, Mom, Im having a seizure. I want it to stop. And I could never take back those vaccinations that started these seizures and destroyed my daughters life. I just want other parents to know that you need to make an informed decision for every single medical decision that you make for your children. You cannot be coerced, and you cant give in. You have to do your research, and you have to do your homework, and you have to read those vaccine inserts. If Id those vaccine inserts, I would have seen that you dont vaccinate sick children. I would have understood that giving combined vaccinations increases the risks for reactions, and I would never have vaccinated Cyndimae. But I cant take it back. You can never take that needle back. Once you make that decision, its done. And you can never take it back, no matter how much you want to. Cyndimae and her family moved to Maine where they could use cannabis to control her seizures. This gave her two and a half wonderful years. It was a natural remedy and it was the only thing that helped her, brought her out of a wheelchair, she was able to walk again. She was able to laugh and she was able to go to school," according to her mother. She went to school twenty-three days this past school year when we were able to get her medication legal at school. She loved to go to school and be out in public and laugh and play with other children. She had a miraculous two and a half years before her death in March. She fell asleep on her daddy on March 13th in the middle of the afternoon. After she had a little seizure, she said, Daddy, I want you to hold me. And by the time daddy realized she wasnt breathing and started CPR, it was too late. She was tired of fighting. Web Toolbar by Wibiya Doctors all over the world are revered and accorded with the much needed respect and decorum that they deserve by patients. This is mainly due to the fact that these doctors are the very people who most at times standsbetween a patient regaining his or her good health or losing the battle to welcome any consequences like death. However, it is very saddening and outrageous for you to visit a medical doctor and be treated in way that simply proves to you that your life is of no importance to him or her. This is something that most doctors do not wish to be associated with but there is one doctor who seems to exude such behaviour each and every single day. Majority of reviews left on Ratemds.com about Dr. Jerry Tenenbaum portrays a very gloomy picture of his interpersonal relationship with his patients bordering on how he assesses their conditions. This Dr. is useless. He is always in a rush (I am not sure why his office is almost empty when I go). I explained to him that my lymph nodes are swollen he tells me to get my family dr. to make a referral for a neck ultrasound...why can't he make the referral? Now I have to go to my family Dr. to make an appointment (waste of the health care system). Then once the ultrasound is done I have to go back the family dr. to get a copy of the report (which is important because the technician said to me my lymph nodes are on the larger side of normal so I have to worry about lymphoma and should get ultrasounds once per year to monitor) but of course the hospital forgets to send the report to the family dr. My point here, he should be dealing with this, it is because of my sojgerns syndrome that I needed the tests in the first place. Now he doesn't even know that I should get the tests done once per year-because the lab report is somewhere in the wind but even if he did know he would probably make me go to the family dr. to make the referral every year ugh) When my endocrinologist wants a test done she makes the referral and so should my rheumatologist. He did initially diagnose my condition but any rheumatologist can order blood work and read the lab results all in all, he treats his practice like a McDonalds drive through and he is pretty useless. This is an undiluted review that was posted on ratemds.com by a patient who had to visit Dr. Jerry Tenenbaum due to a medical condition. When a patient is able to comment about someone who has passed through all the necessary channels and processes to become a medical practitioner as being useless, then it means there is something wrong somewhere and the earlier things are put in order the better it will be for everyone. The medical profession is such that one should always be willing to show love, care and concern for every patient that enters his or her consulting room. When patients are able to sense the show of these feelings by their doctor, there is an inward reaction which assures them that everything will be fine. The kind of feelings that a mothers shows to her child should not even be on the same level as that of a medical doctor. However, Dr. Jerry Tenenbaum, according to the reviews of many former patients on ratemds.com, simply exudes with negativity towards the medical profession which he extends to all of his patients. There are always bad seeds in every profession but the ability of the concerned authorities to deal with such people without fear or favour is what endears that profession to the general public. The Luxembourg pilots association has joined a group calling for fair competition in European aviation, which they argue is "competing with the state-funded airlines of the Gulf region". The Association Luxembourgeoise des Pilotes de Ligne (ALPL) said that it, together with other pilot associations and the European Cockpit Association, had become members of the Europeans for a Fair Competition coalition, dedicated to restoring fair conditions for European aviation. In a statement, ALPL said: "In the past decade, the state-funded airlines of the United Arab Emirates and the emirate Qatar have massively expanded their capacity on routes, which were previously served by European airlines. The problem with this expansion is that these airlines, which are largely state-owned, are supported by state aid and benefit from access to cheaper (airport) infrastructure, fuel and capital. "These practices, which are distorting the market, are disadvantageous not only for the European aviation industry but also damage the EU economy in general. ALPL president Captain Darrell Myers said: The same rules should apply to everyone, citing a recently published study which claimed that major Persian Gulf carriers have received 39bn in government aid in the past ten years, allowing them to finance "aggressive and damaging growth strategies," added the pilots union. He added: What is alarming is that this is happening at the expense of European aviation and its employees, who are subject to very strict rules concerning aid and competition and which do not have access to unlimited financial means. Middle East Gulf carriers Qatar Airways, Emirates and Etihad have been approached for comment, although in the past they have vigorously denied previous accusations of state-subsidies made by US carriers in a bitter war of words. A spokesperson for Emirates told Air Cargo News: We support a constructive and open-minded approach to the EU-UAE aviation dialogue. The UAE has no concerns about discussing fair competition in international aviation, but views some attempts to artificially level the playing field as calls for protectionist measures to curb Gulf carrier growth. It is unfortunate that some EU Member States (namely France and Germany) use the dialogue as a reason to refuse to discuss increased market access with the UAE increases in market access is a sovereign issue agreed bilaterally which cannot legitimately be placed in Brussels. Emirates offers consumers a choice of connecting over Dubai on one airline as opposed to flying through European hubs with connections on European carriers. Providing such choices is at the heart of Open Skies. ALPL general secretary Dirk Becker added that 600 jobs are lost for every route ceded by a European airline to one of the three big Gulf airlines, citing the recent expansion of Qatar Airways at Luxemburg airport. Becker added: This involves a real threat which wont stop at Luxembourg and threatens the airlines of the grand duchy as the most recent reports on the expansion of the activities of Qatar Airways at Luxembourg airport show. It is happening now and we have to act now. Share this story BARIG has been speaking for Germanys airlines for 65 years and cargo is a key part of its role, as Ivan Santoro and Michael Hoppe tell Peter Conway. Europes biggest national airline association turned 65 on June 8, with a celebration attended by 250 representatives from air traffic control, cargo and logistics. BARIG the Board of Airline Representatives in Germany boasts over 100 members and has been around since 1951. Unlike some other airline groups, it takes cargo activities very seriously, as is shown by the fact that Michael Hoppe, chairman and secretary general of BARIG, is also co-chairman of its cargo committee. The other cargo committee co-chairman, appointed in early April, is Ivan Santoro, regional operations and ground handling director Europe, Middle East and Africa for AirBridgeCargo Airlines (ABC). The cargo committee is divided into three sub-committees, focusing on charges, security and infrastructure respectively. Though these mirror the committees that BARIG has on the passenger side, as Santoro points out, they tackle very different issues. Many airline groups are very passenger-driven, he says. They discuss how many check-in counters or baggage trolleys are needed. But at BARIG we think cargo carriers should have a voice too. Cargos needs are very different from passenger. We also make the point that cargo carriers are as important as passenger ones to airports and contribute as much to them. Issues for the cargo committee include noise regulations, security, infrastructure constraints, charges and the drive to automate processes. Charges mean more than just landing fees, important though those are. BARIG challenges all sorts of charges levied on airport users to test whether they are, in fact, justified. A new German Aviation law, passed in 2012, helps here as it requires those levying charges to show where the money is being spent, and how it is being allocated between aviation and non-aviation users. We are now able to question charges much better than we could before; to know, for example, why we have to pay and not other people, Hoppe remarks. He points out that, historically, when both airports and airlines were often state-owned, this was not an issue. But now airlines are privatised, we have to look closely at the money we spend and to make sure that it is justified. One result of BARIGs efforts, he says, was that a planned increase in airport charges last year at Frankfurt airport was cancelled. We asked why the increase was necessary and went into it in some detail, and the result was that they could not get approval from the ministry. This year we have just started negotiations, so we will see what happens. Although not a direct party to the negotiations, BARIG also supported forwarders and handlers in a battle against fees at CargoCity South at Frankfurt. Hoppe says that there were lots of charges, some levied on a bilateral basis between service providers, and that there was a general lack of transparency. It got bigger and bigger and we had more and more fees. Some of them were levied for historical reasons and no one knew why, he says. Santoro concurs: We fought hard and joined with forwarders and cargo handlers and finally got rid of this cost structure. Things are much simpler now and more transparent. Despite this, Hoppe insists that airlines are not against new or increased charges, if there is a solid justification for them. If it is clearly explained what is behind them, then we can accept them. If we have to pay without knowing what we are getting, then it is not a good story. BARIG also takes an active part in discussions about the future development of Cargo-City South. It does this through Air Cargo Community Frankfurt, the body bringing together all cargo companies on the airport which was established in 2014. Hoppe serves on its executive board. The idea is to look at the needs of all different players airlines, forwarders, handlers and truckers and then present them to airport operator Fraport with a coherent voice. Topics on the table include ground handling improvements, the digitalisation of air cargo processes and the construction of a new third passenger terminal at the airport, which air cargo players obviously want achieved without disruption to their operations. Santoro says that BARIG sees its role in this as setting the framework by having preliminary discussions with airport authorities, to find out the limits of what is possible and what is not possible. It presents these findings to Air Cargo Community Frankfurt, which then works out the practical proposals. They are the executing body, as they know about local operations. They can get all parties together, agree what procedures need to be put in place, and then put them to Fraport and get the problem solved. BARIGs cargo work does not just concern Frankfurt. Santoro highlights rapid development at Munich, which is raising its own cargo infrastructure issues, and says that Hamburg and Berlin are also facing constraints. Obviously, a big part of cargo moves through Frankfurt, but it is not limited to Frankfurt, he says. There are a lot of initiatives at Munich which we are following and supporting and trying to influence as much as we can. One other big issue for all-cargo operators at Frankfurt in recent years has been the night time curfew, which became absolute between 11pm and 5am when the airports fourth runway opened in 2011. However, this is now a fact of life that the cargo industry active there just has to live with. Big manufacturers dont have to close at night, but this is a constraint that is forced on us, Hoppe observes. But it is the law and we have to accept that. It sounds as if cargo carriers are more or less managing to do that. Santoro says that freighter operators do face some difficulty in getting slots on peak days, but we dont get too many complaints from our members about that. BARIG is involved in other noise reduction measures at Frankfurt. These include using vortex generators to reduce noise on landing, altering flight paths and the speed and angle of descents, and having noise breaks on particular runways late at night or early in the morning. The latter involves concentrating all traffic on one or two runways to give inhabitants near the others a break. A trial of this concept has been underway for a year and has produced an implementation rate of over 90%. But Hoppe says that BARIG also works to ensure that airlines can fully use the hours when landings are permitted. We take our responsibility to people around the airport seriously, but that should not mean we have limits on our operations when the airport is open, he says. On a broader front, BARIG supports the move towards a European Single Sky, a common air traffic control for Europe, but says progress on that has been too slow. Currently, groups of European countries pool their sovereignty in Functional Airspace Blocks, or FABs. But BARIG wants faster progress toward a true single sky, pointing out the advantages that the US enjoys from having such a thing. The organisation is also campaigning for the European Union (EU) to suspend its carbon trading scheme for airlines until the International Civil Aviation Organization produces global rules, something that is supposed to happen in September. Faced with global opposition in 2012, the EU suspended plans to make all airlines in its airspace join its carbon trading scheme and instead confined it to intra-European flights. But it has threatened to implement its original scheme from next year if progress on an international scheme this year is seen as inadequate. BARIG opposes this and says that the current intra-European trading also puts its carriers at a disadvantage, pointing out that Gulf carriers can operate feeder flights for their long-haul services without this restriction. Share this story July 11, 2016 CAIRO The Egyptian parliament is considering a move to ensure rightful heirs including women get their inheritances. Six months after Egypts government amended the inheritance law to punish anyone who deliberately denies an inheritance to those entitled to it, parliament is discussing additional amendments. A draft law would create a judicial body specifically tasked with actually restituting inheritances to their rightful heirs. A 2010 survey of 200 Egyptian women showed that 59% of them did not receive any inheritance, and women in Upper Egypt, in particular, do not dare to demand their rights in inheritance. Ghada Sakr, secretary of the parliaments Committee of Media, Culture and Antiquities, told Al-Monitor four parliamentary committees are discussing the text of the draft law she submitted in mid-June. She added, I am working on a modern comparative study about inheritance problems in Egypt by studying the cases of a sample of women in Upper Egypt and Damietta. Sakr, elected from Damietta, noted that women in this province according to the studied sample do not dare to ask their brothers for part of the inheritance, which in many cases consists of factories and workshops that make sweets, for which Damietta is famous. In the best-case scenario, Sakr said, the women obtain money instead. Social traditions and habits force women to accept any sum of money, even if not equivalent to the value of their share of the inheritance, for fear of being hated by their own family, she said. According to Ministry of Justice statistics, the courts hear about 144,000 inheritance-related cases annually. Additionally, 2,750 motions are filed by children to stop their deceased parents assets from being distributed. In Upper Egypt, customs and traditions prevent families from assigning agricultural lands to women by way of inheritance so that the ownership of family lands isnt transferred to another family when these women marry. Reza Ahmed from Sohag province told Al-Monitor, My husband died, leaving me and my four children a small piece of land, and we have no other source of income. After his death, and 20 years after their fathers estate was distributed, my sisters-in-law are now demanding their rightful share in this land, although they obtained from my husband financial compensation in return for forfeiting their share in this land upon the distribution of the inheritance. She added, My children were raised on the idea that land represents ones honor, which makes things worse and foretells bloody battles between them and their cousins. Sakr confirmed that the draft law addresses the problems that emerged in the current Egyptian inheritance law and pointed out that it would protect the family in case of polygamy and ensure the rights of the first wife and her children. The law guarantees care for a child born as a result of customary marriage, through an authority established for this purpose. The law also requires the husband who concludes a customary marriage to pay child alimony. An article was added for cases of deprivation of inheritance in case of denial of parentage or denial of marriage. Zainab Kheir, director of the Egyptian Center for Economic and Social Rights, told Al-Monitor the proposal is long overdue. However, she fears it may be hindered by beliefs and social traditions. To make the amendments work will require a change of the culture of discrimination against women, which can only be achieved through collective work. Although clerics in Egypt praised the inheritance draft law, a controversy emerged over the issue of inheritance in cases when parentage is denied. It is a violation of the law to give an inheritance to a person whose parentage is denied, Ahmad Karimeh, a professor of Islamic jurisprudence at Al-Azhar University, told Al-Monitor. Karimeh said Sharia law linked parentage to marriage, and he quoted the Prophet Muhammad as saying, "Paternity of the child goes to the [marital] bed. He continued, Modern laboratory tests and tools are presumptions and not evidence. Tests are laboratory analyses that may be right and wrong. When a child is born out of wedlock, his or her parentage is not proven and he or she has no rights. He added, We do not want to be surprised in the near future to see national identification cards and passports including illegitimate children. Muslims, Christians and Jews in the Eastern [societies] refuse this because this is refused by all religions. On the other hand, the head of Al-Azhar Universitys Fatwa Committee, Abdul Hamid al-Atrash, told Al-Monitor that he supports the idea that the law entitles any person who proves his parentage in any way to obtain an inheritance. He noted that Muhammads saying that "paternity of the child goes to the [marital] bed confirms that when a woman is married and gets pregnant from a man other than her husband, the child must be affiliated to the husband. Atrash added, The Quranic verses indicated the shares of inheritance that parents and kinsmen can leave to the heirs. The bad habits of society prevent women from their entitled inheritance. He pointed to another phenomenon that has spread, whereby parents deprive some of their children of their inheritance as a punishment, which is forbidden in Islam. July 11, 2016 "If we set to the side the manner in which the regime in Egypt was changed, and the wrongs inflicted upon [former Egyptian President Mohammed] Morsi and his circle, then there is nothing standing in the way of developing ties between us. Those were the words of Turkish Prime Minister Binali Yildirim on the same day that his country signed an agreement normalizing relations with Israel. In regard to the possibility that in the near future reciprocal visits by Turkish and Egyptian senior officials could take place, Yildirim told the official Turkish press agency Anatolia, It is possible to organize mutual visits involving officials and businessmen of the two countries, and mutual communications concerning [affairs in] the military realm. The Turkish side also hinted at a gradual restoration of ties with Egypt. "It is possible for our investors to travel to Egypt and develop their investments. This might lead in the future to a thawing of the climate [that in turn might lead] to a normalization of relations, and even of relations at the ministerial level. There is nothing preventing this from happening and we harbor no reservations concerning this matter," Yildirim said. In response to that initiative, the Egyptian Foreign Ministry issued an official statement the same day expressing its discomfort with the ongoing contradictions in Turkish statements and positions. The oscillation [tension] between expressing a willingness to cultivate economic and cultural relations with Cairo, while still continuing to refuse to recognize the legitimacy of the June 30 Revolution and the political situations and legitimate institutions chosen by the Egyptian people that have arisen in its wake indicate the need for the Turkish leadership to recognize the June 30 Revolution as a precondition for repairing ties, the statement said. It continued, Though we welcome every effort seeking to improve Turkeys relationship with Egypt, it must be clear that recognition of the legitimate will of the Egyptian people as represented by the June 30 Revolution and the resulting assumption by legitimate institutions of responsibility for administering the country is inevitable and necessary. Working alongside these institutions and engaging with them is the starting point for improving Turkeys relations with Egypt. After maintaining an initial period of silence, Turkish President Recep Tayyip Erdogan on July 5 while attending an Eid al-Fitr prayer service inside an Istanbul mosque said, We have no problems with the Egyptian people, the problems lie with the administration, and particularly with the president of the coup, Abdel Fattah al-Sisi. Erdogan voiced his repudiation of the verdicts handed down by Egyptian courts concerning the leaders of the Muslim Brotherhood, which Egypt has officially designated as a terrorist organization. In his words, I dont believe these decisions are correct, for acquiescing in sin is itself a sin, and the verdicts issued against Morsi and the others are not supported by any evidence, nor are they substantiated by any documents. In response to Erdogan's statements, Ahmad Abu Zayyid, an adviser and official spokesman for the Egyptian Foreign Ministry, said, Egypt has reservations about working alongside the Turkish leadership that insists on adopting floundering regional policies. We want to remind the Turkish side of something that no one ought to forget: The Egyptian people are the ones who have chosen their leadership in free and democratic elections. Relations between Cairo and Ankara have grown tense ever since Turkey refused to recognize the revolution of June 30, 2013. Millions of Egyptians participated in the uprising, when the Egyptian army answered the peoples call to oust Morsi, a leader of the Muslim Brotherhood, from power. Ankara considered this to be a military coup and cut ties with Cairo after the latter declared the Turkish ambassador persona non grata. A report by the Egyptian Central Bank revealed that trade between the two countries increased 49% in the period between July and December of the 2014-2015 fiscal year, reaching $1.82 billion. Egyptian imports originating in Turkey reached $1.327 billion, while Egyptian exports to Turkey were $514.7 million. Adel Lamie, the head of the Egyptian-Turkish Business Council, told Al-Monitor, The mutual trade between Cairo and Ankara has reached more than $3.5 billion and the investments between the two countries has reached $2 billion. Moreover, no Turkish companies have shut their doors [in Egypt] since the revolution on June 30. Egyptian Ambassador Mohamed El-Orabi, the head of the parliament's Foreign Relations Committee, said, Erdogan is trying to pressure Egypt, believing that it will race [to accept] any initiative without studying it. He does not understand that Egypt does not need strong ties with Turkey. He told Al-Monitor, There will not be any reconciliation as long as the Turkish president continues his policy of opposing the will of the Egyptian people, who chose its current leadership to head the country and represent it around the world. There are fundamental disagreements between the two leaderships that make it difficult to come to any kind of reconciliation. He added, Erdogan is chasing after an illusion, seeking to pressure the Egyptian authorities [in an attempt] to reduce the sentences being issued against the Muslim Brotherhoods leadership, or bring about a rapprochement between the Egyptian regime and the Brotherhood to return [the latter] into the political arena in a natural way. For his part, Mustafa Zahran, a researcher in Turkish affairs, told Al-Monitor, Erdogan has a phobia of coups, especially since Turkey has suffered from military coups over half a century. He added, The Kingdom of Saudi Arabia has clear aspirations to facilitate a reconciliation between Egypt and Turkey. This became obvious after Saudi King Salman bin Abdul-Aziz [Al Saud] made a direct appeal to Turkey, after completing his visit to Egypt. During the course of the visit April 9-11, Salman signed an agreement that transferred ownership of the islands of Tiran and Sanafir from Egypt to Saudi Arabia. Turkey needs a strong state of Egypts caliber to achieve [meaningful] cooperation in the field of counterterrorism. This will [eventually] cause it to put the issue of reconciliation with the Brotherhood to the side. It is also possible that it might cut down on the Brotherhood media activities on Turkish soil to placate Egypt. The reconciliation will take place between Cairo and Ankara in the near future, Zahran said. Haitham Abu Khalil, a human rights activist affiliated with the Muslim Brotherhood who lives in Turkey, said in a press statement June 28, Reconciliation between Turkey and Egypt will happen quickly. Anything might happen when it comes to Egyptian dissidents living abroad. We must prepare for this moment. He added, No one in the world of politics pays your bill for free. I believe that as long as we dont do anything, and there are significant differences that Turkey has noticed then unfortunately, we will be at the mercy of events, and the worst will happen. Meanwhile, Salah Labib, a specialist in Turkish relations, told Al-Monitor, Saudi Arabia is attempting to bring about a reconciliation between Egypt and Turkey to establish a strong Sunni alliance to confront rising Iranian influence in the Middle East and the Islamic State." He said that since becoming prime minister, Yildirim has sought to bring about a reconciliation and opening to the rest of the world. In addition, Yildirims desire has come to fruition in the normalization of relations with Israel, despite Ankaras support for Hamas which Israel considers a terrorist group and in the resumption of relations with Russia, even though it holds to its position on the Syrian crisis. "It seems that Turkey wants reconciliation with Egypt [even at the cost of] setting the issue of the Muslim Brotherhood to the side, Labib concluded. July 11, 2016 The attendance of Prince Turki bin Faisal Al Saud at the July 9 rally backed by the Iranian opposition group Peoples Mujahedeen of Iran (MEK, or Mujahedeen-e-Khalq) has angered many Iranian officials and media. The rally in Paris is typically condemned by Iran, given the group's history of carrying out attacks against the Islamic Republic. However, the attendance and speech of Faisal, who is part of the Saudi royal family and was head of Saudi intelligence for over two decades, has brought charges by Iranian officials of Saudi material support for terrorism against Iran. The presence of Faisal shows the longstanding link between Saudi Arabia and the MEK, said Ramazan Sharif, the head of public relations for the Islamic Revolutionary Guard Corps (IRGC). Hossein Amir-Abdollahian, former deputy foreign minister for Arab and African Affairs, said of Faisals comments' supporting the MEK in the overthrow of the Islamic Republic: These statements confirm that Saudi Arabias widespread financial and security support for terrorism has always been the agenda of Riyadh. Many Iranians have often wondered how the MEK could afford to pay exorbitant sums, ranging from $20,000 to $100,000 for a single appearance, to former US officials to speak on its behalf. Mohsen Rezaei, IRGC commander during the Iran-Iraq War and current secretary of the Expediency Council, accused Saudi Arabia of being responsible for the attacks by the MEK and also accused the Gulf country of supporting recent attacks by Kurdish groups against Irans security forces. Conservative Iranian media likened Faisals appearance to former Iraqi President Saddam Husseins support of the MEK. An article in the IRGC-affiliated newspaper Javan was headlined, Saudi in the direction of Saddam. A Mashregh News article was headlined, The hypocrites yesterday with Saddam, today with Wahhabi Saudis. Iranian media and officials often refer to the MEK with the religiously charged term hypocrites. Mashregh News also criticized Irans Foreign Ministry, particularly Foreign Minister Mohammad Javad Zarif, for not condemning the meeting between Faisal and the MEK. The article accused the Hassan Rouhani administration of pursuing a weak foreign policy with respect to Saudi Arabia that has only emboldened the kingdom. The article said that even sidelining Amir-Abdollahian will not stop Saudi enmity toward Iran. Conservatives have speculated that Zarif replaced Amir-Abdollahian in June in a conciliatory gesture toward Saudi Arabia. The article said Zarif's and the Foreign Ministrys silence with respect to Faisal meeting with the MEK is a mystery for the Iranian people. Perhaps the most interesting aspect of Faisals speech is his reference to the late Massoud Rajavi, the leader of the MEK. Rajavi's wife, Maryam, has been the public face of the MEK since the 2003 US invasion of Iraq. Some have speculated that Massoud fled into hiding after the invasion or died in the invasion. The front page of Reformist Shargh Daily covered the topic with a cartoon image of Faisal lifting a casket containing Massoud Rajavis body with the headline, The death announcement of a dead person. In response to Faisals comments about the late Massoud Rajavi, the MEK continued to deny Massouds death. Shahin Ghobadi, spokesman for the MEK, said Faisals comments were misinterpreted. In the audio, however, Faisal refers on two different occasions to Massoud as marhoom, which means late in both Arabic and Persian. The MEK has existed as an Islamist-Marxist group since 1965, when it fought against the government of Mohammad Reza Pahlavi. It carried out a number of attacks against US soldiers stationed in Iran and years later it was put on the US State Department terrorist list. After the 1979 Islamic Revolution, the MEK lost power to Ayatollah Ruhollah Khomeini and in response resorted to bombings and assassinations. Iran accuses the group of being responsible for 17,000 deaths. The MEKs most devastating bombing was the 1981 blast at the Islamic Republic headquarters building that killed over 70 people, including Ayatollah Mohammad Beheshti, who was believed to be the second-most influential figure in Iran at the time after Khomeini. The MEK took refuge in Iraq and in the final year of the Iran-Iraq War in 1988 launched an unsuccessful military attack against Iran, resulting in thousands of MEK deaths. Thousands of alleged MEK supporters in Iranian prisons are believed to have been executed in response. The group, while at one time a popular revolutionary force, is now accused of being a cult-like operation run by Maryam Rajavi. July 8, 2016 BABIL, Iraq It is common to see Iraqi men, even the young, these days wearing the traditional Iraqi tribal headdress. Instead of Western clothes, many men opt to wear traditional garb that includes a keffiyeh (cloth headdress) and agal (cord wrapped around a keffiyeh). Sheikh Majid al-Kalabi, an elder of the Banu Kalb tribe, told Al-Monitor that this trend has emerged due to the dominant role of tribes in Iraqi society, which has grown to rival that of the state in resolving disputes, organizing social events and influencing local government decisions. Kalabi said, Wearing the headdress no longer is confined to those in the countryside and to desert tribes as it was in the 1950s and 1960s. It has become a trend that has widely spread to the cities, where in the past only a small proportion of people wore it. Iraqi tribes have distinctive headdress styles, and the leader of a tribe often wears a special agal whenever he appears in public. Because of the increasing demand of the traditional headdress, the manufacturing business is flourishing in Iraqi cities. Hamza Kitab, a Babil-based producer and merchant of this popular headdress who has been practicing his trade for many decades told Al-Monitor, The agal is handmade from woven goat wool blended with cotton and silk using traditional spindles that spin the dark black thread into a cohesive cord with a desired thickness of 5 centimeters [2 inches]. A machine is then used to shape the agal into a 110-centimeter [43-inch] band with a wooden hammer that fixes any imperfections in the roundness of the cord. At the end of the process, the woven and packed band is placed in a mold that gives the agal its desired shape, followed by a thorough cleaning with a special brush and the reorientation of the flow of the fibers with a comb. But like other traditional folkloric industries, this trade faces competition from agals imported from abroad, particularly from China. Kitab said, Though Iraqis prefer the handmade agal, imported products compete due to their attractive pricing. In cities, imported bands are preferred to quality authentic agals due to the high cost of the latter that tops the $50 range, as opposed to only $5 for the imported ones that are usually made from synthetic and not natural fibers. In an interview with Al-Monitor, merchant Karim al-Yassiri, who has been practicing this decades-old trade in Najaf, said, Some community leaders, tribal elders and dignitaries wear agals made of pure silk with some models even inlaid with gold or silver thread. In explaining the headdress-wearing phenomenon, Babil-based social researcher Ali al-Haidari told Al-Monitor, Donning the agal has spread in recent years even among the young, particularly during holidays and events such as weddings and funerals, because men desire to be seen in garb that denotes their status and upholds the traditions of their fathers and grandfathers. Haidari said the importance of the headdress had transcended its utilitarian function. It is a symbol of reverence and dignity. In the event of disputes, touching or dislodging it by an opponent is considered to be a great insult that could lead to a tribal quarrel only settled by the payment of monetary compensation and the apology of the offender, he said. The role of the headdress as a status symbol and as a distinguishing feature that characterizes Arab men has prompted researchers to investigate its historical origins and subsequent widespread use. While some attribute it to desert life, where Arabs tied their camels with ropes that they often carried on their heads, other studies indicate that its origin is not Arab but emanated from the Sumerian culture, as evidenced by ancient statues that depict men wearing the agal and keffiyeh. Politicians have caught on to the status the headdress now has in society and the reverence that it imbues upon its wearer. For instance, late Iraqi President Saddam Hussein wore the agal and keffiyeh on different occasions, most notably during the grand celebration in Baghdad held to commemorate the end of the Iraq-Iran War in 1988. Prior to Saddam, the headdress was worn by King Faisal I (1883-1933). More recently, photos published by the Iraqi media show Iranian Gen. Qasem Soleimani, the commander of the Islamic Revolutionary Guard Corps' Quds Force, wearing the Arab headdress during one of his visits to the battle front against the Islamic State in Salahuddin governorate in March 2015. In addition, the headdress has infiltrated the halls of parliament, with a number of legislators donning it, such as member of parliament and tribal elder Mohammed al-Sahyoud. Sahyoud told Al-Monitor, Folkloric traditional dress competes with Western garb that also is widespread in Iraqi society. However, the former has failed to be widely adopted in state institutions and government agencies, as is the case in Arab Gulf countries where the headdress is an official uniform even worn in parliament and by heads of state. He expects the agal to become an official style of dress worn in various government institutions, because it represents the Iraqi identity. Their distinctive headdress has characterized Arabs among other peoples of the world. As such, they must preserve its use in the face of modernity and competing Western styles of dress, particularly considering that its use has not yet become extinct but remains part of current cultural traditions. July 11, 2016 GAZA CITY, Gaza Strip On June 21, the Palestinian Ministry of Agriculture in the Gaza Strip lifted an eight-year ban on watermelon imports from Israel. The Palestinian government formed by Hamas in March 2006 had banned the import of watermelons to Gaza from Israel and limited consumption to local production. Gazas annual watermelon crop hovers around 36,000 tons, which covers Gazas needs during the two-month summer season when the market registers a daily consumption of about 600 tons. The Ministry of Agriculture in Gaza justified its import decision citing the rise of local crop prices due to the greed of some merchants and farmers. The price per kilo (2.2 pounds) reached up to 2 Israeli shekels (roughly $0.50), which is high compared to the price of watermelons during the same period in 2015. Merchants and farmers attributed the watermelon price rise to the dwindling local supply, as the Palestinian watermelon crops have yet to ripen and areas cultivated for watermelon have shrunk from 4,500 acres to 3,500 acres, in light of a shortage of irrigation water. After Israels withdrawal from Gaza in 2005, Palestinians used the land areas that were previously controlled by Israel to cultivate various fruits including watermelons, particularly in the western region of Khan Yunis and Rafah in the south an estimated area of 1,500 dunams (371 acres). In this context, Tahsin al-Sakka, the general director of marketing and crossings in the Gaza Ministry of Agriculture, told Al-Monitor, The shortage of production and the monopoly by some farmers pushed us to import Israeli watermelons. If farmers and traders had reduced their prices, we would not have imported watermelons from Israel. He added, Our policy is to protect national production and encourage farmers to cultivate the land and make use of it. In 2009 and 2010, 2,000 acres of land were cultivated for watermelon production. This increased up to 4,500 acres in 2015 for the production of approximately 36,000 tons, which is enough to cover local consumption. Sakka said that the ministry had only imported watermelons from Israel for three days (June 21-23), after which it gave farmers a deadline ending on July 3 to reduce their prices or else the ministry would keep importing from Israel. Prices are still high even after the deadline, which may push the ministry to import again from Israel, he said, expecting the coming days to witness a price drop as Palestinian watermelons are likely to ripen around mid-July. For his part, fruit trader Jaber al-Shanti told Al-Monitor, The quantities that crossed into Gaza are limited and insufficient to cover the needs of the local market. He said that 600 tons were imported between June 21 and June 23, which covers Gazas watermelon consumption for one day only. He explained that the Ministry of Agricultures decision to import watermelons from Israel was issued because watermelon crops grown in the Gaza Strip have not ripened yet and because some farmers harvest too early in order to sell at high prices. He also pointed to the bad quality of local crops since lands are cultivated three or four times a year and have lost all of their nutrients, not to mention the salinity of underground water. Farmer and watermelon trader Khalil al-Suri told Al-Monitor, Not only farmers should be blamed for the high prices as traders play a key role in this respect. Some of these traders out of greed increased their prices in light of the increased demand during the month of Ramadan. He said that Gazans consume watermelons as a basic meal at suhur (the first meal of the day during Ramadan) and that the supply this year witnessed some decline. Suri predicted that the watermelon price would drop in the coming days, especially since the Ministry of Agriculture lifted the ban on the import of watermelons from Israel and the summer crops are about to ripen soon, which means an increase in the supply. Al-Monitor met with residents in front of a shop selling watermelons in Gaza City; they blamed the Ministry of Agriculture for the high prices since it stood by idly watching traders selling unripe watermelons. Government employee Ahmed Ali told Al-Monitor, Watermelons this year are very expensive. No one can tell if a watermelon is ripe or of good quality. You may get an unripe or a rotten watermelon due to some fertilizers that farmers spray on the crop to speed up the ripening process. He added, I bought three watermelons from a store days ago. Two of them were unripe, and when I tried to exchange them the same day, the seller refused. Gazans want their locally grown products to cover their market needs so that importing from Israel is not required. They believe the demand of homegrown watermelons will increase when their quality equals that of imported watermelons. July 8, 2016 The motivation behind Turkish President Recep Tayyip Erdogan's recent gestures of reconciliation toward other countries in the region is questionable, but there's no doubt the moves had to be made. New York Times reporter Sabrina Tavernise wrote in her July 5 piece titled "Turkish Leader Erdogan Making New Enemies and Frustrating Old Friends" that Erdogan "had grown so alone that this past week he moved to make peace deals with Russia over [a] jet's downing and with Israel over its killing of several Turkish activists on a Gaza-bound flotilla in 2010." She quoted me on the subject: "I think this is an indicator of how desperate they are." Whatever the reasons behind the latest Turkish actions, the steps were essential. They will contribute to Erdogan's political survival and demonstrate the approach not of an ideological zealot but of a political pragmatist, and should be seen as an asset for any political operator. After Turkey's moves to reconcile with Russia and Israel, there are now signs of similar intentions with Egypt and even Syria. Saban Disli, deputy chairman of the ruling Justice and Development Party, hinted that Turkey may be planning to restore relations with both countries. It is an open secret that Saudi Arabia has been mediating between Ankara and Cairo for a while, and there's been a rumor for some time that Algeria is acting as an intermediary between Ankara and Damascus. Since a new page opened with Russia following Erdogan's apology to Russian President Vladimir Putin, Moscow also has become a mediator between Syria and Turkey, whose animosity for each other has been seemingly irreconcilable since the autumn of 2011. A sharp turn in relations with Russia and Israel made many people in Turkey think that the reconciliation with Egypt and Syria may be quite possible, given Erdogan's pragmatism and flexibility. But the question remains: Is the shift in Turkish foreign policy even if it is a consequence of desperation merely a temporary change in attitude with no chance of sustainability, or is it a complete overhaul that suggests Turkey is navigating in a new direction? The answers to these questions are diametrically opposed to each other, although the answer share a common dynamic: Even the ostensible reconciliation achieved with Israel and Russia are far from being done deals of cooperation and regained friendship. Moreover, there are formidable obstacles in the way of smooth relationships with both. The prospect of reconciliation with Russia is quite attractive to Turkey, with significant potential benefits. And Ankara's apology to Moscow promises to alleviate some tension. But the two sides are still short of a true reconciliation. From Russian Prime Minister Dmitry Medvedev to Russian Foreign Ministry spokesperson Maria Zakharova, authorities have warned that the Turkish apology will not immediately wipe the slate clean. Aurel Braun, a professor of international relations and a center associate at the Davis Center for Russian and Eurasian Studies at Harvard University, underlined the following, "Erdogan is very likely acting tactically now, and should he manage to stabilize and solidify his position domestically, he could quickly alter relations with Russia. Consequently, it would be wise of all international actors, including Russia, when dealing with Erdogan to recognize the multiple negative variables involved, whether it is the inherent instability of the Erdogan regime or the unpredictable and cynical character of the Turkish leader himself, to exercise extreme caution in improving relations and to insist at all stages on clearly defined quid pro quos." It seems that's what the Russians intend to do. A sustainable reconciliation with Israel is even more uncertain. Although the deal improved Israeli Prime Minister Benjamin Netanyahu's domestic standing, plenty of observers have pointed out potentially fatal flaws in the deal. One such observer is Michael Koplow, policy director at the Israel Policy Forum. In his Foreign Affairs article, "Terrorism and Turkey's Deal With Israel Will Cooperation Work?" he raised doubts about Turkey's fulfillment of its commitments to Israel, indicating that they are bound to run up against the limits of Turkish domestic politics and regional influence. For Koplow, the most striking flaw of the deal is that it depends on continued quiet in Gaza, which looks unlikely. "Although no one can predict with certainty when another war in Gaza will break out, another round of fighting seems inevitable, and with it will come the end of the current Israeli-Turkish detente." One has to also consider that Egypt would like to see Turkey championing Palestinian rights in Gaza and thus may not easily acquiesce to Turkey taking a leading role in the eastern Mediterranean. For Israel, closer relations with Egypt take precedence over those with Turkey. That geopolitical element hints at the difficulties awaiting the improvement of Turkish-Egyptian relations. The most important aspect of the new radical shift in Turkish foreign policy is that it did not take place from a position of strength. It is not the outcome of a well-studied and conceptualized approach. On the contrary, it comes from a position of weakness and has to do with Erdogan's survival instinct. The objects of Turkey's advances are well aware of this fact. The owners of the controversial sign on top of the Two North Twentieth building aren't giving up on advertising on the city's skyline. 84 Outdoor, a Pennsylvania billboard company, is appealing the Birmingham Design Review Committee's vote not to allow the sign to go atop the 17-story building. UAB would have a one-year lease on the sign. 84 Outdoor will go before the Birmingham's Construction Board of Adjustment and Appeals on Wednesday. In March, the DRC voted unanimously to disapprove the sign on the grounds that it is "an inappropriate solution to a historic sign." The sign has a long, controversial history in Birmingham. Harbert Realty put up the original Pepsi sign in 2014 without approval from the DRC after multiple submissions the committee ultimately rejected. Usually, the city does not give permits for projects until they get DRC approval. At the time, Harbert said it didn't need a permit for a vinyl covering because the dimensions of the sign did not change. At least one leader in the Department of Planning, Engineering and Permitting disagreed, saying that a permit was not required but DRC approval is. Around the beginning of 2015, Harbert sold the sign to 84 Outdoor. The company's position is that a permit is not required. The day after UAB announced its plans for the sign, the city sent a cease and desist letter to 84 Outdoor. Birmingham advertising agency Cayenne Creative designed the sign. UAB has agreed to pay $300,000 on the sign for a one-year lease. Remington Outdoor will host a job fair this week in an effort to hire more than 100 new workers for its Huntsville gun plant by Sept. 1. A notice on the Chamber of Commerce of Huntsville/Madison County's website said the hiring event will take place from 9 a.m. to noon Tuesday on 100 Electronics Boulevard in Huntsville. Click here for directions to the site. The manufacturer is seeking workers for the following positions: CNC Machine Operators, Assembly Team Leads, Material Handler, Quality Inspectors, and Maintenance Technicians. Applicants should bring a copy of their resume and a valid form of identification to Tuesday's event. In May, Remington had more than 350 employees in Huntsville. A report from the Chamber of Commerce in March said the company had 324 employees as of Aug. 31, 2015 with an average hourly wage nearly $10 higher than stated in the development agreement between the company, City of Huntsville and state. The company plans to ramp up hiring in Huntsville through the next decade: 680 employees by the end of 2016 1,018 employees by 2017 1,258 employees by 2018 1,498 employees by 2019 1,698 employees by 2020 1,868 employees by 2021 The North Carolina gunmaker said earlier this year it would shut down its 20-year-old Mayfield, Ky., firearms facility. Work there will be moved to Remington's Huntsville site, which makes MSRs, handguns and AAC silencers. Two Birmingham ministers, one black and one white, flew to Dallas on Saturday, built a 10-foot-long wooden cross, and carried it to the Dallas Police Department on Sunday where they prayed with police. The Rev. William Wilson, a former Catholic and Episcopal priest who is now bishop-in-residence at St. Peter's Anglican Church in Mountain Brook, asked African-born minister Stephen Manyama, pastor of the Family Worship Center in East Lake, to join him on the trip to Dallas. They returned to Birmingham on Monday. "For us it was a sense of doing what God has called us to do, mourn with those who mourn," Manyama said, "and bring a message of comfort to those who are hurting." They were reacting to a sniper killing five police officers and wounding seven others on Thursday at a protest against police killing of black men. "We built the cross there," Wilson said. "We went to carry the cross and pray for peace, healing and reconciliation." They put a phrase from a Bible verse on the cross, from Colossians 1:20: "peace by the blood of his cross." On Saturday, they mingled with crowds as police dealt with another possible threat in the parking deck where the shooter had been killed on Thursday. "We stopped many times to pray with people; some of the prayers were very emotional," Manyama said. "It was a crying time." They walked in the area of the shooting of police, in the vicinity of where President John F. Kennedy was shot to death in 1963. "We went down to Dealey Plaza," Wilson said. "We prayed there. We walked in the direction of the crime scene, six square blocks squared off. We walked the perimeter. We walked into that crowd. People warmly welcomed us. People told us it was emotionally impacting to see a white man and black man carrying a cross. People wanted to be photographed with the cross and help us carry the cross." On Sunday, at the doors of the police department, Wilson and Manyama told police: "We're here to pray with you; we're in solidarity with you; we want to pray with you." They prayed with police officers. "We asked permission to leave the cross at the shrine, a police car covered with notes and flowers," Wilson said. "As we left, it was still there." Wilson said the violence of last week is a warning sign of the nation's continuing trouble with race. "It's the manifestation of a national illness we have - the alienation of the races," Wilson said. While many will look for political solutions, seeking God is the true solution, he said. "Only God changes hearts," Wilson said. "We were only requesting that our hearts would be turned toward our neighbor. There was a great deal of ardent prayer to God, to change hearts of the American people to each other." The answer is spiritual, not political, Manyama said. "The country needs God real bad," Manyama said. "There is no reason why the people of God cannot live together." Carrying the cross had a visual impact on the crowds, Wilson said. "People wept when they saw the cross," Wilson said. "Police wept when they saw the cross. They suffered a kind of crucifixion, the just taken down by the violent. That's what the cross is. What comes from the cross is resurrection and life. I believe we stirred people to pray for unity." The identity of the man who authorities say shot himself after a five-hour standoff with authorities in Randolph County Saturday has been released. Randolph County Coroner Terry Sparks said Eli Lee, 76, of Wedowee, was the man who died yesterday. The Alabama Law Enforcement Agency said Lee died of a self-inflicted gunshot wound. Sparks would only say that Lee's cause of death is so far "undetermined." According to the Randolph Leader, Lee was arrested last month, charged with discharging a firearm into a vehicle and third-degree domestic violence after he got into an argument with his son that authorities said grew into a physical altercation. As the son was leaving the house, he said Lee fired at him in the driveway and fired multiple shots at his vehicle. Multiple law enforcement agencies converged on a home along County Road 7 about 10 miles outside Wedowee in the Swagg community yesterday following the shooting of a Randolph County deputy. The altercation happened about noon, with Lee inside a residence holding his wife hostage, authorities said. Tactical teams and officers waited outside the home until the standoff ended around 5 p.m. with Lee's death. The wife was not injured. ALEA said the incident was not related to other acts of violence against law enforcement officers nationwide. The deputy, who was transported to UAB Hospital, was released from UAB Hospital later that evening. Once an investigation into the incident is complete, the findings will be turned over to the Randolph County District Attorney's office. Kalyn Chapman James, the first African American to hold the Miss Alabama title, posted a tearful video on her Facebook page Sunday that says she doesn't want to "feel this way" but she finds it hard to be sad for the Dallas police officers and "can't help but feeling like the shooter was a martyr." She later clarified her thoughts and expressed her condolences to the families of the slain officers in a statement to AL.com. James, who was Miss Alabama in 1993 and attended the University of Alabama at Birmingham, and is now a TV host living in Miami. She is a native of Mobile. She posted the more than two-minute Facebook Live video on her Facebook page Sunday afternoon. She was in a car and stated she had just left church. "I don't want to feel this way" James states in the video. "I don't feel sad for the officers who lost their lives ... I know that's not really my heart. I value human life. And I want to feel sad for them but I can't help but feeling like the shooter was a martyr," she says in the video. Kalyn Chapman James (2011 file photo) "And I know it's not the right way to feel because nobody deserves to lose their lives and I know that those police officers had families and people who loved them and that they didn't deserve to die but I'm so torn up in my heart about seeing these men, these black men, being gunned down in our community that I can't help, I can't help but feel like; I wasn't surprised by what the shooter did to those cops and I think a lot of us feel the same way and I know it's not right and I definitely don't condone violence against innocent people," James stated. James went on to say she was "sad" and "hurt." She also asked how others are feeling and how they are dealing with it. In response to a request by AL.com for comment about her Facebook post, James issued this message late Sunday night: "My heart and my mind were conflicted because these are difficult and very emotional times for so many people. I went to church to address my feelings and deal with them from a perspective of forgiveness and love. Especially forgiving myself for feeling that way. I regret that any people lost their lives this week and I am saddened by all of the shootings that occurred. But, this is not about me. When reading about the killings of those black men, I was mortified by some of the comments about them. Many People were not conflicted at all about those deaths. Some were okay with this. These are raw wounds that are fresh and, while I apologize if I offended anyone, I cannot help the way I feel as I continue to process these events and deal with the flood of emotions that come from witnessing such atrocities - both against citizens and officers of the law. The fact that my opinion was considered newsworthy makes me feel like speaking up was exactly what I should do, because I can voice what so many people are feeling and dealing with and they should know they are not alone. I reiterate that I do not condone violence or killing at all. I offer my deepest condolences to all the families who lost their loved ones this week, including the officers in Dallas." Five Dallas police officers died and seven others were wounded Thursday while providing security for a Black Lives Matter protest. The event was in response to two shootings in which police officers in Louisiana and Minnesota killed black men during incidents earlier in the week. On Monday evening the Miss Alabama pageant operators issued a statement regarding James' comments: "Kalyn Chapman James was Miss Alabama 23 years ago in 1993. The opinions she expressed are her own, and do not represent the viewpoint of the current Miss Alabama or the Miss Alabama Organization. We have nothing but the utmost respect and appreciation for the men and women of law enforcement, and would never condone violence of any kind.' SWAT officers arrested an Alabaster man after police say he injured his girlfriend during an overnight domestic situation, refused to let her leave the home and then made statements he would kill law enforcement if they responded. The situation began Saturday night in the area of King James Court, said Alabaster police Chief Curtis Rigney. The suspect, whose name isn't yet being released, assaulted his girlfriend and held her against her will. "He beat her up pretty badly,'' the chief said. "He broke her cell phone so she couldn't call for help." Sometime overnight, the victim was able to escape and alerted police to her injuries and the threats. "He had made statements he would kill law enforcement if they approached the house,'' Rigney said. She was treated at a hospital and has since been released. Officers began watching the home about 9 a.m. Because of the threats, Rigney said he called in the department's Tactical Response Unit. Later in the day, an undisclosed number of residents were evacuated from the immediate area. "We did that because of the threat of gunfire,'' he said. Police obtained a search warrant for the home based on the domestic violence assault and the TRU tried to make contact with the suspect, but there was no communication. After unsuccessful attempts to reach him, the officers entered the home about 4 p.m. and took him into custody. There was no standoff. There were no shots fired and no injuries. Rigney said he couldn't yet say whether any weapons were found inside the home. The suspect is in custody but no formal charges have yet been filed. The chief said the investigation is ongoing. "We're just glad nobody got hurt,'' he said. "I know it interrupted a lot of people's Sunday, but I'm glad nobody was hurt." fire arrest collage.jpg Jimmy Andrew Suggs, left, and Zackary Ryan Kuykendall, right (Boulder Sheriff's Office) Two Cullman County men have been arrested in Colorado and charged with starting a weekend fire that has destroyed six buildings, including three homes, and forced the evacuation of nearly 2,000 people southwest of Boulder. Jimmy Andrew Suggs, 28, and Zackary Ryan Kuykendall, 26, both of Vinemont, Alabama, have been charged with 4th Degree Arson, according to a press release from the Boulder County Colorado Sheriff's Office. The two men were arrested at 4:40 p.m. Sunday at the Nederland High School evacuation site for their role in the start of the Cold Springs Fire, according to the statement. The men face a felony arson charge because lives were endangered as a result of the fire, according to the statement. Fire investigators and sheriff's detectives were able to determine the origin of the fire was an ad hoc campsite near the Peak-to-Peak Highway and Cold Springs Road, according to the statement. The two men, along with a female friend, were camping on private property and had established a campfire overnight Thursday into Friday morning, according to the statement. The men did not ensure that the fire was properly extinguished by dousing it with water, or making sure the ashes were cool to the touch before leaving the site, according to the sheriff's statement. It is believed that the winds in the area, combined with the weather, allowed the fire to continue smoldering. The fire eventually spread from the ad hoc campsite, resulting in the Cold Springs Fire, according to the sheriff's statement. The initial wildfire was reported through 9-1-1 on Saturday at 1:30 p.m. A plane spreads flame retardant as they battle the Cold Springs Fire near Nederland, Colo. on Sunday, July 10, 2016. (Autumn Parry/The Daily Camera via AP) Both men have been booked and lodged at the Boulder County Jail. Their female companion, Elizabeth Burdeshaw, 20, also from Vinemont, is not facing charges, as she did not have any involvement in creating, maintaining, or extinguishing the campfire, according to the sheriff's statement. An Alabama native was killed Sunday night in Tennessee when a hit-and-run driver knocked her off of her scooter and into oncoming traffic. Elise Denton, 29, was driving her Honda scooter in Smyrna when the crash occurred. Denton was a resident of Nashville, but according to her Facebook page, she grew up in Madison. Smyrna Police officials report that the crash occurred around 10:45 p.m. Sunday when the driver of a pickup truck rear-ended Denton's scooter. Denton was thrown from the bike and struck by another vehicle. The truck dragged Denton's scooter about a quarter of a mile before it left the roadway and struck an embankment, police say. The driver of the truck got out, freed the scooter from under his truck and fled the scene. Denton was pronounced dead a short time later at Vanderbilt Medical Center. The suspect's truck is described as a dark gray or pewter-colored Chevy or GMC. It is anticipated to have damage to the front end, including a missing driver-side headlight. White paint transfer from the scooter may also be present. Anyone with information on the truck or its driver should contact the Smyrna Police at 615-459-6644. Sonnie Hereford.jpg Sonnie Hereford IV, then 6, holds his father's hand as he arrives for school in Huntsville in 1963 and breaks the color barrier for Alabama public schools. The lawsuit, Hereford v Huntsville City Board of Education, continues to this day. But all of the original children are grown and the NAACP Legal Defense Fund has been removed from the case. Now three new parents in Huntsville wants to join the 53-year-old court case on behalf of their school-age children. (File photo) Black parents in north Huntsville are urging a federal judge to allow their four children to join the 53-year-old lawsuit to desegregate Huntsville City Schools. The parents filed a motion in U.S. District Court late Friday that argues the U.S. Department of Justice does not represent the concerns of local families. "The DOJ has failed to maintain regular communications with the African-American community in Huntsville," reads the . "It has not held a single meeting with the said community." Birmingham attorney Mark Debro filed the motion, which also contends that the Justice Department has ignored complaints from those affected in Huntsville. Debro seeks to have four children substituted for the original plaintiffs or otherwise added as intervenors alongside the Justice Department. The motion alleges that Superintendent Casey Wardysnki and the Huntsville school board "have continued to discriminate not only against African American students, but also to brazenly discriminate against African American teachers and applicants for employment since the entry of the Consent Decree." The desegregation suit was first filed in 1963 by Sonnie Hereford III and four other Huntsville parents on behalf of school-age children. A young Sonnie Hereford IV and others integrated Huntsville schools that same year. The Department of Justice joined the Hereford suit two years later. In 1970, a federal order in the case officially ended dual schooling in Huntsville. The city school board for decades had operated under that order. But in 2014, during a legal skirmish over zone lines, U.S. District Judge Madeline Hughes Haikala kicked the NAACP Legal Defense Fund off the case. All of the children were long since grown and no longer had standing as plaintiffs. That left only the Justice Department and Huntsville schools. The judge then found that Huntsville had not done enough over decades to correct racial disparities linked to dual schooling. The judge ordered the two sides to negotiate a new way forward. The judge then threw out the 1970 order and approved an updated desegregation agreement, called the consent decree. "Since the filing of the Consent Decree in this case, the DOJ has failed to adequately represent the interests of African-American students in the Huntsville City Schools," reads the motion filed Friday. The parents seeking to join the long-running case are the Rev. Gregory Bentley, Paul Proctor and Lamisha Jennings. They seek standing on behalf of their children Miriam and Johari Bentley, Jayden Proctor and Kimora Jennining. The motion lists several concerns about racial disparities, including discipline rates, teacher firings and principal assignments. The motion lists five black applicants denied employment in Huntsville schools, contending "these vacancies have been awarded to lesser qualified white applicants." The motion also contends that Teach For America has been used to place less experienced teachers in majority black schools. "This practice has been reported to DOJ to no avail," reads the motion. The motion also argues that the Desegregation Advisory Committee, a group of parents and students and others appointed to monitor compliance with the consent decree, "has become the servant of the Superintendent" and lacks effective representation of the African-American community. Just two years ago, when dropping the NAACP Legal Defense Fund from the case, Judge Haikala wrote there was no need for new plaintiffs. "The Court does not need to order the United States or counsel for the NAACP to identify and add new private plaintiffs because the United States, as plaintiff intervenor, has fully and adequately represented the interests of black students in the city of Huntsville's public school system." colbert.JPG Colbert County Courthouse in Tuscumbia, Ala. (file) Alabamians are more likely to die in a shooting accident than be swept up in a tornado. And that's especially true in North Alabama, home to the highest rates in the nation for deadly mishaps with firearms. Colbert County in the Shoals saw the highest rate of fatal, accidental shootings among all U.S. counties, according to mortality data for 1999 to 2014 compiled by the Centers for Disease Control. In Colbert, residents were twice as likely to die from a gun accident as from a building fire. Colbert is not alone. Five of the top 10 counties for deadly gun accidents are scattered across North Alabama, as DeKalb and Marshall counties trail directly behind Colbert. Calhoun County, home to Anniston, had the fifth highest rate of deadly shooting accidents over the 15-year span. Madison County, home to Huntsville, is seventh in the nation. Madison County, the most populous of the five counties, recorded 52 deaths from accidental shootings from 1999 to 2014. That gives the Huntsville area a rate of approximately one death per 100,000 residents each year from a mishap with a handgun, rifle or other firearm. In Huntsville, residents were three times more likely to be shot and killed by mistake than to die from drowning. For comparison, Jefferson County, the state's most crowded county and by far the area with the most gun homicides, saw just 34 accidental shootings over that same stretch and a rate of .3 per 100,000. Alabama as a whole is third in the nation, behind Louisiana and then Mississippi, for the rate of deadly accidents with guns. The same three states, in the exact same order, also lead the nation in death rates from gun homicides. In Alabama, 72 percent of those who died in shooting accidents were white. Nationally, guns are most likely to be used in suicide. And the national map is far different on that cause of death, as Alaska leads in death rate from suicide with a firearm. Here's how the states stack up: A planned campaign stop in Indiana has many buzzing that presumptive Republican presidential nominee Donald Trump will announce Gov. Mike Pence as his running mate. A report over the weekend from the Washington Times put Pence's chances of being the vice presidential pick as "95 percent." Pence is expected to appear at a Trump fundraiser planned for Tuesday. Pence had earlier offered his endorsement for Texas Sen. Ted Cruz's presidential bid but later switched his backing to Trump. "The kind of leadership that I truly do believe, to borrow a phrase, will make America great again," Pence said last week, according to The Associated Press. Trump has indicated he will likely announce his VP pick ahead of next week's Republican convention. Several names have been mentioned as possible running mates for the businessman-turned-politician, including Sen. Bob Corker of Tennessee, Sen. Joni Ernst of Iowa and Sen. Jeff Sessions of Alabama. Over the weekend, a new name - retired Lt. Gen. Michael Flynn - emerged, as did that of retired Army Gen. Stanley McChrystal. Indiana Gov. Mike Pence Pence, 57, served in the U.S. House from 2001 to 2013 before being elected Indiana governor. His name was mentioned as possible presidential candidate in both 2008 and 2012. He's a favorite among conservatives and his leadership role in a key battleground state could be alluring for Trump. Pence, a former conservative radio talk show host, is currently seeking reelection to his second term as governor and would have to withdraw from that race by July 15 if he's joining Trump's ticket, The Hill reports. Trump and Pence reportedly met last weekend. Ridgeway escape.jpg 37 year-old inmate Javarus Ridgeway was a part of the Atmore Work Release Center in Atmore, AL. He escaped from the Alabama Department Of Corrections on Monday (July 11) at 7:30 a.m. (Alabama Department of Corrections) The Alabama Department of Corrections has reported that an inmate escaped while working in a work release program early Monday (July 11) morning in Escambia County. Corrections officers said 37 year-old inmate, Javarus Ridgeway was a part of the Atmore Work Release Center in Atmore, AL. He made his escape at approximately 7:30 a.m. on Monday. The ADOC said in a press release that Ridgeway was sentenced back in April of 2008 in Mobile County to 20 years in jail for robbery. If you have information on the whereabouts of this escapee, please notify your local law enforcement agency or call the Alabama Department Of Corrections at 1-800-831-8825. As UN debates for its new secretary general, sex assault by peacekeepers in CAR must be eradicated once and for all. Bangui, Central African Republic Were inside an uncomfortably hot, stuffy and tattered tent at a camp for displaced Central Africans at the airport. Nadine is fiddling with her fingers nervously. She struggles to find words and keep her composure as she narrates what happened to her one night in February 2015. She cries as she tells us she was raped by international peacekeepers on the day her husband was killed by fighters of the Muslim Seleka rebel group. I had been out looking for him but it was getting dark and I couldnt find him, so I decided to go back to the camp. At the last UN checkpoint, there were four peacekeepers. They called me but I was reluctant to go, then one pointed a gun at me. They pushed me into a thicket and raped me. When they finished, I went home, slept, and in the morning went to the MSF [Doctors Without Borders] hospital here. Al Jazeera Exclusive: The UN Debate Nadine says she was given some drugs and counselled by nurses at the hospital. She was afraid to file a report until she learned of more women and children who were accusing peacekeepers of sexual violations. I dont want them here, they are not helpful. They sexually violate us without protection, I dont want them. As the UN debate kicks off for a new secretary-general in New York on Tuesday, the new chief will have to deal with the ongoing allegations of sexual misconduct by its forces. A UN report leaked to media last year found troops often paid for sex with cash, dresses, jewelry, perfume, and mobile phones. UN peacekeepers, as well as French and European troops, have been repeatedly accused of human rights abuses, including sexually assaulting women and children in Central African Republic (CAR). Clashes break out in Central African Republic after UN delegation visit The international force was deployed in 2014 to help restore order in CAR. This was after then-president Michel Djotodia was ousted the previous year, and the country plunged into sectarian conflict. Muslim rebels went on a rampage, killing indiscriminately and triggering the formation of an equally violent, mainly Christian anti-Balaka militia in response. At that point, the UN mission was seen by Central Africans as a refuge, a safe haven so when sexual abuse accusations dating back to 2013 started emerging, people were shocked and resentful. The United Nations is investigating more than 100 cases involving several missions. In August last year its special envoy to CAR, Babacar Gaye, was forced to resign as the accusations against his troops mounted. Parfait-Onanga Anyanga took Gayes place as the special representative to the UNs secretary-general. He has been at the helm for 10 months now. We met with him in Bambari, northeast of Bangui, where he had gone to visit troops and the local communities. He inherited a force whose reputation has been badly damaged and has a hard job trying to building back trust. We are taking every report made very seriously, were engaging with community leaders and where misconduct is proven, were taking care of the victims financially, medically and psychologically, Anyanga told Al Jazeera. But he can only do so much. Time for Eastern Europe to shine at the United Nations The United Nations Security Council has adopted a resolution calling for the repatriation of peacekeeping units whose forces are implicated in human rights abuses. Several have been sent home, most evidently contingents from Congo Brazzaville and the Democratic Republic of Congo. Victims in CAR say sending troops home is simply not enough. They want quick investigations, trials and convictions. They want justice. They also know these are complex matters and investigations need to be thorough. Many may not have reported sex assaults when the incidents occurred thats a big complication. Theres also the very real problem of false allegations. Women we talked to in some of the camps said life is tough, theres no food aid any more, and they have to do what they can to survive. Central African Republic: Newfound peace? Sometimes we see the peacekeepers as a source of money and that means survival. They take advantage of that way by paying women or children and if that does not work then they resort to more aggressive ways of getting what they want, one woman told us. As much as there is anger, there is also an understanding among the people that the UN mission is still crucially important. It oversaw a peaceful presidential election this year. But President Faustin-Archange Touaderas government is still weak, and with no properly functioning army or police force, the peacekeepers are still very much needed. Touadera told Al Jazeera hes deeply concerned about the sex-abuse scandal. This is a huge problem for the government. We have asked the UN mission [MINUSCA] to aggressively investigate so my government can follow up with troop-contributing states to do their own investigation and put their troops to trial. We are working with the UN to identify the genuine cases, so justice can be done and the victims can also get compensated, the president said. UN warns of hunger crisis in Central African Republic The Democratic Republic of Congo has already begun trying its troops before a military tribunal. Two soldiers have denied raping children, while a third was charged with attempted rape. In all, 21 Congolese peacekeepers are accused of sexual abuse in CAR and will be prosecuted. But DRC is just one country that is seemingly taking some action against its soldiers. In other implicated countries there have been little or no investigations on the conduct of their troops. Central Africans have endured a brutal history that keeps repeating itself. They are trying to survive in a country thats literally on its knees. Militia groups control huge territories in rural areas, and the government has little authority beyond the capital, Bangui. One man at a camp for displaced people told me: A peacekeeper took my wife, offered her money, violated her, and took her away from me. I dont consider that rape, but he took advantage of our poverty and his position. So I say he abused her. But he also speaks for many when he says without the international peacekeepers, people would be at the mercy of violent armed groups and thats what makes the situation even more desperate. The right-wing politician and her One Nation Party have been voted into Australias senate. Sydney, Australia Fresh on the heels of a federal election, Australia is preparing to welcome its most multicultural senate ever, a congress that will include three indigenous Australians and its first indigenous woman. But the senate will also feature Pauline Hanson, a controversial figure who has made a career campaigning against multiculturalism. A former fish-and-chip shop owner from the northern state of Queensland, who seems to resonate with some blue-collar and rural voters, Hanson first came to prominence 20 years ago on a broad anti-establishment, anti-free trade, anti-immigration ticket when she became the countrys first independent female member of parliament. In her now famous maiden speech to parliament in 1996, Hanson warned that we are in danger of being swamped by Asians [who] have their own culture and religion, form ghettos and do not assimilate. To some, Hanson seemed to be a reminder of an ugly white past Australia was trying to forget. Belittling her in the media became almost a national sport, most famously during a 60 Minute interview when she asked the presenter to please explain the word xenophobe because she didnt know what it meant. But it was the major parties the ruling Liberal-National Coalition and the Opposition Labor Party that cost Hanson her seat at the next election in 1998. They did so by colluding to place her then-new One Nation Party in the final slot of their preferences a voting system used in Australia that stimulates a series of runoff elections when no candidate is the first choice of more than half of all voters. READ MORE: Unease with Australias Islamophobia Hanson lost her seat but didnt leave the public spotlight. In 2003, she was convicted of fraudulently obtaining nearly $500,000 Australian dollars (about $380,000) in electoral funding. But after 11 weeks in prison the conviction was overturned. In 2004, she enjoyed a successful stint as a reality TV star on Dancing with the Stars. In 2006, she moved to Britain but returned home after apparently discovering that Europe had been overrun with immigrants and refugees. Her political ambitions remained and she ran for office more than half a dozen times never getting the number of votes she needed. Resurrection But this year at the ballot box, three out of 14 million voters rejected Australias two major party blocks the ruling Liberal National Coalition and Labor giving their first-preference vote to the Greens or independents. Coupled with electoral reform that lowered the bar for getting elected to the senate from 14.3 percent to only 7.7 percent of the primary vote, Hanson was able to make a political comeback. The One Nation Party she leads already has one confirmed senate seat her own and could win two more by the time counting wraps up a few weeks from now. Today, Hanson is a significantly more sophisticated politician than the polarising newcomer of 1996. She is a social media success story, who seems to have adroitly zoned in on a mood of voter dissatisfaction over issues such as the decline of Australias manufacturing sector, the downsizing of public services, unaffordable property prices and foreign investment in primary industries and property. And while race remains the cornerstone of Hansons politics, she has switched from targeting Asians to targeting Muslims. You cant deny the fact that in these mosques they have been known to preach hate towards us. Is that a society that we want to live in? Hanson said at her first and only pre-election press conference. Do you want to see terrorism on our streets here? Do you want to see our Australians murdered? The policies of Hansons One Nation Party embody those fears. These include proposals to ban Muslim immigration and install surveillance cameras in existing religious schools and mosques, among others. Most controversially of all, One Nation wants a Royal Commission or inquiry into Islam. Dont bury your head in the sand, and think this is not going to happen. We only have to look at other countries around the world, she told Australian TV during her senate launch in June. Lets determine if it is a religion or a political ideology trying to undermine our culture. Criticism and backlash Hansons critics havent been silent. A few weeks after her maiden speech in 1996, we received increased reports of racism from Chinese Australians, said Thiam Ang, the president of the Chinese Australian Forum at the time. So we did some statistical analysis via surveys and found there had been a doubling of physical and verbal abuse and a tripling of spitting, he said. It could happen again. Pakistan-born politician Mehreen Saeed Faruqi, a Greens MP, said her Muslim constituents had not reported a spike in racism. But Jeremy Jones of the Australia/Israel and Jewish Affairs Council, who once led a successful court case against a One Nation newspaper over an anti-Semitic article about Jewish bankers, says a spike in racism is inevitable. I have documented and analysed anti-Semitism in Australia for 30 years, and the results clearly show that whenever public figures like Pauline Hanson have made disparaging racist comments about Aboriginals, Asian, African or Arab immigrants, there has been a corresponding increase in attacks against Jewish people and other minorities. It didnt matter which particular minority is targeted the floodgates of bigotry are opened. Prime Minister Malcolm Turnbull has also voiced his thoughts during a press conference early this June. Pauline Hanson is, as far as we are concerned, not a welcome presence on the Australian political scene. But, for some, the condemnation and press scrutiny only add to Hansons appeal. According to One Nation national secretary, Saraya Beric, since Turnbulls comment, the senator-elects Facebook page has received 10,000 new likes a week. Hanson has now put the media on notice, saying that unless they stop using her as a punching bag shell stop talking to reporters and use citizen journalism app Newzulu instead. It might be another way to go, then you wont need media at all, Hanson said. Not that kind of backwater racist Speaking at a press conference last week, Australias former prime minister, John Howard, warned pundits not to so easily discount Hanson this time around. I didnt agree with her when she said we were being flooded by Asians because we werent. But I did understand she was articulating the concerns of people who felt left out, and I was critical of people who branded everybody who supported her as a racist, Howard said. While some of her supporters say the anti-Muslim policy line appeals the most to them, others see themselves as being closer to the centre of the political spectrum. She appeals to Australians who dont want immigrants taking their jobs, pressuring social change, influencing their ways of life, and so on, said supporter Antsy Lucas. I dont think her voter base would be lynching Asians on a farm somewhere, not that kind of backwater racist. But most of them are just fed up with seeing the Australian image diminish and their assets being sold to foreigners, Lucas added. Zareh Ghazarian, a political scientist at Monash University, attempted to shed some light on the changing face of the typical Hanson voter. The same kinds of anti-establishment things [Donald] Trump is saying, Pauline Hanson has been saying for years. There is a sense among some sectors of the population, he explained, that Australia is losing its economic prowess. The irony, he added, is there has been no apparent decline in Australias economic prosperity even though the perception still exists. The closure of auto manufacturers, land sales to Chinese investors Hanson uses those sort of things to construct a narrative that says the country is at the mercy of decisions by big corporations. Potential economic fallout Hansons One Nation Party has only one confirmed seat in the senate but is on track to win two or three more out of a total of 76 seats. It remains to be seen what this will mean in the halls of power. Chris Salisbury, an expert on electoral history from the University of Queensland, said: One Nation will probably have some role-playing in touchstone issues like foreign investment. Ghazarian agrees that the party will only have a very marginal impact. But from time to time there may be some overlap in what they want and the Nationals want, he said, referring to the ruling Liberal Partys junior rural partner, for example, greater support for farming and manufacturing. If theres one person who might be able to read Hansons future, its political commentator Margo Kingston. Her 2001 book on One Nations ill-fated 1998 electoral campaign, Off the Rails, remains the seminal introspective into the Hanson phenomenon. I think Hanson will have a huge impact on how Islam is discussed in Australia, Kingston said. Right now these matters are not discussed, or only discussed by the far-right. But now itll go mainstream. The only way to stop these discussions from morphing into a groundswell of Islamophobia, Kingston argues, is rational debate. If the media and politicians genuinely attempt to bring her into the conversation, engage with her, disagree with her, then the threat can be maintained, she said. But if they handle her like last time, without respect, support for One Nation in Australia will only increase. Confrontations and pitched battles between protesters and Indian armed forces have continued in Indian-administered Kashmir, despite a round-the-clock curfew imposed by authorities. Dozens of protesters were killed and hundreds wounded over the weekend after police and paramilitary troops opened fire on tens of thousands of Kashmiris who took to the streets to pay homage to the rebel leader Burhan Wani, who was killed on Friday. At least one police officer was also killed. READ MORE: Kashmiris decry worlds silence over killings Wani was the leader of Hizb-ul Mujahideen, a group fighting Indian control of the disputed region. He has been widely credited for reviving armed resistance in Kashmir, using social media such as Facebook to reach out to young Kashmiri men. K Rajendra Kumar, the director general of Jammu and Kashmir Police, told reporters that 100 police personnel had been wounded and that three went missing while quelling the protests. Activists and separatist leaders criticised the security forces response to the protests, accusing them of using excessive force. Israels apologists would call the BDS campaign immoral, but the slander is laughably false. Stanley L Cohen is an attorney and human rights activist who has done extensive work in the Middle East and Africa. Israeli think-tank fellow Yossi Klein Halevi, writing recently in the Los Angeles Times would have American readers believe that the Boycott, Divest and Sanctions movement is immoral and threatens the peace of the regions only intact society, while simultaneously boasting it cant touch Israels health and global economic integration. Yet his reasoning from morals rings hollow, and amounts to little more than the shilling of the professional apologist industry deployed on Israels behalf throughout the Western media, in the never-ending defence of the oppressive status quo in Palestine. Halevi excoriates BDS, disingenuously, for making the Jewish state the worlds most pressing problem today, while extolling Israels freedoms and national righteousness. Of course, his complaint manages to engage in both self-pitying and craven boosterism at the same time a kind of perverse humble-brag. No, Mr Halevi, Israel is not the worlds greatest problem rather, Israel is Palestines great, existential, enduring problem for a people who have lived their whole lives under the constant, brutal and de-humanising occupation of this enlightened state. Palestines ordeal Most of the world has been content to overlook Palestines ordeal fatigued by 68 years of this conflict, and understandably inured to the epic suffering of its people, who understand that their tragic condition can only hold its attention briefly. The endless failed international peace efforts, the vicissitudes of negotiations, and periodic spasms of violence have become like the weather always there. ALSO READ: A defeated sanctions vote in the US should worry Israel This is precisely why the BDS movement has come to figure so prominently in Palestinian hopes it side-steps the moribund peace process and banks on people-power as leverage against state and institutional power, applied against a responsive economy, such as Israels. In the view of Palestinians, the state of Israel has never possessed legitimacy, not by international standards as it was founded on expulsion, land-theft and military occupation. The BDS movement approaches this abstract issue by offering practicable action for citizens in the West... by In the view of Palestinians, the state of Israel has never possessed legitimacy, not by international standards, as it was founded on expulsion, land-theft and military occupation. The BDS movement approaches this abstract issue by offering practicable action for citizens in the West, while the official international community dithers away the decades, leaving Palestinians worse off than ever before. That such leverage should be applied to Israel is entirely justified. After all, autocratic dictatorships with closed economies, lacking in Halevis celebratory words an independent judiciary, a free press, universal healthcare and religious freedom are not typically responsive targets to protest campaigns for justice, like that of the BDS movement. Citizens in America dont propose a boycott of North Korea the US government does that for them, making it illegal to do business with that outlaw state: yes, the very same US government which blocks every effort by the United Nations and international courts to address the illegality of Israeli settlements, military occupation, collective punishment, economic enslavement, and wholesale destruction and murder of a captive population. Advantages of civil society If Americas obstruction of international law did not shield Israel from accountability, there would be no need for BDS. Because Israel possesses all the institutions and advantages of civil society, then presumably its economy and citizens would therefore be responsive to an effective grassroots campaign of boycott and economic push-back. And if the campaign were to succeed, this same society might be expected to search its collective soul over its choices and challenge its governments policies. This obvious point seems to have escaped Halevi, and others, who brand the movement as immoral, because it perpetuates the lie that Israel is solely or even primarily to blame for the Palestinian condition. Yet if we look around the room, who else is there? Who attacks Palestinians cities with warplanes and tanks, walls them in, isolates them from contact with the world, cuts off their electricity, destroys their infrastructure, takes their water, and builds on their land after evicting them? Who puts their teenagers in jail, takes their farms, cuts down their olive trees? It isnt North Korea; it isnt Putins Russia; it isnt a rapacious China. Israel is the author of the present Palestinian condition, as it has been for decades, with its American backers, and there isnt much point rehashing the failure of Camp David, or Oslo, or the Palestinian leadership since 1936, or 1948, or 1967. ALSO READ: Game changer: 10 years of BDS BDS leaves that debate to think-tank intellectuals like Halevi and others. Justice for the Palestinians will not be achieved through debating societies. BDS offers to its supporters a non-violent, crowd-sourced, material response to the intransigence of Israel and her rampant, continuing illegality. Israels apologists would call the campaign immoral, but the slander is laughably false. The logic of justice BDS compels no one to join it; it constrains no one but by force of reason, and the logic of justice. In Halevis topsy-turvy morality, it is the BDS movement that sins against moral law, in persuading people, institutions and governments to vote with their wallets and their consciences on the rights of Palestinians rather than Israel, which claims legitimacy to the world, even as it continues to build new settlements on Palestinian land, and subjugates its people to military occupation, dispossession and violence, in violation of international law. The propagandists of Israeli power understand all too well that BDS is the first clear-eyed, internationalist movement of people - not governments ... by The propagandists of Israeli power understand all too well that BDS is the first clear-eyed, internationalist movement of people not governments, not Western quartets, not the UN Security Council to look at Palestine with fresh eyes and accurate information. It demands that until Israel ceases its occupation and oppression of millions of Palestinians, there cannot, and should not, be any business as usual with the regime. If Israeli critics want to smear BDS as bigoted a dog-whistle for anti-Semitic because of its endorsement of the Palestinian Right of Return, let them address the historical truth: at least 800,000 Palestinians were expelled en masse, in the creation of the Israeli state that number has since grown to 7,000,000 stateless refugees with another 4 million internally displaced within their own nation. No effort has ever been made by official Israeli society to acknowledge and address this simple reality that many elderly Palestinians living in UN camps, or Gaza City slums, or the West Bank, remember their homes in places such as Jaffa, Yibna, or the numerous towns and villages erased from the map. It serves no use to deny this fact perhaps a good starting point for intellectuals like Halevi would be in saying, yes, it is not too late to admit those rights and seek redress, together with the Palestinians. BDS is brave enough to put the Right of Return up front, as a moral position; if Israel were ready to move forward, it could do the same. Who knows perhaps good things could come from starting from the truth. And what of Israels boast of its progressive freedoms? They do not withstand scrutiny in the slightest religious freedom, for example, is under clear attack for every Muslim who wishes to worship at al-Aqsa, or travel to Jerusalem, or leave Gaza and return again, with access routinely denied. Through Israeli military travel bans on Palestinians, families are separated, unable to worship or observe religious rituals together, or attend the mosque of their choice. ALSO READ: I support the Israeli boycott but which one? Likewise, any progressive Reform Jew or Jewish American visiting will tell you that Orthodox Judaism does not welcome them, either Israels Rabbinate monopolises official control over the very legitimacy of being Jewish, and denies marriage rights to thousands of couples, even going so far as to jail couples marrying illegally, or rabbis conducting such ceremonies. Orthodox cultural control Under Orthodox cultural control in Jerusalem and elsewhere, women are subordinated literally to a back of the bus status, and segregated without access to full social freedom and the right to work. As for an independent judiciary, Palestinians never see it, instead enduring the injustices of military courts and the state security apparatus leaving thousands of them including children as permanent political detainees denied the most fundamental rights, while its civil courts refuse jurisdiction over Palestinian complaints. And Israels free press leaves much to be desired. Halevi appears to be ignorant of the targeting of Palestinian journalists in recent years for arrest and prosecution in military courts under incitement laws; or the Israeli Defence Forces censoring of social media in the Occupied Territories. We are all free to argue for justice as we see it, and BDS has had over a decade of mounting success ... by The absurd equivocation of Halevi and his colleagues in the Love Israel industry hits a shrill note, asking American readers to accept that the BDS movement is itself a crime. But free and open debate of the true status of Israeli occupation in Palestine, and the organising efforts to convince states, businesses and people to stop investing in Israels bloody enterprise, is hardly criminal. In America, it is known as the marketplace of ideas. We are all free to argue for justice as we see it, and BDS has had more than a decade of mounting success because its arguments convince reasonable people of the truth no one is buying any more the tired, old brand of Israel, the Enlightened Democracy. BDS is the brave and steady labour of people of conscience to move the stalled, bogus peace process forward by applying economic pressure, plain and simple. The old narrative of a blameless Israel, fighting off Palestinian terrorists, is a hard sell, and BDS will continue to build on its successes because Israels defenders can no longer suppress the truth, or sweep it under some wishful fantasy of a benevolent, progressive Israel that doesnt exist, and never has. Stanley L Cohen is a lawyer and human rights activist who has done extensive work in the Middle East and Africa. The views expressed in this article are the authors own and do not necessarily reflect Al Jazeeras editorial policy. Instead of prolonging the war in Afghanistan, US and NATO must tackle the root of the problem in Pakistan. Aimal Faizi is an Afghan journalist and former spokesperson for former Afghan President Hamid Karzai from 2011-2014. Last week, with the announcement of modified troop plans for Afghanistan, US President Barack Obama also reiterated that there would be no shift in US strategic thinking vis-a-vis Pakistan and that Washington has no desire to change the status quo. After the killing of Taliban leader Akhtar Muhammad Mansur in Pakistan last May, many observers erroneously concluded that the incident was a major break and a telling manifestation of the change in US-Pakistan relations, one which would provoke a crisis in the relationship between the two countries. However, last Wednesday, while touching upon the killing of Mansur in a US drone strike, Obama deliberately made no mention of Pakistan, where Mansur and his predecessor, Mullah Omar, both lived and died in hiding. It was therefore strange to hear the US president calling on all countries in the region to end safe havens for militants and terrorists, with no reference to Pakistan at all. Long-term strategic interests From the Afghan perspective, this means giving assent to the Pakistani militarys brutal war in Afghanistan in the guise of fighting the Taliban and the Afghan insurgency. READ MORE: Ashraf Ghanis war strategy will fail Why does Washington tacitly embrace Pakistans duplicity on Afghanistan? The US has major long-term strategic interests and objectives in Afghanistan and the region (South and Central Asia) which are being facilitated and protected through Pakistan and an open-ended US military presence in Afghanistan. According to US calculations, Pakistan must remain in the US sphere of influence. This harsh reality is why Americans look the other way when Pakistan says one thing and does another. When Pakistani support becomes necessary, as during the anti-Soviet jihad of the 1980s, wrote Robert Grenier, a former CIA Chief of Station for Pakistan and Afghanistan, in 88 Days To Kandahar, America finds a way to overlook Pakistani misdeeds and focus instead on common interests. After 9/11, we found ourselves in another such cycle. After the fall of the Taliban regime in Afghanistan, Pakistans military rulers owned a policy of selective counterterrorism. While they detained and sold hundreds of al-Qaeda operatives to Washington, the Talibans comeback in Afghanistan was accelerated from Pakistan, under US watch. After the fall of the Taliban regime in Afghanistan, Pakistan's military rulers owned a policy of selective counterterrorism. by During the tenure of President Pervez Musharraf, the ISI encouraged the resurgence by providing training, a safe haven, and even some advisers to the Afghan Taliban and Pakistani terrorist groups to intimidate Afghanistan and challenge its stability. The Bush administration allowed Pervez Musharraf to give the Afghan Taliban a sanctuary, according to Bruce Riedel, a former CIA officer, a senior adviser to US presidents and author of Deadly Embrace. After the collapse of the Taliban regime in Afghanistan, the CIA received no policy guidance regarding senior Taliban leaders in Pakistan. The CIA station in Pakistan would come across reports indicating that members of the Taliban Shura were pitching up in Quetta or Karachi, but the leads were then passed to the Pakistani spy agency to investigate, as claimed by Grenier. It was obvious, he argued, that Pakistan had no intention of chasing the Taliban on its soil. New post-Taliban strategy For the Pakistani intelligence agency, in this new post-Taliban strategy, low-cost Pakistani terrorist groups from North Waziristan region also became an asset beside the Afghan groups to run a controlled chaos in Afghanistan. READ MORE: Afghan peace talks Pakistans credibility on the line The Pakistani government denies it but to Karzais government, senior US officials disclosed accounts of Pakistani support for the Taliban and other anti-Afghanistan groups. In his book, After the Taliban: Nation-Building in Afghanistan, James Dobbins, the special US envoy for Afghanistan and Pakistan, wrote that Pakistans ISI and Frontier Force collaborated with the Taliban and other insurgent groups operating out of Pakistans border regions. US Army General John Campbell, the last American and ISAF commander in Afghanistan, publicly acknowledged that based in, and operating from Pakistan HQN [the Haqqani network] remains the most virulent strain of the insurgency in Afghanistan. The HQN presents one of the greatest risks to Coalition forces, and it continues to be an al-Qaeda facilitator, he added. The Pakistani government remains in a state of denial, stating that it condemns all forms and manifestations of terrorism and that peace in Afghanistan is in the interests of Pakistan. Nevertheless, whenever questioned on the lack of action against the Pakistani dimension of the conflict in Afghanistan, senior US officials including Obama repeatedly put Karzai in the picture that Pakistan is not susceptible to an American military response. But addressing the problem of Pakistans relationship with terror, does not necessarily mean taking a military action against the country. In a telephone conversation, in 2014, while discussing preparations for the Bilateral Security Agreement between the two countries, Obama told Karzai that Washington cannot open another front against Pakistan. Pakistan is a strategic ally in the war on terror. During a visit to Washington, in 2013, Obama asked Karzai to take Pakistans concerns about the Indian influence in Afghanistan seriously. It was a baseless Pakistani narrative coming from a US president. I would argue that if, as a matter of fact, the Pakistani Taliban (TTP) has sanctuaries in Kunar (as claimed by Islamabad), and from there they plan and carry out attacks on Pakistan, Washington should be answerable for it. As late as mid-2014, there were more than 60 small and big US military installations in the province. Double dealing In the fullness of time, the Bush and Obama administrations both remained largely passive to take firm action against Pakistans double dealing in its foreign and security policies on Afghanistan. Today, like in the past, the Pakistani military establishment serves the US in securing its strategic interests in south and central Asia. In this risky role, as stated by a former senior US official in Greniers account, the unwritten rule for Pakistan has been never to admit engaging in activities of which Washington disapproves; and in fact, such duplicity is tacitly welcomed by the Americans during times. Yet, Washington will further risk trouble with Afghanistan and regional powers. Disregarding the undeniable role of Pakistans military and intelligence services in nurturing and harbouring Taliban and other violent groups destined to upset the stability of the region will undoubtedly lead to calamity and ruin, in all likelihood another 9/11. Instead of prolonging the futile war in Afghanistan, the US and NATO must tackle the origins of security threats and the key problem of sanctuaries in Pakistan. Aimal Faizi is an Afghan journalist and former spokesman for former Afghan President Hamid Karzai from 2011 to 2014. The views expressed in this article are the authors own and do not necessarily reflect Al Jazeeras editorial policy. We must identify and dismantle the institutions that mark Blackness as criminal and disposable. Khaled A Beydoun is a law professor, and author of American Islamophobia: Understanding the Roots and Rise of Fear. Alton Sterling, a 37-year-old black man, was shot and killed by Baton Rouge police on July 5. Less than 24 hours later, Philando Castile, a black Minnesota man five years his junior, was shot dead by police outside of Minneapolis, Minnesota. The police homicides were the 558th and 559th extrajudicial police killings of 2016, and in the direct aftermath of July 4, stark and sudden reminders that the disproportionate targeting of black men and women by police an age-old American tradition unravelled on the streets just like fireworks exploded in the night sky. Both killings were captured on video. Castile was shot four times in his stomach, shortly after he reached for his identification, in line with police orders. His fiancee, Diamond Reynolds, videotaped his execution with their four-year old daughter watching from the back seat. Americans, from their telephones and computers, watched shortly after. After an encounter with two Baton Rouge policemen, Sterling was killed outside of the Triple S Mart. The two policemen arrested Sterling, and while pinning him to the ground, at least one of them shot him and took his life. Mundane nature of their actions Castile was pulled over for allegedly having a broken tail light and Sterling was selling Cs to the Baton Rouge convenience store, activities that for most of America should not result in arrest, let alone death. However, Castile and Sterling were black men, and the threat posed by their bodies alone superceded, and altogether extinguished, the mundane nature of their actions for the arresting policemen. ALSO READ: The hate behind the Orlando massacre This racial construction of blackness is what triggers the disproportionate arrest, incarceration, and extrajudicial execution of black men and women. This racial construction of blackness is what triggers the disproportionate arrest, incarceration, and extrajudicial execution of black men and women. by Black bodies are systematically linked to criminality, and perceived as threatening even when unarmed, following police orders, or being manhandled by two officers. This weaponization of blackness is a cornerstone of the structural racism that pervades police departments in Baton Rouge and Falcon Heights, and law enforcement agencies between and beyond them. It is the very lifeline of the growing mistrust for police in black communities, and the crux of the marching orders driving the Black Lives Matter movement forward. While much of America views the execution of Sterling and Castile as the aberrant acts of deviant cops, black America understands them as foreseeable consequences of coordinated policing structures and strategies. Racial profiling, stop-and-frisk, and broken windows law enforcement are all carefully coordinated policing strategies, which causally link blackness to a higher propensity of criminality. Cadets are trained accordingly, and subsequently, expected to enforce the law in line with this baseline. The system is not broken Indeed, the system is not broken. But designed to police, punish and prosecute black men and women as it does. Particularly in poor and working class geographies, where patrol cars and plainclothes police are more pervasive, and as starkly illustrated in Baton Rouge on Tuesday night, more inclined to exact more intimate and deadly violence. The fruit of US law enforcement may be strange, but it is calculable. The natural progeny of embedded structures that leave black women dead in jail cells, black men bleeding to death in cars, and the bodies of black teenagers uncovered and uncollected on hot, sticky city streets for hours. ALSO READ: The ethnicity of San Bernardino shooters doesnt matter Structural racism does not diminish with time but rather adjusts to prevailing political norms and sensibilities. Beyond Black America, however, structural racism is merely a phrase. An abstract phenomenon that is regurgitated by pundits and is ubiquitous on social media, yet seldom understood because it has not been experienced with the same frequency and ferocity. Indeed, understanding the depths of systemic racism is born most out of experiencing it, and more specifically, enduring the recurring violence and dehumanisation that comes with it. For (non-black) Muslim Americans, the protracting national security state and state-sponsored Islamophobia which links religiosity to propensity for terrorism, or radicalisation, enables city law enforcement personnel to spy on on Muslim subjects, and seed informants in places of worship. Indeed, another institutional manifestation of structural racism whereby an entire policing model is based almost entirely on stereotyped threat instead of statistical evidence. Latin Americans sit at the intersection of violent criminal policing and immigration enforcement. Converging mechanisms of state policing that associate Latino identity with an enhanced propensity of criminality, on one hand, and undocumented status on the other. Genuine solidarity For non-black communities of colour, genuine solidarity with the Movement for Black Lives exists somewhere between sympathy and education. Namely, a literacy associated with understanding how anti-terror and immigration policing are not only kindred forms of structural racism, but more importantly, ones rooted in the very structures that have bonded, bloodied and broken blacks in America for centuries and, as evidenced in Baton Rouge and Falcon Heights, are still taking place, without hitch, and according to plan. For non-black allies, sustainable solidarity may begin with marching, protesting or posting Black Lives Matter on social media platforms. But it ends with identifying, then seeking to dismantle, the institutions that mark blackness as criminal and disposable, which have been extended to brand brown bodies as suspicious, terrorists, or illegal. Solidarity isnt merely an act of altruism or coalition building in the United States today. But for Americans of colour, faced with the possibility of a Donald Trump presidency, a necessary step of self-preservation. Khaled A Beydoun is an Associate Law Professor with the University of Detroit Mercy School of Law. He is Affiliated Faculty at UC-Berkeley, and a native of Detroit. The views expressed in this article are the authors own and do not necessarily reflect Al Jazeeras editorial policy. At least 10 soldiers killed after a coordinated assault on an army base southwest of Somalias capital Mogadishu. Fighters from Somalias armed group al-Shabab have attacked an army base southwest of the capital, Mogadishu, killing at least 10 soldiers, the group and a military officer told the Reuters news agency. The attackers exploded a car packed with explosives before storming the Lanta Buro base in Lower Shabelle region, some 50km outside Mogadishu, on Monday morning. Heavy exchange of gunfire continued for hours, Major Ahmed Farah told Reuters from the nearby town of Afgooye. At least 12 al-Shabab fighters were killed, he added. Residents said they heard heavy gunfire and an explosion before the fighters stormed the base. Al Jazeeras Charles Stratford, reporting from Kenyas capital, Nairobi, said that al-Shabab was claiming that they had killed around 30 soldiers and that they took away with them one armoured ambulance, four other armoured vehicles and two military trucks. According to local reports, the Somali army say only five soldiers were killed, but they do admit that at least two military trucks were taken away, Stratford added. READ MORE: Al-Shabab raid on Mogadishu hotel ends with 16 deaths It is important to remember that they [al-Shabab] lost control over the port of Kismayo in 2012, a port that they heavily relied on for getting weapons through that port. So now they rely on sumiliar kind of attacks for their weapons supply, Stratford said. Al-Shabab often launches attacks on Somali security forces, officials and army bases in an effort to topple the government and impose its own brand of government on Somalia. On Sunday, more than 100 members of al-Shabab raided a police station in northeast Kenya overnight, wounding one officer and making off with arms and ammunition, according to police. Government orders ban following reports that Peace TV may have played a role in inspiring Dhaka cafe assailants. Bangladesh has ordered the banning of an Islamic television channel following a deadly attack on a cafe in the capital, Dhaka, following reports that the stations broadcasting may have played a role in inspiring the assailants. Peace TV, a private channel founded by Indian Islamic preacher Zakir Naik, was said to have inspired some of the attackers in their raid on the cafe, according to an intelligence report cited by local media. We will take administrative steps to ban Peace TV on Monday, Information Minister Hasanul Huq Inu told journalists after a counterterrorism meeting in Dhaka on Sunday. The attack on the Holey Artisan Cafe in an upmarket Dhaka suburb was one of the deadliest in Bangladesh, with many of the hostages being hacked to death during a 12-hour siege. READ MORE: 20 hostages killed in Dhaka attack The armed group Islamic State of Iraq and the Levant (ISIL, also known as ISIS) claimed responsibility for the attack though Bangladeshi authorities deny this and insist that the violence was homegrown. Local media reports said one of the five dead attackers was a follower of Naik on social media. A multilingual broadcaster, Dubai-based Peace TV launched its Bengali service in 2011 in an effort to reach Bangla-speaking viewers around the world. Authorities may also begin monitoring sermons given during Friday prayers to check whether any provocative lectures are delivered. Last week, at least three people were killed, and nine others wounded when bombs were hurled near a huge Eid prayer meeting in northern Bangladesh, officials said. There was no immediate claim of responsibility for that attack. Both al-Qaeda and ISIL have made competing claims for a series of killings in Bangladesh during the past year but the government disputes them, insisting that domestic groups are responsible for the attacks. Shoukry offers help in reviving Israeli-Palestinian talks, in first visit by an Egyptian official to Israel since 2007. Egypts Foreign Minister Sameh Shoukry has met Israeli Prime Minister Benjamin Netanyahu in Jerusalem, the first such visit in nearly a decade. Speaking at a news conference on Sunday alongside Netanyahu, Shoukry called for renewed peace talks between Israeli and Palestinian officials, and warned of the constant deterioration of the situation on the ground since the last round of negotiations between the two sides collapsed in April 2014. My visit to Israel today is a continuation of Egypts long-standing sense of responsibility towards peace for itself and all the peoples of the region, particularly the Palestinian and Israeli peoples, who have suffered many decades due to the perpetuation of the conflict between them, Shoukry, the first Egyptian official to visit Israel since 2007, said. The plight of the Palestinian people becomes more arduous every day, he added. The dream of peace and security moves further out of the Israeli peoples reach as long as the conflict continues. At the press conference, Netanyahu called on Palestinians to follow the courageous example of Egypt and Jordan and join us for direct negotiations. READ MORE: Kerry and Egypts Sisi discuss Middle East conflicts Egypt and Jordan are the only Arab countries to have signed peace treaties with Israel. Palestinian leaders say years of direct talks with Israel have not ended the nearly 50-year occupation of Palestinian territories, and they have instead chosen to pursue international diplomacy to reach a deal. On June 29, Shoukry met Palestinian leaders during a visit to the West Bank city of Ramallah. Strengthened ties In 1979, Egypt was the first Arab state to sign a peace treaty with Israel after years of conflict. However, relations cooled over Israels policies towards the Palestinians, and were further soured after the June 2012 election of the Muslim Brotherhoods Mohamed Morsi as Egyptian president. After President Mubaraks was deposed in the 2011 Egyptian revolution, protesters stormed Israels embassy in that September. Morsi was, himself deposed in July 2013 by then-army chief Abdel Fattah el-Sisi, who was elected Egypts president in 2014. READ MORE: Egypt MP hit by shoe after hosting Israeli ambassador Sisi recently made a high-profile speech calling for a resumption of efforts to bring peace between Israelis and Palestinians. Netanyahu said that he welcomed Sisis recent offer of Egyptian leadership and efforts to advance peace with the Palestinians and a broader peace in our region. In April 2016, Israels deputy chief of staff spoke of an unprecedented level of cooperation with Egypt, mainly regarding intelligence-sharing. The two countries share intelligence in a common fight against the Islamic State of Iraq and the Levant (ISIL, also known as ISIS) operating in Egypts Sinai desert. Peace efforts have made no head way since Netanyahu took office in 2009. Palestinians are seeking the establishment of an independent Palestinian state in the West Bank and Gaza Strip, with East Jerusalem as the capital territories occupied by Israel in the 1967 Arab-Israeli war. Government blocks Facebook, Twitter, Instagram and Viber after exam papers were leaked online last month. Ethiopia has blocked social media sites across the country after questions from end-of-year university exams were posted online last month, sparking a national scandal. The online leak of national of the exam papers, which many have called an embarrassment for the government, forced the tests to be postponed. Facebook, Twitter, Instagram and Viber have been inaccessible in the Horn of Africas most populous country since Saturday morning, and will continue to be so until the national school examinations are concluded on Wednesday, according to government officials. A government spokesman said the ban was aimed at stopping students taking university entrance exams this week from being distracted. Its blocked. Its a temporary measure until Wednesday. Social media have proved to be a distraction for students, government spokesman Getachew Reda told AFP news agency. Ethiopia is one of the first African countries to censor the internet, beginning in 2006 with opposition blogs, according to experts. Prominent blogger Daniel Berhane denounced the move as a dangerous precedent. Theres no transparency on who decides why its necessary or who decides for how long, he said. This time its for a few days but next time it could be for months Theyre flexing their muscles. They got multiple tools and theyre testing them. Berhane said This is nothing but an unconstitutional State of Emergency. The Ethiopian government have no legal basis or https://t.co/ISMZTd9v8U Daniel Berhane (@daniel_berhane) July 10, 2016 Last week, the UN Human Rights Council passed a resolution condemning the blocking of internet as a human rights violation. Some 254,000 students are expected to sit the national examination, according to the ministry of education. The four are believed to have been arrested by hard-line members of Irans Revolutionary Guard. Iran has indicted three dual citizens and a foreigner held in the country on unknown charges, part of a series of crackdowns in the wake of last years nuclear deal with world powers. Irans official judiciary news agency Mizan reported on Monday that the charges were announced by Tehrans prosecutor, Abbas Jafari Dolatabadi. The four, who have ties to Britain, Canada and the United States, all are believed to have been detained by hard-liners in Irans paramilitary Revolutionary Guard. Iran frees Washington Post reporter in US swap deal One of those charged was identified as Homa Hoodfar, an Iranian-Canadian woman who is a retired professor at Montreals Concordia University. Siamak Namazi, an Iranian-American businessman who has advocated for closer ties between the two countries, was also charged. Namazis father is also held in Tehran. The third person charged was Nazanin Zaghari-Ratcliffe, an Iranian-British woman who works for the Thomson Reuters Foundation, the charitable arm of the news agency. Ratcliffes toddler daughter, Gabriella, also remains in Iran, as authorities seized her passport. The fourth person was identified as Nizar Zakka, a US permanent resident from Lebanon who has done work for the US government. They did nothing wrong The four were arrested in connection with separate cases over the past year. Family members and representatives of the four say they did nothing wrong. Dolatabadis statement did not elaborate on the charges, though Iranian media previously accused Zaghari-Ratcliffe of plotting a soft toppling of the government and alleged that Zakka was a spy. He said another seven people faced charges related to the unrest that surrounded the countrys disputed 2009 presidential election. Iran does not recognise dual nationalities, meaning those detained cannot receive consular assistance. In previous cases involving dual nationals, such as the detention of Washington Post journalist Jason Rezaian, officials initially announced indictments had been handed down without providing specifics. Later, news organisations with close ties to security services offered details of the charges. Those detained typically face trial in Irans Revolutionary Court, a closed-door tribunal which handles cases involving alleged attempts to overthrow the government. Rezaian was detained for 18 months in the citys notorious Evin prison, but later released in January as part of a prisoner swap between Iran and the US. While Iranian officials have not publicly demanded another swap, analysts have suggested the detainees might be used by hard-liners as bargaining chips. Mondays announcement did not include any mention of Namazis father, Baquer Namazi, a former Iranian and UN official in his 80s who is also in Iranian detention. Also unaccounted for is former FBI agent Robert Levinson, who vanished in Iran in 2007 while on an unauthorised CIA mission. Iranian officials in Tehran could not be reached for comment on Monday. Irans mission to the United Nations did not immediately respond to a request for comment. The hard-line crackdown since the nuclear accord has included the detention and sentencing of Iranian poets, filmmakers, artists and others. Most recently, famed Iranian sculptor Parviz Tanavoli found himself banned from traveling abroad and had his passport seized. Curfew ignored as thousands continue to take to the streets after rebels killing and 30 civilians shot dead. Srinagar, Indian-administered Kashmir With at least 30 civilians killed and dozens seriously wounded in firing by Indias armed forces, this disputed Himalayan region is again seething with rage. This time, however, the cause of Kashmiris rise in anger isnt human rights violations by security forces, but the killing of a popular rebel commander, 22-year-old Burhan Muzaffar Wani. The trigger for this new upsurge of protests is significant because the resistance movement to Indian rule in Kashmir had completely shifted to a non-violent discourse over the past decade, with militancy becoming a shadow act far removed from the lives of ordinary people. India and Pakistan both control parts of Muslim-majority Kashmir, but each claims the region in its entirety. The nuclear-armed South Asian rivals have fought two of their three wars over Kashmir. Deaths as Indian troops open fire on Kashmir protesters While 21 of the civilian deaths occurred in south Kashmir, the first killing in the capital happened late on Sunday. Police fear it could raise the temperature of protests in Srinagar on Monday. We were hopeful of getting things in control today [Sunday] but we hardly seem to be in control anywhere, a senior police officer, speaking on condition of anonymity, told Al Jazeera. Kashmir cold to Narendra Modis visit South Kashmir is completely out of our hands and now Srinagar and the north are so tense that we cant predict what will happen on Monday. With thousands coming out all over the restive region to mourn Wanis killing, protests against Indian rule appear to be gaining momentum. Until Friday, Kashmir was in a festive mood after Eid al-Fitr. But all that changed immediately after news spread of Wanis killing along with two other fighters that evening in Verinag, in south Kashmir. On Saturday, thousands of people attended Wanis funeral prayers in Tral, making it the largest funeral in Kashmir in the past two decades. We had made preparation for the areas we expected trouble in, but this time people came out in fringe places and we had not prepared for that, Indian-held Kashmirs intelligence chief, S M Sahai, told reporters in the summer capital, Srinagar. As the number of dead rises, peoples rage on the streets is also growing, with demonstrations and stone-throwing happening throughout the region. According to Indian police, more than a dozen police stations, posts, and paramilitary camps have been attacked, many set ablaze, with one policeman killed after his vehicle was pushed into the Jehlum river. Kashmiris decry worlds silence over killings Despite a strict curfew and restrictions imposed in Kashmir since Saturday, people have continued to take to the streets, shouting slogans, and throwing stones at Indian security forces. Streets throughout Kashmir are punctuated with burning tyres and logs of wood erected by the youth to stop police and paramilitary vehicles. India killed Burhan because he was a militant and had a gun. Then they killed 17 Kashmiris even though they were civilians and had no guns, protester Mushtaq Ahmad Dar in Srinagar told Al Jazeera. They will kill us no matter how we ask for our independence, and I would rather be killed with a gun in my hand than without one. Dar said he didnt come out to the streets to protest Wanis killing, but to show solidarity and vent his anger over the subsequent civilian killings. While the Indian police and paramilitary forces say they are exercising maximum restraint, doctors attending the wounded at hospitals tell another story. A medical emergency was declared in the region with regularly scheduled surgeries suspended to deal with the inflow of the wounded. Almost all the bullet injury patients that came to us were hit by bullets in the upper halves of their body. Six of our patients are critical, Dr Nazir Choudhary, of Shri Maharaja Hari Singh hospital, told Al Jazeera. And many of the injured by pellets have been hit in their eyes, something that could impair their vision for life. The state government headed by Chief Minister Mehbooba Mufti expressed grief over the civilian killings and promised to investigate. She also appealed to people not to demonstrate on the streets. We tried to address the Kashmir issue peacefully and urged India to respond peacefully, but they have repeatedly shown an unwillingness to deal with the peoples aspirations for independence, Mirwaiz Umar Farooq, a leader of the separatist group Hurriyat, told Al Jazeera. The Indian government squeezed the political space and any peaceful way to find a solution so much that peoples anger is finding a vent on the streets again. Clashes, curfew in tense Kashmir after army killings After the initial phase of the armed rebellion in Kashmir in the 1990s, the participation of Kashmiris in the movement dropped significantly and instead was predominantly made up of Pakistani fighters. But Burhan helped change that. He became a rebel at 15 after he and his brother were allegedly beaten up by the counter-insurgency wing of police. Unlike his peers, he shunned masks and appeared on social media, posting selfies of his life fighting against Indian rule. He soon became the youthful face of the new militancy in Kashmir. Kashmir under curfew He [Wani] had not been to Pakistan for arms training, he was from an educated and well-off family and could have easily become a doctor or an engineer, Farooq said. He chose to become a militant because he didnt see India responding to any other means of dissent against its illegal rule here. This time India is unable to claim that these Kashmiri militants were sponsored and tutored by Pakistan. They are only reacting to the occupation in their country. People on the streets, however, express dissatisfaction with the resistance leadership, too, calling it out on the lack of new ideas and inability to deliver politically on the sacrifices of the people. Lakhs of people are on the streets and 20 people have been killed in two days, thousands are injured. What more are we to do than this? said Abid Shafi, a protester in Srinagars Natipora area. They have no strategy, no plan. Each time people rise against the Indian state seeking their rights, our leaders let it die down after dozens are killed. In 2010, more than 100 young men were killed in Srinagar city alone. There was no tangible outcome. Asked about the criticism, Farooq said he accepted that his group had been unable to deliver on promises of separatism, citing regular arrests of its leadership. The summer in Kashmir, meanwhile, could be another bloody and anger-filled one. Inside Story Allegations of abuse in Indian-administered Kashmir Mirwaiz Umar Farooq Pyongyangs decision is apparently in retaliation to US move to blacklist leader Kim Jong-un for human rights abuses. North Korea has announced that it will sever the only channel of communication it has with the United States and hinted at harsher punishments for American detainees in the country, in retaliation to Washingtons decision to blacklist leader Kim Jong-un for human rights abuses. On Monday, the North Korean state media said Pyongyang had told the US that it would terminate contact through a United Nations channel in New York that allowed diplomats to communicate. The two countries do not have diplomatic ties and their animosities have deepened because of the Norths nuclear and missile programmes. In Washington, State Department spokesman John Kirby did not directly address the fate of the New York channel. In comments to reporters, Kirby called on North Korea to refrain from actions and rhetoric that only further raise tensions in the region, but said he would not comment on the details of diplomatic exchanges. North Korea also said it had informed Washington that it will handle all issues between the two countries, including American detainees, according to an unspecified wartime law, the state-run Korean Central News Agency (KNCA) said. As the United States will not accept our demand for the immediate withdrawal of the sanctions measure, we will be taking corresponding actions in steps, KCNA said. North Korea had already been sanctioned because of its nuclear weapons programme, but it was the first time that Kim has been personally sanctioned. The North called the sanctions tantamount to a declaration of war. North Korea test-fires Musudan ballistic missiles The move was the latest escalation of tension with the isolated country, which earlier on Monday threatened a physical response after the United States and South Korea said they would deploy the THAAD missile defence system in South Korea. THAAD refers to the Terminal High Altitude Area Defence anti-missile system that will be used to counter North Koreas growing nuclear and ballistic missile capabilities. As the United States will not accept our demand for the immediate withdrawal of the sanctions measure, we will be taking corresponding actions in steps, KCNA said. The Republic will handle all matters arising between us and the United States from now on under our wartime laws, and the matters of Americans detained are no exception to this, it added. Technically at war South Korean media have suggested that North Korea might use the wartime law to hand out harsher punishments on Americans detained in the North. The Norths actions could complicate US efforts to secure the release of at least two American citizens being held for alleged espionage, subversion and other anti-state activities. One is serving a 10-year prison term with hard labour, while the other received 15 years. North Korea and the US remain technically at war because of the 1950-53 Korean War, in which Washington sided with the South. The UN channel has been an intermittent point of contact between the North and the US to exchange messages and, less frequently, to hold discussions. North Korea said last week that it was planning its toughest response to what it deemed a declaration of war by the US after it sanctioned Kim. On Saturday, the North test-fired a ballistic missile from a submarine, but it appeared to have failed after launch. Meanwhile, North Koreas closest ally, Beijing, has also condemned the decision to deploy the THAAD system. Chinese Foreign Minister Wang Yi said on Saturday that THAAD exceeded the security needs of the Korean peninsula, and suggested there was a conspiracy behind this move. The move to deploy the THAAD system also drew a swift and sharp protest from China. The US is a close ally of South Korea, maintaining 28,500 troops in South Korea, a legacy of the Korean War. Warning comes after US and S Korea announce agreement to deploy advanced anti-missile defence system to counter threats. North Koreas military has threatened to take physical action after the United States and South Korea announced that they would deploy a sophisticated missile defence system on the Korean peninsula. Seoul and Washington said on Friday that the Terminal High Altitude Area Defence (THAAD) anti-missile system would be used to counter Pyongyangs growing nuclear and ballistic missile capabilities. There will be physical response measures from us as soon as the location and time that the invasionary tool for US world supremacy, THAAD, will be brought into South Korea are confirmed, the Norths military said in a statement on Monday. We once again warn the enemies that it is the steadfast will of the KPA [Korean Peoples Army] to make merciless retaliatory strikes to reduce South Korea to a sea in flames, debris once an order is issued. READ MORE: North Korea test fires missile from submarine: South Pyongyang test fired what appeared to be a submarine-launched ballistic missile a day after the announcement by Seoul and Washington, sparking swift international condemnation. The North frequently threatens to attack the South, as well as US interests in Asia and the Pacific. Purely defensive The planned deployment of the powerful anti-missile system has also angered the Souths neighbours, including China, which said on Friday that the move would seriously damage regional security in northeast Asia. South Korean President Park Geun-Hye, however, defended the move as a purely defensive action aimed at protecting the South. The international community will be aware that we have no intention to target or threaten another country we are taking a purely defensive measure to protect our country and our people, Park said in a meeting with advisors. READ MORE: US sanctions North Koreas Kim Jong-un A South Korean defence ministry official told the Reuters news agency that the selection of a site for THAAD could come within weeks, and the allies were working to have it operational by the end of 2017. It will be used to protect alliance military forces, Seoul and Washington said on Friday. The US maintains 28,500 troops in South Korea, a legacy of the 1950-53 Korean war. Military spokesman says 22 fighters killed on the island of Jolo and another 18 on the neighbouring island of Basilan. Philippine troops have killed 40 Abu Sayyaf Group fighters and wounded 25 others, in two battlefronts on the southern islands of Mindanao during the first major operation under the new President Rodrigo Duterte. Regional military spokesman Major Filemon Tan said on Monday that 22 fighters had been killed and 16 others wounded in assaults that started last week in the jungles of Jolo in the southern Sulu province. One soldier had been killed in the fighting. Tan said another 18 Abu Sayyaf fighters had been killed and nine others wounded in simultaneous offensives on the nearby island province of Basilan. Philippines: Abu Sayyaf frees 10 Indonesian captives President Duterte, who started his six-year term on June 30, has warned the Abu Sayyaf group to stop a wave of ransom kidnappings, saying he will eventually confront them. His military chief said last week a looming offensive would shock and awe the group, which has pledged allegiance to the Islamic State of Iraq and the Levant (ISIL, also known as ISIS), and was previously with al- Qaeda. The group has gained notoriety in recent months with its beheading of two Canadian hostages. Abu Sayyaf, a group whose name translates as Bearer of the Sword, has dogged successive Philippine governments, entrenching its network with vast sums of ransom money in what has become one of Asias most lucrative kidnapping rackets. In April at least 19 soldiers were killed following an attack by the armed group. The group is still holding at least 14 hostages one Dutch, one Norwegian, five Filipinos and seven Indonesians. Three Indonesians were abducted from a tugboat on Sunday, although it was not immediately clear whether Abu Sayyaf rebels were responsible. On Monday, Indonesian Foreign Minister Retno Marsudi called on the Philippines and Malaysia to do more to their unsafe waters. This kind of incident cannot be tolerated at all, Marsudi said. Serious efforts, I repeat, serious efforts, must be taken immediately both by the Philippine and Malaysian governments. According to security experts, the Abu Sayyaf fighters are motivated less by Islamist ideology and more by the tens of millions of dollars they have earned from kidnappings. The money is used to finance the purchase of automatic weapons, grenade launchers, fast boats and hi-tech navigational equipment. The Duterte government is under renewed pressure to tackle Abu Sayyaf following the decapitation of the two Canadians and the kidnapping of Indonesian sailors. Dutertes new defence minister recently said the killing of Abu Sayyaf was his top security priority, taking precedence over the disputed South China Sea in terms of budget allocation. As details of Dallas suspects plans emerge, scores of people are arrested in wave of protests across the US. The US military veteran accused of killing five Dallas police officers last week was plotting a larger attack, authorities have said, as protests against deadly police shootings of black men continued. More than 100 people protesting against the deaths of African-Americans at the hands of police were arrested on Saturday and Sunday in Baton Rouge, Louisiana, where Alton Sterling a 37-year-old black man and father of five was killed by white officers on July 6. His death was followed by the police killing in Minnesota of Philando Castile, a young black man who worked at a local school serving food to children. On Thursday, five white police officers were killed during a demonstration by a suspect named as Micah Johnson, a former US soldier. In an interview with CNN, Dallas Police Chief David Brown said that Johnson, 25, had used shoot-and-move tactics to shoot dead the five officers. Brown said a search of Johnsons home found that he had experimented with explosives, and other evidence suggested he wanted to use explosives against law enforcement officers. Were convinced that this suspect had other plans, he said. Johnson, a veteran who had served in Afghanistan, took advantage of a spontaneous protest in Dallas over the two killings by police to launch his attack, Brown said. Moving in front of the rally in a black Tahoe 4WD, the attacker stopped when he saw a chance to use high ground to target police, he added. Before Johnson was killed by a bomb-equipped robot, he had sung, laughed and taunted officers, and said he wanted to kill white people in retribution for police killings of African-Americans, Brown said. He seemed very much in control and very determined to hurt other officers, the police chief said. READ MORE: Aftermath of US police killing streamed on Facebook Johnsons military training helped him to shoot and move rapidly, triangulating his fire with multiple rounds so that police at first feared there were several shooters. Brown also defended the police decision to use a bomb to kill Johnson, saying that about a pound of C4 explosive was attached to the robot that delivered the fatal blast. More protests, more arrests Thousands of people across the US have taken to the streets in recent days to protest against the police killing of black men. On Sunday evening, protesters confronted police officers wearing gas masks in Baton Rouge where, according to reports, at least 48 people were taken into custody after demonstrators clashed with officers following a peaceful march to the state capitol. In St Paul, Minnesota, 21 officers were injured on Saturday when they were pelted with rocks, bottles, construction material and fireworks. Hundreds joined rallies in California, Colorado, Florida, Pennsylvania, Rhode Island and Utah. As many as 1,000 people joined a march in New York. Three countries the United Arab Emirates, Bahrain and the Bahamas have warned their citizens to remain on guard when visiting US cities where protests occur. US President Barack Obama, who attended a NATO summit in Poland on Saturday but cut his visit to Spain short by a day, has spoken daily during his trip about the Dallas attacks, calling for police and protesters to listen to each other. Obama is expected to visit Dallas on Tuesday to pay tribute to the victims of the police shootings. US defence secretary says soldiers will help the Iraqi army retake the countrys second largest city of Mosul from ISIL. The US will send an additional 560 troops to Iraq to help secure a newly retaken airbase as a staging hub for the long-awaited battle to recapture Mosul from the Islamic State of Iraq and the Levant (ISIL), Defense Secretary Ashton Carter has said during an unannounced visit to the country. Most of the new troops will be devoted to the build-up of the Qayara airbase, about 64km south of Mosul, and include engineers, logistics personnel and other forces, Carter said on Monday. They will help Iraqi security forces planning to encircle and eventually retake Mosul, Iraqs second largest city. These additional US forces will bring unique capabilities to the campaign and provide critical enabler support to Iraqi forces at a key moment in the fight, Carter said. He revealed US President Barack Obamas decision as he spoke to about 120 troops in a building at Baghdads airport. The increase brings the total US force in Iraq to 4,647, and comes just three months after Obamas last troop addition. READ MORE: Obama US will not fight ground war in Iraq Congressional Republicans have long called for a more robust US deployment in Iraq. Some have even argued the US should have never left in the first place. But Al Jazeeras State Department correspondent Rosiland Jordan said some members of Congress have warned that a sizeable deployment of US troops to Iraq would require new legal authorisation. Right now, [US forces] are only there on a train and assist mission, and if they were to try and take a more active role in helping the Iraqi military try to launch the campaign for Mosul, there would certainly be some eyebrows raised and renewed calls for legalization for that particular activity, she said. Carter told reporters earlier in the day that US advisers were prepared to accompany Iraqi battalions if needed, as those units begin the siege of the key northern city, but it is not clear when exactly that will happen. American officials said a team of US troops had visited the Qayara airbase for a brief site assessment on Sunday. Iraqi forces retook the airbase from ISIL, also known as ISIS, on Saturday. Iraqi Prime Minister Haider al-Abadi hailed the success as a key step towards retaking Mosul, saying residents there should get ready for the liberation of their areas. US officials said American advisers are already working at the brigade level with Iraqi special operations forces, but they have not yet accompanied them on operations. The latest force increase came less than three months after Washington announced it would dispatch about 200 more soldiers to accompany Iraqi troops advancing towards Mosul. In April, Obama gave the go-ahead for American troops to assist Iraqi forces at the brigade and battalion levels, putting US soldiers much closer to the battlefront although still behind the frontlines. The role of US troops had previously been limited to advising at headquarters and division levels, much further from any active frontlines. Iraqi forces were already improving the bases perimeter in case of a counterattack from the nearby town of Qayara, which is still controlled by ISIL, according to US officials in Baghdad. ISIL has suffered a number of territorial losses in recent months, including the Syrian town of al-Shadadi, taken by US-backed Syrian forces in February, and the Iraqi recapture of Ramadi in December and Fallujah last month. Abadi has pledged to retake Mosul by the end of the year. South Sudans President Salva Kiir has declared a unilateral ceasefire and cessation of hostilities, and ordered government troops to disengage from fighting rival forces loyal to Vice President Riek Machar, following days of violence that left hundreds dead. The order, which was announced on Monday by presidential spokesman Ateny Wek Ateny, was quickly reciprocated by Machar, who ordered his troops to stop fighting as of 17:00 GMT. The president talked to Machar they have talked about controlling their forces in an attempt to salvage what has remained of the peace agreement, Ateny said in an interview with Al Jazeera. Monday witnessed some of the heaviest fighting between the two sides, after clashes erupted on Thursday. The announcement of a ceasefire came as United Nations Secretary-General Ban Ki-moon called on the Security Council to impose an immediate arms embargo on the worlds youngest independent state. READ MORE: Whats gone wrong in South Sudan? Ban said he is appalled by the indiscriminate attacks on civilians, and condemned the failed leadership in the country, warning that some of the violence committed may constitute a war crime. Yet again, the leaders of South Sudan have failed their people. Rarely has a country squandered so much promise so quickly, he said angrily from the UN headquarters in New York. Earlier on Monday, renewed clashes broke out in the capital, forcing thousands of civilians to flee and raising fears of a slide back into all-out conflict in the five-year-old country. At least 272 people have been killed in the recent violence, a health ministry source told the Reuters news agency on Sunday. As soon as the ceasefire was announced, everything fell silent, except for a brief celebratory gunfire, according to Al Jazeeras John Hendren, reporting from the capital. Cancelled anniversary celebration Following a localised firefight between rival military factions on Thursday night in Juba, major fighting broke out on Friday outside the presidential compound as President Kiir was meeting with Machar, a former rebel leader and currently first vice president. The fighting quickly spread throughout the city. On Monday, the celebration marking the fifth anniversary of independence has been cancelled. Latest bout of violence comes despite UN calling rival factions to end fighting that has killed hundreds in recent days. Renewed clashes have broken out in the South Sudanese capital, Juba, despite calls by the UN Security Council for rival factions to urgently end the fighting that has engulfed the city in recent days. Hundreds of people, mostly soldiers, have been reportedly killed in the fighting between rival armed groups since Thursday, raising fears of a slide back into all-out conflict in the five-year-old country. At least 272 people have died, a health ministry source told the Reuters news agency early on Sunday. Monday morning began much as Sunday morning began in Juba, Al Jazeeras John Hendren, reporting from the capital, said. Fighting began in Jebel, southwest of the city; theres also been fighting in Gudele, to the east of the city; and now there are mortar rounds being heard in the downtown area, he added. What this means is that the ceasefire government officials had talked about the unilateral government-led ceasefire has not been carried out. The fighting does continue in at least two spots on Monday and that means that whatever peace talks there have been, they have not been successful. READ MORE: Whats gone wrong in South Sudan? The latest bout of violence comes hours after a UN Security Council emergency meeting said South Sudans neighbours should help end the fighting and be prepared to send additional troops to bolster the UN mission in the country, UNMISS. The members of the Security Council urged an immediate end to the fighting by all concerned and demanded that President Kiir and First Vice President Machar do their utmost to control their respective forces, urgently end the fighting and prevent the spread of violence, the council said in a statement on Sunday. Expressing shock and outrage at attacks on UN compounds, which killed and wounded Chinese and Rwandan peacekeepers, the council also demanded that civilian sites be protected and warned that attacks against civilians and UN premises and personnel may constitute war crimes. Al Jazeeras Mike Hanna, reporting from the UN headquarters in New York, said delegates expressed deep concern about the recent upsurge of violence in Juba and discussed ways to make the work of the 14,000 peacekeepers easier and safer. Also discussed during the meeting was the impact on civilians, a large number of whom are reportedly sheltering in UN facilities, Hanna said. More than 1,000 people fled to a UN compound in Juba as heavy fighting broke out, and two UNMISS sites in the capital were hit by small and heavy arms fire, the UN said in a statement. Days of clashes Following a localised firefight between rival military factions on Thursday night in Juba, major fighting erupted on Friday outside the presidential compound as Kiir was meeting with Machar, a former rebel leader and currently first vice president. The fighting quickly spread throughout the city. An Al Jazeera correspondent who was inside the presidential compound during that attack later saw bodies of soldiers on the lawn and reported that thousands of displaced residents were trying to find safety amid the chaos. INTERACTIVE: How far has South Sudan come since independence? Kiir and Machar both appealed for calm as the fighting engulfed parts of the city, and amid confusion as to whom exactly was responsible. On Sunday, a day after the country reached the fifth anniversary of its independence, residents of Jubas Gudele and Jebel districts reported heavy gunfire near the barracks where Machar and his troops have their headquarters. Gunfire was also heard from the area near the airport, which local sources said had closed. South Sudanese Information Minister Michael Makuei Lueth laid the blame for the fighting on opposition forces loyal to the vice president, Machar, while Taban Deng, the minister of mining, called for opposition forces to join a unilateral ceasefire. Our people have suffered so much, they dont need any more suffering even for a minute. This is a call to our generals in both armies that they should ceasefire immediately, Deng said at a news conference in Juba on Sunday. Ceasefire or continued fighting Al Jazeeras Hendren said the violence meant that the hopes of peace were dimming in the country After another day of intense battles, the people of South Sudan await word on whether the next step is ceasefire or continued fighting, Hendren said. South Sudan was founded with optimistic celebrations in the capital on July 9, 2011, after it gained independence from Sudan in a referendum that passed with close to 100 percent of the vote. The country descended into conflict in December 2013 after Kiir accused Machar, his former deputy who he had sacked earlier that year, of plotting a coup. Civil war broke out when soldiers from Kiirs Dinka ethnic group disarmed and targeted troops of Machars Nuer ethnic group. Machar and commanders loyal to him fled to the countryside, and tens of thousands of people died in the conflict that followed. Many starved to death. A peace agreement signed in August saw Machar rejoin the goverment and his forces re-enter Juba as the first step towards integration into a national army. Fighters launch fierce assault in an effort to recapture the Castello Road route from government forces. Opposition fighters have launched a major assault on government-held districts of Syrias long-divided Aleppo, after government forces severed their only remaining supply route into the battleground city. Rebel groups launched the offensive at dawn on Monday to reopen the strategic Castello Road, their last lifeline into the city, according to the AFP news agency. The UK-based Syrian Observatory for Human Rights said 19 regime forces were killed on Monday when rebels blew up a tunnel in the Old City. Observatory chief Rami Abdel Rahman said that despite a heavy assault, rebels had been unable to advance because of the heavy aerial bombardment the regime is carrying out on the areas where fighting is under way. Air raids on the rebel-held parts of Aleppo killed 13 civilians on Monday, the Observatory added, most of them in the Bab al-Maqam neighbourhood near the front line. READ MORE: Why Aleppo matters Rebels fired a barrage of at least 300 shells into western Aleppo, killing nine civilians, the Observatory said. Ahmed, a resident of the government-controlled western area of the city who did not give his second name, said his home in the Syrian quarter was completely destroyed. The shells have rained down on the western neighbourhoods since 4:30am, he said. Mahmud Abu Malak, a spokesman for the Nuruddin al-Zanki rebel group, described fierce fighting. All kinds of heavy artillery and machine-guns are being used in the assault, which is intended to ease the pressure on the Mallah and Handarat fronts, he said, referring to areas near the rebel supply route into Aleppo. The Castello Road route was effectively severed on Thursday when government forces seized a hilltop within firing range. The government advance leaves the opposition-held east of the city cut off from the surrounding countryside, and raises the prospect of total siege. On Sunday, at least 29 opposition fighters were killed when rebels launched a fruitless assault to push government forces back from the road and reopen the route. Nationwide truce The violence comes despite a nationwide truce declared by the government last Wednesday to mark the Muslim holiday of Eid al-Fitr. The ceasefire has produced little respite in fighting, but was extended for another 72 hours on Saturday. In nearby Idlib province, 17 people including two children were killed in air strikes, the Observatory said. The monitor said it was unclear if the strikes were carried out by regime or Russian war planes. READ MORE: Death of Aleppo The severing of the Castello Road has already created shortages of food and fuel in the east of Aleppo, with local market stalls sparsely stocked. There are very few vegetables today because the Castello Road is closed, said Abu Mohamed, a vendor in the Bustan al-Qasr neighbourhood. Residents also described searching in vain for fuel, whether for vehicles or home use. The UN says nearly 600,000 Syrians live in besieged areas, most surrounded by government forces, although rebels are also besieging civilians. Tens of thousands cross into Colombia after border opens briefly for the first time in nearly a year. Tens of thousands of Venezuelans have poured across into neighbouring Colombia to buy basic goods amid shortages at home, during a brief opening of the border that has been closed for almost a year. Venezuelan President Nicolas Maduro closed the border in August 2015, citing smuggling and penetration by Colombian fighters. On Sunday, he authorised a 12-hour opening of the pedestrian bridge that connects Tachira, in Venezuela, and Cucuta, in Colombia, for the first time in 11 months. Victor Bautista, the director of the Colombian border authority, said that an estimated 35,000 Venezuelans had arrived in Cucuta. READ MORE: Lootings soar in Venezuela amid food scarcity Some 25,000 people surged in within the first seven hours, William Villamizar, governor of the Norte de Santander department that includes Cucuta, said on Twitter. Thank you for this welcome, the people of Venezuela are experiencing a serious humanitarian situation, Jose Gregorio Sanchez, a resident of the border town of Urena, told the AFP news agency. Venezuela has been mired in a deepening economic crisis that has emptied shop shelves and created a shortage of medicine. The recent slump in oil prices devastated the OPEC nations economic model, leading to growing anger among the roughly 30 million residents. Critics also blame grave mishandling of the state-led economy. Maduro, elected in 2013 after the death of President Hugo Chavez, insists that he is the victim of an economic war led by businesses with the backing of the United States. READ MORE: Venezuela-Colombia border tensions escalate Protesters demanding food have clashed with authorities in several cities in recent weeks amid demonstrations and looting that have turned deadly. Some 500 desperate Venezuelans illegally stormed the border earlier this week in search of basic goods. Theres no medicine for children; children are dying, Tulia Somaza told AFP. People dont even have soap to wash clothes. In a sign of Maduros concern at mounting social unrest, the president replaced the head of the National Guard on Thursday. The Venezuelan opposition launched its efforts to remove the president, including a bid for a recall referendum, after winning control of the legislature in January. But Maduro has challenged his rivals through the Supreme Court, which they accuse him of controlling. Maduro ordered the border shut last year after former Colombian fighters attacked a Venezuelan military patrol and wounded three soldiers, causing a diplomatic row between the neighbouring countries. Andrea Leadsom quits party leadership battle, opening the way for Theresa May to be named Britains next prime minister. British Energy Minister Andrea Leadsom has pulled out of the leadership race for the Conservative Party, leaving her rival Theresa May as the only candidate. Just hours later, Prime Minister David Cameron announced he would resign by Wednesday. Leadsom and May had been due to contest a ballot of around 150,000 members to become head of their party a position which would automatically make one of them Britains next prime minister. The result was to be declared by early September, but Mondays shock announcement opened the way for May to take over much sooner. Speaking to reporters, Leadsom announced that she was pulling out of the race, claiming she did not have enough support to spare the Conservatives a protracted leadership battle with May, who has the majority of support from within the party. There is no greater privilege than to lead the Conservative Party in government, and I would have been deeply honoured to do it, Leadsom said, reading from a statement. I have, however, concluded that the interests of our country are best served by the immediate appointment of a strong and well-supported prime minister. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success. I assure her of my full support, she said. Graham Brady, the head of the committee running the contest, said after Leadsoms announcement that the party board would meet to discuss confirming May as the winner. He did not suggest reopening the race and did not say when the party might confirm that she has won. Interview backlash Leadsoms announcement followed controversial comments she made about May. In an interview with The Times, which was published on Saturday, Leadsom said she was a more suitable candidate for party leader and successor to David Cameron as British prime minister because she was a mother unlike May, the home secretary, who is childless. Her comments, which she initially denied, provoked widespread criticism including from inside her own party, Al Jazeeras Laurence Lee, reporting from London, said. Leadsom later apologised, saying she did not intend to cause offence. Here's what some people do when they find out that the last tube of their favorite lipstick has suddenly become discontinued: Take a cotton swab and dig out every tiny scrap from the bottom of the tube, smear it on their lips, and savor that last bittersweet moment of lipstick bliss. Then there are the other people, the ones who spend hours scouring stores and online shops for every last tube, stashing them away in the back of their refrigerator to try to hold on to that perfect shade for just a little while longer. These are the kind of people Daniel Adler, president and CEO of BuyMeBeauty, had in mind when he created his site. For those who haven't fallen into a discontinued-product spiral before, BuyMeBeauty is a popular website that helps users searching for elusive discontinued makeup products. "When we started our website, we didn't think it was going to be anything big, but then we noticed that people weren't buying just one lipstick or package of makeup-remover padsthey were buying a dozen," says Adler. "It became clear that when a manufacturer discontinues a shade of an item, the customer is still looking for it." Indeed, there are multitudes who collectively mourn the loss of discontinued products every year. A cursory Internet search yields dozens of articles lamenting the loss of a particular eyeliner, or that perfect beachy-waves spray, and there have been at least two major Reddit threads this year with users listing their "favorite product that's been discontinued." One standout on the threads is the Pond's Luminous Finish BB+ Cream. "I've never been this upset about a product being discontinued. It's just my favorite base product ever," writes one fan. "I am just about to open my last tube (my fourth!) and I'm so torn about it, because I could probably sell it for a decent profit since so many people love it, but I love it and wear it every day, so I just want to wear it." Right now, the Pond's BB cream is only for sale on Sears and Amazon from third-party sellers with a starting price of $49.50. Customers also grieve for the loss of certain items from popular product collections, such as the bright loose-pigment eye shadows in the L'Oreal Paris HiP Line. (The company still sells the HiP line but only sells the shadows in pressed form.) "The loose pigments were so good, and I miss being able to get stuff like that at the drugstore," writes one Reddit user. "It was one of those really rich loose shadow formulas that balls up a little and applies really creamy with great payoff." Adler sells the L'Oreal Paris HiP Shocking Shadow Pigments on BuyMeBeauty for $8 a pop, and he says people are insanely grateful when they discover they can find them there. "All of a sudden we are literally like saviors," he says. "You should see the emails we get from customers. It's amazing. They say things like, 'I can't believe you have this. You saved my life.'" On July 1, 2016, the Executive Board of the International Monetary Fund (IMF) concluded the 2016 Article IV consultation1 with Sierra Leone. After a dozen years of strong growth, benefiting from both improved polices and booming commodity prices, Sierra Leone has been hit by twin shocks since mid-2014: the Ebola epidemic and sharply declining iron []http://feedproxy.google.com/~r/Appa-sourceTheAfric... I am deeply alarmed by the ongoing fighting in Juba between soldiers of the Sudan Peoples Liberation Army (SPLA) and the SPLA in Opposition. This outbreak of hostilities in the capital, on the eve of the countrys fifth anniversary of independence, is yet another illustration of the parties lack of serious commitment to the peace []http://feedproxy.google.com/~r/Appa-sourceTheAfric... Think of it as living together before getting married. A couple of financial institutions have recently created mobile apps available to anyone as a way of engaging with people through their phones. The institutions are hoping that the apps will build trust with their users and those users will eventually become customers. Assuming they have credit scores, any consumer can get their three-digit numbers using the CreditWise app from Capital One, for instance. More recently, Ally Bank has been testing a financial health app that anyone could sign up to try. The app, called Splurge Alert, is in limited release. The general apps follow a slew of fintech apps that have entered the market to crunch financial data and woo consumers on experience. Banks are taking notes this sort of move is all about the experience. Banks are testing how to develop relationships through financial guidance via mobile apps rather than focusing on products. "This helps establish their brand with noncustomers, particularly millennials who tend to gravitate to these types of mobile apps," said Alex Johnson, director of credit advisory service for Mercator Advisory Group. The move also speaks to the evolution of mobile as banks, mostly the larger banks, have built out their standard mobile apps, they are now exploring what other use cases might make sense to support their customers and potential customers. Other experiments include using the mobile device to connect with other channels and exploring things like Facebook Messenger chatbots to connect with customers. "It's an interesting inflection point," Johnson said. Of course, some would argue that the last thing anyone needs is another app. Instead, mobile technology is moving toward a future where there are fewer apps and instead companies use Facebook and others to connect with their customers, some technologists argue. Johnson said that shouldn't dissuade banks from considering general apps. "Banks shouldn't stop trying to develop front-end applications for targeted use cases (like managing your credit score), which consumers can engage with directly," Johnson said in an email. "We still don't know where most consumers are going to draw the line between financial apps and apps that contain financial insights. It's possible that some things don't belong in Facebook Messenger." Additionally, Johnson said that apps that provide value outside of the core banking functionalities will help banks try to tackle one of the thorniest problems: how to create sales opportunities at a time when branch transactions continue to decline. "It's an opportunity to work with noncustomers," Johnson said. And increasingly, consumers have ever more options to use nonbank mobile apps, like Mint, Qapital and Penny to get such advice. To compete for eyeballsand to open up the opportunity to sell them something later onbanks are creating something that could be used by one and all. The general apps are also similar to functions like those that help customers shop, said Nicole Sturgill, principal executive adviser with CEB, in that they go beyond transactions. Similarly, a handful of banks make portions of their app features available to noncustomers. USAA, a digital innovator, lets consumers view areas of loan calculators, news center, virtual assistant and help to varying degrees before needing to login, for instance. Wells Fargo and JPMorgan Chase are among the banks that have recently updated their unauthenticated mobile banking apps' designs. Since banks spend so much money on mass marketing, a mobile app has potential to drive more engagement. "Maybe if you can create a more frequent, deeper engagement with noncustomer then it might be a more cost effective way of marketing," said Oliwia Berdak, senior analyst at Forrester. However, before exploring general apps, banks would have to figure out how to justify the costs of supporting such apps. "The really huge question is, 'What's the compelling reason to download this app?' " said Stessa Cohen, a research director at Gartner. In other words, banks would need to develop a marketing plan around how consumers will find their apps and also provide them a compelling reason to download it. And whether or not general apps would lead to new customers is debatable. "We have to wait and see," said CEB's Sturgill. "There is no better proof than the proof." As with anything, the execution matters most including whether banks use the apps to spam consumers on offers. "There are missteps that could make this challenging," Sturgill said. But as she sees it, the opportunity to add revenue while adding value to consumers is great. After all, consumers are seeking financial guidance from somewhere, if the number of fintech startups focused on that space is any indication. "They will not care if [guidance] is coming from a bank or startup. It doesn't matter," Sturgill said. "If the app is useful, you will use it." American films of the 1940s and 1950s provide every allegory one needs. Take the 1952 classic High Noon, starring a particularly rugged Gary Cooper and beautiful co-stars Grace Kelly and Katy Jurado. Cooper plays Will Kane, an honorable marshal on the nineteenth-century frontier, who wants to retire with his Quaker bride, Amy, and turn in his badge. Upon learning that his beloved town Hadleyville is about to be attacked by outlaw Frank Miller and his posse, Kane decides he cannot accept a coward's departure. Even though it will risk his chances of a normal life with a wife and kids, Kane goes back to face the thugs, who he knows will strike at the arrival of the noon train. In High Noon's climactic ending, director Stanley Kramer visualizes a timeless dilemma facing men of principle. What does one do when one faces a powerful, wicked enemy, and one's own "allies" refuse to help? This is the sad fate of Will Kane. His ex-lover Helen Ramirez and new bride Amy both want to flee town rather than be embroiled in a dangerous shoot-out. Whether they pay lip service to unity or flaunt their pusillanimity, the townspeople, bosses, and direct reports all forsake Will and leave him to face the town's nemeses alone. (Spoiler alert! Trigger warning!) In a surprise twist, the Quaker Amy decides at the last minute that she can't leave her groom during his last stand against vice. In usual Grace Kelly style, she rushes off the train and glides through the abandoned streets of Hadleyville, eventually finding a pistol and saving the man she loves by firing a round through a window to take out a lurking gunman ready to shoot Will. Christians in America are a few minutes away from high noon. In our various ghost towns and rickety Main Street hideouts, we find ourselves increasingly stuck doing crowd control, surrounded by angry mobs pushing hedonism, abortion, sodomy, race war, Darwinism, and other heresies on us. This enormous gang of religion-haters is led by the ruling cadre of secularism, the well funded and shamelessly Machiavellian LGBT movement. Everyone who was supposed to fight alongside us has conveniently vanished. The classical liberals who once had some influence in the Democratic Party have retired or died off, leaving behind a heartless progressive wing uninterested in religious freedom, academic freedom, free speech, and the preservation of traditional cultures, be they Western or third-world. By now it seems that half the Republican Party, from Ken Mehlman to Milo Yiannopoulos, has come out as flamingly homosexual what a bonfire in honor of log cabins! while the other half has to kowtow to the wealthy gays on their staff, with the result that the GOP now stands for a Grand Orgy of Pandering. Don't expect a mad rush to pass the First Amendment Defense Act or a constitutional amendment protecting marriage. They'll talk a good game about family values, of course, especially when they are asking for money or begging voters to plug their noses and return them to office. Some may even deign to quote Tony Perkins once in a while. But whether it's Jan Brewer or Mike Pence or Chris Christie or countless others, they will end up somewhere shaded and safe, counting all the benefits they got by making sure the LGBT gang got what they wanted and churches got screwed. They will veto religious liberty bills, ban therapy for youths with unwanted same-sex attraction, and okay the Obama administration's overreach on transgender bathrooms. And when they do it, they'll proudly claim they're true Christians conforming to Jesus Christ's generous and forgiving attitude, while those insisting on such measures are meanies. In other words, they'll take the last train out of town before high noon so Frank Miller and his gay bullies can steamroll the Christians with impunity. And what of interfaith alliances? Jewish people face their own internecine battle between a highly fertile Orthodox population averse to politics and their Reform and "Conservative" counterparts who embrace politics largely to show gay people how open-minded and not-like-closed-minded-Christians they are. Given the centuries of anti-Semitism and atrocities in Europe seventy-five years ago, we may give Judaism a pass for not exactly rushing to stand shoulder to shoulder with Christians against their present adversaries. Buddhists, animists, and Hindus would find it hard to see theological commonality with Christianity. As for Islam, there's a town called Orlando that serves to remind us that Muslims have no problem violently persecuting gays while also encouraging gays to persecute Christians. Remember Floyd Corkins? Christians are like the rabbits in Watership Down, the species "with a thousand enemies," slowly realizing that polemicists like Zack Ford and Sally Kohn aren't harmlessly insane people who might become cute, furry creatures if only shown some love and pity. No, these LGBT commentators are bloodhounds who would like nothing better than to see Christians driven out of public life and herded into windowless basements with duct tape over their mouths. Like Will Kane hoping that, at the very least, some of our own might stand with us, Christians who hope for help from other Christians are sure to be disappointed. The LGBT movement shrewdly backed a phony counter-theology based on the seductive but biblically unsound theories of dissenters like John Boswell, author of Christianity, Social Tolerance, and Homosexuality, and Mark Jordan, author of The Invention of Sodomy. Now there is a whole generation of theologians like Jeff Hood, the queer-affirming organizer of Dallas's infamous Black Lives Matter protest, and Matthew Vines, the boyish Bible-quoter who thinks Scripture supports men's wrecking their bodies with anal penetration, as long as they do so in a loving, St. Paul-offering-his-body-as-a-living-sacrifice kind of way. In a recent interview with former pornographic performer Joseph Sciambra, I struggled to make sense of "gay-affirming" ministries. I can remember when I studied under John Boswell and sat enraptured by his Yale lectures in the early 1990s, enthralled by the welcome notion that somehow Christianity, Judaism, and Islam could all be construed as rubber-stamping and even glorifying homoerotic urges. One couldn't resist the sheer theological shamelessness in sanctifying lusts that I'd seen played out in Manhattan sex dungeons, full of middle-aged white perverts and Puerto Rican boys paid to dress in chain harnesses. As Sciambra points out, the folly of the "gay-affirming ministry" is a new blight on Christianity, and we must accept that the blight has disabled a large percentage of our fellow believers, if not a vast majority. Perhaps the first warning sign I saw was the common practice of gay Christian churches renting out their multi-purpose rooms to sadomasochism societies and boyfriend-swapping clubs. Protestant churches can bloom like mushrooms after a rainfall, and the multitude of fly-by-night pro-gay congregations in the 1990s were bound to set off a domino effect, eventually dashing any hopes of orthodoxy in the mainline denominations the United Church of Christ, Methodists, Lutherans, Presbyterians, Episcopalians, and Disciples of Christ. That my faith the Southern Baptists is still maintaining its position on sexuality and marriage is nothing short of a miracle. Pope Francis's most prescient comments came when he stated that gay marriage is the tool of the Devil. For almost all of the mainline Protestant churches to be split and ruined by this one issue, one would have to conclude that there is a global evil at work in the LGBT agenda. The agenda has perhaps little to do with improving gay people's lives and much to do with destroying Christianity everywhere. But Catholics are gradually going the way of others in Hadleyville when they defend every disturbing quote from Pope Francis on the topic of homosexuality. True to his Jesuits and Latin America's penchant for liberation theology, he did say that the Church should apologize to gay people. Catholics who keep struggling to see an orthodox pontiff in him are sliding, one yard at a time, into error. In context, Pope Francis's statement about apologizing to gay people is far worse, contrary to what many of his apologists argue. He stated the following: I think that the Church must not only ask forgiveness like that "Marxist cardinal" said (laughs) must not only ask forgiveness to the gay person who is offended. But she must ask forgiveness to the poor too, to women who are exploited, to children who are exploited for labor. I have pious Catholic friends who want desperately for this quote to mean nothing ill about the Vatican's future. They are kidding themselves. Yes, it is true that the pope shifts attention to paupers, women, and children subjects better suited to a true Catholic mission but he does so by equating these groups' vulnerabilities and historic grievances with the situation of "gay" people. An intelligent Christian should not concede that "gay" people exist, because they don't exist. God created men and women for each other. He did not create other subcategories of sex and sexuality; all human beings are oriented toward finding the opposite sex and forming a procreative union with them. Some of His creations rebel against God's vision and defile themselves by engaging in sodomy. But gayness is not an identity; it is a fallen condition for individuals who have revolted against God and set their own desires above the call to follow their Creator's design. Christian churches have almost always maintained that these are children of God who need to be saved from such iniquity with prayer and compassion. Women have been ravaged by sexism. The poor have been exploited by the high and mighty since the days of the Old Testament prophets. Children have always been prey for slavers and abusers because they are small and lack the protections that come with adult development and maturity. Women, the poor, and children are identifiable groups who can claim to have suffered injustice across millennia of history. Gays have no such claim. They are not collectively identifiable by any trait other than their willingness to rebel against God. They thrive in contemporary societies that cater to their every need with special counseling, lavishly funded parades, and disproportionate social prestige. Many if not most people with this identity have lapsed into the behavior of petty tyrants punishing anyone who dissents from them. The Will Kanes of the world cannot stand up to the Frank Millers of the world if the pope takes away the former's weapon and tells Will to apologize to Frank for making him feel bad. While it is true that many of the most articulate defenders of traditional marriage and the pro-life cause, like Maggie Gallagher and Austin Ruse, come with Catholic credentials, Catholics who stand up to irreligious oppressors will be going increasingly against their pontiff and standing against a mob. What are Christians to do? As bleak as this looks, we must watch High Noon again and hearten ourselves. Gary Cooper's performance as Will Kane was one of his most unforgettable. We will have to be heroes in our little Hadleyvilles and hope that someone remembers our courage later. Robert Oscar Lopez can be followed at SoundCloud, English Manif, or Twitter. Where Trump goes, so do protestors. The GOP convention in Cleveland will be a flash point for many of them. One group will be from the Stand Together Against Trump PAC which was formed by local physicians who want to protest Trumps position on Muslim immigration. The PAC has eight leaders including six doctors, four of whom are Muslim. The founder, Dr. Bryan Hambley, said the group finds the rhetoric of banning Muslims from the United States shocking. The upcoming protest at the GOP convention will not be Hambleys first. He was escorted out of a protest in March after removing his sweatshirt to reveal a t-shirt that read: Muslim doctors save lives in Cleveland. With all this talk of Muslim doctors saving lives, I thought Id highlight a few examples where they strayed a long way (to put it mildly) from their oath of first do no harm. Last month an international search began when medical school graduate Mohamed Maleeh Masha vanished from Flint, Michigan. Authorities believe he is now in Syria providing medical care to wounded ISIS jihadists, tending to dozens if not hundreds each day. Masha is also likely making propaganda videos since upper-class professionals like doctors are sought after for this job with the hope theyll convince other professionals in the West to join the cause. In Mashas case, as with others, there are the usual questions being raised about how he became radicalized (aka devout; hint: the Quran) with a hypothesis being floated that he may have become more invested in the Islamic faith before fleeing to join ISIS. (Including the word may is probably unnecessary, but other than that the link between Islam and terror is a welcome change from the usual battery of lies.) Masha is the latest in a string of Muslim physician terrorists. Several years ago in Florida, Dr. Rafiq Sabi was sentenced to 25 years in prison for providing material support to terrorists. The trial judge stated that part of what contributed to the near maximum sentence was Sabir's lack of contrition coupled with his deeply held views regarding militant fundamentalist Islam. (Hmm. Theres that link again, though the words militant and fundamentalist are superfluous.) In the UK, doctors are coming down with sudden jihad syndrome at an alarming rate. In May of this year, Dr. Issam Abuanza left his wife and children to join ISIS. Abuanza was active on social media until the end of last year, posting sentiments in support of terror. After the Charlie Hebdo attack he wrote: Praise be to God for this terrorist act. God kill off their enemies, military and civilian, men and women, adult and children. He also wrote about the Jordanian pilot who was captured and burned alive in a cage, stating his desire to torture and murder him over and over again, writing, I wouldve liked for them to burn him extremely slowly and I could treat him so we could torch him once more. The year before Abuanza left for Syria, a number of Muslim physicians in the UK had already joined ISIS, including a female doctor by the name of Mujahidah Bint Usama who posted on Twitter a photo of herself in a lab coat over a burqa holding a decapitated head. She captioned the photo Dream Job. A Terrorist Doc and accompanied it with a smiley face song and two hearts. Among the Muslim doctors whove left the UK to become jihadists is one who brought his younger brother with him as well as a British surgeon who was about to stand trial for his ties to terror when he evaded authorities (despite his passport being confiscated) and started making recruitment videos for a Taliban splinter group. Despite the trend of UK doctors joining ISIS and other Islam terror organizations, should any of the physician-turned-jihadists wish to return to the UK, theyre allowed to resume work at the National Health Service providing they didnt do any actual fighting, as if (1) this information could be verified, and (2) thats what matters. Apparently the fact that a doctor can aid and abet terrorists by, at the very least, helping to keep them alive and/or making recruitment videos is not deemed sufficient cause for the UK to keep them out of the country. The phenomenon of doctor jihadists spans the globe. Last year, Australian doctor Tareq Kamleh joined ISIS. In Canada, Dr. Khurram Sher went on trial for terrorism charges in a complex plot spanning multiple countries, including Canada, Afghanistan, Saudi Arabia, Iran, and Pakistan. Other terrorist doctors include Dr. Ayman Al-Zawahiri (Al-Qaeda leader), Drs. Abdel Aziz Al-Rantisi and Mahmoud Al-Zahar (co-founders of Hamas), Dr. Fathi Abd Al-Aziz Shiqaqi (co-founder and Secretary-General of Palestinian Islamic Jihad), Dr. Bilal Talal Abdul Samad Abdulla (one of two terrorists behind major attacks in Europe in 2007), and Dr. George Habash (founder of the Popular Front for the Liberation of Palestine responsible for numerous airline hijackings and bombings). Muslim dentists are also joining the call to jihad, including three who stored bombs in a dental clinic and one who planned to murder British troops. Some might say that doctors from all religious persuasions have committed heinous acts and that the percentage of Muslim doctors who become jihadists is exceedingly small. And both would be true. But the critical difference is that the evil embraced by doctor jihadists is motivated by a totalitarian doctrine laid out in the Quran. These barbarians are not lone madmen who are misrepresenting their faith. (Nor are they poor and disadvantaged as dhimmis like to paint Islamic terrorists.) They are unified by the teachings of Mohammed. And while their beliefs and actions may seem extreme to us, they are not extremists within the context of their religion. They are devout. As to the second point, while the percentage of Muslim doctors who become terrorists is incredibly small, the fact that this phenomenon occurs at all is a reality that cannot be ignored. Also worthy of note is that recruiting doctors has been discussed in terror circles for a long time, in part because doctors are not likely to be viewed suspiciously and, as noted earlier, they are ideal subjects to make recruitment videos. In addition, though beyond the scope of this article, there are numerous examples of Islamic supremacy in medical settings that, while not outright violent acts of terror, are nevertheless deeply disturbing as Islam advances from all sides, in all manner of ways, including the assertion of sharia law by doctors and others who work in health care. (See here, here, here, here, and here for a few examples). In closing and getting back to the planned protest in Cleveland, I have no doubt that Muslim doctors in Cleveland, and elsewhere around the world, save lives. But why is there selective outrage about Trumps proposal to (temporarily) ban (some) Muslims from coming to the United States, yet nary a peep no less shock about the fact that Islam commands that all infidels convert, live as second class citizens, or die, in a world where all must submit as written in the holy book of these doctors? And as is born out every day as horror upon horror is unleashed in the name of Allah. (To learn more about the threat of Islamic supremacy, see here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, here, and here for a sampling of excellent resources.) Hat tips: Cleveland.com, The Detroit News, Atlas Shrugs, Jihad Watch, Daniel Pipes, The Washington Examiner, The Telegraph, The Express, The Daily Mail, The Daily Wire, The Jewish Press, The Daily Star, Metro UK, Times of Israel, Militant Islam Monitor, Debbie Schlussel, FBI web site, Wikipedia, and Counterjihad Report I stayed up late a couple of weeks ago to watch the results of the Brexit vote. I was so proud of our British cousins. The children of Churchill stood up to the Huns once again. Long overdue. The details are not important. Maybe their economy will suffer slightly. But in return, they have reconquered their country. We should stand with them during any hard times that may transpire. It is high time that we negotiate free trade deals with our natural partners. That means the UK, Canada, Australia, and New Zealand -- the so called Anglosphere plus a few more nations like Israel that share our values. Winston wrote and spoke of the history and destiny of the English speaking peoples. I hope that in a few months President Trump will lead the effort to nurture that natural partnership. Im sure the whiny Scots and Irish will cheerfully join in once they see the benefits of it outweigh those of the freedom-stifling EU. There should be no political union; just an economic bloc which is capable of negotiating mutually beneficial deals with other sovereign nations. The bureaucrats that have ruined the EU should all be blacklisted. Let them work at the jobs they are best fitted for in hard manual labor. Some conservative pundits (notably not Rush Limbaugh, not Mark Levin) are wringing their hands. After all, their own interests lie with the first class cabin jetsetters of the international ruling class who produce nothing but a bunch of self-serving rules to hamper the productive class while enjoying caviar and champagne for themselves. Chief among the ruling class going forward are the Clintons, the Obamas and their sycophants. Trump lives a luxurious lifestyle too, but he earned that money by providing goods and services that people paid for voluntarily, not by using the government to shake down honest hardworking people. Hillary is of course the reigning champion of the shakedown. A typical example of the chasm separating the ruling class from the bill payers is the attitude on gun control. The rulers and their drones in the media get substantial protection in the form of publicly (or employer) subsidized armed guards wherever they go. Their kids go to private schools with ample security. It is considered tasteless to even mention the families, especially the children who benefit from this special treatment. Indeed a code of ethics shields progeny of the Elite Triad -- politicians, bureaucrats, and media personalities -- from any public scrutiny. The average citizen gets no such protection. Only the Second Amendment stands in the way of complete control of their lives by the triad. Once again, Donald Trump and his family enjoy a level of security unaffordable by most citizens. But as before, it is paid for by money they have earned in the free market. That is a big difference. Yet the Trump family strongly backs every citizens right to bear arms, while the Triad loathes and fears the Second Amendment and its backers. One of Obamas first official acts when he took office on that sad day for America nearly eight years ago, was to return to the Brits a bust of Winston Churchill that had been displayed in the White House -- a gift from the British people. He was the man who stood up and inspired his people to oppose the evil shadow cast over most of Europe. It was truly their finest hour. Compared to that, Brexit is a walk in the park, albeit an important one. If the American people follow the lead of the Brits, they will elect Donald Trump later this year. I hope one of President Trumps first official acts is to humbly request the return of that bust of Winston to be displayed proudly for every visitor to the White House to see. In gratitude for standing with us time and again over the years, the Brits deserve all the help we can provide, not that they need much beyond our moral support. This is in no way meant to disparage our other European friends. They all suffer under the yoke of the authoritarian mindset of the Brussels gang. They should thank the voters of the UK for showing great leadership. Perhaps someday a new EU, properly governed, will emerge. It should be an EU that honors the unique cultures that make up Europe and protects its citizens from infiltration by foreign cultures that seek to destroy Europe. It is important to address the politically correct critics who call the celebration of Western Culture xenophobic and racist. Of course it is neither. We should proudly embrace our heritage. There is no need to denigrate either the great Asian cultures or even the benign aspects of Islamic cultures. Doubtless, there will be considerable convergence in the coming centuries. There is no nice way to put this, but there is no reason to let a billion or more Moslems, or anybody else, to force a rapid decline of the values and traditions of America, Europe, Oceana, and much of Asia. This is not religious bigotry. It is not the Islamic theology at stake here. Religious worship is not being threatened. Nobody cares if a Moslem wants to pray five times a day, or fast during Ramadan. Sharia law, on the other hand, is a bridge too far. The cultural norms of too many of the practitioners of this religion are at odds with both Western and Eastern cultural norms. If our nations are swamped with immigrants or refugees steeped in Islamic culture, it will inexorably lead to unwelcome changes. Until we publicly acknowledge this reality, it will be mischaracterized as hatred of the other rather than love of our own. Defenders of the Brussels plutocracy have cited Churchills aspiration for a peaceful and integrated Europe. But surely the liberty loving Prime Minister who fought the Nazis had in mind a very different sort of EU. To argue that a properly governed EU would be a good thing says nothing about what Brexit was about. NATO is the quintessential embodiment of the kind of organization Churchill had in mind. If some of its members have recently been lax in their contributions, surely preservation of the alliance is a worthy cause for American and British leadership to pursue. We owe Winston Churchill a debt that can never be paid in full. We cannot pay him in person, but we can support his spiritual heirs with our unflagging support. It is too soon to thank Donald Trump. The appropriate word is please. So please Mr. Trump -- please make us proud. Make Mr. Churchill proud. Make America and all of Western civilization proud. Make us all great again. Please. In the recent terrorist attack in Orlando, the US Dept. of Justice ordered the Orlando Police Dept. to scrub, or delete, references to terrorism from the records they had of phone calls with the shooter Omar Mateen. This is clearly proof of an effort by the President and Justice Dept. to cover up and conceal Islamic references spoken by the Orlando shooter. The FBI was ordered to release only partial, not full, transcripts. This proves that President Obama is directly involved in the obstruction of an investigation of terrorism and that he is using a Justice Dept. agency, the Federal Bureau of Investigation, to perpetrate his obstruction. This is not the first time this has happened. The President has ordered other agencies in the past to destroy evidence of potential Islamic references. The excuse Attorney General Loretta Lynch gave was that persons would be re-victimized by the release of the references to Islam. But it is difficult to understand how persons who are not Islamic are offended by this language. The President and AG never seemed concerned about scrubbing references to the racist policies of police departments around the country, or numerous other issues. And of course when the Justice Dept. allegedly attempted to find out who ordered the deletion of part of a December 2013 press conference briefing video that addressed the Iran nuclear deal, it ran into another dead end. While all offices of the Federal Govt. are required to retain all records pertaining to their actions in public office, somehow President Obamas administration, like the Nixon Administration, mysteriously has information disappear. And when an investigation is conducted, they just run into a dead end. Its always the adolescent excuse and cover-up that somebody else did it, and they just cant find out who it was. Some analysts see this as incompetence, while others see it as a cover-up. No serious news analyst saw the tapes missing (footnote 1) from Nixons Oval Office tape recordings as an accident, as a misstep. Similarly Obamas White House staff and those at the FBI and other offices in the Justice Dept. just cant figure out who ordered the deletion of the Islamic Terror references from the transcripts in the Orlando case. State Department spokesperson Mark Toner commented that he didnt know the details and that no rules were broken since there are no rules regarding the editing of video. But there are rules regarding obstruction in the handling of evidence. These are very serious rules and it looks like one of Obamas legacies may be the potential felonies he, and those under his command, committed while he was President. A similar scrubbing of Islamic references was revealed by a retired DHS employee named Philip Haney who wrote in The Hill that in November 2009 he was ordered by my superiors at the Department of Homeland Security to delete or modify several hundred records of individuals tied to designated Islamist terrorist groups like Hamas from the important federal database, the Treasury Enforcement Communications System (TECS). Looking back at this Obama-authorized deletion of evidence Haney commented: It is very plausible that one or more of the subsequent terror attacks on the homeland could have been prevented if more subject matter experts in the Department of Homeland Security had been allowed to do our jobs back in late 2009. Another type of investigation sabotaged by Obamas Dept. of Justice was the investigation of a Black Panther member who aggressively and willfully intimidated voters at a polling place in Philadelphia in 2008. The Obama Administration let the Black Panther member off the hook in 2008 but the leader of that Black Panther chapter, King Samir Shabazz, got arrested on gun charges in 2013. With the Obama Administrations obsession with guns one would expect them to take these charges very seriously. Previously, King Shabazz had uttered numerous comments that were hate speech when he stated that he wanted his followers to raid nurseries and kill everything white in sight. He also recommended that black people should create militias to exterminate whites, skin them alive, pour acid on them, sick pit bulls on them, bust their heads with rocks. Only when he was found in New York City to be carrying an unlicensed gun was any law enforcement action done against him. So while these actions by the Obama Administration may appear to be purely political they are also violations of Title 18 laws regarding the conduct of Federal officials in the handling of investigations. 18 U.S.C. 1501-Obstruction of proceedings before departments, agencies, and committees: Whoever, with intent to avoid, evade, prevent, or obstruct compliance, in whole or in part, with any civil investigative demand duly and properly made under the Antitrust Civil Process Act, willfully withholds, misrepresents, removes from any place, conceals, covers up, destroys, mutilates, alters, or by other means falsifies any documentary material, answers to written interrogatories, or oral testimony, which is the subject of such demand; or attempts to do so or solicits another to do so; or Whoever corruptly, or by threats or force, or by any threatening letter or communication influences, obstructs, or impedes or endeavors to influence, obstruct, or impede the due and proper administration of the law under which any pending proceeding is being had before any department or agency of the United States, or the due and proper exercise of the power of inquiry under which any inquiry or investigation is being had by either House, or any committee of either House or any joint committee of the CongressShall be fined under this title, imprisoned not more than 5 years or, if the offense involves international or domestic terrorism (as defined in section 2331), imprisoned not more than 8 years, or both. Similarly 18 U.S.C. 1506-Theft or alteration of record or process; false bail: Whoever feloniously steals, takes away, alters, falsifies, or otherwise avoids any record, writ, process, or other proceeding, in any court of the United States, whereby any judgment is reversed, made void, or does not take effect Shall be fined under this title or imprisoned not more than five years, or both. Note that the words intention and by mistake do not appear in these laws. Of course these laws also apply to Hillarys use of official emails and her responses in investigations. Philip Haney wrote that some of the terrorist acts committed in the US could have been prevented if not for Obamas order to scrub and delete records. No wonder President Obama wants Hillary to become President. A Republican President and an honest AG may go after him. Imagine you are an officer in a perceived threat environment with just seconds to make a decision. You pull the trigger, and an unarmed black man lies dead. Numerous excellent eyewitnesses, mainly professional, provide overwhelming evidence of your culpability. It is captured on video. What happens? Well, as I document in my new book, TWA 800: The Crash, The Cover-Up, The Conspiracy, if you are a naval officer, and you are lucky enough to make this tragic error during a Clinton reelection campaign, the witnesses are silenced, the video is seized and likely destroyed, the media turn a blind eye, families of the black man and the 229 other dead are lied to, and you get reassigned. Now, if you are a naval officer during a Republican presidency, you run more risk. In July 1988, Capt. Will Rogers III gave the order to fire two Standard Missiles at a commercial Iranian Airbus, IR 655. Rogers and his crew had mistaken the ascending passenger jet with 290 on board for a descending Iranian F-14, a fighter plane. The media hopped on this one. Hearings were held. Rogers was put out to pasture, and his superiors might have been cashiered had not Adm. William Crowe, chairman of the Joint Chiefs of Staff, shocked the military by endorsing Bill Clinton for president in 1992. The authorities never threatened Rogers with prison. Nor should they have. Yes, 290 people died, most of them minorities, but he made an honest mistake under very difficult circumstances. Unfortunately, as we have seen time and cliched time again, the ordinary street cop -- or, in George Zimmermans case, the neighborhood watch captain -- is cut no such slack. If the dead man is black, the media pounce, the pundits rant, the politicians accuse, the protestors march, the president condemns, and the word of every last bizarre witness is received as though it came from a burning bush. As to the peacekeeper in question, he faces a public frenzy of the sort Mayella Ewell wrought on Tom Robinson, only shriller and vaster. Scarier still, the accused will find that the good liberal Atticuses have abandoned the jailhouse doorway and joined the mob. There, they too howl not for justice, but for racial vengeance, guilt assumed, whiteness assumed (if close), evidence be damned. Officer Jeronimo Yanez in Minnesota and Officers Blane Salamoni and Howie Lake II in Louisiana join a lengthening lineup of those presumed guilty for killing a black man. I cannot even say presumed guilty until proven innocent. As George Zimmerman and Fergusons Darren Wilson can attest, in the eyes of todays left, once presumed guilty, one forever remains guilty. With almost no one noticing, the left has taken a perversely dark turn. Whereas leftists once contented themselves with proclaiming the guilty innocent, a tradition dating back to Sacco and Vanzetti, today they are prepared to proclaim the innocent guilty. Once branded, no jury verdict or grand jury decision can erase the accuseds Scarlet R, as in racist. From the lefts perspective, it does not matter if the black man is beating your head against the sidewalk, charging at you down the street, wrestling with you to pull his gun, or reaching for an illegally owned pistol during a legitimate traffic stop. If you shoot the black man, the left gives you less consideration than if you shot a clerk at a 7-11. The right, easily intimidated, does little better. Yes, there are some bad apples and, yes, we will find ways to deal with them but in no way do we indict the entire police force," said Dr. Ben Carson in response to the shootings in Minnesota and Louisiana. Carson, however, had far too little information to suggest the accused cops were bad apples. They each made spontaneous decisions in the midst of a life threatening circumstance. At this stage, it is hard to tell whether or not they even made the right decision. The Louisiana video tells us little. The Minnesota video tells us nothing. In comparing these bad apple police to bad surgeons, Carson overlooks some fundamental differences between these professions. Even in his most challenging moments, a surgeon never fears for his own life. A cop often does. If a surgeon makes a fatal error, he gets sued. If a cop makes a fatal error, he can go to prison for the rest of his life or get killed. In either case, he will leave his family about one-tenth the estate a surgeon would. Consider the case of the presumed rottenest of bad apples, Michael Slager of North Charleston, South Carolina. A Coast Guard veteran and five-year member of the force, Slager had been commended by his superiors for demonstrating "great officer safety tactics" in dealing with suspects. On April 4, 2015, Slager made a legitimate stop on fifty-year-old Walter Scott for a nonfunctioning taillight. Slager politely approached Scott, took his information and returned to his car to check it. Scott then bolted from his car. Slager, thinking Scott guilty of something serious, pursued the heavy-set man. The alcohol and cocaine in Scotts system may have impaired his judgment. An amateur video picks up Scott and Slager wrestling on the ground some distance from the patrol car. Scott appears to have grabbed Slagers Taser. As Scott begins to run away, Slager shoots him from behind and kills him. Three days later, Slager is arrested for murder and placed in solitary confinement without bail for the next nine months. He is now under house arrest awaiting trial. According to the courts, a cop cannot shoot a fleeing suspect unless he poses a significant threat of death or serious physical injury to the officer or others. Slager believed Scott did pose such a threat. Scott had taken his Taser. Said Scott, I did what I had to do to save my life. A young Toronto computer geek, who had intended to use his video skills to confirm Slagers guilt, found himself supporting Slagers contention after a close analysis of the video. Slager, alas, has no more chance of getting a fair trial than the Scottsboro boys did in Depression-era Alabama. Let us say, though, the evidence shows he did shoot Scott unnecessarily, and the judge condemns him to life in prison. Out of fear or self-love, the media and most of the political class would publicly applaud. The fact that a military veteran left his pregnant wife that morning to a do a thankless job, made a polite and appropriate stop of an obviously guilty black man, and shot him in a moment of panic and desperation would trouble none of them enough to say the obvious: There is no more reason ordinary cops should be tried as common criminals for making a fatal mistake than soldiers or sailors or surgeons. Jack Cashill is the son, nephew, and cousin several times over of ordinary cops. Danish author Bjorn Lomborg has articulated one of the most compelling arguments against the agenda of the climate alarmists not by denying climate change, but by demonstrating how wasteful all government attempts are to control it. For years, in books such as Cool It: The Skeptical Environmentalist's Guide to Global Warming, Lomborg has been the adult in the room, pointing out that hundreds of billions of dollars have been squandered on green energy even as one billion of the world's people go hungry. Had those dollars been invested productively, the world would be a better place. In this conclusion Lomborg is most certainly correct, and he has shown extraordinary courage in confronting the climate alarmists. Still, his analysis is flawed on two important points. First, Lomborg would redirect state spending to targeted projects rather than return it to the private sector. State spending to fight poverty and disease is a worthy end but one that Western governments have been engaged in for half a century with little result. If anything, Western aid has worsened the condition of the poor in developing nations by strengthening the position of ruling elites who siphon off funds to preserve their rule. Those countries that have made strides, such as Botswana in Africa or India under Prime Minister Modi have done so because they have embraced Western values of capitalism and the rule of law not because of foreign aid. The best medicine for impoverished countries that refuse to embrace capitalism is to allow them to fail and to learn the lesson that capitalism works, while socialism does not. Second, Lomborg has not adequately stressed the underlying issue of why the world's leaders have so readily signed on to climate change initiatives such as that agreed to in Paris in April 2016. If Lomborg is right and government actions to slow climate change are doomed to fail, why is it that so few world leaders have recognized this? If his idea of redirecting climate spending to more efficient ends makes sense, why is it that no nation has agreed to so do? The answer is that governments view the climate "crisis" as an excuse to increase revenues, not as a means of halting climate change itself. As Lomborg himself shows, if every nation delivers on its Paris Agreement promises, the earth's temperature will drop by 0.08 degrees Fahrenheit by the end of the century, according to U.N.-sponsored models. The people of the U.S. and other developed countries are asked to make unprecedented sacrifices, akin to rationing that took place during the Second World War, to achieve an imperceptible 0.08-degree drop in temperatures on the basis of unreliable computer models. And yet over 200 nations (counting the EU members separately) signed the Paris Agreement. It wasn't to save the planet. It was, I suspect, to expand state power and to enrich world leaders and their cronies. How many bureaucrats in Brussels and elsewhere owe their lucrative employment to the myth that climate change threatens the planet? The directorate general for climate action, part of the European Union Climate Change Programme, has a staff of 160. It is just one of hundreds of such bureaucracies generously funded in Europe and North America. It goes without saying that none of these bureaucracies produces a single drop of oil or kilowatt of electricity. Just the opposite, they impose obstacles to production. No politician, once he gains power, wishes to reduce the scope of his own power. The climate change agenda is just too tempting. By spending on green energy, taxing carbon, and regulating emissions, governments gain a stranglehold on the energy sector and with it they gain the power to redirect spending to constituencies and extract contributions from lobbyists. In many cases, government officials engage in outright corruption; their control of the energy sector makes possible graft on an enormous scale, as has been alleged or proven in Russia, Brazil, Nigeria, Kazakhstan, and other states. While Lomborg's analysis of the economics of climate change spending is compelling, it doesn't go far enough. It quite properly labels green spending as wasteful, but it fails to highlight the real motive for that spending. Everyone from world leaders and corporate CEOs on down to the leaders of environmental non-profits and media commentators has a stake in promoting climate alarmism. The energy sector, comprising some 8% of global GDP, is simply too tempting. At this point, everyone has their fingers stuck in the pie, and it is unlikely they will extract them any time soon. Conservatives should be grateful to Lomborg for his keen analysis of just how ineffectual the environmentalist response to global warming has been. But Lomborg is not a free-market conservative. Redirecting the flow of global warming funds to "more worthy" state-sponsored projects is not the same as allowing the free market to operate as it should, unfettered by government mandates, regulation, and excessive taxation. Lomborg's seemingly worthwhile project of ameliorating climate change for example, by spending more state funds on green technologies rather than restricting carbon usage is just another statist approach to a problem that doesn't exist. If man-made warming is growing as slowly as Lomborg suggests and natural inputs account for a significant percentage of warming over the past century (with essentially no warming over the past 15 years), why should governments be entrusted with trillions in revenues to spend on new technologies, given their disastrous record so far? Lomborg makes a strong case against government regulation of carbon, but his support for an aggressive program of green technology development sounds a lot like one million Solyndras. Bjorn Lomborg offers a brilliant and clear-sighted analysis of the failings of the climate alarmism, but his thinking, if carried to its natural conclusion, does not support increased government spending on green energy technologies. Rather, it points to an embrace of the free market unburdened by government control. For more than two decades, Lomborg has courageously defied climate alarmists by forcing them to account for the costs of their green energy "solutions." The next logical step would be for him to admit that with energy production, as with all other sectors of the economy, laissez-faire capitalism works best. Jeffrey Folks is the author of many books and articles on American culture including Heartland of the Imagination (2011). Veteran reporter and pundit Andrew Malcolm is accustomed to looking for hidden motives in political figures, and he is not a fan of Donald Trump. For over a year he has been raising the possibility that Trump is a stalking horse, whose real purpose in running for president is to secure the election for Hillary Clinton. In his column today for McClatchy, he assembles a disturbing amount of evidence. Whether intentional or not, Trumps candidacy will focus attention on him and elect the Democrat whom hes long supported. Nothing has happened since to change our mind, save that another Clinton White House could be an unintended consequence of an enormous Trump ego that expands faster than the universe. Trump and Clinton are long-time friends and supporters of liberal causes. He's contributed generously to her campaigns and family foundation. Trump conferred with her husband just before announcing his candidacy. And with Hillary Clinton's FBI exoneration last week, we've seen the power of a Bill Clinton chat, at least with Attorney General Loretta Lynch. Malcolm analyzes Trumps behavior: Since locking up requisite delegates to hijack the GOP, Trump has done everything possible to torpedo his own campaign as a serious candidate and help Clintons stumbling candidacy. His fundraising is tardy and half-hearted. Hes being battered by millions in unanswered negative ads like the ones that bloodied Mitt Romney beyond repair in 2012. His campaign staff turmoil dominated June news. Trumps done little to unify a fractured GOP riven with suspicions over his conservative credentials and with fears for their own political survival inside his Nov. 8 ballot blast-zone. After a Friday meeting House Republicans said sound bites distort how personable Trump is. So why not show the good side if he really wants to win? And, as many have noted, Trump is all but forfeiting on a gift he received: ... now that Clinton has serial setbacks, Trump routinely steps in to divert attention back to himself. Whether its his uncontrollable spotlight addiction or not, the result is to protect the Democrat he allegedly wants to defeat. And thus Trump forfeits political opportunities to cash in on Clinton troubles. For instance, FBI Director James Comey gave Clinton a gift by declining to prosecute her for the email scandal. But the first 10 minutes of Comeys on-camera remarks read like a federal indictment for perjury and national security violations. Trump could also point out Clintons emails were under subpoena when she destroyed them. A goldmine for a genuine opponent. But no. Instead, Trump dredged up his old remarks about Saddam Hussein being a great terrorist-killer. And re-ignited attention to his Star of David gaffe by distributing a similar image on a Disney ad. Seriously? The media are evergreen Republican targets, but theyre not on the ballot. My own reading is that Trumps ego would not let him set himself up as a loser. But Trump also likes to structure deals so that no matter what happens, up to and including bankruptcy, he ends up with a profit. On the other hand: German citizens have been shielded from the awful truth about the way life in Germany has been altered by the arrival of hundreds of thousands of young Muslim refugees. It is no longer safe for German women and girls to go about the streets dressed and behaving as they have in the past. That is the only conclusion that can be drawn from the shocking news that the mass sexual attack in Koln that received publicity a few days after it occurred was far from an isolated event. Standard.net reports: LONDON - At first, there was complete silence from officials. As rumors spread on social media, police had nothing to say about allegations of mass sexual assaults and other crimes carried out on New Years Eve in the German city of Cologne. It was only days later that officials reported that hundreds of women were victims of assault in Cologne, Hamburg and other German cities. But numbers that are now emerging are likely to shock a country still coming to terms with what happened in Cologne more than half a year ago. According to a leaked police document, published by Germanys Sueddeutsche Zeitung newspaper and broadcasters NDR and WDR, the previous estimates have to be dramatically revised - upward. Authorities now think that on New Years Eve, more than 1,200 women were sexually assaulted in various German cities, including more than 600 in Cologne and about 400 in Hamburg. More than 2,000 men were allegedly involved, and 120 suspects - about half of them foreign nationals who had only recently arrived in Germany - have been identified. When serving my first few years in the Air Force, I was driving my new 1969 Mustang convertible. I was stationed at SAC Headquarters, so I was checking out Omaha, exploring the countryside. Soon I noticed I was being followed by a patrol car. He put on his lights and pulled me over. I was not speeding and knew it; there was no justification for pulling me over, yet he did. He checked my registration, ran it through the system, with no problem. I said, Why did you stop me? The officer then transparently made up a story, Oh, a car matching your description was involved in a crime. I knew this was not true. My car was a brand new Special Edition 1969 Mustang model, unusual jade green color, with a white top and interior. The only one of its kind. I had bought it out of the showcase window. So, obviously, I was pulled over because I was black, or black and in a nice automobile. A month later, I got married. Nebraska had a high Polish population. I married a beautiful Polish girl, Arlene. I decided to take leave for our honeymoon and drive us to Buffalo, New York, where she had lived, and see Niagara Falls. I was driving from early morning until late at night and crossed into Ohio. While on the highway, a car behind me pulled up just behind me, in the adjacent lane on the drivers side. The car stayed there at my pace for some time rather odd in general highway driving etiquette. The headlights became rather blinding and impeded my vision. I slowed a bit to encourage the rude car to pass; he slowed down. I slightly sped up to move away, and quickly the car pulled behind me and put on alarming police lights, pulling me over. It was a highway patrol car. The officer asked sternly for my drivers license. I asked, Why did you pull me over? He stated, You were exceeding the speed limit! I said, I wasnt exceeding the speed limit, and you know that, as you were on my tail. You were the cause of me speeding up to remove your bright lights in my eyes. I didnt know you were the police. You had your bright headlights unsafely shining in my side mirror, so I tried to get you to move, as it wasnt safe. He put my information into the system, and I was cleared...but then he said, Im taking you in for questioning. Pull behind me and follow me to the highway patrol station. I was indignant at this treatment, but I went along. Then I sat with my new bride, waiting and waiting in the early morning light for more than an hour! We were in a room full of police busy with real crime. Finally, a patrolman ambled out and confirmed that I was indeed on leave and had not gone AWOL. That was what they were said they were checking. There was simply no indication that I would be going AWOL, because I had out-of-state plates that checked out clean. Obviously, the real reason was that I was black and my wife was a beautiful blond white woman. Oh...I forgot one thing in this story. While all of this is true, theres one detail I got wrong: Im not actually black. So I guess it wasnt for racial reasons, after all. Often bad things happen to white people. If the person is black, the reflex is more often than not to charge the same unfair treatment by police in racial terms. Such indignities are endured by people of any and all colors. The trend to hypersensitivity and the unnecessary black victimization theme is raising a generational culture destined to impede black progress as it raises paranoia. Rusty Walker is a world-traveled independent political analyst, former educator, author, Vietnam veteran-era U.S. Air Force, from a military family, retired college professor, former Provost (Collins College, USA), artist, black belt in Shuri-Ru Karate, musician, and family man. He is also a writer for Let Us Build Pakistan. Former Philadelphia police commissioner Charles Ramsey told Meet the Press that he expects "some incident" to occur to mar the political conventions later this month. He also stated that America is sitting on a "powder keg" and that we're in "a very, very critical point in the history of this country." NBC News: Charles Ramsey, one of the co-chairs of President Obama's task force in community policing and former Philadelphia police commissioner, told NBC's Chuck Todd he doesn't think the upcoming Republican and Democratic national conventions are going to occur "without some incident taking place." "It's unfortunate, but that's what I personally think. I hope that's not the case. But you've got too many people that are now with this extreme rhetoric, and that is just not good for anybody," Ramsey said. The GOP convention begins on July 18 in Cleveland. The following week, the Democrats will kick off their convention in Philadelphia. When it comes to the "volatile time we're in right now," Ramsey also stated his belief that thoughtful people need to "sit down and engage in dialogue" in order to come up with the solutions to the problems that our country currently faces. Ramsey was appointed to the presidential task force on 21st century policing in the wake of tragedy and unrest in Ferguson, Missouri. The former commissioner said that there has "absolutely" been progress made because of the task force but that stumbling blocks along the way should be expected. His reference to a powder keg is apt, considering the fact that the demonstrations against police shootings have become more violent in recent days. We are sitting on a powder keg, he said. You can call it a powder keg. You can say that were handling nitroglycerin, but obviously, when you just look at whats going on, were in a very, very critical point in the history of this country. [...] Ramsey told NBC News Chuck Todd that some crime rates are on the rise, explaining that, on average, there are about 13,000 murders in the United States each year but that these are not shootings by police. These are people killing people, he said. Who do you think goes after the people responsible for these crimes? Its the cops, he added. And we encounter a lot of very dangerous people out there on the street. So we can look at numbers in a variety of ways, but I think we need to keep it in context that police officers have a very challenging and often dangerous job. Now thats not to say that we should not be mindful of the fact that we have some officers that use excessive force, that shoot people when its not totally justified. Weve got to really address that and hold them accountable. But it is not a reflection of the department and policing at large. Considering all the sweet talk coming from the rest of the administration, Ramsey's words are a sober reminder of just how close we are to an explosion of violence that would threaten not only the conventions, but the core of civil society. I vividly recall the "long, hot summers" of the 1960s, when city after city burst into flames. Given the hysteria ginned up about cops deliberately targeting blacks for death, we could easily see something similar this summer. Consider this possibility about Hillary's unsecured server and why the FBI decided not to prosecute. In 2009 (see article), the State Department asked the NSA to provide a secure BlackBerry for Hillary Clinton, which the NSA declined. That request, I believe, put Hillary's State Department communications on the NSA's radar. Whether they shared this knowledge with the CIA and the FBI, we won't know, but I think all three quickly determined that Hillarys email system was operating with no real security. Knowing that her electronic communications were so vulnerable, it may be that the NSA intentionally declined the State Departments request so that her communications could be more easily intercepted. Knowing that other intelligence agencies would also be reading her mail, it is possible that U.S. intelligence agencies made the decision to use her as an unsuspecting double agent, feeding her classified messages that they would want leaked, including just enough true information to make it plausible. At the same time, the real State Department operations would be conducted in a much more secure manner, with friendly governments being told to essentially disregard what Hillary said or did. At no time did Hillary know of her role as an intelligence asset. A good example of this type of deception (although the main person knew of his role) was "Operation Fortitude," the plan in 1944 to make the Germans think the main D-Day invasion would occur at the port of Pas de Calais instead of Normandy. The ruse was so effective that the Germans thought the actual Normandy landings were a feint to draw forces away from Calais. Given this possibility, I believe that, had Hillary been indicted, the carefully hidden ruse would have been uncovered. So don't be surprised if you read in a history book, say, fifty years hence, that Hillary's carelessness was used to America's advantage. The racial grievance industry includes significant participation from taxpayer-funded entities. The politicized Obama-era federal bureaucracy is nowhere more blatant than in a little-known unit of the Justice Department, the Community Relations Service. Originally part of the Commerce Department, it was established by the 1964 Civil Rights Act and moved to the Justice Department by an LBJ order. It supposedly is: the only Federal component dedicated to assist State and local units of government, private and public organizations, and community groups with preventing and resolving racial and ethnic tensions, conflicts, and civil disorders, with the intent of restoring racial stability and harmony. In practice, it has operated as part of the racial grievance industry. Judicial Watch succeeded more than a year ago in prying free documents that revealed how it helped agitate in the wake of the death of Michael Brown in Ferguson, Missouri: ... the DOJ produced documents showing taxpayers were billed $15,000 on travel to send eight CRS agents (including the CRS Director and Deputy Director) to Ferguson between August 10 and September 3. The new documents show: The CRS had at least two Conciliation Specialists, Darryck Dean and Rita Valenciano, on the ground in Ferguson by the day after the shooting. (In 2007, Ms. Valenciano, who was then an advocate for illegal alien rights, helped lead an effort to remove Frances Semler from a Kansas City parks board because of Semlers views against illegal immigration. Ms. Semler ultimately resigned .) On August 29, Dean and Valenciano moderated a community meeting with the NAACP that, according to St. Louis Public Radio, also served as platform to discuss the importance of voting in affecting change. CRS went to Ferguson within 24 hours of the incident at the request of the NAACP. According to a Valenciano travel voucher , The NAACP requested CRS assistance by email and phone: CRS traveled to Ferguson, MO on Sunday afternoon, August 10, 2014, in response to community tension based upon the shooting of an unarmed African American teenager on Saturday, August 9, 2014, by a Ferguson police officer. The community was outraged by the shooting and began gathering in front of the Ferguson Police Department and at the scene of the youths death. The NAACP requested CRS assistance by email and phone call on Sunday afternoon as crowds exceeded 400 in front of the police department. After a community vigil held on Sunday, which was attended by over one thousand people, segments of the crowd became unruly and rioting, looting and randam [sic] shootings occurred. CRS has met with the NAACP, spoken to the USA (MO Eastern District) and the chiefs of police of St. Louis County and Ferguson, MO. CRS will continue to identify local African American community leaders to address concerns, ease community tensions and prevent further violence. (Case numbered TBD) CRS was present during at least one of the Ferguson demonstrations. An August 16 expense claim is for rain ponchos for demonstration site. August 16, 2014, was a day of terrible rioting in Ferguson. Several CRS vouchers state that immediately after the shooting, CRS personnel spoke with the U.S. Attorney for the Eastern District of Missouri, who would be responsible for any federal civil rights prosecution of Officer Wilson. According to the DOJ website, The U.S. Attorneys Office is responsible for the prosecution of federal crimes in the Eastern District of Missouri, including crimes relating to terrorism, fraud, public corruption, firearms, narcotics, child exploitation and human trafficking. According to the St. Louis Post-Dispatch, CRS agents met with Browns family the following day after the fatal shooting. Ferguson mayor James Knowles told The Daily Caller that the federal agents were in his town training demonstrators on how best to participate in protests. On August 20, Eric Holder met with the Brown family, telling them that his own run-ins with police had left him angry and upset, adding, I am the attorney general of the United States, but I am also a black man. And the day after the midterm elections, President Obama held an unscheduled meeting with Ferguson protest leaders, telling them to stay the course. The CRS and the Justice Department under Eric Holder have shown little inclination to enforce the law in a race-neutral manner. Justice Department employees dropping everything to rush to the side of the far-left NAACP speaks volumes as to what CRS is up to, said Judicial Watch President Tom Fitton. Given Obamas and Holders incendiary racial remarks on Ferguson and criticism of the police, no one is fooled by Justice Department protestations that its taxpayer-funded community organizers in Ferguson are neutral. It is no surprise that rioting worsened with increased involvement of the racially-biased Justice Department. The Justice Department has yet to comply with Freedom of Information Act law and provide all of the responsive documents about what it is up to in Ferguson. Disclosure is urgent and this new Holder cover-up must end as there are grave concerns about more violence in response to the results of grand jury proceeding there. See no evil, hear no evil seems to be the watchword of the Obama administration when it comes to Iranian cheating on the president's pride and joy: the deal that's supposed to prevent Iran from building an atomic bomb. The Washington Free Beacon has learned that Germany has passed along intelligence to the U.S. government indicating that Iran is still buying nuclear materials that would allow it to build a bomb, as well as illegally obtaining parts that aid in its missile program. The Obama administration is brushing aside new German intelligence reports indicating that Iran has accelerated its efforts to procure key nuclear materials, despite promises to end this behavior as part of last summers nuclear accord, according to comments by a U.S. official provided to theFree Beacon. Germanys internal intelligence agency concluded in a recent report that sources have witnessed extensive Iranian attempts to procure illicit materials, especially goods that can be used in the field of nuclear technology, according to the report. The report appears to show that Iran is not upholding its most critical commitments under the nuclear deal. German Chancellor Angela Merkel made it clear this week that the intelligence shows Iran continued unabated to develop its rocket program in conflict with the relevant provisions of the UN Security Council, particularly one Security Council resolution that bars Iran from pursuing ballistic missile technology. The intelligence report and subsequent warnings were met with a tepid response from the Obama administration, which declined to comment on the intelligence and told the Free Beacon that it continues to view Iran as complying with the nuclear accord. The administrations response stands in sharp contrast to that of leading GOP lawmakers, who told the Free Beacon that the White House is intentionally ignoring Irans bad behavior. We believe that Iran is continuing to meet its [Joint Comprehensive Plan of Action] commitments, a State Department official authorized only to speak on background told the Free Beacon. When pressed to explain how this comported with Germanys warnings, the official said the administration would refer you to German authorities for any comment about reports attributed to them. The administration official would not take a stance on indications that Irans procurement activitywhich has increased nearly twofold since the nuclear dealcould violate U.N. Security Council Resolution 2231, which bans such activity. As for UNSCR 2231, we have spoken previously about how Irans reported missile launches are clearly inconsistent with the resolution, the source said when asked if the new intelligence shows that Irans behavior constitutes a violation of the resolution. A CIA official declined to comment on Germanys findings and whether they comport with U.S. intelligence assessments. Even if the administration called out Iran for violations of the deal, the sanctions wouldn't "snap back" into place until a lengthy examination took place and a panel that would decide if Iran is cheating voted to cite Tehran. Then you'd have to get the Security Council including Russia and China to agree to reimpose the sanctions that have recently been lifted. Good luck with that. In fact, the president lied when he assured Congress and the American people that if Iran was caught cheating, the sanctions would "snap back" into place. No such thing will ever happen. Obama knew it at the time, and he certainly knows it now. This is why the administration is ignoring the German intelligence; it couldn't do anything about it even if it wanted to. A grand July 9 rally in Paris became a major platform calling on the international community to begin shifting its Iran policy. The regime of Tehran has no place in the future of Iran and the Middle East, and the Wests flawed appeasement policy vis-a-vis the mullahs has failed, leading to Irans expansionism across the region. Imperative now is unity among Middle East countries against Tehran and in support of the organized Iranian opposition, the National Council of Resistance of Iran (NCRI) and its president-elect, Maryam Rajavi. The Paris event demonstrated the serious steps taken to render such an alliance. Prominent political figures and M.P.s from all over the world and especially various countries in the Middle East displayed a colorful array of support for the Iranian opposition. Saudi Arabias Prince Turki bin Faisal Al Saud, the former head of Saudi intelligence, was among the special guests in this event. His voice symbolized the intention of Arab states to adopt a new perspective for change in Iran, establishing peace in the Middle East, and condemning Tehrans notorious meddling in the entire region. The keynote speaker of the rally was Iranian opposition leader Maryam Rajavi, a leading advocate of unity among Arab and Islamic nations. The year-old nuclear deal between Iran and the world powers has done little to deter the Iranian regimes menacing activities in Syria, Iraq, Yemen, Lebanon, Bahrain, Kuwait, and other countries in the region. This has left no question why many regional governments have severed ties with Tehran. Rajavi criticized the West for its failed attempts to curb Iran through appeasement, stressing that the results have so far been the reverse of expectations. In the year since the nuclear accord, Rajavi said, many of the sanctions were lifted and oil exports increased. But the generated revenues were poured into the Syria war inferno. Concerns among regional countries over ongoing crises in the Middle East preventing peace in the region is regarding Irans continuing expansionism and focusing efforts to shore up the Bashar Assad regime, meddling in Iraq and the continued war in Yemen. The Wests silence in the face of Tehrans meddling is enabling the mullahs commit further genocide throughout the region. This has rendered a similar modus operandi adopted by Iran and Daesh (ISIS) in reading from the same script and abusing the teachings of Islam to commit barbarity and savagery. In his speech, Prince Turki bin Faisal denounced the Iranian regimes role in destabilizing the Middle East and called for strong international ties to be made with the Iranian Resistance. Your fight and struggle against the Iranian regime will triumph soon, and this is a matter of when, not if, he said. I want regime change, too, Prince Faisal responded to the crowds slogans for the overthrow of the Iranian regime. We in the Islamic world will support you from the depth of our hearts, he emphasized. As the Middle East continues to burn in turmoil, the international community is learning more than ever before of Irans leading role in fueling this blaze. Iran is allocating billions to safeguard its own interests across the region, coming at the cost of innocent lives and vast destruction in Syria, in Iraq, and beyond. The innocent people of the region remain victims of the support provided by Tehran for the regime of Bashar Assad and financing its armed militia groups in Iraq. The Iranian opposition has become a leading voice loathing the mullahs campaign of dispatching tens of thousands of troops to various countries under the close watch of Quds Force commander Qassem Suleimani. This regime represents a challenge that can be properly addressed only through firm action, such as the measures seen taken against its support for the Houthis in Yemen. After a virtually endless string of failures in taming the Iranian regime through concessions and leniency, the world community now has before it the opportunity to adopt a firm policy of supporting the Iranian people and the organized NCRI opposition alternative to deliver a strong message to the mullahs in Tehran. We offer a solution that is the only effective option, and the most indispensable and attainable answer, Mrs. Rajavi said in her speech, the right of the Iranian people to overthrow the religious dictatorship and attain freedom and democracy must be recognized. President Obama issued the following racially inflammatory statement about two black men killed by police hours before the Dallas massacre: "All Americans should be deeply troubled by the fatal shootings of Alton Sterling in Baton Rouge, Louisiana and Philando Castile in Falcon Heights, Minnesota. We've seen such tragedies far too many times, and our hearts go out to the families and communities who've suffered such a painful loss. Although I am constrained in commenting on the particular facts of these cases, I am encouraged that the U.S. Department of Justice has opened a civil rights investigation in Baton Rouge, and I have full confidence in their professionalism and their ability to conduct a thoughtful, thorough, and fair inquiry. But regardless of the outcome of such investigations, what's clear is that these fatal shootings are not isolated incidents. They are symptomatic of the broader challenges within our criminal justice system, the racial disparities that appear across the system year after year, and the resulting lack of trust that exists between law enforcement and too many of the communities they serve. To admit we've got a serious problem in no way contradicts our respect and appreciation for the vast majority of police officers who put their lives on the line to protect us every single day. It is to say that, as a nation, we can and must do better to institute the best practices that reduce the appearance or reality of racial bias in law enforcement... In the meantime, all Americans should recognize the anger, frustration, and grief that so many Americans are feeling -- feelings that are being expressed in peaceful protests and vigils. Michelle and I share those feelings. Rather than fall into a predictable pattern of division and political posturing, let's reflect on what we can do better. Let's come together as a nation, and keep faith with one another, in order to ensure a future where all of our children know that their lives matter." This kind of talk is for one purpose only: to increase racial agitation. What the president stated is the opposite of the truth. There have been many studies conducted by reputable organizations. The facts are these: 1. Police killed twice as many whites in 2015 as blacks. Why did the president not mention Dylan Noble, a 19-year-old white man killed by police in Fresno, Calif. on June 25? 2. More whites and Hispanics are killed by police than blacks. Actually, 12 percent of white and Hispanic homicide deaths were due to police officers, while only 4 percent of black homicide deaths were the result of police officers. The majority of black homicides are the result of killings by other blacks. 3. Unarmed black men are more likely to die by the gun of a cop than unarmed white men...but that fact alone does not tell the whole story. Black men, more often than white men, will attempt to assault the officer, grab the officer's gun, or otherwise attack the officer. In several cases, the man attacked and began to beat the officer, and in some cases where a physical altercation was involved, there was an accidental firing caused by his own assault on the officer. Statistically, these are all considered a death of an unarmed person. 4. Black and Hispanic officers are more likely to fire a gun at blacks than white officers. 5. Blacks are more likely to kill cops than to be killed by cops. Forty percent of cop-killers are black. Providing inaccurate information to the public by the administration has deadly consequences. Gary Aminoff lives in Los Angeles, writes at Bear to the Right, and can be reached at gaminoff@gmail.com. Speculation on Donald Trump's choice of running mate is reaching a fever pitch, as the nominee is expected to reveal his choice this week. Most GOP insiders believe that Indiana governor Mike Pence is on a very short list of candidates. But the Washington Times goes a step farther and declares that there's a 95% chance Pence will be the V.P. nominee. Constitutional lawyer James Bopp, an Indiana delegate to the Republican National Convention who is close the governor, told The Washington Times that Indiana House Speaker Brian C. Bosma, 58, a conservative Republican, had sought advice from him on running for governor. He wanted my counsel on what he needed to do to set himself up to run for governor, because he expects Pence to step down as governor in order to be Trumps running mate, Mr. Bopp said in an interview. The Trump election team boosted the Pence speculation Sunday by suddenly adding a campaign rally in Indianapolis to a fundraiser planned for Tuesday featuring Mr. Trump and Mr. Pence. Several Republicans close to the campaign and to the governor have told The Times over the last 24 hours that they are now convinced itll be Mr. Pence. Indiana Republican Party Chairman Jeff Cardwell said that Sundays sudden addition of a Trump rally after the fundraising event was a complete change from Mr. Trumps original schedule, which had called for a quick appearance at the fundraiser and equally quick exit from Indiana. Mr. Pence, who is little known nationally but highly admired in conservative circles, also made a telling private call to Mr. Cardwell, according to a Republican close to both men. In the call the governor told Mr. Cardwell to delay his planned Tuesday departure to Cleveland for a Republican National Committee meeting, saying Mr. Cardwell needed to be sure to attend an Indianapolis fundraiser featuringMr. Trump and Mr. Pence. The Trump-Pence fundraiser already was a big deal, with tickets are going from $2,700 to $250,000. But its scheduled date falling so close to the July 18-21 Republican National Convention here was being interpreted by some political observers as ideal for a possible VP announcement by the partys presumptive presidential nominee. But Mr. Cardwell also said the request to delay his departure for Cleveland came from the RNC, not the governor, and had nothing to do with Trump announcing Pence as his running mate. The problem with this story is that even though it appears that everything adds up and that Pence will be announced on Tuesday as the nominee's choice, Donald Trump is never one to do the predictable. While Pence would be the kind of choice that would reassure many Republicans reluctant to support the nominee, Trump has demonstrated in the past that he doesn't care. He will do it his way, and if people don't like it, tough for them. The Trump campaign has done a good job keeping everyone guessing about who the V.P. nominee will be, generating intense interest in the press and among Republicans. But sometime this week, Trump is going to have to announce a choice. Both Newt Gingrich and Chris Christie are acting as if the decision is nowhere near a done deal, which leads one to believe that Trump himself probably hasn't made up his mind yet. The famed Padmanabhaswamy temple dedicated to Lord Vishnu, the creator of the universe, in Thiruvananthapuram, in the state of Kerala, has fascinated India for many years. In centuries past, kings and dynasties had donated gold and jewels to the temple, sometimes weighing crown princes approaching adulthood and donating an equivalent weight in gold. It was rumored that these treasures are still hidden inside secret vaults beneath the ancient temple. Nobody knows how old the temple really is. Some scholars say it was established over 5,000 years ago. Even as early as 2,500 years ago, Padmanabhaswamy temple was known for its unimaginable wealth. The temple is mentioned in several Hindu texts belonging to the period between 500 BC to 300 AD, wherein it was referred to as the "Golden Temple". Ancient Tamil literature and poetry refer to the temple and even the city as having walls of pure gold. Padmanabhaswamy Temple, the richest temple in the world. Photo credit: Luke Appleby/Flickr The treasures were accumulated over several thousands of years, having been donated to the Deity by various Indian dynasties, as well as from the rulers and traders of Mesopotamia, Jerusalem, Greece, Rome and beyond. Also, at times of invasion many smaller temples in the then Kerala and extreme Southern region transferred and stored their wealth for safekeeping in the Padmanabhaswamy Temple. For a long time, the temple and its assets were controlled by a trust, headed by the Travancore Royal family. But in 2011, the Supreme Court directed the royal family to open the secret chambers of the temple and reveal its hidden wealth. Of the six vaults, five were opened. Among the valuables discovered in them include 800 kilograms of gold coins, an 18-foot-long pure gold chain, a gold sheaf weighing 500 kilos, more than 2,000 gold ornaments, a pure Golden Throne, studded with hundreds of diamonds and fully precious stones, several golden idols, and several sacks filled with golden artifacts, necklaces, diadems, diamonds, rubies, sapphires, emeralds, gemstones, and objects made of other precious metals. The treasure is estimated to be worth more than $20 billion, not accounting for its historical value which would probably be at least ten times more. The opening of the vaults, which is described by The New Yorker, reads like a script from an Indiana Jones movie. The doors to Vaults A and B required multiple keys, which had been entrusted to Varma and the temples current executive, V. K. Harikumar. The observers used the keys to open the metal-grille door to Vault B, and discovered a sturdy wooden door just behind it. They opened this door as well, and encountered a third door, made of iron, which was jammed shut. So they turned their attention to Vault A. Once again, they unlocked two outer doors, one of metal and the other of wood. They entered a small room with a huge rectangular slab on the floor, like a toppled tombstone. It took five men more than thirty minutes to move the slab. Beneath it they found a narrow, pitch-black passage, barely wide enough for an adult to get through, leading down a short flight of steps. It was just like the hollow covered by a stone described by the British missionary. Before the observers descended, a team of firemen arrived and used special equipment to pump oxygen into the enclosure. At the bottom of the stairs was the vault. One of the observers recalled his first glimpse of the treasure: When they removed the granite stone, it was almost perfectly dark, except for a small amount of light coming in through the doorway behind us. As I looked into the darkened vault, what I saw looked like stars glittering in a night sky when there is no moon. Diamonds and gems were sparkling, reflecting what little light there was. Much of the wealth had originally been stored in wooden boxes, but, with time, the boxes had cracked and turned to dust. And so the gems and gold were just sitting in piles on the dusty floor. It was amazing. A part of the treasure. Photo credit: srirangaminfo.com But thats only the tip of the iceberg. The still unopened sixth vault, Vault B, is rumored to be the largest and richest of all. Legend has it that beyond Vault B, there is a hidden chamber with thick walls made of solid gold containing immeasurable riches. According to an estimate made by the Travancore Royal Family, the treasure in the unopened Vault B alone could be worth at least one trillion dollars in present value. If true, this could be by far the largest undiscovered treasure find in the history of the world. The question that arises now is who should manage the fortune and how it should be used, if at all. The Indian government wants to melt the gold and loan it to jewelers to meet the countrys insatiable appetite for gold. Reusing the gold could cut Indias annual gold imports by a quarter, according to government and industry sources. But devotees and activists maintain that the gold belongs to the temple and should be left untouched. As India debates who this fortune belongs, the government has tightened up security in the temple. To protect the massive wealth, a state-of-the-art security system has been installed comprising of an aerial surveillance system, blast-proof perimeter walls, seismic sensors to protect the vaults from tunneling, surveillance cameras, baggage scanners, burglar alarms, and a visitor-tracking system. An idol of Lord Vishnu made of pure gold and weighing 32 kg, recovered from the hidden vaults of Padmanabhaswamy Temple. Photo credit: srirangaminfo.com Photo credit: Reji/Flickr Photo credit: Deepesh Soni/Flickr Photo credit: Roberto Faccenda/Flickr Photo credit: cea+/Flickr Sources: Wikipedia / The New Yorker / Forbes / Scroll.in / srirangaminfo.com / www.sreepadmanabhaswamytemple.org As most of you know, Samsung had introduced the Galaxy S7 and S7 Edge devices back in February. These two smartphones have been selling really well for the company, which resulted in Samsung Mobiles increased profits. Samsungs financial projections are looking really good at the moment, and theyre hoping that the release of the Galaxy Note 7 will improve their profits even more. The Galaxy Note 7 is expected to land next month, and weve already seen tons of leaked content when it comes to this phone, and the device was also benchmarked by both AnTuTu and Geekbench. That being said, the Galaxy Note 7 surfaced on Geekbench twice thus far, and it paid a visit to AnTuTu only once. Well, a new Geekbench listing surfaced quite recently, which means this is the third Galaxy Note 7 variant to hit Geekbench. The SM-N930F and SM-N920V have surfaced on Geekbench recently, and the SM-N930R6 is the latest variant of the Galaxy Note 7 to surface on Geekbench. This variant of the device is fueled by the Snapdragon 820 64-bit quad-core processor, along with the Adreno 530 GPU, and it also comes with 4GB of RAM on the inside. Its SoC is clocked at 1.6GHz, and Android 6.0.1 Marshmallow is also mentioned here, despite the fact the SM-N930F variant which was benchmarked recently ran Android 7.0 Nougat. Now, chances are that the Galaxy Note 7 will ship with Android 7.0 Nougat out of the box with Samsungs TouchWiz UI on top of it, though were still not sure, it all depends on when Google intends to release the final build of Android 7.0 Nougat. Advertisement Anyhow, this variant of the Galaxy Note 7, much like every other variant weve seen benchmarked thus far, could be the companys Lite version of their flagship phablet. Now, do keep in mind that the Lite variant is only a rumor, and it is supposed to refer to the 4GB RAM model of the Galaxy Note 7, as we do expect the 6GB RAM variant to launch as well. The Lite model might not even be a thing, Samsung might opt to call both variants the Galaxy Note 7 and be done with it, and thats even the most probable outcome. Either way, stay tuned, well report back if any more Galaxy Note 7-related rumors / leaks surface. People in emerging markets, such as Africa and India, tend to access the internet exclusively through mobile devices. This trend reflects a doubling of mobile internet users since 2011, rising from 18% of the world population to 36%. India is set to have the largest population of software developers in the world by 2018, sitting at about 4 million. Out of all of the programmers and coders in India right now, however, only about 25% are trained to develop for the mobile platform, the dominant force of internet access in the country. This large discrepancy between how the people of India use computing devices day to day and how they program for them is already counterintuitive at best, but could cause issues in the future when numbers begin to jump up. Because of that, Google has announced that theyre rolling out a new initiative to teach about 2 million developers everything they need to know about Android. Google will be working hand in hand with the Indian government and their Skill India initiative to roll out their new Android Skilling Program. Google will be partnering up with a large number of educational entities over the next 3 years, such as the National Skill Development Corporation of India, Koenig and Udacity to make cheap or free classes available to the people of India, meant to represent a full-course Android curriculum. Google touts the courses as a way for aspiring developers to go from zero to hero, calling the comprehensive Android coursework on offer end-to-end. Advertisement They are also rolling out a new certification program, called Associate Android Developer Certification. The certification is recognized worldwide as the mark of a successful student of Android development, ready to join the job market. The test to obtain the certification costs Rs. 6,500, and covers a full range of Android development essentials. Google also announced a new course that they will personally host, Android Developer Fundamentals. The course is led by an instructor, just like a college class, and is targeted at final-year computer science students and requires Java experience. New courses will also be popping up to supplement existing Android curriculum in web-based learning outlets like Udacity. Google is rumored to be launching two of their own smartwatches later this year likely with the two Nexus smartphones from HTC that will be running on Android 7.0 Nougat. These two watches are said to be sporting Google Assistant integration, and be more like a Nexus smartwatch. Instead of just a smartwatch built by one of their partners. These two smartwatches are codenamed Angelfish and Swordfish. Android Police has put together a render of these two smartwatches based on the information that their source has given them. For obvious reasons, they cant reveal their source, but they believe these renders to be quite accurate. The larger smartwatch above, is said to be the Angelfish model, while the smaller one is Swordfish. And as you can tell, the Angelfish model has three buttons, with the crown sticking out a bit more. And the smaller, Swordfish has just one button. The bezels also appear to be slightly different. With the Angelfish being a bit more flat and the Swordfish being more rounded. According to Android Police, the Swordfish also has a small bezel underneath the glass. They believe that this is how Google is able to steer clear of that flat tire look that Motorola has used in their two generations of the Moto 360. Advertisement Obviously, both of these smartwatches (if they exist, and if they are announced/launched) will be launching with Android Wear 2.0, which is expected to come later this fall. Android Wear 2.0 is a huge upgrade for Android Wear as a platform, although Google hasnt confirmed which smartwatches will get the upgrade we do know that the LG Watch Urbane 2nd Edition and the Huawei Watch will get it, since they are sporting the developer preview already. No pricing has been rumored or leaked on these two smartwatches. But you can expect them to be rather pricey. As these appear to be more high-end smartwatches, and most of those are already around $400 or more (unless youre looking at the TAG Heuer Connected, which is $1500). We should know more in a few weeks when Google takes the wraps off of the new Nexus devices that they have been working on with HTC. With the increasing popularity of Virtual Reality, production of 360-degree videos has also skyrocketed, with popular sites like YouTube training people to produce 360-degree videos and use the required equipment. It has been popularized by the launch of 360-degree cameras being able to stitch the videos natively without any additional software, by Samsung and LG. The tiny camera developed by Samsung, named Samsung Gear 360 can shoot impressive 4K 360 degree videos at 30 fps. But this hot new piece of technology has a glaring limitation. The Samsung Gear 360 manager app, required to control the camera is only available to Samsung smartphones. This limitation implies that non-Samsung devices will not be able to use the camera at all. Finally, a prominent XDA Developers forum member has come to the rescue, developing an unofficial port of the app which is compatible with all devices. According to the forum post, device lock has been removed from the app, allowing anyone with to download the APK file from XDA and install it to control the camera with a phone from any manufacturer, albeit with a few conditions. To install the ported app, the device must be running Android Lollipop or higher as the app depends on API level 21. The Samsung Accessory Services must also be installed to the device. It is available in the Google Play Store and it comes preinstalled on Samsung phones. Advertisement The other major advantage of this port is being able to able to forcefully shoot 4K videos and not have them downgraded to 2K. Earlier, this feature was only restricted to the flagship Samsung Galaxy S7, and all other phones had the video downgraded automatically to 2K, causing a lot of frustration among users. The last update to the port uploaded by developer Bin4ry was on 20th June 2016 and contains a lot of bug fixes, including the ability to shoot and stitch longer 4K videos. The port is available in two versions, the forced 4K, and the forced 2K version, with links in the forum post. As reported in the forum, there are a few bugs still prevailing in the unofficial port of the app. One of the more prominent bugs is the Samsung Accessory force closing from time to time, and the device taking a long time to process 4K videos more than 10 seconds. The latter is more a limitation of the device hardware, in which case the developer recommends using the 2K version. Sony launched a few flagship devices earlier this year, and rebranded their flagship line from the Xperia Z to the Xperia X. And with that came the Xperia X, Xperia XA, and Xperia X Performance. All of which are at different price points. Now it is looking like Sony may have another flagship device coming in the second half of the year, if this GFXBench listing is anything to go by. Sony has typically released two flagships a year. Something that has brought about much criticism to the company, especially considering how long these smartphones take to be available. This new smartphone is sporting the model number H8331. And according to the GFXBench listing, its supposedly running on Android 6.0.1 and features a 5.1-inch 1080p display. Showing us that Sony has decided to continue with 1080p panels, instead of opting for a QHD panel like most of their competitors are doing. The listing also shows that the device is powered by the Qualcomm Snapdragon 820 processor, and not the newer Snapdragon 821 which was announced earlier today. Were also looking at 3GB of RAM and 32GB of storage, with a 21-megapixel rear-facing camera and a 12-megapixel front-facing camera. Not quite the most high-end smartphone out there, especially since most smartphones are coming with 4GB of storage and a QHD or 2K display. Advertisement With IFA coming up in the first week of September, its likely that Sony will be announcing this new flagship at their press conference in Berlin. Although that is not confirmed, seeing as were almost two months out from IFA, many companies havent even announced their plans for the trade show just yet. Sony only recently made their Xperia X line of smartphones available worldwide, which has many people scratching their heads as to why they are already getting ready to release a new one, especially before the end of the year. But it is something that Sony does quite often, so it cant be that much of a surprise. One has to wonder how Sony expects this to compete with the likes of the Samsung Galaxy Note 7, OnePlus 3, and the new crop of Nexus smartphones also coming this Fall. Xiaomi has been one of the most popular Chinese smartphone manufacturers in the last couple of years. This company was founded back in 2010, and despite the fact it is very young, the company already managed to become Chinas number one smartphone manufacturer, and achieve so many great things. Xiaomi is an online company, and people in China are used to seeing Xiaomi sell their devices over the internet, but it seems like that might change in the future, which is something very few people expected, read on. The companys CEO, Lei Jun, says that the company will build over 1,000 physical stores in the next couple of years, and theyre going to be 250 square meters large. These stores are expected to bring Xiaomi quite a bit of cash, or at least Xiaomi expects them to, based on the research they did. Now, you might wonder why would Xiaomi opt to do this? Well, it seems like theyve been intrigued by the success of OPPO and Vivo, two China-based companies which put great focus on offline sales, and have, thanks to that, managed to climb up the food chain, while Xiaomi is not in the top 5 smartphone manufacturers in the world any longer. Advertisement Xiaomi apparently sees great opportunity in opening offline stores, and well see if this gamble will pay off for them. We presume Xiaomi intends to open such stores in Asia, the vast majority of them will be opened in China, and chances are that India will also get a number of such stores. Were still waiting for Xiaomi to enter the global market and starts selling devices globally, mainly in Europe and the U.S., but we dont know when might that happen. There are many obstacles for such a move, and even though we do hope so it will happen soon, it might take Xiaomi a while before theyre ready to do it. Either way, well keep up updated, if such plans come to fruition, youll be the first to know, as always. As we wait to hear more about Xiaomis offline stores and expansion plans, you can expect Xiaomi to introduce quite a few phones in the second half of 2016, click here to read more. (ANSA) - Brussels, July 11 - The Italian banking system's crisis is linked to its high level of non-performing loans (NPLs) and is therefore "not acute," Eurogroup President Jeroen Dijsselbloem said ahead of a meeting of the group Monday. "That gives us time to find a solution," he said, adding "the rules are clear" and so "a solution is always possible" as long as it is within the regulatory framework. He said the rules on bail-ins were clear. Dijsselbloem said he wasn't worried about the situation of Italian banks and that Italian and European authorities are "talking constructively to find a solution inside the framework". The NPL problem "is not new, there are no major solutions, the solution must be found gradually". For the president, "the only important thing is that we should respect what we decided together, we don't need to call into question other things, there has already been a lot of calling-into-question over the last few days". (ANSA) - Paris, July 11 - French Finance Minister Michel Sapin said ahead of a key Eurogroup meeting Monday on Italian moves to bolster its banking system that "today there is a concern for the Italian government, to take the measures necessary to restore confidence in the whole Italian banking system. I believe it is our duty to show solidarity". He said the rules "should not be softened, but applied with intelligence". Italian Economy Minister Pier Carlo Padoan is taking part in the talks at the Eurogroup Monday and then on Tuesday at the ECOFIN to assess the latest Italian measures to help its struggling banks. Italy's banks are suffering from a high level of non-performing loans (NPLs), estimated at a gross 360 billion euros in total, with Monte dei Paschi di Siena (MPS) the worst affected. The troubled Tuscan lender, the world's oldest bank, may require a rescue with ultimate public backing - a controversial measure under EU rules, which the Eurogroup and the ECOFIN are set to discuss. MPS rose 8.8% on the Milan bourse amid optimism on the Eurogroup's decisions. The Italian financial sector has already set up one private bank bail-out fund, Atlante, which has helped a couple of ailing Veneto banks. There is an expectation now that a second fund, dubbed Atlamte 2, will be set up with the aim of allowing MPS to meet a European Central Bank demand to offload 10 billion in NPLs. This would need to be followed by a recapitalisation, hopefully with some form of public support, if that is OK'd by the Eurogroup and the ECOFIN. The Financial Times said Monday that Italy's banks were in need of "essential repairs". (ANSA) - Rome, July 11 - Premier Matteo Renzi said Monday an upcoming referendum on his constitutional reform law is not comparable to the Brexit referendum. "I don't believe it will be the same thing," he told Corriere della Sera columnist Beppe Severgnini in an interview. "The UK held a referendum on Europe, and in my opinion the EU was blamed for everything that failed to happen. The Italian referendum is something else - we've been saying for 30 years constitutional reforms are needed because we have the largest and costliest parliament in the world, and I will do everything in my power to make sure (the constitutional reform law) is voted on its merits". Italy's Constitution says any laws amending the Charter must be approved by popular referendum, if they passed with less than a two-thirds majority in each house of parliament. If that quorum wasn't reached, a referendum can be held if requested by one-fifth of the members of one house, or 500,000 voter signatures, or five regional councils. ROME - US Special Envoy to Syria Staffan de Mistura on Monday said the country is in a critical moment with regards to its political future, speaking at a press conference following a meeting with Italian Foreign Minister Paolo Gentiloni. "Between now and September we have a window for finding a strategy that combines the fight against terrorism with a political transition," De Mistura said. "We need to fight Daesh (ISIS) and therefore al-Nusra, but you can't defeat Daesh without a political transition," he said, adding that the key is an agreement between Russia and the United States. Gentiloni said Italy fully supports the UN's efforts and the future of Syria can't include President Assad as part of its leadership. "But we need to find once again, through negotiations, the way to change things," Gentiloni said. Egyptian Navy stops boat with 179 migrants aboard Third stopped in last 24 hours off coast of Alexandria (ANSAmed) - CAIRO, JULY 11 - Egyptian naval forces off the coast of Alexandria on Monday stopped a fishing boat carrying 179 African migrants headed for European shores. The migrants - from Egypt, Sudan, the Comoros Islands, Ghana, Eritrea and Guinea - were taken to the Ras el Tin naval base in Alexandria for identification. The migrant boat was the third one stopped off the coast of Alexandria over the past 24 hours. On Sunday, Egyptian military spokesman Mohamed Samir said two fishing boats with at least 150 migrants aboard had been stopped in the same waters.(ANSAmed). Nine killed by opposition in Aleppo says SOHR Fighting for control of road connecting east Aleppo to west (ANSAmed) - BEIRUT, JULY 11 - The Syrian Observatory for Human Rights (SOHR) on Monday said nine people were killed by Syrian opposition artillery fire on government-controlled neighbourhoods of Aleppo. The government-controlled SANA news agency said the insurgents, whom it called terrorists, killed eight people in west Aleppo. Government forces are fighting insurgents for control of Castello Road, which connects opposition-controlled eastern Aleppo with the western inland. Last week government forces took control of the road, which stopped the siege around eastern Aleppo.(ANSAmed). SARAJEVO - Tens of thousands of people gathered at the Potocari memorial cemetery in eastern Bosnia on Monday for a ceremony commemorating the victims of the 1995 Srebrenica massacre, when 8,372 Muslims were killed from July 11-13 after the UN "safe area" fell into Bosnian Serb hands. The remains of 127 victims exhumed and identified from mass graves were buried during the funeral ceremony led by the head of the Islamic Community of Bosnia, alongside the 6,377 victims already buried there. The remains were identified over the course of the past year using DNA technology, and only 11 skeletons are complete. Bones of the other victims were dispersed through moves, often by bulldozer, made at the end of the war in attempts to hide the massacre. On Sunday evening 7,000 people who participated in a three-day March for Peace reached the town of Potocari. The March traced, backwards, the route taken through the forests by the 15,000 men of Srebrenica escaping towards Tuzla, where only a few ultimately arrived. Amendola in Belgrade for planning Western Balkans Summit Meeting with Italian ambassadors to region's countries (ANSAmed) - BELGRADE, JULY 11 - Italian Deputy Foreign Minister Vincenzo Amendola arrived in Belgrade on Monday for a two-day visit, during which he will meet with Italian ambassadors to countries of the Western Balkans, ahead of the Italian presidency of the Western Balkans Summit to be hosted in Italy in 2017. Amendola will also discuss Serbia's path to EU accession in light of the opening of Chapter 23 and 24 of negotiations. Amendola told Serbian daily Politika that growth, security and the rule of law will be the agenda priorities at next year's Western Balkans Summit. "Today, one week after the Paris Summit, I wanted to gather in Belgrade the Italian ambassadors of the Adriatic-Balkan region to begin building the agenda for the Western Balkans Summit that we'll host next year, and to confirm Italy's commitment to this region," Amendola said. Other items under discussion include economic and cultural development in the region, growth for Italian businesses linked to infrastructure projects in the area, and Italy's contribution to the promotion of rule of law in the region.(ANSAmed). De Mistura says Syria in 'crucial moment' for transition Gentiloni says country's future can't include Assad (ANSAmed) - ROME, JULY 11 - US Special Envoy to Syria Staffan de Mistura on Monday said the country is in a critical moment with regards to its political future, speaking at a press conference following a meeting with Italian Foreign Minister Paolo Gentiloni. "Between now and September we have a window for finding a strategy that combines the fight against terrorism with a political transition," De Mistura said. "We need to fight Daesh (ISIS) and therefore al-Nusra, but you can't defeat Daesh without a political transition," he said, adding that the key is an agreement between Russia and the United States. Gentiloni said Italy fully supports the UN's efforts and the future of Syria can't include President Assad as part of its leadership. "But we need to find once again, through negotiations, the way to change things," Gentiloni said. (ANSAmed). IRBIL, Iraq The oldest Christian monastery in Iraq has been reduced to a field of rubble, yet another victim of the Islamic States relentless destruction of ancient cultural sites. For 1,400 years the compound survived assaults by nature and man, standing as a place of worship recently for U.S. troops. In earlier centuries, generations of monks tucked candles in the niches and prayed in the cool chapel. The Greek letters chi and rho, representing the first two letters of Christs name, were carved near the entrance. Now satellite photos obtained exclusively by The Associated Press confirm the worst fears of church authorities and preservationists St. Elijahs Monastery of Mosul has been completely wiped out. In his office in exile in Irbil, Iraq, the Rev. Paul Thabit Habib, 39, stared quietly at before- and after-images of the monastery that once perched on a hillside above his hometown of Mosul. Shaken, he flipped back to his own photos for comparison. Telegram is extremely popular in the Middle East, among other regions, said Telegram spokesman Markus Ra. This, unfortunately, includes the more marginal elements and the broadest law-abiding masses alike. He added the company is committed to prevent abuse of the service and that it routinely removes public channels used by IS. In addition to the posting for the 12-year-old in a group with hundreds of members, the AP viewed an ad on WhatsApp for a mother with a 3-year-old and a 7-month old baby, with a price of $3,700. She wants her owner to sell her, read the posting, followed by a photo. We have zero tolerance for this type of behavior and disable accounts when provided with evidence of activity that violates our terms. We encourage people to use our reporting tools if they encounter this type of behavior, said Matt Steinfeld, a spokesman for WhatsApp. Like the Bible, some passages of the Quran implicitly condone slavery, which was widespread when the holy book emerged. It also allows men to have sex with both their wives and those they possess with their right hands, taken by interpreters to refer to female slaves. In the 19th and early 20th centuries, most Muslim scholars backed the banning of slavery, citing Quranic verses that say freeing them is a blessing. Some hard-liners, however, continued to insist that under Shariah sex slavery must be permitted, though the Islamic State group is the first in the modern era to bring it into organized practice. In the images obtained by AP, many of the women and girls are dressed in finery, some in heavy makeup. All look directly at the camera, standing in front of overstuffed chairs or brocade curtains in what resembles a shabby hotel ballroom. Some are barely out of elementary school. Not one looks older than 30. One of them is Nazdar Murat, who was about 16 when she was abducted two years ago one of more than two dozen young women taken away by the extremists in a single day in August 2014. Her father and uncles were among about 40 people killed when IS took over the Sinjar area, the heart of the Yazidi homeland. DAMASCUS, Syria With Islamic State group militants on the doorstep of his hometown in eastern Syria, Yaroob al-Abdullah had little time. He had already rushed his wife and four daughters to safety. Now he had to save the thousands of ancient artifacts he loved. In a week of furious work in summer heat, tired and dehydrated from the Ramadan fast, the head of antiquities in Deir el-Zour province and his staff packed up most of the contents of the museum in the provincial capital. Then al-Abdullah flew with 12 boxes of relics to Damascus. The pieces included masterpieces: A nearly 5,000-year-old statuette of a smiling worshipper. A colorful mural fragment from a 2nd-century temple for the god Bel. Thousands of fragile clay tablets inscribed with cuneiform writing, including administrative records, letters and business deals that provide a glimpse at life nearly 4,000 years ago in the Semitic kingdom of Mari. Gulf Air is expected to sign further deals related to its restructured aircraft orders which were announced earlier in January this year at the Bahrain International Airshow with Boeing and Airbus worth a total of $7.6 billion and comprise 45 aircraft in total with deliveries commencing from Q2 2018. Bahrains Minister of Transportation and Telecommunications Engineer Kamal bin Ahmed Mohammed, is heading the Bahrain delegation and accompanied by Gulf Air CEO Maher Salman Al Musallam and Bahrain Airport Company CEO Mohammed AlBinfalah, will also sign contracts with 12 companies including BAE Systems, Qatar Airways, Rolls-Royce, DHL, SERCO, Boeing, Kallman, Lockheed Martin and Texel Air who have confirmed their interest in participating in the Bahrain International Airshow 2018, (BIAS) . In addition a US$2.5m contract will be signed between the Ministry of Transportation and Telecommunications and Farnborough International, appointing the latter as event organizer for the next two editions of the Bahrain International Airshow. This will continue the ongoing partnership between the two entities, which started with the first Bahrain airshow in 2010. Furthermore, with the shows growing contribution to the regional aviation industry, the organisers have announced a new date change that will mean the Gulf region will now have annual airshows: Bahrain and Dubai. Like Farnborough and Paris which run in alternative years, it will provide an annual showcase for the Middle East commercial and military aerospace industry. The new dates for the show will be 14-16 November 2018. Speaking this morning, HE Kamal bin Ahmed Mohammed, Bahrains Minister of Transportation and Telecommunications, said The Middle East civil aerospace market is growing at an exponential rate evidenced by the number of airport infrastructure development projects in the region including Bahrains own Airport Modernization Programme. Our attendance at the Farnborough International Airshow this year has huge impetus for us, with a very important deal for Gulf Air being finalised, as well as significant progress happening on our new passenger terminal building at the Bahrain International Airport. Piling works have been completed since we announced the awarding of the main contractor at BIAS 2016, and enabling works phase 1 has also been achieved, with phase 2 now underway. We are on track to build a supergate and fire station and to have the new passenger terminal building ready in 2019. The minister added, We want to provide the aerospace industry with more opportunities to do business in the region and the date change will help accommodate this. The success and growth of the Bahrain International Airshow has shown there is a clear desire and demand for two major international airshows in the Middle East. Moving our show to November will allow the Bahrain International Airshow to offer increased opportunities to the benefit and strength of the aviation industry in the region. The Airport Modernisation Programmes main contractor agreement was awarded at BIAS 2016 to Arabtec and its partner TAV Construction to complete the main modernization works at Bahrain International Airport. The joint venture between the Dubai-listed Arabtec and Turkeys TAV Construction is due to build a passenger terminal at Bahrain International Airport, which is undergoing a $1.1 billion upgrade. The scope of the contract includes the construction of the terminal, a services building and aircraft bay. At the close of the 2016 show earlier this year, organisers confirmed that it had experienced its most successful event to date. The total value of orders reached US$9bn; more than triple the 2014 figure the bulk of which comprised orders for national carrier Gulf Air for Boeing and Airbus aircraft as well as a number of contracts to support Bahrains Airport Modernization programme. As well as the record deals of the show, the organisers confirm that participation was also at an all-time high with more than 135 participating companies. Visitor numbers were also up with attendance figures for both the public and trade days at over 30,000. Speaking about the date change, Farnborough International commercial director, Amanda Stainer, said, The date change was extremely well received by participants when we announced them at the close of BIAS16. The show has grown from strength to strength since the first show in 2010 and while still in its infancy compared to other international airshows around the world, the date change will give the event a real opportunity to expand. Boeing is the first customer for the Mubadala-Solvay joint venture, which will produce primary structure composite material for use in manufacturing the 777X empennage and floor beams. Mubadala and Solvay are planning for the joint venture to be operational by 2021 in a new facility built in Al Ain, U.A.E. "After close collaboration with Mubadala and Solvay to expand the supply of aerospace composites, Boeing is pleased to be the first customer for their new joint venture in the U.A.E.," said Boeing Commercial Airplanes President and CEO Ray Conner. "Our commitment to purchase this prepreg material for the 777X meets several important goals for Boeing, from further advancing aerospace industry development in the U.A.E. to expanding high-quality materials in our supply chain." "Boeing has been a key global partner of ours for years," said Homaid Al Shimmari, CEO of Aerospace & Engineering Services, Mubadala. "Together with Solvay, we will further develop our capabilities in advanced composite materials production in order to expand the supply chain and create a materials ecosystem. The creation of a new manufacturing facility at the Nibras Al Ain Aerospace Park supports our efforts of delivering on Abu Dhabi's Economic Vision 2030 by developing a regional Aerospace Hub for Abu Dhabi." Under the agreement the companies will together pursue new business opportunities, both for the aircraft itself and for aircraft support and sustainment. Embraer will provide the aircraft while Boeing will be responsible for in-service support. The agreement expands an existing collaboration between the two companies; in 2012, the two companies first announced their intention to jointly market the aircraft. The expansion of our relationship makes the best medium-sized airlift product available to customers, bolstered by the best support available, said Jackson Schneider, President and CEO of Embraer Defense & Security. Boeing has outstanding experience in the military mobility market and the KC-390 is the most effective aircraft in its category. The teaming agreement between Boeing and Embraer brings together two strong companies and underscores our commitment to branch out beyond the traditional OEM role into services for non-Boeing aircraft, said Ed Dolanski, president of Boeing Global Services and Support. Our advantage is Boeings global reach, which provides greater flexibility, enabling us to quickly respond to customers, as well as take advantage of synergies that help lower costs - savings we can pass on to the customer. The Embraer KC-390 is a tactical transport aircraft designed to set new standards in its category while presenting the lowest life-cycle cost of the market. It can perform a variety of missions such as cargo and troop transport, troop and cargo air delivery, aerial refueling, search and rescue and forest fire fighting. It is a project of the Brazilian Air Force (FAB) that, in 2009, hired Embraer to perform the aircraft development. The first prototype has performed its first flight in February 2015 and two prototypes are currently in flight test campaign, averaging two flights a day and proving high aircraft readiness. The aircraft is currently on an eight-nation tour, and expects to receive certification by the end of 2017. Deliveries are expected to begin during the first half of 2018. The contract is for cockpit avionics for eight PC-21 turboprop trainer aircraft, where Esterline CMC will supply cockpit Displays, dual Flight Management Systems (FMS), GPS Global Positioning System (GPS) Landing System Sensors and the Head-Up Display (HUD) sub-system. Esterline will supply six 6"x 8" active-matrix LCD Displays, which will feature the ARINC-653-compliant, open and modular MOSArt software suite. We are very proud to supply our cockpit avionics to Pilatus for the PC-21 and look forward to supporting Pilatus and the PC-21 trainer for the Royal Jordanian Air Force," said Kristof Vierin, vice president, sales, Esterline CMC Electronics. The HUD sub-system uses the CMC SparrowHawk HUD with front and rear cockpit Up Front Control Panels and HUD Camera, a HUD Symbol Generator, and a Video Display Unit. The FMS uses the CMA-9000 Flight Management System, a combination of civil certification with advanced tactical functions, radio management and Night Vision Goggle-capability. The CMA-5024 GPS Landing System Sensor provides RNP-RNAV and LPV GPS Approach capability, Wide Area Augmentation System (WAAS). Satellite Based Augmentation System (SBAS), GPS capability from departure to approach and complies with published CNS/ATM navigational mandates. The event will bring more than 3,000 competitors and industry experts from up to 75 countries to the Abu Dhabi National Exhibition Centre (ADNEC) from 14 to 17 October 2017. The 44th edition of the competition will represent the first time that the event has been held in the Middle East and will be the largest and most complex event to be hosted at the ADNEC in the lead up to Expo 2020 Dubai. WorldSkills Abu Dhabi 2017, which will be hosted by the Abu Dhabi Center for Technical and Vocational Education and Training (ACTVET), aims to increase the prestige of vocational careers by challenging young people to become the best in the skill of their choice. The competition is a major coup for Abu Dhabi as the emirate continues to transition into a diversified knowledge-based economy in line with the Abu Dhabi Economic Vision 2030. Mubarak Saeed Al Shamsi, director general of ACTVET and official delegate of the UAE to WorldSkills, said: We are extremely proud to welcome the worlds greatest skills excellence competition to the Middle East for the first time. WorldSkills Abu Dhabi 2017 represents our strong commitment to vocational and technological education and training for the young people of the UAE today, helping them build a thriving and diverse economy for the generations of tomorrow. We look forward to working with Etihad Airways to ensure the competition is a resounding success. The WorldSkills participants represent the best of their peers and are selected from skill competitions in member countries and regions across the globe. Almost 2,300 participants and experts took part in the 2015 edition of the competition which was held in Sao Paolo, Brazil, whilst thousands more visited the six-day event. Other host cities in recent years have included Leipzig, London and Calgary. Peter Baumgartner, Etihad Airways chief executive officer, said: It is a great honour to be named as an official partner of such a prestigious competition. Abu Dhabi is a thoroughly modern city which is fast becoming one of the worlds most exciting commercial and tourism hubs. The event will provide the perfect opportunity for those attending to experience the very best of Arabian hospitality whilst enjoying state of the art facilities and modern infrastructure in a thriving and cosmopolitan city. Hala Abu Dhabi, the destination management division of Etihad Airways, has been named as the competitions Customer Service Management. Hala will develop and deliver packages for all those attending the event as well as offer on-ground customer services including staffing, transport and excursions. Mohammed Al Bulooki, Etihad Airways vice president UAE Commercial, said: The competition will provide a fantastic opportunity for us to showcase everything that Abu Dhabi has to offer to leisure and business travellers alike. Abu Dhabi, as a city dedicated to continued investment in the workforce of tomorrow, is the perfect location for the renowned competition: Hala Abu Dhabi looks forward to welcoming participants from across the world to our home city and working with ACTVET and WorldSkills to ensure that the event is a resounding success. The global market for commercial and civilian drones looks set to grow at a compound annual growth rate of 19% between 2015 and 2020. Although this reflects the nascent stage of this sector it outpaces the growth in military drones, projected to be around 5%, by a considerable margin. Growth in the enterprise sector will be swift, and outpace the consumer sector. The Middle East is a large potential market for enterprise drones if a stable and transparent regulatory environment can be created. Globally there is a rapidly growing ecosystem of drone software and hardware vendors who already serve sectors including agriculture, land management, energy, and construction. There are many opportunities to utilise drones in the Middle East, especially in urban planning, oil and gas, power and utilities, and construction, but there are also extensive challenges. In some of the worlds busiest and most congested airspace how will drones be safely integrated, and how will operators be controlled and licensed? The working groups will explore different drone technologies and their potential for operation in the Middle East. For international manufacturers and operators it is an opportunity to establish which sectors in the Middle East are actively exploring the use of drones, and how the regulatory environment is evolving. Discussions will also explore the use of drones by civil defence and emergency services and the potential for the use of drones in humanitarian fields and disaster relief. The UAE has already built momentum in this sector through the Drones for Good competition which showcases innovation in the field with a prize fund of USD 1.37 million. Reading the earliest writing from Roman Britain Among the remarkable artefacts recovered by MOLA archaeologists on the site of the new Bloomberg headquarters in London were 405 writing tablets. Of these, 87 have now been deciphered, providing a tantalising insight into the lives and legal wrangling of the first Londoners. Roger Tomlin and Sophie Jackson told Matthew Symonds what Britains earliest authors had on their minds. A modern audience could be forgiven for feeling that the advice offered in the oldest readable document from Britain has timeless appeal. The text is scored into a broken wooden tablet, which is addressed to Titus, who appears to be a poultry-keeper. In the enigmatic, only half-familiar lettering of Latin handwriting, Titus anonymous correspondent observes because they are boasting through the whole market that you have lent them money. Therefore I ask you in your own interest not to appear shabby you will not thus favour your own affairs. If this letter ever carried a date it has long since been lost, but the layer it was deposited in belongs to AD 43-53. Seemingly, then, within a decade of the Roman invasion of Britain, and perhaps five years of the foundation of London, its market was rife with gossip about the perils of bad debt. We do get glimpses of a carpet-bagger business community in the very early years of London, explains Roger Tomlin, a specialist in Latin handwriting at the University of Oxford. This tablet is obviously describing an ill-judged loan, and the writer goes on to offer some obscure moralising comfort. Hes saying that you must face up to this as a gentleman, and dont worry too much about it. What exactly the disaster is perhaps hes not going to get his money back because hes lent it to crooks we simply dont know. The allusion to the market may be a reference to the new forum of London, or even a metaphorical reference to the market. But it is an extraordinary example of activity that we can only see the tip of the iceberg of. Many equally evocative snapshots of life in Londinium are preserved in the Bloomberg tablets. Lost wax method Unlike the famous Vindolanda tablets, which overwhelmingly comprise ink writing on thin strips of wood, the Bloomberg examples are mostly fragments of the famous Roman waxed tablets. They consist of a thin strip of silver fir, a regular grained soft wood, which was recessed and filled with a black wax, says Roger. This was a mixture of beeswax and matt black, very fine carbon. The writing was etched into it using a stylus, which cuts through the wax and exposes the paler wood behind. So youre reading paler coloured handwriting against a black background. Such tablets rarely survive complete in Britain, because the same waterlogged deposits that preserve the wooden backing tend to make the wax perish. Apart from a few tenacious traces of the substance, the Bloomberg site was no exception. One of the advantages with using wax is that heating it allows the existing message to be erased, creating a literal tabula rasa, or blank slate. Given that the whole point of the tablet is that the wax holds the message, it seems inevitable that once the wax leached away it would take the text with it. Fortunately, this is not always the case. Sometimes the scribes wielded their styli with such vigour that they sliced through the wax and pierced the soft wood beneath, engraving the text into it. If this happened every time a new message was added, the result was an unintelligible mass of superimposed letters. Fortunately, 87 of the Bloomberg tablets survived in what could be thought of as a Goldilocks state: text had been scored into the wood, but not too many times. In order to make the stylus strokes as visible as possible, the tablets were photographed repeatedly, while raking light was directed from numerous different angles. Armed with composite images of the tablets, it took Roger about a week to make sense of each one. When I decipher their handwriting, he remarks, I think of my own heroes, the wartime academics who worked at Bletchley Park. Rather than intercepted enemy transmissions, though, the Bloomberg tablets were recovered from the mud beside the former Walbrook river. This has long since vanished beneath modern London, but its valley would have been a major feature of the Roman town. Although some of the tablets were broken fragments that had been unceremoniously dumped in the Walbrook, others may have been found by the MOLA team in the room where they were once archived. The site is right in the heart of the City, says Sophie Jackson, a Director at MOLA, which is one of the richest archaeological areas in the country. The Walbrook may initially have been used as a boundary for the original Roman settlement, but as Londinium expanded across the Walbrook valley, it had to be managed. So they brought in what was essentially landfill in order to raise ground level and create platforms, which they built on. In one of the buildings, there was a small room with a clay floor, where we found 19 writing tablets. Text was visible on some of them, and it looks like they were legal documents. One may be a Roman army officers will, dated to AD 67. We like to think this is Londons first identifiable office. All told, the cache of forgotten and junked tablets from the Bloomberg site is the largest ever recovered from a non-military context in northern Europe. Legal Londinium The earliest Bloomberg tablet that still carries a date was written in the consulship of Nero Claudius Caesar Augustus Germanicus for the second time and of Lucius Calpurnius Piso, on the sixth day before the Ides of January, which is a long-winded way of saying 8 January AD 57. The text goes on to deliver an eye-watering IOU: I, Tibullus the freedman of Venustus, have written and say that I owe Gratus the freedman of Spurius 105 denarii from the price of the merchandise, which has been sold and delivered. This money I am due to repay him or the person whom the matter will concern. This was my favourite tablet, says Roger, because it was possible to read the whole of the surviving text. It concerns two freedmen, that is manumitted slaves, of Roman businessmen, who are probably now acting as partners of their former owners. Tibullus is a rare name, though it is also the name of a poet, so it is possible that his master had literary inclinations and named him after the poet. Tibullus writes to say that he will continue to repay the money that he owes, with interest, in due course. During this period, 105 denarii is about half of what a Roman legionary soldier would earn over the course of a year. One denarius is roughly one mans skilled work for a day, so this was a considerable sum. Perhaps the most historically significant tablet is dated 21 October 62. Although only a little under six years had passed since Tibullus issued his debtors contract, Londiniums fortunes had seen a bloody and brutal reversal in the interim. It was only a year or two since Boudicas warriors had rampaged through the town, and also devastated Colchester and Verulamium. The AD 62 tablet, though, suggests that the recovery was well under way. It states: I, Marcus Rennius Venustus, [have written and say that I] have contracted with Gaius Valerius Proculus that he bring from Verulamium by the Ides of November 20 loads of provisions at a transport-charge of one quarter denarius for each. There is an interesting correction in this tablet, Roger observes. The writer initially says that the provisions are to be brought from London, but then realises his mistake, and writes Verulamium instead. So we know that in October 62 London and Verulamium are up and active and exchanging foodstuffs. According to Tacitus, 70,000 lives were lost in the disaster, and yet here we find signs of a rapid recovery. Such tablets also go a long way to vindicating Tacitus characterisation of London in the Annals as being very full of businessmen and commerce. Soldiers and slaves Although Boudica herself is not mentioned in the tablets, emperors and consuls are not the only celebrities from the ancient literature to be name-checked in the Bloomberg documents. One tantalisingly brief snippet mentions Classicus, prefect of the Sixth Cohort of Nervii. This appears to be an early reference to a soldier famous for going from a Roman perspective rogue. The Nervii are a battalion 500- strong, says Roger, which we know from other sources was drafted into Britain just after the defeat of the Boudican revolt. Classicus is a rare name, and the only other Classicus known to us is one of the leaders of the great revolt against the Romans in the Rhineland, in AD 69/70. Like the other leaders of the revolt, Classicus was a member of the tribal elite from the Rhineland. Classicus is almost certainly a cousin of Classicianus, who was the new procurator financial official of Britain following the Boudican revolt. Tacitus didnt like him, because he had rather more sympathy with the Britons than the governor, an Italian called Suetonius Paullinus. Classicianus even secured Paullinus dismissal in favour of a more compliant Roman aristocrat. It seems pretty certain that Classicianus also got Classicus his first job commanding this battalion in the British garrison. He is one of the most interesting resonances in these scraps of writing from the Walbrook silts. Not all of these tablets document financial wheeler-dealing or nepotism in the fledgling province, however. A sense of humour shines through in a message seemingly addressed to Attius, [son] of Optatus, the thief, assuming that this is gentle joshing by an acquaintance, rather than an actual job description. Another tablet lays out the letters of the alphabet from A to T. This may be a demonstration of ability by an aspiring scribe, but it is equally possible that this is evidence for schooling in a world where there was a sudden new demand for literacy. Mastering this skill was no guarantee of riches, though, and some tablets seem to carry writing by slaves conducting their masters business. In one case, the recipient appears to be identified as simply slave of rather than by name. Immigrant city If there is one subtext that shines strongly through the intelligible tablets, it is Londoners ease and familiarity with the Roman way of doing things. Theres one tablet documenting a pretrial hearing between litigants, and its within a decade of London being founded, says Sophie. So already you have a complex legal system set up where people are being called to pre-trial hearings. In a way its not that surprising, as weve seen similar arrangements in the more recent imperial past. Even so, it is remarkable how quickly everything is established. London is up and running very fast. All of this begs the question of whether we are hearing any British voices among the Bloomberg tablets, or if the masters and even the slaves of this newly literate world are Roman or Gallic businessmen, elites, and their servants following in the wake of the legions. Its very difficult to distinguish a Briton, says Roger, as the British population are Celtic-speaking, just as the Gauls are. Some of the names in the tablets are Celtic, but whether they are Gaulish Celts or British Celts is hard to say. Probably they are mostly incomers, because there was no London before it was founded by the Romans, and the inhabitants are businessmen who were supplying the army. There is an inscription that was found in Southwark a few years ago that illustrates the situation nicely. It is dedicated to the god by Tiberinius Celerianus, who calls himself a Londoniensi a Londoner but he comes from Reims in France. So there may be Britons among the tablet authors, but its frankly a bit unlikely. This article appeared in CA 317. Click here to subscribe. Nestled in the green, wooded hills of the North York Moors lie the ruins of Rievaulx Abbey. English Heritage has recently opened a new museum on the site, more than doubling the number of artefacts on show. Lucia Marchini discovered how the state-of-the-art displays explore over 400 years of Cistercian abbey life. Founded in 1132 by 12 monks from Clairvaux in Burgundy, Rievaulx Abbey was the first Cistercian monastery in northern England and its population and prominence quickly grew, with a community of 650 men already living there by the 1160s. Over 300 objects tell the abbeys history from its foundation to its suppression in December 1538 and subsequent destruction. Every artefact in the new museum comes from Rievaulx itself. The vast majority of these are damaged and incomplete, reflecting the ruined state of the abbey and the dramatic end to monastic life at the site. Set in some unassuming farm buildings, the museums simple and modern interior contrasts elegantly with these medieval artefacts and the ruins outside, leaving the focus very much on the exhibits, which are arranged thematically into eight main areas of interest, such as work and prayer, patronage, and change. Decking the halls On entering the museum, visitors are greeted by a statue of Christ in Majesty dating from c.1260-1290 a finely sculpted but heavily damaged headless and forearmless Christ seated on a throne. Neatly encapsulating in just one work the successes of the abbey and its later suppression, the quality of the craftsmanship reflects Rievaulxs status as a medieval monastic centre, while its incompleteness is emblematic of the rest of the abbey and its collections. High quality carvings are in abundance throughout the museum. A sculpted capital from the infirmary, dating from the 1150s and the abbacy of Aelred (who attracted many new monks and lay-brothers to the abbey and was later venerated as a saint), demonstrates some of the founding principles of the Cistercian order. All features of the building were to be austere so as not to distract. Though the infirmary capital on display is simple, its well-crafted scallops show that it is not entirely without ornamentation. The important thing is that the decoration is not superfluous or distracting. Also from the infirmary hall, is a narrative frieze dating from c.1400. This shows a clear change in decorative technique from the austere style of Aelreds day. One part of the frieze depicts two hunters stealing a tiger cub. As they make their escape pursued by a tigress, they throw down a mirror, which stops the animal in her tracks as she mistakes her reflection for her cub. This frieze is more involved than the scalloped capitals of the 12th century, but the decoration is still not superfluous as the scene depicted is an allegory taken from the Bestiary warning against deception by the devil. The museums not all about Cistercian stonework. Coins, jetons, weights, seals, and pottery all chart how the abbeys population, wealth, and geographic influence changed over the centuries, with a particular emphasis on trade. A rare set of fragments of painted wall plaster shows change of another kind. The infirmary hall was converted into the abbots lodging (one of the largest in the country) by the late 15th-century abbot John Burton (whose rebus is also on display). This lavish living was the sort of behaviour later condemned by Henry VIII. Decorated with foliate designs, the pieces of plaster, which curator Susan Harrison believes come from the abbots lodging, mark a point of transition with abbots exhibiting their importance. This is not necessarily a gratuitous flaunting of wealth, however. In the 15th and 16th centuries, a time when the Cistercians are generally viewed as losing their austerity and piety, the abbot did hold a critical position in a vast trade network, a status that it would have been useful to project. Medieval metals Monastic life at Rievaulx came to an end with Henry VIII. After the Dissolution, the building was quickly rendered unusable. Valuable materials extracted from the abbey and the tools used to strip it bare are on show. The extent of this extraction is at its clearest in one large object. A half-ton fother (a unit of lead) cast from the abbeys roof lead and marked with the kings stamp is one of 140 made at Rievaulx. Four of the fothers were still on site when the building collapsed and were not recovered until the 20th century. Three of them were gifted to York Minster for reuse in their Five Sisters Window, and the other is the one on display at Rievaulx. Another star item from the abbey is also metallic. In a case exploring prayer as an essential part of monastic life is a scourge, made from plaited copper alloy wire and used for self-penance. It is the best example of a scourge in the UK, but it is impossible to date with any greater precision than saying that it is from the medieval period. This is symptomatic of a problem that affects a substantial part of the abbeys collection, which was largely uncovered and recorded during the clearance and consolidation of the site carried out from 1919 onwards by His Majestys Office of Works (later the Ministry of Works). Contexts, often imprecise due to the large grid-squares used, were written onto paper labels stuck onto the finds. These disintegrated over time, losing a great deal of information. Although some items like the scourge remain undateable, over the last few years curators have re-examined the original findsbook and tried to re-establish many of the lost locations of the finds, shedding light on their uses and the movement of material after the Dissolution. This new research has had an important part to play in creating the new museum, which places a special emphasis on contextualising exhibits as much as possible in relation to the abbey ruins looming outside. All images: Historic England / English Heritage Trust Further Information Visit www.english-heritage.org.uk/visit/places/rievaulx-abbey for more details about the abbey, opening times, and ticket prices. The Romans in Ireland Ireland has no known Roman forts, villas or planned towns, but a recent project designed to investigate Ireland during the first five centuries AD found plenty of evidence for interaction between Ireland and the Roman world, as Chris Catling now reports. History in Ireland traditionally begins with the arrival of St Patrick in AD 432 and the establishment of Christianity, monasticism, and written (as distinct from the previously oral) records. Born in Britain, captured by pirates, and sold into slavery in Ireland, Patrick escaped back to Britain and then studied in Continental monasteries principally at Auxerre, where he was ordained before returning to Ireland as a missionary. Did St Patrick encounter anything on his return that might be familiar to a man from Romanised Britain and Gaul? Was there, in other words, any interaction between Ireland and the Roman world? These were questions that the members of the Late Iron Age and Roman Ireland (LIARI) team set out to answer when the project began in September 2011. The first task facing the team was to assemble a GIS database of all the known sites, features, and artefacts for the first five centuries AD, a period in Irish archaeology that has often been characterised as invisible and enigmatic because it is so difficult to discern in the archaeological record. Partly, though, this invisibility was the product of blindness: there seems to have been a willingness in the past to dismiss any Romano-British, Gaulish, or Continental material found in Ireland as stray, intrusive or random. Museum curators in the late 19th and early 20th centuries firmly believed that the Roman-style material in Irish collections was probably brought to Ireland by antiquarian collectors in the modern era rather than arriving by way of trade in the late Iron Age. Cultural connections The treatment of Roman material was also a reflection of a tendency, especially strong during the period following separation from Britain and the creation of the Irish Free State in 1922, to view Ireland as a proudly independent Celtic nation outside the Roman Empire, with its own gods, heroes, myths, genealogies, monuments, and culture. Christianity, when it arrived, was also distinctively Irish in its saints, rituals, art, and organisational practices, differing in many important ways from the Roman church of continental Europe. So strong was this idea that even finds of Samian ware in Ireland were once explained away as residual material reflecting the practice of Irish monks. It was argued that they collected soil from consecrated ground at shrines and ecclesiastical centres around Europe, and brought this back to Ireland to add sanctity to their own holy places, despite the fact that there was no connection between these finds and evidence of early Christian sites. Another common explanation for Roman-style material was that it represented booty, the results of the same sort of piratical raiding that first brought St Patrick to Ireland. Of course, there may be some truth in these explanations, and research is continuing into antiquarian collections that have no doubt led to the importation of finds said to be from Ireland that are suspiciously early in date (for example, Etruscan material), but not all of the bronze figurines of Venus, Mars, Mercury, Eros, or household gods (lares) that have been found in Irish contexts can be explained in this way. Nor can the finding of the skull of a Barbary macaque ape in a securely dated 3rd-century BC context at Navan Fort, Co. Armagh: this is prima facie evidence of direct trade with north Africa and the Mediterranean region, even at this early period. A number of references to Ireland in Classical literature attest to the island having been known to the Roman world. Caesars Commentaries on the Gallic War describes the sea crossing between Britain and Ireland via the island of Mona (Anglesey or Ynys Mon), from which ferries still cross between Holyhead and Dublin. Ptolemys Geographia, compiled in the mid-2nd century AD from information gleaned from the work of Marinus of Tyre, who in turn got it from sailors and traders, provides detailed coordinates for Ireland that when plotted on to a grid do bear a recognisable resemblance to the shape of the island. Some of those traders may well have been familiar with a particular promontory fort at Drumanagh, in north Co. Dublin, which is flanked to the north and south by beaches that would have made suitable landing places. Drumanagh has produced an impressive amount of Roman material recently, though much of this has been recovered by metal-detectorists, and progress in studying the material has been hampered by legal proceedings between the landowners and the National Museum of Ireland. The team did, however, get to see some of the material and the assemblage includes Roman-style rings, brooches, pendants, horse-bits, sword mounts, scabbard fittings, bronze cinerary urns, the elaborate handles of several large bronze vessels, and bronze coins of the emperors Vespasian, Titus, Trajan, and Hadrian (spanning AD 69 to 138). Significantly, some of the decorated horse-bits are unfinished, and the finds include a probable lead-mould for a trumpet-type Roman fibula, and some 40 whole or partial bun-shaped copper, bronze, and brass ingots, one of which is stamped I X VI in Roman numerals. It would appear, then, that Drumanagh functioned as a manufacturing centre, producing both raw materials and finished objects, and as a trading place for imported Roman material. It had done so for some time before the later 1st and early 2nd century AD, for among the pre-Roman finds are several bronze vessels and coins of late Iron Age type, as well as a British-style bronze mirror and another mirror handle. This extract is from Worlds apart? read the full story in CA 317. Click here to subscribe. The early years of London seem both uncannily familiar and unimaginably distant. Today, no one would bat an eyelid at Tacitus description of a settlement heaving with businessmen and commerce. Accounts of reckless loans, eye-watering debt, and advice to maintain a stiff upper lip (or at least not to appear shabby) in the face of adversity reinforce a sense that some things never change. But this was also a world where slaves conducted their masters business, and opportunistic traders followed hot on the heels of the legions. Everyday life during Britains first civil war is also under the spotlight in this issue. The chaos of King Stephens reign was memorably summed up by one chronicler who lamented that Christ and his Saints slept. But did the king and his cousins battle for power leave a lasting archaeological legacy? In Ireland, the search has been on for aftershocks from the Roman annexation of Britain. Tantalising clusters of finds, graves of people who seem to have been born in Britannia, and coastal emporia doing a roaring trade in Roman-style artefacts suggest that not all traders waited for the legions to get there first. Recent excavations in Lincoln castle may have uncovered traces of a rather more august visitor: King Henry II. What can table scraps reveal about feasting that was fit for a king? Matt Symonds IN THIS ISSUE: FEATURES THE ARCHAEOLOGY OF ANARCHY Investigating Englands first civil war The Anarchy of King Stephens reign in the mid-12th century has long attracted historians, but what can archaeology tell us about this turbulent time? Did the power struggles of the elite have any impact on the everyday lives of the masses, or was it business as usual? The Romans in Ireland There is no sign that the Roman Empire ever attempted to colonise Ireland, and the impact of the emergence of an imperial province in Britain is rarely explored. Yet there are a number of Roman-style finds from Ireland, and it was certainly known to the Romans so just what interaction was there across the Irish Sea? FINDS FIT FOR A KING? Uncovering signs of luxury living at Lincoln Castle Renovations at the Norman castle have unearthed a wealth of archaeology. We take a look at the Roman houses, long-lost church, and medieval banquet midden that lay superimposed within the walls of Lincoln Castle. Reading the earliest writing from Roman Britain Archaeologist excavating at the site of the new Bloomberg headquarters in London have unearthed 405 Roman writing tablets. Now 87 of these have been deciphered, shedding new light on debt, trade, and immigration in the city once described by Tacitus as being very full of businessmen and commerce. NEWS Plague and pottery: new insights into the Black Death; Excavating Llangefnis surprising cemetery; Painting Roman Bath red; Dorsets earliest burnt mound; Venus figurine found in Long Melford; Eagle-eyed archaeologists make rare find; Newgrange dog-bone sheds light on canine origins; Hunt for Henry I begins in Reading; Will the Queens Speech affect archaeology? REGULARS Context Landscapes and lasers at South Downs National Park Festival of Archaeology A selection of regional highlights from this years celebration of archaeology Reviews In Search of the Irish Dreamtime; Stonehenge: Making Sense of a Prehistoric Mystery; An Archaeological Study of Human Decapitation Burials; Jutland 1916: The Archaeology of a Naval Battlefield Sherds Chris Catlings irreverent take on heritage issues Odd Socs The Friends of St Augustines YEREVAN, JULY 11, ARMENPRESS. Serious clashes, shelling, explosions and firefights began in Aleppo on July 10. Gandzasar weekly says the city was rocked by an underground explosion. SANA reports terrorist groups affiliated to Jabhat al-Nusra and Ahrar al-Cham terror organizations continued targeting residential neighborhoods in Aleppo city with rocket shells. A source at Aleppo Police Command told SANA that terrorists targeted on July 10 afternoon al-Sirian neighborhood with rocket shells, injuring three civilians, all of them under 16 years. The terrorist attack also caused considerable material damage to locals houses and properties. YEREVAN, JULY 11, ARMENPRESS. The "regime of silence" in Syria has been extended for 72 hours, till 23:59 on July 11, 2016, the Russian center for reconciliation of the warring parties in Syria said in its regular daily news bulletin, reports TASS. Groups constituting the international terrorist organizations Jabhat al-Nusra and Islamic State continue attempts to break down the regime of cessation of hostilities, as follows from the bulletin. During the day, terrorists conducted fire from multiple missile launcher systems and mortars at the settlements of al-Hader, Handrat and Ansar in the province of Aleppo, and Aleppos districts of Sheikh Maksoud, al-Khalidiyah, al-Zahra, Hirbat Hirs. Terrorists also shelled a grain elevator northeast of Palmyra in the province of Homs, as follows from the bulletin. A reconciliation agreement was signed during the day with representatives of one more settlement in the Syrian provinces of Aleppo, according to the Russian center. "The number of settlements joining the reconciliation process has reached 178," the center said. Photo by AP YEREVAN, JULY 11, ARMENPRESS. Gandzasar weekly informs a Syrian-Armenian soldier Panos Aghazaryan (born in 1994) was killed in the military clashes in Aleppo on July 10. Earlier Gandzasar weekly reported that serious clashes, shelling, explosions and firefights began in Aleppo on July 10. The city was rocked by an underground explosion. SANA reported terrorist groups affiliated to Jabhat al-Nusra and Ahrar al-Cham terror organizations continued targeting residential neighborhoods in Aleppo city with rocket shells. A source at Aleppo Police Command told SANA that terrorists targeted on July 10 afternoon al-Sirian neighborhood with rocket shells, injuring three civilians, all of them under 16 years. The terrorist attack also caused considerable material damage to locals houses and properties. YEREVAN, JULY 11, ARMENPRESS. Three Vachiani village residents are suspected in the murder of the Armenian family, jnews.ge reports. One of the suspects who has been arrested, confessed carrying out the crime. Earlier it was reported that the bodies of a 37 year old woman and three children, aged 5, 16, 18, have been found dead in the village Vachiani of Akhalkalaki region. According to the information by Rustavi 2, the woman and the three children were in the house, but their father left for Russia as a foreign worker. Imedi TV Channel informed that according to the information provided by the familys relatives, they were tortured, subjected to violence, however, the valuables were not stolen from the house. The causes of the incident are not known yet. The bodies have been sent to the forensic bureau of Ninotsminda district. According to the preliminary information, the incident took place two days before. The relatives found the bodies on July 10. Allegedly the mother and the daughters were deadly injured by blunt objects, whereas the 5 year old son was strangled. The Interior Ministry of Georgia has not commented on the incident yet. The familys killed members are Armenians. The Armenian Embassy is in constant contact with the Georgian Law Enforcement Agencies and follows the developments. YEREVAN, JULY 11, ARMENPRESS. Head of the EECs Sanitary, Phytosanitary and Veterinary Measures Department Igor Gayevksy had a working meeting with director of the Russian branch of UN Food and Agriculture Evgenia Serova. The prospects of joint works were discussed, which are linked with ensuring Phytosanitary safety of products in international trade. The sides paid special attention on elevating the Phytosanitary potential of national services in EEU countries. Evgenia Serova said their institution gives great importance to the cooperation with the Eurasian Economic Commission. Evgenia Serova proposed to expand the project on strengthening Phytosanitary control services in Eastern European countries, and to include all EEU countries. The sides reached a conclusion that the expansion of capabilities of the national services in EEU countries will bring food safety to a new level in the Eurasian Economic Union. YEREVAN, JULY 11, ARMENPRESS. Andrea Leadsom withdrew Monday from the race to replace British Prime Minister David Cameron, leaving Home Secretary Theresa May as the sole remaining candidate to lead the Conservative Party, reports Fox News. Graham Brady, the head of the committee running the contest, said after Leadsom's announcement that the party board would meet to discuss confirming May as the winner. He did not suggest reopening the race and did not say when the party might confirm that she has won. Assuming she is confirmed, May could become prime minister within days. Cameron announced his resignation after British voters rejected his advice and chose to leave the European Union in a referendum last month, though he wasn't expected to step down until September. Leadsom withdrew after a weekend uproar over comments she made suggesting that being a mother would be an advantage in the job. Leadsom has children; May does not. It wasn't clear whether the flap affected Leadsom's decision to drop out. She said in a brief announcement that she did not believe she had sufficient support within the party to remain in the race. Leadsom said "business needs certainty" in the wake of Britain's vote to leave the European Union, which has unsettled the markets and sent the value of the pound plunging. She said Britain needed a government that would "move quickly to set out what an independent United Kingdom's framework for business looks like. "We now need a new prime minister in place as soon as possible," Leadsom said. May was in favor of the UK remaining in the EU, while Leadsom campaigned for the "leave" side. The field of candidates to replace Cameron had already been narrowed from five to two, but Leadsom's announcement was a surprise as a final decision wasn't expected until September. Because the Conservative Party is in power, only its 150,000 members get to pick the party leader, who by default becomes prime minister. Before the announcement, Leadsom had apologized to May, telling Monday's Daily Telegraph newspaper that she believed that having children has "no bearing on the ability to be PM." "I deeply regret that anyone has got the impression that I think otherwise," she said. YEREVAN, JULY 11, ARMENPRESS. The Central Bank of Armenia informs that on June 11 the USD exchange rate was 476.74 AMD which is an increase of 0.02 drams compared to the previous day. Armenpress reports that the Euro decreased by 1.56 drams forming 525.84 drams. British pound dropped by 3.17 drams forming 614.37 drams, Russian ruble remained the same 7.43 drams on July 11 compared to the previous day. The prices for precious metals are as follows: the price for silver per gram is 302.26 AMD, gold-20,757.33 AMD, and platinum-16,584.4 AMD. YEREVAN, JULY 11, ARMENPRESS. German Chancellor Angela Merkel has declined the proposal of Turkish president Recep Tayyip Erdogan to make an announcement on behalf of the Government over the resolution adopted by the Bundestag recognizing the Armenian Genocide. Armenpress reports both Turkish and German media make active publications on this issue. Particularly, media reports inform Erdogan has given a negative answer to Merkel in Warsaw over Merkels demand to permit the visit of German MPs to Incirlik Air Base. As a precondition Erdogan demanded Merkel to make an announcement, saying that the Bundestag vote on the Armenian Genocide resolution does not express the opinion of the German Government. According to German and Turkish media, Merkel found it impossible to make such an announcement. An unidentified source from the administration of the Turkish president has informed that the Armenian Genocide was discussed during Erdogan-Merkel meeting. Merkel has ensured that she will do her best not to harm Turkish-German relations. Recently it became known that Turkey has prohibited the German delegation to visit Incirlik base located in Turkey. Turkish Charge d'Affaires in Germany was summoned to the German Foreign Ministry on this occasion. The German MFA presented their concerns over the incident to the Turkish Charge d'Affaires in Germany Ufuk Gezer. German MFA spokesperson introduced the German position on the issue and confirmed their persistency to visit the Incirlik base. We again informed the Turkish side about our wish to visit the base. Diplomatic talks are underway to achieve a permission for the visit, German MFA spokesperson said. Earlier, German MoD spokesperson had informed that Turkey has not allowed a senior German Defense Ministry official and a number of MPs to visit Incirlik base in July. He added that no written explanation for the decision has been provided. Best Career Products and Services Would you like to submit an article in the Career category or any of the sub-category below? Click here to submit your article. Would you like to have your product or service listed on this page? Contact us. Best Writing Products and Services Would you like to submit an article in the Writing category or any of the sub-category below? Click here to submit your article. Would you like to have your product or service listed on this page? Contact us. Best Finance Products and Services Would you like to submit an article in the Finance category or any of the sub-category below? Click here to submit your article. Would you like to have your product or service listed on this page? Contact us. Best Business Products and Services Would you like to submit an article in the Business category or any of the sub-category below? Click here to submit your article. Would you like to have your product or service listed on this page? Contact us. Best Health Products and Services Would you like to submit an article in the Health category or any of the sub-categories below? Click here to submit your article. Would you like to have your product or service listed on this page? Contact us. All the latest Ashbourne news. Ashbourne is an historic market town in Derbyshire. Situated on the southern edge of the Peak District, it is known as the 'Gateway to Dovedale' and the 'Gateway to the Peak District'. Ashbourne is famous for the annual Royal Shrovetide Football Match, which has been played since at least 1667, although its origins may date back centuries earlier. Ashbourne became a Fairtrade town in March 2005. The popular Tissington Trail, which follows the route of the former Ashbourne to Buxton railway, starts on the edge of town. Keep up to date with the latest news from the town by signing up for our newsletter. We will teach, even it seems like a voice in a hostile wilderness, till the day we die, that right is right and wrong is wrong and we will not withdraw from mission of the Lord, said CBCP president at the start of the bishops plenary assembly. The latters call is not to be successful but to be faithful. As the new administration and the Church set out their relationship, the bishops task is to shield the weak. Manila (AsiaNews/CBCP) The Catholic Bishops Conference of the Philippines (CBCP) is urging its members to stand up against what is wrong and uphold the Churchs teachings even if they if it means going into the wilderness. We will teach, even it seems like a voice in a hostile wilderness, till the day we die, that right is right and wrong is wrong and that we will not withdraw from the mission of the Lord, said CBCP President Archbishop Socrates Villegas at the start if the bishops 113th plenary assembly on Saturday in Manila. More than a hundred prelates are in the capital to lay down the guidelines for the next two years. Our call is not to be successful but to be faithful, Villegas said. The meeting comes at a particular moment for the Church and the Philippines. On 30 June Rodrigo Duterte took office as president of the Philippines. During the election campaign and after, he criticised the Catholic hierarchy, calling them "corrupt and hypocritical", and made some provocative statements, like a bounty for drug traffickers, imposing family planning, and hitting hard at corruption by playing dirty if need be. Instead of replying to the president, the bishops opted for prayers and meditation. We will stand and defend every persons life and dignity. We will shield the weak from harm. We will protect the confused from error, Villegas said. For the time will come when people will not tolerate sound doctrine but [. . .] and will be diverted to myths, he added. The archbishop reminded his fellow bishops that the wilderness offers an opportunity for purification and prayer, a return to the basics without trappings and icings. The wilderness beckons us to stay with the Lord and return to the essentials. The wilderness tests our readiness for martyrdom, Villegas added. Msgr. Habib Jajou, Archbishop of Basra, speaks of "noble and dynamic mission" which focuses on "human dignity" and "unites Christians" in need. A figure that has "respect" and "witnesses to the faith" in society. The future of the mission was discussed at a conference in Beirut involving theologians and religious leaders. Beirut (AsiaNews) - The diaconate in Iraq is a "noble and dynamic mission" because it focuses on "human dignity" and, at the same time, is an effective means to "unite Christians, especially those in need", says Msgr. Alnaufali Habib Jajou Archbishop of Basra, in southern Iraq. He was one of the participants at a conference focusing on the diaconate and its importance for the Church in the Middle East recently held in Beirut, Lebanon. The prelate, along with other Iraqi delegate, Ekhlas Almaqdacy took part in the July 7 to 9 meeting, together with 16 other experts, theologians and religious leaders from across the region. In a period of crisis and violence, emigration and decline in vocations, the diaconate has proved an essential resource to keeping alive the work of the Church in the Middle East. Hence the idea to investigate the role, its mission and development in the context of a meeting organized by the World Council of Churches (WCC) and the Middle East Council of Churches (MECC). In his speech, the bishop stressed that the Iraqi diakonia teaches "humility" and to share "life with joy"; it goes beyond the satisfaction of "material needs" and helps to "improve" the Christian personality. The role of deacons becomes essential in a situation like that of Iraq today and, more generally, in the Middle East where Christians are often subject to persecution, fighting "for their dignity and their rights" in the face of abuse and violence in many areas of daily life. The figure of the deacon, adds Msgr. Habib Jajou, enjoys "respect" and "witnesses to the faith" in every day life. The Church has the duty to keep "the door of hope" open and in doing this the diaconate "is an essential element" and part "of this program." In the face of the violence that fuels migration, says the Archbishop of Basra, "we have the duty to remain and serve not only ours, but the entire community" of Iraq, including other ethnic and religious groups. "The priority - he adds - is to serve the displaced and those who have had to flee their homes [at least 120 thousand people from June 2014] when Daesh [Arabic acronym for the Islamic State] attacked Mosul and Nineveh Plain". However, the commitment of the deacons and the importance of the diaconate is not limited to the last two years, with the advance of the jihadist group, but had already emerged during the war with Iran in the 1980s. In fact, even at the time many have contributed "working hard to provide a response to humanitarian needs." Along with the celebration of the liturgy "in churches, monasteries, homes, camps and prisons", the deacons are "sign of the times" in their strenuous defense of life, justice, peace, and in their duty to respond "to the needs of the faithful "in situations of" crisis ". "The Iraqi Church - concludes the prelate - works to support any initiative of a creative nature that it is capable of improving the work of the diaconate. It is an increasingly important element in this century, in which we live and deal with more and more challenges". The government approved the distribution, within three years,$ 12.8 million for the settlements of Kiryat Arba and Hebron. They will be used to for social, educational and security projects. Yesterday hundreds of settlers marched to denounce Palestinian attacks. Jerusalem (AsiaNews / Agencies) - The Israeli government has released nearly $ 13 million for the settlements of Kiryat Arba and Hebron, in the occupied territories in the West Bank. The initiative was announced amid widespread fanfare in an official government statement yesterday that the goal is to "strengthen" the settlements in response to a series of Palestinian attacks in the area. The funds - $ 12.8 million in total - will be distributed over three years and will cover interventions in social services, education and security for the colonies. In recent days, Israeli Prime Minister Benjamin Netanyahu had somehow anticipated the measure, noting that "the administrative offices" have promoted all possible efforts "to help residents to heroically face a brutal terrorism." Already in June the executive, the first proponent of the colonies and their development, had announced the distribution of 70 million shekels (16 million euro) to an unspecified group of settlements. Yesterday, hundreds of settlers marched in protest around the Palestinian village of Tarama and Othniel colony, south of Hebron, to denounce the attacks (stabbings) unleashed recently by Palestinian citizens. Kiryat Arba is the area where, a Palestinian recently stabbed to death a 13 year old Israeli girl while she slept in her home in a settlement, which is close to Hebron (the largest Palestinian city in the West Bank) where several hundred Jewish settlers live . Israel's expansionist policy has been been the target of the Middle East Quartet, a body consisting of the United Nations, United States, European Union and Russia. A recent report by the leaders of the group called on Israel to take "urgent measures" to stop the expansion of settlements in the Palestinian territories. In response, Israeli official sources said that Prime Minister Benjamin Netanyahu has approved the plan for the construction of 800 new housing units in the territories. To date at least 570 thousand Israelis live in over 100 settlements built by Israel since 1967, when teh area was divided into the Territories in the West Bank and in East Jerusalem. International law considers these settlementsillegal; a position disputed by the Israeli government, which in recent years has strengthened its expansionist policy. Peace talks between the two sides broke down in 2014, triggering an escalation of violence in the region. Srinagar (AsiaNews / Agencies) - At least 23 people died and 250 were injured in three days of clashes in Indian Kashmir sparked by the killing of Burhan Wani, a famous militant of Hizbul Mujahideen. The group has been fighting for the independence of the state from the Indian Federation. The young man, 21, was among the most famous for his videos and photos posted on social networks. Thousands of his supporters defied the curfew imposed by the authorities to attend the funeral of the separatist group's "pin-up boy". The ongoing confrontation is the worst incident of violence since 2010. The fighting was sparked July 8, after the killing of Wani. He, along with two other militants, was the victim of an operation by Indian police in the Kokernag in Anantnag district. The son of a teacher, Wani had joined the separatists at age 15 and was considered a hero by his generation, who looked to him as a reference point thanks to his increasingly popular presence on social networks. As soon as news of his death spread, groups of supporters took to the streets and began throwing stones at the police, who had since been deployed to maintain order. Since then, authorities have imposed a curfew and blocked access to internet sites in large areas of the southern part of Kashmir; state access roads have been closed; shops, schools, offices and petrol pumps have also been locked down to prevent damage and devastation. Three days on, tensions remain high and the local government will meet today to discuss the measures to be implemented. Kashmir is a disputed territory between India and Pakistan since the separation of 1947. Repeated attempts to establish independence and a latent conflict have caused tens of thousands of deaths, of which the majority are civilians. by Christopher Sharma Seven lay people and a clergyman could face trial as the court vets the evidence to rule on the indictment. The accused were arrested in June for distributing copies of the holy book at a school in Dolakha District. In fact, the students who got the Bibles were Christians and had asked for a copy. Hindu nationalist groups demand the maximum sentence. Kathmandu (AsiaNews) Seven Protestant Christians and a pastor could be tried for distributing Bibles to school children for the purpose of proselytising. Local sources report that a trial date has not yet been set, but that the court is preparing to charge the suspects. Police arrested the seven on 8 June for handing out Christian religious material to children at a school in Dolakha, a district in northern Nepal, an area that was particularly affected by last years devastating earthquake. A few days later, on 14 June, the authorities also took into custody Rev Shakti Pakhrin for his ties with the people already under investigation for proselytising. A few days after their arrest, the eight Christians who include two teachers and activists working for the association Teach Nepal, which is actively involved in post-quake reconstruction were released with the obligation to appear in court. The indictment against them is that of distributing Bibles for the purpose of converting students. Police, said District Police Chief Bel Bahadur Pande, acted after receiving complaints from local politicians and guardians over Bibles being handed out. The accused are said to have violated Article 26, paragraph 3, of the Constitution of Nepal, which regulates religious freedom and states that no person shall act or make others act in a manner which is contrary to public health, decency and morality, or [. . .] convert a person of one religion to another religion. The eight Christians have rejected the accusations, pointing out that they only gave copies of the Bible to Christian students who had asked for them. We only gave it to them, said Prakash Pradhan, principal of Mount Valley Academy, a local private school. Christian leaders and organisations came to the defence of the eight accused whom they say were tortured and unjustifiably detained. For Tank Subedi, founder of the Family of God, the accused were involved in a campaign to raise awareness. As others from other religions do, they might have cited Biblical passages, but this does not constitute a crime in a secular nation. Meanwhile, the Federation of National Christian Nepal (FNCN) submitted a memorandum of defence to Home Affairs Minister Shakti Bahadur Basnet, calling for the accused to be released immediately. Accusations against them are false and designed to create fear among other Christians, he said. By contrast, Hindu nationalists want the government to impose the maximum sentence allowed under the law. by Hocine Drouiche* Quranic schools in the West teach political Islam centred on jihad and hatred towards the enemies. Imams and scholars are blocking any reform and every question from students. Islamist groups want to destroy Muslim regimes and the imperialist West. An Islam of dialogue that does not proselytise is still possible. Europe must control Jihadi groups. This will save Islam as a religious experience, and even world peace. Imam Drouiche, vice-president of the Conference of Imams of France-Paris, gives his reflections. Paris (AsiaNews) Several experts believe that the Muslim world is going through the most difficult period of its history, and is losing its political, social and religious points of references. At one time, religion was seen as the salvation of the Ummah, the global community of Muslims, from poverty and underdevelopment. Now it has become the Ummahs biggest problem. With apostasy (takfir), hatred, and religious wars, the notion of Ummah (nation) has become almost non-existent. Amid Shias, Sunnis, Salafis, the Muslim Brotherhood, political Islam and jihadism, tens of thousands of young Muslims can no longer find rationality and the ability to adapt to modern times. Political Islam has turned the Islam of life and hope into an ideology of death and bombings in the name of jihad and defence of the Ummah! The latest attacks in the Muslim world show that Islams religious crisis is becoming more serious and complicated. We had always thought that terrorism was born in Iraq and Afghanistan because of the pride of the Bush administration. The Arab Spring has shown clearly that the problem of Islam is linked to Islams theological and legal crises: various legal schools, various Islamist groups, the absence of religious references, the crisis of multiple references colliding with each other, captivity to the past and history without any desire for reform, creativity or adaptation of the religious text to modern circumstances. Despite all the efforts at religious reform, Islam has not been able to free itself from interpretations and judgments that date back to the Middle Ages. Can we accept these ancient interpretations in the West? Is it morally acceptable that we repeat the hateful religious norms, drawn up centuries ago, when Muslims were at war against Europe, whilst Muslims today have become citizens with full rights in these countries? The latest attacks in the West and the Muslim world show that religious reform has become necessary for Islam and Muslims to continue to exist. These events have shown the importance of such reform for world peace! The Muslim religion, as it is often presented in Islamist Quranic schools, tends towards hatred and violence instead of love and tolerance. Conspiracy theories and the demonisation of others make these groups more attractive than if they spoke about peace and dialogue! Recently, a Committee for Reform set up by the University of al-Azhar, which is the largest university in the Muslim world, strongly criticised the ancient methods and books used in the training of imams and theologians. Nevertheless, that university itself is often criticised by Islamists and Muslim groups because it facilitates religion too much! These schools use very ancient Muslim legal books. Self-criticism is prohibited. Students have no right to take a different point of view from that of their sheikh or spiritual leader. One can still hear in school an old refrain: If your teacher (sheikh) is not pleased with you, God will never be pleased with you! As a result, creativity and religious reform are destined to eternal death! Muslims consider that the Salaf (predecessors) have already answered all the questions of life. As a result, students in their Islamic group must be as a dead person in the hands of his purifiers (quote from Muslim literature). Conspiracy theories and hatred towards the West In addition, these schools never cease telling young people about conspiracy theories, which explain perfectly how the whole world is against Islam and Muslims! So, the best among them are those who gives their soul to defend Gods religion against unbelievers, Jews, crusaders, atheists, polytheists, etc. . . . These Islamists know how to create enemies because they consider themselves Gods attorneys on earth with the right to judge all others, even Muslims who do not think like them! Hence, it is easy to understand why Islamist groups demonise all tolerant imams, those who encourage dialogue and work for a European Islam, detached from the Arab-Muslim world. They often call them traitors, Western collaborators, pro-Zionists . . . in order to discredit them in the eyes of their fellow Muslims, who want nothing more than a decent and respectable life, without any desire to dominate Europe or convert others. Such allegations can even turn into death threats as is the case for Imam Hassen Chalghoumi, Dalil Boubekeur and other imams in the United States. Conspiracy theories clearly tell Muslims that You are not responsible for anything because you are the victims of the West. Those elites who promote such theories kill the notion of responsibility and hope among Muslims to reform and correct the serious errors of Islamism in Europe. Conflict theories exploit people's discontent in marginalised neighbourhoods in France, in Belgium and elsewhere. In Europe there is racism against Muslims, as there is anti-Semitism towards Jews. But this cannot be solved through violence, attacks, or hatred towards each other. Conspiracy theories only help radicalise young people and put them in a state of revolution and opposition to any dialogue or rapprochement, since there is no trust any more. Of course, the problems associated with radicalisation are due to various social, economic, and identity factors, but I personally believe that imams and Islamic scholars in Europe must have the courage to face the truth and recognise that the Islamic religious discourse is still not adapted to Europes reality and values . . . and that the religious text has become a pretext for hatred and violence. For the first time in history we have Europeans who convert for the sake of war and hatred! In a normal situation people should convert to a religion for peace and love! Young Muslims are in direct conflict with their brothers and are at war with the West. This trauma creates a psychological imbalance in thousands of young people who, in the absence of a competent and recognised religious references, find opportunities to interpret religious texts in the sense of hatred and violence, in accordance with the notion of jihad and self-defence, to protect the Ummah from the cultural, economic and military conquest of the West. Islamist groups have replaced the Muslim state. The chouyoukhs [wisemen, scholars, elders) and the leaders of these movements do not hide their contempt for governments and the regimes installed according to them by Western imperialism. Hijacked by Islamist groups, modern Islam is explained from within a war-like and confrontational framework. Consequently, dialogue with Christians, Jews and the West can never be sincere to these groups. Muslims must not place any trust in them. The International Union of Muslim scholars asked all imams and Muslim preachers to stop inter-religious and intercultural dialogue with Western institutions. Such a conflictual environment and hatred as well as the immobility of Islam towards modernity and post-modernity have influenced the vision of millions of young people towards the whole world. For Islamist thinking, Islam does not accept coexistence between faith and unbelief, light and darkness, God and the devil. Europe is caught in between Islamist groups and interventions by various Arab and Muslim countries like Algeria, Morocco, Saudi Arabia, Turkey, Iran and others. It will find it difficult to manage Islam that transcends the notion of religion and has become more and more the ideology of militant political Islam that seeks to rule. The relationship between Islam and the West can be normalised only if Islam is de-politicised and goes back to its religious nature, tackling desires for domination and proselytising. Islamic groups draw their legitimacy among Muslims from the revolutionary Islam that challenges Arab regimes, but also modernity and imperialist European states. Sooner or later, Europe will recover its power and its control over Islamist groups in the interest of social peace, but also in the interest of European Islam that is going through a period of anarchy and disorganisation, with blows from abroad that threaten everyone. European Muslims who are part of these pseudo-religious groups do not yet realise the fear and anger of European citizens against them, because of their incomprehensible and ambiguous silence in the face of the terrorist attacks in Europe and the world! Political Islam, which describes these people as racist, fascist, and Islamophobic only aggravates the situation. It is up to European Muslims and groups to inject rationality in the discourse and break away from visions imported from the Arab world. According to various experts, a clear, modern European Islam has become more than necessary if we are to avoid falling into a general and inevitable conflict that harms first of all Islam and Muslims. European Muslims should not fall into the trap laid by Islamist groups who pursue ideological and political agendas rather than religious goals and have no connection with the true values of Islam, which are peaceful, spiritual, realistic, respectful as well as non-dominating and non- proselytising. * Vice-President of the Conference of Imams of France-Paris Candidate for rector of the Grand Mosque of Paris After his apostolic visit to Armenia, Francis will visit the two countries from 30 September to 2 October. The schedule includes many ecumenical meetings and talks with the Sheikh of Muslims of the Caucasus at the Heydar Aliyev mosque. Vatican City (AsiaNews) The Vatican Press Office on Monday released the schedule for Pope Francis visit to Georgia and Azerbaijan from 30 September to 2 October. It includes many ecumenical meetings, two masses and a private meeting with the Sheikh of the Muslims of the Caucasus at the Heydar Aliyev Mosque. The three-day journey begins in the Georgian capital of Tbilisi, where the Holy Father arrives at 3 pm local time for a welcome ceremony. From there, he travels to the Presidential palace for a courtesy meeting with President Giorgi Margvelashvili, followed by an encounter with diplomats, government and civil society representatives. Later in the day, the pope will visit the Patriarchal Palace in Tbilisi to meet with the Catholicos of the Orthodox Church in Georgia, Patriarch Elias II, and then go on to greet the Assyrian Chaldean community in the church of St Simon the Tanner. The following day, Saturday October 1st, the pope will celebrate Mass at Mikheil Meskhi Stadium in Tbilisi. He will then meet with priests and religious at the Church of the Assumption, followed by a meeting with charity workers and members of the communities they serve outside an aid centre run by the Camillian order. In the afternoon, he will visit the Svietyskhoveli Patriarchal Cathedral in the ancient city of Mskheta. On Sunday 2 October, the pontiff will leave for Baku. After the welcoming ceremony, Francis celebrate Mass at the Salesian centre in Baku and have lunch with the Salesian community. In the afternoon, he will attend an official welcome ceremony at the presidential palace and pay a courtesy visit to President Ilham Aliyev. After that Francis will hold a private meeting with the Sheikh of the Muslims of the Caucasus at the Heydar Aliyev Mosque. Before leavening, he will meet the Orthodox bishop of Baku and the president of the Jewish community. Azerbaijan, the largest state in the Caucasus, is a predominantly Shia Muslim nation 95% out of 9.7 million people but there are also Orthodox and Jewish communities. Catholics only number a few hundred, and the first Catholic church was inaugurated in 2007, dedicated to the Immaculate Conception, in the capital Baku. This apostolic journey is the second stage of his visit to the Caucasus, which began on 24 June with a trip to Armenia. The area, the pope said repeatedly, should be a crossroads of peace and instead appears to be a powder keg. The Nagorno-Karabakh conflict is the main problem as it opposes Azerbaijanis and Armenians. During the press conference on the return trip, Francis said, " I will speak to the Azerbaijanis about the truth, of what I have seen, of what I feel. I will encourage them too. I have met the President of Azerbaijan and have spoken with him. I will also say that not making peace on account of a small patch of land because that is all it is means something grim . . . But I say this to all, Armenians and Azerbaijanis. Perhaps you arent agreed on the modalities of peacemaking, and this you have to work on. By Michael J. I. Brown, Associate professor, Monash University NASA-Sloan Atlas Galaxies are star-making machines, churning out new stars fuelled by cold gas collapsing under the force of gravity. Some galaxies can produce hundreds of new stars in a single year, and individual galaxies can contain many billions of stars. Our own galaxy, the Milky Way, is dotted with star-forming regions. One of these, the Orion Nebula, is so bright you can see it with the unaided eye. Look at the middle star of Orions sword, and you are actually seeing stars being born. NASA, C.R. O'Dell and S.K. Wong (Rice University) But something can break these star-making machines; many elliptical galaxies have stopped forming new stars. What stops them is one of the biggest questions in astronomy. Breaking the machines A distinctive feature of elliptical galaxies is their ellipsoidal shapes, much like an Aussie rules or rugby ball. NASA Sloan Atlas The Milky Way, and many other large star-forming galaxies, are spiral galaxies. In spiral galaxies, stars and the gaseous fuel to make new stars circle around the galaxy in a vast flat disk. Does the formation of new stars critically depend on galaxy shape? It seems plausible given most spiral galaxies are forming stars and most elliptical galaxies arent. But how then do elliptical galaxies grow? Back in 1972, the brothers Alar and Juri Toomre showed that new elliptical galaxies could be created by merging spiral galaxies together. Indeed, billions of years from now, our own Milky Way will collide with the Andromeda galaxy to create a new elliptical galaxy. Perhaps it is the process of galaxy mergers that breaks star-making machines. But not all plausible mechanisms for stopping star formation clearly depend on galaxy shape. For example, galaxies ploughing through hot plasma can have star-forming gas stripped from them, but this process shouldnt transform spiral galaxies into elliptical galaxies. There are some elliptical galaxies that are forming stars, but are there any spiral galaxies without any star formation? Is star formation intimately linked to galaxy shape or not? We decided to find out. Sloan Digital Sky Survey Searching for star formation How do you find galaxies that are forming stars versus those that are not? Easy. You look for stars that die young. Our yellowish sun is about halfway through its 10-billion-year life. But very luminous hot blue stars have lifetimes of just 30 million years. In cosmological terms, 30 million years is a blink of the eye. Find a galaxy with these blue stars, and you are seeing a galaxy forming stars (or that formed stars very recently). Conversely, a red galaxy may not be forming any new stars. There are other ways of looking for star-forming galaxies too. Hot stars warm the dust within galaxies, and that warm dust glows in infrared light. Hot stars also cause surrounding gas to glow, producing a distinctive spectrum of light. Jan Homann/Wikipedia Red and dead? We werent the first to look for spiral galaxies that arent forming stars. In 1976, Canadian astronomer Sidney van den Bergh found anaemic galaxies that have far less star formation than typical spiral galaxies. Sloan Digital Sky Survey And British astronomer Karen Masters has identified thousands of red spiral galaxies using the citizen science GalaxyZoo Project. But the spectra of red spiral galaxies identified by van den Bergh and Masters show the distinctive glow of hydrogen gas surrounding hot blue stars. These galaxies must still be forming new stars. We decided to take a different approach to finding spiral galaxies without star formation, utilising images from NASAs Wide-field Infrared Survey Explorer. We searched for spiral galaxies without the infrared glow of warm dust heated by short-lived hot blue stars. The galaxies we found turned out to be red in ultraviolet and visible light, as expected if they arent forming new stars. To be totally sure these spiral galaxies are truly dead, we decided to obtain their spectra, using the Siding Spring 2.3-metre telescope, near Coonabarabran in New South Wales. Ssopete/Wikipedia None of the six spectra had the distinctive signature of glowing gas heated by short-lived stars. We had finally found spiral galaxies that arent forming stars. Our letter announcing this discovery was recently accepted for publication in Monthly Notices of the Royal Astronomical Society. So what stops star formation? Clearly, star formation can be turned off without transforming spiral galaxies into elliptical galaxies. But just what is stopping star formation? There are several possibilities. One option is ram pressure stripping, where gas is stripped from a galaxy plunging through hot plasma. But this process should only work in clusters of galaxies, and many of our galaxies arent in galaxy clusters. Perhaps gas cannot cool to produce new stars because of heating by active galactic nuclei, which are powered by the in-fall of matter towards enormous black holes. This may be true in some instances, but we didnt see evidence for active galactic nuclei in most of our galaxies. We now have a new mystery on our hands. What stops star formation in these unusual spiral galaxies? Funnily enough, galaxy shapes may provide a clue. The British astronomer Karen Masters finds that spiral galaxies with little star formation often feature prominent bars straddling their centres. This also seems to be true for spiral galaxies without star formation. Perhaps galaxy shape plays a critical role breaking star-making machines after all. Sloan Digital Sky Survey Michael J. I. Brown receives research funding from the Australian Research Council and Monash University, and has developed space-related titles for Monash University's MWorld educational app. Amelia Fraser-McKelive is the recipient of an Australian Postgraduate Award and receives funding for her research from Monash University. Kevin Pimbblet receives research funding from the University of Hull. He is affiliated with Monash University as an adjunct academic. Originally published in The Conversation. People applying for visas for Australia who seek the help of an agent are being reminded that they can trust those registered with the Migration Institute of Australia after reports of immigration fraud.'No level or form of immigration fraud is acceptable and any professional who fraudulently helps a migrant acquire a visa should be punished to the full extent of the law,' said MIA national president Angela Julian-Armitage. She was speaking out following media reports relating to student visas, skilled migration and the 457 visa programme, which is the most popular for people looking to live and work in Australia for up to four years.An investigation discovered that in the last 12 months some 132 cases of suspected corruption relating to the Department of Immigration were reported to the national corruption watchdog, the Australian Commission for Law Enforcement Integrity (ACLEI).It was also claimed that up to 4,000 visa applicants lodged fake qualifications or counterfeit degrees to apply for skilled migration and companies sponsoring migrants for non-existent jobs were not investigated or punished.'I want to be very clear about this, no level or form of fraud relating to visa applications is acceptable or tolerable. This has been the very strong and clear view of the Migration Institute of Australia since its inception almost 25 years ago,' explained Julian-Armitage.She explained that MIA members have two tiers of professional ethical frameworks they are governed by. Firstly, the profession's rigorous code of conduct as set out by the Australian Government's Migration Agents Registration Authority. The second tier is imposed by the Migration Institute of Australia's own code of conduct which demands an even higher level of ethical and professional standards that Migration Institute of Australia members must adhere to.In addition, the Migration Institute of Australia's lawyer members not only have to meet these two tiers in relation to their professional conduct but they also must comply fully with their respective professional associations' Code of Conduct.A statement from the Department of Immigration and Border Protection (DIBP) said that the vast majority of referrals to the ACLEI since 01 July 2015 related to individuals either claiming to represent the Department, or in a very small number of cases individuals working within the Department, adding that many are anonymous and non-specific allegations received from the public via the Department's immigration and citizenship fraud reporting hotline.'The allegations referred to ACLEI do not equate to actual instances of criminality, fraud or corruption. There is a very low threshold for the mandatory reporting of such allegations and many are yet to be assessed for veracity,' the statement explained.'The Department has implemented a strong integrity regime which includes the mandatory reporting of all suspected serious misconduct, corrupt conduct or criminal activity. The Department has devoted significant resources towards investigating systemic fraud in the visa system,' it added.Julian-Armitage pointed out that the major reasons for the alleged corruption proffered by media outlets was said to be due to a lack of funding and resourcing. 'The MIA has often called on the Federal Government to properly fund the Department of Immigration and Border Protection and to crack down on unregistered "migration agents" both here and abroad therefore preventing major issues like this from occurring,' she said.'Reports support our view that a major lack of resourcing has led to major flaws in the integrity and management of the migration programme. The MIA will always champion and protect the integrity of Australia's Migration Programme and ensure that the consumer protections and working rights of migrants in Australia are not exploited,' she added. Assuming I get the Skilled independent visa for me along with (my wife and kid as dependent). How long is the Visa valid? ( I heard that's valid for 1 years from the date of issue.Is that true?) Does that mean I along with my family should make our first entry to Australian before it expires (OR) Is there a specific date, normally much shorter that 1 year eg: 3 months before which we should make a First entry? Can I leave first and call my wife and kid for a first entry later in case of 'first entry is 1 year valid from the date it is issued?' AWD Every now and then, though, the tuning world likes to deliver a mind-blowing Toyobaru that comes to blow away such misconceptions and we're here to bring you a stunning example of that.We're talking about the Toyota GT86 in the images below (helmet tip to Kamikaze Drift for the pics), whose powertrain not only allows it to play with supercars, but also gifts it with all the assets required by a potential hypercar battle.The compact engine compartment of the 86 now accommodated the infamous Nissan RB26 turbocharged straight-six. And we're dealing with an incarnation of the mill that has been massaged to deliver 1,000 horses.While we've shown you hyperpowered GT86s before, most of these Toyotas retain their RWD configuration, as they belong to the drifting realm (here's an extreme example of a slip angle-savvy GT86). However, this isn't the case with the beast we have here, as the owner of the machine went for all-out performance.As such, this GT86 sends the power to the road via a Nissan Skyline GT-R all-wheel-drive system. And while we don't have the go-fast numbers for this compact bomb, when the chassis-engine combo delivered here meets the ATTESA E-TSof the GT-R, you should expect nothing short of mind-blowing results.Given the extreme tech makeover of the vehicle, we could almost call the visual part of the tuning job a restrained episode, with most of the credit for the transformation going to a Rocket Bunny kit and, obviously, the new multi-spoke wheels.You can check out the details of this velocity-addicted GT86 in the pics below. This yet-to-be-confirmed intel comes courtesy of our friends at GM Authority , who have heard mumblings from sources inside the Elizabeth assembly, responsible for building the VF Holden Commodore Series II and our Chevrolet SS. I dont mean to be Mr. Smarty Pants, but the order guide for the 2017 MY doesnt mention anything at all about the supercharged V8 powerplant.For 2017, the Chevrolet SS listed in the order guide is the bearer of codename 1EK69. Compared to the outgoing four-door sport sedan with Australian origins and the heart of a sixth-generation Corvette , the final model year ups the ante with two new features. Unsurprisingly, those features come in the form of two points jobs (Orange Blast and Nightfall Gray Metallic).As far as deletions are concerned, Chevrolet killed off three exterior paint finishes: Some Like It Hot Red Metallic, Mystic Green Metallic, and Jungle Green Metallic. If we do a search through the RPO codes, the only engine that shows up is the 6.2-liter naturally aspirated V8 from the C6 Corvette. From where I stand, the LSA-powered Chevrolet SS will most likely not happen.I am aware that Holden offers the LSA V8 in the maddest HSV models of them all, but bear in mind that the Aussie manufacturer did its own engineering work to integrate the supercharged powerplant into the Zeta vehicle architecture. Whats more, General Motors knows that it doesnt make any sense at all to spend a helluva lot of money on the research & development for the final model year of a low-volume nameplate.So there you have it, folks. If you want the almighty LSA V8 under the hood of your Chevrolet SS , you'd better buy the crate motor variant ($14,006) of the supercharged unit, then do the engine swap yourself. If you want to ensure your audience doesn't close the browser window, you'll have to go for tuned supercars. This is precisely what the piece of footage at the bottom of the page brings, with a Lamborghini Huracan and a Porsche 911 Turbo S being on the menu.The Huracan , which delivers 602 hp (make that 610 PS, you metric system fans) in Sant'Agata Bolognese form, has been gifted with a supercharger kit. Thanks to the forced induction, the 5.2-liter V10 occupying the center section of the car now allows the driver to play with 800 horses.As the 991.1 Turbo S involved in the fight, this comes with what can be labeled as a Stage Two approach. Translated in numbers, this means the twin-turbo 3.8-liter flat-six at the rear of the Neunelfer has gone from 560 to 650 hp.The pair of boosted supercars plays the velocity game in Russia, with the video bringing a detailed take on their stunts. For one thing, the race is accompanied by data for both the 1/4- and the 1/2-mile runs, so you can get a clear view on the matter.Nevertheless, the clip kicks off with the Raging Bull going for a solo run. Interestingly, the driver doesn't seem to care about what the Christmas Tree says. And this is also true for the time when the Huracan races the 911 Turbo S.In fact, for the brawl mentioned above, the Lambo driver seems to be ready to gift the Porsche guy with a hefty take-off advantage. Laggy start aside, the man behind the wheel of the Italian exotic doesn't even turn to the machine's Launch Control stunt. How does this tip the balance? Hit the "play" button below and you'll find out. Worldwide sales of BMW motorcycles and maxi-scooters reached a record of 80,750 units in the first half of 2016, representing a 3 percent increase over the same period of last year when 78,418 units reached their customers. The company says thats only the tip of the iceberg and is expecting to achieve a new high score for the year as a whole.As of June 2016, we were able to set our fourth six-month record in succession, at the same time supplying more than 80,000 motorcycles and maxi scooters to our customers by the middle of a year, BMW Motorrad Head of Sales and Marketing Heiner Faust said. Based on this positive development we will now strive to achieve another sales record for the year as a whole.As expected, the best-seller is still the R 1200 GS travel enduro model, with 14,630 of them reaching a new home as of last month. The R 1200 GS Adventure sibling has likewise continued to sell like hot cakes, raising the bar at 11,732 units supplied. The Adventure model S 1000 XR and S 1000 RR supersport claimed third and fourth spot accordingly, while the R 1200 RT touring classic falls into fifth place.The retro-styled BMW R nineT seems to do great too, exceeding expectations with 4,629 units delivered. However, the biggest increase was registered by the new generation C 650 maxi scooter which targeted 5,259 new clients, representing a 73.2% increase over the same six months in 2015.Most of the demand comes from major European motorcycle markets like Spain, with a growth of 22.6%, Italy at 6.9% and France with a need of 5.6% more BMW Motorrad two-wheelers. Ever since the Autopilot was released to the wide audience, Musk said it's still in a form of "beta testing," which kind of went under the radar at the moment, but immediately popped right back after what happened on May seven. Now, the Tesla Motors CEO though it would be appropriate to clarify on why he used the word "beta," but if you ask us, it's the "testing" that should have been addressed instead.During a Twitter conversation (how else?), he said that "[the] point of calling it 'beta' was to emphasize to those who chose to use it that it wasn't perfect." Well, if this is his attempt at making things better, he sure isn't doing a very good job because a responsible car maker should never knowingly release something it's well aware it's flawed. Of course, Volkswagen would disagree with that affirmation, but let's just call the German company the exception that proves the rule.Beta or not, Tesla made something available to the public, something that even the man running the company admits to not being perfect and that still needed testing. Sure, Tesla ran lab tests, then it used the feature on its own fleets, then in the Early Access Program, and only after all these steps it activated the Autopilot on all of its vehicles built after 2014. But no matter how you put it, it is still testing the feature using its own clients. Its only saving grace is that it is doing so openly.Also on Twitter, Musk also said that it would take a total of one billion miles of Autopilot data to stop calling it "beta," a number that should be reached in about six months, according to his calculations. Earlier in May, Tesla revealed the speed at which it gathers Autopilot data to be of around one million miles every ten hours from a fleet of about 70,000 vehicles. With a further 50,000 planned to sell over the next six months, that rate should see a steady increase.Ultimately, this recorded data will serve all carmakers in the attempt of convincing the regulators that autonomous cars are indeed safer than human-driven vehicles. The cost at which this is happening, however, already counts one casualty. Let's just hope these six months pass quickly and uneventfully.Beta test, according to WhatIs.com In software development, a beta test is the second phase of software testing in which a sampling of the intended audience tries the product out.Beta testing is also sometimes referred to as user acceptance testing (UAT) or end user testing. In this phase of software development, applications are subjected to real world testing by the intended audience for the software. The experiences of the early users are forwarded back to the developers who make final changes before releasing the software commercially. In the SMS-grade press release delivered by the Italians, the automaker explains that "the new limited edition special series will be available with a carbon-fibre hard top and a soft top," but the press images only showed the car in a topless state.Well, we can now show you that CF hard top for the first time. It all started with a pack of wild LaF Apertas that were spotted over in Maranello last week, with the aficionados over at Supercars of Worthersee doing a brilliant job at shooting the 950 hp (963 PS) Fezzas.The Gray one in the image above is wearing the solid roof and, since we already have official shots of the beast, you can simply forget about the camo covering the gas-electric velocity tool.As for the canvas roof of the LaFerrari Aperta, you can also check this out in the image gallery bellow, as the German spotters managed to capture no less than three soft top LaFs being driven around Ferrari's home town. Heck, you can even go for a bit of a color comparo, since thee spied hyper-hybrids came in Gray, Black and Red - we'll remind you many old-school aficionados still believe Ferraris should only come in one color.And with Ferrari assuring us the Aperta will pack the same drag coefficient as the fixed-roof model, even when its top is removed, the one thing we're looking forward the most now has to do with videos showing the car hitting its 217+ mph (350+ km/h) with the roof stored.P.S.: If you're into LaFerrari Aperta color play, we have some serious eye candy for you. Its been the fourth year the Mongols MC have stayed at the Palm Springs Hilton hotel and police forces got beefed up again, with multiple patrols being dispatched around the establishment and throughout the city. Although the riders have been generally peaceful, the lawmen made several warrant arrests and issued a few dozen citations for misdemeanors and felonies.No specific details nor the identity of the arrested members have been revealed, but Lt. Mike Kovaleff indicated the MCs visit ended without major incidents. The hotel owners also confirmed earlier on that the members of the group were respectful towards the staff, revealing that they generally sit by the pool and have a good time.Its not the first time Mongols MCs riders get arrested there, as several others were detained on weapon-related charges in past visits to Palm Springs. The club has 50 chapters in California, 14 in other states and 18 in different countries. Originally formed in Montebello, California, in 1969, the one-percenter motorcycle club is known to have had confrontations with the law enforcement concerning illegal drug trade, money laundering, and extortion among others. It is estimated that the group has around 1000 to 1500 full-patched members.However, the last weekend arrests are nothing compared to last years Texas motorcycle gang shootout , when 192 got arrested on organized crime charges and around 100 weapons got confiscated. The members of the Cossacks and Bandidos motorcycle gangs fought each other at the Twin Peaks Bar and Grill in Waco as one group ambushed the other during a recruitment event. The drastic decision has been announced on Monday as a possibility by the countrys environment ministry, which has said it will make a decision on the matter by the end of the month. Dieselgate , the massive scandal in which Volkswagen has ended up after using a defeat device to trick emission testing procedures, is not the cause of the potential ban, but another situation which has been discovered after inquiries related to it.We are writing about a suspected fabrication of documents related to emission and noise level tests, required by South Korean authorities to issue a certificate of compliance for each model type sold by an automaker in the country.The Volkswagen Group is accused of fabricating some of those documents , and the 32 models which are suspected of this might have their certification revoked.As Automotive News reports, 27 of the 32 models made by the Volkswagen Group are currently on sale in South Korea. The others have already been replaced or are expecting their replacements. If the Asian country decides to enforce this decision, the dealers of the brands which make the affected vehicles will not be allowed to sell the 27 models in question.The representatives of the Volkswagen and Audi branches in South Korea have explained that they respond to the situation once they have a decision from the ministry of environment.Legal action is not excluded, as the company could lose significant sales in South Korea, even though the volumes achieved in the country are not impressive. South Koreas Ministry of Environment will hold a hearing on July 22, and they will make a final decision on the matter once they meeting is completed.The proposed suspension of sales has already been done this year, but against the Nissan Qashqai, after the automaker was accused of manipulating the emission control system . Nissans local division responded with the filing of a lawsuit against the Ministry of Environment. SUV Patrick Foster of The Telegraph writes that the higher-ups at the BBC are planning to take Top Gear to the next level with an infusion of fresh behind-the-scenes talent. In this regard, the British publication mentions that the BBC is looking for a new series producer, who, according to a job description, must be able to manage their own emotions in the face of pressure.Im not 100 percent sure about that, if Im honest. Try searching for the term producer on the BBC Careers portal and you will get two results: Assistant Content Producer, BBC Childrens Website Team Salford and Publicist, BBC Radio 4 & 4 Extra. Ive even searched through each and every job opening posted by the BBC in the last month and guess what? I didnt find it.Maybe, but just maybe, the position isnt open to the public. Maybe it is a Do you know someone who can do this? type of employment opportunity. These said, changes are in the pipeline for Top Gear . As per the same story, the Beebs bigwigs are considering a raft of radical changes to the next series of Top Gear, including the promotion of two junior presenters and the introduction of a regular celebrity road trip feature.Its been known for some weeks now that Rory Reid and Chris Harris will help Matt LeBlanc front the show. As for introducing a regular celebrity road trip feature, its a good idea if you ask me. In Series 23 Episode 2, three of the presenters took three musicians in compact-sized SUVs on the ultimatetest." To be honest, it was one of the most enjoyable segments of the entire series. Even Chris Evans was bearable to watch on that occasion.Whatever the future holds for this once-great car show, Top Gear needs a cold shower if it wants to get out of the hole Chris Evans dug it in. Instead of growing as many locusts as possible and developing a way of dumping them on the enemy ground in an attempt of a biblical attack, the US Navy wants to have cyborg insects. You read that right. We are talking about robotically-enhanced locusts, which will help the US Navy in more ways than a theoretical locust attack would.The plan has been penned by biomedical engineering professor Baranidharan Raman, along with his team at the Washington University in St. Louis, which will receive the grant from the US Navy over the period of three years. The team wants to turn regular locusts into bomb detectors, the Washington Post reports.The logic behind the system involves taking advantage of the powerful and sensitive olfactory system (that the term for the sense of smell) which every locust already has, and humans cannot replicate it in an artificial manner. So instead of making a sniffing device, they want to make insects find bombs by using its sensors and an array of electronic devices.The first step of the process is implanting sensors into the neural networks of locusts, and then figuring how to record and decode the readings from their antennas, which they use as an olfactory sensor. Each animal recovers from surgery in a few hours, and is ready to roam the world without knowing they have electrodes into its brain.For the locusts to be mobile and useful in the field, they must be trained to smell and react to the scent of explosives, including all of the potentially dangerous compounds and mixes.Once locusts are trained to sniff explosives, they will receive miniature backpacks, like the one pictured in the top photo, to light up an LED to red if they feel anything that resembles a bomb. Otherwise, it shines green, to show the operator of the locust where it stands.Once this is done, locusts will get tattoos, made with biocompatible silk. These would be done on their wings, so that a laser could be shined on one of the tattoos, and the heat from the material would make the insect fly in the corresponding direction.Scientists say it can be done. This would mean to be terrified of tattooed cyborg locusts that will be enlisted in 2020. There are also subtle career options here - you could become a locust tattoo artist, or a locust operator. Photo courtesy of Dollar Rent A Car. Dollar Rent A Car in the United Arab Emirates (UAE) has signed a partnership agreement with du, a telecommunications service provider. Upon purchasing tourist SIM cards from du, travelers can rent a car from Dollar Rent A Car across the UAE and receive special discounts: 50% on general cars and 30% discount on luxury or niche cars for daily, weekly, and monthly rentals, according to the company. "We are thrilled to partner with an innovative service provider such as du to offer fantastic deals to travelers looking for great savings during their visit to the UAE, said Marwan Al Mulla, general manager of UAE and Oman. Whether you are here for business or leisure, you can start your journey with us through our wide range of vehicles that are great for individual or group travel. Our partnership with du customers will allow visitors to have an enjoyable and worry-free holiday to the UAE." Discounts of 50% will be given to customers renting cars such as Toyota Yaris, Toyota Corolla, Nissan Tiida, Nissan Sunny, Honda Civic, Chevrolet Cruze, and Mitsubishi Lancer. For the niche cars, customers can select from vehicles such as the Camaro, Mini Cooper, Volkswagen Beetle, BMW 520i, and Dodge Durango. "This partnership with Dollar Rent A Car is part of our continued commitment to offer our customers exciting promotions that will add great value to their money in addition to having the most reliable mobile connectivity while visiting the UAE, said Fahad AlHassawi, chief commercial officer of du. We look forward to a successful and productive collaboration." Photo courtesy of Europcar Australia. Europcar Australia will offer ski carrier cars this winter with the introduction of its specialized Mitsubishi Outlander fleet. The Mitsubishi Outlander vehicles are fitted with Thule racks that can hold up to six skis or four snowboards. These vehicles are currently available through Europcar city and airport locations in Melbourne, Sydney, and Canberra, according to the company. Ron Santiago, managing director of Europcar Australia and New Zealand, said the vehicles will help meet the needs of ski enthusiasts, families, and travelers preparing for the winter holiday season. Europcar is committed to providing customers with peace of mind; whether it be helping to ensure their ski and snowboard equipment arrives in one piece or easing the burden of loading and unloading the car. The snow package also comes with a set of snow chains as well as snow coverage for accidents that occur above the snow line. We understand our customers needs and are committed to investing in safe travel solutions and continue to look at new initiatives that we can introduce to positively impact our customers experience, said Santiago. Logo via Right Cars website U.K.-based Right Cars Vehicle Rental Ltd will open a location at Miami International Airport in August as part of its Florida rollout. This is the companys first franchise branch in Florida. "It's very exciting news, that I can confirm the opening of our first branch in the U.S. state of Florida at Miami International Airport under the operational control of Mr. Solis, who acquired the Master Car Rental Franchise for Florida, said Rick Little, co-founder and chairman at Right Cars. Mr. Solis, who has many years of experience in the car rental industry, will oversee the day-to-day operations in Miami, serving both leisure and business customers from our huge selection of new vehicles. "Karl Taylor, managing director of Right Cars, will be attending the grand opening early in August, added Little. And at the same time he will be reviewing real estate with Mr. Solis for the planned opening of 10 other airport branches at locations such as Fort Lauderdale, Tampa, Orlando, and Palm Beach." With the expansion of the Right Cars brand in the U.S., the company will also be announcing its introduction into India where it will provide self-drive rental car centers at major airports. "The expansion of the Right Cars brand in Florida is a significant milestone in the development of our U.S. business which is growing rapidly, said Little. The company has already sold the master franchise for the U.S. states of California, Nevada, Tennessee, and Texas. We are on target to have over 50 operational locations in the U.S. before the end of the second quarter 2017." A British pilot could face criminal charges in the crash of a Hawker Hunter vintage jet at the Shoreham Air Show in August of 2015. Andy Hill, 52, survived the fiery crash into a busy highway that killed 11 people on the ground. Although he has not been formally charged, the Daily Telegraph is reporting that he has been told he is being investigated for manslaughter by gross negligence. Hill failed to pull the Cold War fighter out of a loop during his routine at the airshow. Hill, an ex-military pilot who was also a British Airways captain, was severely injured in the crash, which happened on the first day of the show last Aug. 22. In addition to the criminal charges, Hill is also being investigated for endangerment under the U.K.s aviation regulations. A hearing will be held later this month to decide if data recorder information, video footage and reports from experts can be released to the Sussex Police for their investigation. Meanwhile, the local coroner is pressing for a full inquest that she estimates will last eight weeks. It could be held next March. It was the second worst airshow accident in the U.K. A crash at the 1952 Farnborough Air show killed 31. After the accident, the British government restricted vintage jet displays to flypasts and banned aerobatics. It also temporarily grounded civilian Hawker Hunters. Founder and CEO of Icon Aircraft, Kirk Hawkins, spent his formative years in a way many pilots would envymotocross racing, skydiving, flying little airplanes and ultralights and mucking around with boats. In the process he picked up a private pilot certificate and acquired an understanding of the wide appeal of motorsports, including recreational flying, across our population. After college, Hawkins went to Stanford where he earned a masters degree in product design and manufacturing. Stanfords program heavily emphasized humanizing designlooking at the human side of the interface with the product as a primary objective. It integrated human factors (even the most brilliant humans make mistakes, the product shouldnt kill them for doing so), user friendliness, ergonomics, attractive appearance and making it fun to use. In any conversation with Hawkins it becomes obvious that the philosophy of Stanfords program closely matched his own and heavily influenced his companys product, the A5 S-LSA amphibian. Hawkins next step was into the Air Force where he flew F-16s on active duty and, subsequently, with the Air Guard. Following his active duty years, he became a pilot for American Airlines flying the Boeing 757 and 767. He returned to Stanford for business school about the time the FAA put out its NPRM proposing Light Sport Aircraft and the sport pilot certificate. His intense interest in motorsports and the idea of flying purely for funthe recreational side of aviation rather than the transportation sidefocused him on exploring the business potential of Light Sport Aircraft designed for those who wanted to fly because it is a hell of a lot of fun. Light Sport Aircraft as a Stanford Case Study Stanfords business school utilizes the case study method in its program. The business potential of LSA became Hawkins case study, leading to an intensive focus and market research into the level of demand for purely recreational aircraft. Hawkins told us that his market research showed that there were a lot of people in the U.S. who wanted to learn to fly each yearhis numbers showed 60,000but that were blocked by barriers to admission. One of those barriers was the needless complexity of the private pilot rating. As Kirk pointed out, a private pilot has to demonstrate the ability to safely fly into the busiest of controlled airports in the country, day or night, yet pilots who want to fly for fun have no need to do so. He pointed out that pilots can legally and safely use 98 percent of the airspace at lower altitudes in this country without having to talk to ATC. He goal was to build an airplane that was designed for pilots who wanted to use that 98 percent of the airspace, would be easy and safe enough to fly for the lowest time pilots and would give them the information they needed to fly safely and stay out of airspace that required contact with ATC. Hawkins told us that his research indicated that there was a significant level of latent demand for fun flying and that the sport pilot rating would be the ideal entry point for those who wanted to fly for recreation. Plus, if they found that they wanted to continue to add ratingsmaybe even fly for the airlinesbecause they had learned to fly and spent their formative hours as pilots in a world that emphasized stick and rudder flying, they would be much more competent professional pilots than those that started out staring at a screen and using only wide, long runways. Hawkins told us frankly that, in his opinion, the sport pilot certificate is The safest way to move into transportation flying. In our conversation, Hawkins detailed what we saw as a three-prong philosophy of building airplanes for what he described as the pilot who says Where are my scarf and goggles? The first level is to make it fun with such things as windows that can be removed easily, for the arm on the windowsill experience that attracts pilots to Cubs. Plus, make it an amphib; allowing some of the most fun flying there is. The second, more buttoned-down, cautious prong of his philosophy is safety. He recognizes quite frankly that a certain proportion of those who are attracted to pure fun flying are from the Hey! Watch this! school of aviation or simply are very inexperienced. They are at risk of either aggressively doing something foolish or innocently making a bad mistakeand getting themselves into trouble at low altitude and low speed. That often means a loss of control event without time or altitude for the pilot to sort out what hes done wrong before things stop abruptly. Hawkins wants his aircraft to be forgiving enough that even when a pilot messes up at low altitude, the windshield fills with ground and the pilot reacts by pulling the stick back to try and get the nose up, he will have a good chance of surviving. His approach is to design for that error and create an airplane that has a strong chance of safely climbing away from the encounter. Angle of Attack An integral part of Hawkins safety prong is to reduce the risk of landing accidents through the use of anAngle of Attack indicator for all maneuvering flight and landings. It was something he was exposed to as an Air Force pilot. He explained to us that the military learned more than 50 years ago that using airspeed when landing was an invitation to problems with loss of control, especially during landing rollout, often from flying too fast on final when the pilot was worried about stalling. The AOA indicator tells the pilot precisely what the wing is doing and corrects for weight and flap deployment. The third subsection of Hawkins safety prong is to use tricycle gear. He told us that while there is the macho factor for tailwheel flying, the reality is that even very high time pilots lose control on landing in tailwheel airplanes at a rate two to three times higher than in nosewheel airplanes. The fourth subsection of the safety prong of Hawkins discussion with us about design was to assure, as much as possible, that the pilot receives high-quality flight training geared specifically for the airplane. Closing out Kirk Hawkins approach to aircraft design and manufacturing is his strongly expressed belief in taking responsibility for actions as a method of a manufacturer approaching the issue of product liability exposure. He has courted controversy by insisting that buyers of Icon aircraftsign an involved purchase and operating agreement. In it, the buyer is required to make a number of guarantees regarding who will fly and instruct in his or her airplane. To say it has caused a great deal of comment within the aviation community is to make the understatement of the week. Hawkinssaid that he and his company will be responsible for things they do wrong in the design of their airplane and training of pilots who fly it, and he expects that pilots who fly it will take responsibility for their risk management and operational decisions when flying. As we wound up our conversation, Hawkins said, An airplane is the ultimate metaphor for freedom. We agree. We think his vision for human-machine interaction based on his background in product design at Stanford is a step beyond what has been done previously in general aviation. His insistence on contractual agreement between Icon A5 buyers and the company has gotten the industrys full attention. Were going to be watching how the market responds to the offspring of Hawkins vision, the Icon A5. Hawkins told us that 40 percent of the depositors are non-pilotsand he wants to bring more people into general aviation. Were hoping he can. Rick Durden holds and ATP with type ratings in the Douglas DC-3 and Cessna Citation, is a CFII and seaplane instructor and is the author of The Thinking Pilots Flight Manual or, How to Survive Flying Little Airplanes and Have a Ball Doing It, Vols. 1 & 2. 11 July 2016 11:20 (UTC+04:00) Armenian armed forces have violated the ceasefire with Azerbaijan on the line of contact over the past 24 hours, Azerbaijan's Defense Ministry reported on July 11. Azerbaijani positions underwent fire from the positions located near the Qarakhanbayli village of the Fizuli district. The conflict between the two South Caucasus countries began in 1988 when Armenia made territorial claims against Azerbaijan. As a result of the ensuing war, in 1992 Armenian armed forces occupied 20 percent of Azerbaijan, including the Nagorno-Karabakh region and seven surrounding districts. The 1994 ceasefire agreement was followed by peace negotiations. Armenia has not yet implemented four UN Security Council resolutions on withdrawal of its armed forces from the Nagorno-Karabakh and the surrounding districts. --- Follow us on Twitter @AzerNewsAz 11 July 2016 10:04 (UTC+04:00) By Hilal Elver and Jomo Kwame Sundaram Last September, world leaders made a commitment to end hunger by 2030, as part of the United Nations Sustainable Development Goals (SDGs). It sounds like a massive undertaking. In fact, the world already produces enough food to feed everyone. So why does the problem persist? Poverty and hunger are intimately connected, which is why the SDGs target elimination of both. For someone living at the World Banks poverty line of $1.90 per day, food would account for some 50-70% of income. The Bank estimates that almost four-fifths of the worlds poor live in rural areas, though those areas account for less than half of the worlds population. The obvious conclusion is that raising rural incomes sustainably is required to eradicate hunger. That will not be easy. Most developing countries nowadays are burdened by high rates of unemployment and underemployment. And with current economic prospects bleak, especially given low commodity prices, and insistence on fiscal austerity continuing in most places, downward pressure on rural incomes is likely to worsen. But even if countries do manage to achieve inclusive growth, it will not be enough to eliminate hunger by 2030. The only way to do that will be to implement well-designed social protection and scale up pro-poor investments. According to the World Bank, one billion people in 146 low- and middle-income countries currently receive some form of social protection. Yet 870 million of those living in extreme poverty, mainly in rural areas, lack coverage. Unsurprisingly, the greatest shortfalls are in low-income countries, where social protection covers less than one-tenth of the population, 47% of which lives in extreme poverty. In the lower-middle-income countries, social protection reaches about a quarter of those living in extreme poverty, leaving about a half-billion people without coverage. In the upper middle-income countries, about 45% of those living in extreme poverty receive social-welfare benefits. This is clearly not good enough. Improved social protection can help to ensure adequate food consumption and enable recipients to invest in their own nutrition, health, and other productive capacities. As such investments sustainably raise incomes, they enable further increases in productive personal investments, thereby breaking the vicious cycle of poverty and hunger. Governments, too, have investments to make, in order to ensure that those who are currently mired in poverty reach the point where they can invest in themselves. An early big investment push would generate additional incomes sooner, reducing longer-term financing costs. Moreover, it would boost aggregate demand in a world economy that badly needs it. The world can afford the needed investment. According to estimates by the Food and Agricultural Organization (FAO), the International Fund for Agricultural Development (IFAD), and the World Food Programme (WFP), it would cost the equivalent of 0.3% of the worlds 2014 income. All that is needed is for wealthier countries to provide budgetary support and technical assistance to the low-income countries that need it. (Most middle-income countries can afford the needed financing themselves.) It should not be difficult to generate the political will to provide the needed support, at least in theory. After all, it has been more than a half-century since the adoption of the Universal Declaration of Human Rights and its Covenant on Economic, Social, and Cultural Rights, which treats the material needs of all persons as a fundamental human right. A few years earlier, US President Franklin D. Roosevelt called freedom from want which, presumably, includes freedom from hunger one of four essential freedoms of which people everywhere in the world should be assured. Now, with the adoption of the SDGs, governments everywhere are obliged to take responsibility for ending poverty and hunger, as well as for creating the conditions for ensuring that both are permanently overcome. The upcoming High-Level Political Forum on Sustainable Development presents an important opportunity to forge the path ahead, setting near- and medium-term priorities. Ending hunger and poverty in a sustainable way is morally right, politically beneficial, and economically feasible. For world leaders, inaction is no longer an option. Copyright: Project Syndicate: How to End Hunger --- Follow us on Twitter @AzerNewsAz 11 July 2016 11:01 (UTC+04:00) By Nigar Abbasova The World Bank and the government of Azerbaijan have signed an agreement on additional financing on the IDP Living standards and Livelihoods Project, WB Baku office told Trend. The total amount of the financing is $66.7 million. The WB Board of Directors approved additional financing for the project on June 17. The share of the Azerbaijani government in the project amounts to $11.83 million. The WB Baku office has not specified the terms of the funds allocation within the framework of the additional financing, as the disbursement of the credit issued within the main project has not yet been completed. Some $0.29 million of the $50 million-credit should be repaid, according to the bank. The project is expected to support rehabilitation of community infrastructure, such as water and power supply, roads, drainage systems, schools and health centers. Additionally, it will include training and business development opportunities for young IDPs, income generation and micro-credit activities. Main objective of the project is to improve living conditions and increase self-reliance of targeted internally displaced persons. Additional funds will be used to improve basic local infrastructure, living conditions, income, skills and employment opportunities for internally displaced persons, and also includes the geographic expansion of micro-credits allocation. These micro-credits are aimed at repair or restoration of basic and social infrastructure. The project is the third in succession within the framework of the IDPs well-being improvement project implemented by the WB in Azerbaijan. It was approved by the WB Board of Directors in October 2011. The first credit with the worth of $10.7 million was allocated by the bank in 2001 with the view to improve IDPs economic conditions in Azerbaijan. As many as 12.6 million was allocated for the implementation of the second project. Some $ 24.19 million was allocated for the project close-out within the framework of the additional financing as the funds turned out to be insufficient. Earlier, Michelle Rebosio, head of the World Bank team working on the project said that the project is based on the successful experience of the bank in the sector. He also mentioned that the project is aimed at supporting IDPs to diversify their sources of livelihoods and income as well as contributing to the overall economic growth of Azerbaijan. The main projects cost was $78.53 million, including $28.53 million allocated by the Azerbaijani government. In total, the WB allocated $153.2 million since 2001 and helped to improve living conditions and to increase income of more than 500,000 IDPs in Azerbaijan. The funds are expected to be used for the implementation of 250 projects concerning IDPs in Azerbaijan. About seven percent of Azerbaijans population is considered internally displaced and they constitute one of the most vulnerable segments of society. Many IDPs still lack access to quality infrastructure, social services and jobs. Being established in 1944 and headquartered in Washington the World Bank Group has set two goals for the world to achieve by 2030. The goals include ending of the extreme poverty and promotion of prosperity. The bank is considered to be a vital source of financial and technical assistance to developing countries around the world. Azerbaijan joined the WB in 1992. Since that time commitments to the country have totaled over $3 billion for 55 projects. The current World Bank portfolio in Azerbaijan consists of 11 active projects. -- Nigar Abbasova is AzerNews staff journalist, follow her on Twitter: @nigyar_abbasova Follow us on Twitter @AzerNewsAz 11 July 2016 11:43 (UTC+04:00) By Amina Nazarli Azerbaijans ancient city of Sheki will host the third International Festival of National Sweets thus expanding the list of traditional and colorful festivals taking place in the country. Sweets are an inseparable part of the national cuisine, thats why the festival will bring together cooks from all over Azerbaijani regions and not only. This year the festival is expected to have new guests from Ghana, Russia, India, Romania, Turkey, Korea and Greece. Co-sponsors of this years aromatic festival are the Sheki City Executive Authority and the Azerbaijan National Culinary Association. The participants will display their dishes according to national and regional custom. The treats will be placed in special pavilions equipped alongside decorative household items and rugs, relating to the region in which they are produced. In the inaugural Sheki festival, which took place in 2014 the culinary specialists from Turkey, Korea, Kyrgyzstan, Mongolia, Georgia, Turkmenistan, Kazakhstan, Romania and Russia struggled to be the best. Last year the event expanded the geography of participants, and saw pastry chefs from 11 countries including Turkey, Kazakhstan, Kyrgyzstan, the Turkish Republic of Northern Cyprus, Romania, Indonesia, Korea, France, Russia, Moldova, and Serbia along with confectioners from 21 regions of Azerbaijan. The number of participants was about 500 people in the previous years. Representatives of Romania, Kazakhstan, and France were awarded top prizes at the festival. Second place was taken by pastry chefs from Serbia and Russia, while third place went to confectioners from Moldova, Kyrgyzstan, and the Turkish Republic of Northern Cyprus. Sheki is one of the most fascinating ancient cities of Azerbaijan, famous for its own sweet pakhlava, which is made of rice flour, nuts, and sugar-honey syrup. Anyone visiting Sheki will not be able to resist the temptation of its famous Pakhlava. There are more than 30 varieties of Azerbaijani pastries using ingredients such as poppy seeds, walnuts, almonds, sesame seeds, ginger, and cardamom, which make treats spicy and especially tasty. Every region of Azerbaijan has its own special recipe for local sweets, which may even have different shapes depending on the traditions of the region. Pakhlava, shekerbura and badambura- adornments of the Novruz holiday- are among the most famous Azerbaijani sweets. -- Amina Nazarli is AzerNews staff journalist, follow her on Twitter: @amina_nazarli Follow us on Twitter @AzerNewsAz 11 July 2016 16:39 (UTC+04:00) By Laman Ismayilova Summer dance festival "Soul of Dance", organized by Azerbaijani Youth Union and Azerbaijan Dance Association will take place at Karvan Palace Hotel & Resort on July 16-17, Trend Life reported. One of the most anticipated events of the year will feature national and modern dances, incendiary hip-hop battles, shows, contests, and an unforgettable after-party for adults and children with the leading DJs of the country. "Dont miss an opportunity to rest on the coast of the Caspian Sea away from the hustle bustle of the city! We offer not just a beach holiday but also funny summer adventure," said Aziz Azizov, President of Azerbaijan Dance Association and Vice-Chairman Azerbaijan Youth Union. "The festival will be held in an unusual format, with strong drive and the opportunity to show the dance culture of our country. The festival coverage is very wide, with modern and national dance on one hand, and great opportunity for young choreographers and collectives to express themselves on the other," he added. The event is open to all participants regardless of age, gender and skill level. Among the participants of the festival are dance groups from Azerbaijan, Georgia, Russia and Ukraine. The festival will feature tour around famous landmarks of Baku city. For more information, please contact: Tel: (012) 511 26 11 Tel: (050) 626 66 20 Media partners of the event are Trend.az, Day.az, Milli.az, Azernews.az --- Laman Ismayilova is AzerNews staff journalist, follow her on Twitter: @Lam_Ismayilova Follow us on Twitter @AzerNewsAz 11 July 2016 13:20 (UTC+04:00) By Gunay Camal NATOs Warsaw Summit concluded on July 9, following two days of decisions to strengthen the Alliances deterrence and defense, and plans to project stability in the wider neighborhood. Most definite moment for Baku was the mentioning of the Armenian-Azerbaijan Nagorno-Karabakh conflict in the joint communique of the heads of state and government participating in the meeting of the North Atlantic Council in Warsaw. We continue to support the right of all our partners to make independent and sovereign choices on foreign and security policy, free from external pressure and coercion, the communique reads, further adding that NATO remain committed in its support for the territorial integrity, independence, and sovereignty of Azerbaijan. Azerbaijan, a strategic partner of NATO in the South Caucasus, has been suffering from the Armenian aggression for over two decades. Baku has repeatedly stated that the long-lasting Nagorno-Karabakh conflict is not only dangerous for the region, but also for Europe and its energy security. We continue to support efforts towards a peaceful settlement of the conflicts in the South Caucasus, based upon these principles and the norms of international law, the UN Charter, and the Helsinki Final Act, the document said. We urge all parties to engage constructively and with reinforced political will in peaceful conflict resolution, within the established negotiation frameworks. Peace process to achieve a decisive solution to the Nagorno-Karabakh conflict, which erupted following Armenias territorial claims back in 1990s, has intensified after the recent scaly battles in April. Long-simmering tensions between Armenia and Azerbaijan flared again on April 2 when the Armenian side began to shell the Azerbaijani positions and settlements along the frontline. To protect civilian population, the Azerbaijani Armed Forces launched counter attacks and repulsed the enemy forces back, liberating the strategic heights. The two sides agreed on a ceasefire on April 5, and resumed the peace talks thus holding presidential summits in May and June. Experts say that whilst the Nagorno-Karabakh conflict issue is a matter for the OSCE Minsk Group, NATO should consider progress on settlement more urgent than ever due to the challenges it can face from the new hot point. Azerbaijan has a significant role to play in maintaining security both for the Caucasus and Europe to prevent trafficking and terrorist activities in the Caucasus region, whilst the conflict obstacles the full use of the countrys powers. --- Follow us on Twitter @AzerNewsAz 11 July 2016 10:26 (UTC+04:00) By Amina Nazarli Much worries have appeared around the world wide web -- the amazing phenomenon of the 20th century, which could to enter our life by fast speed. We live with it, we live within it and we cannot live without it. Internet is unbelievably strong to manage our daily routine, but what should we apprehend along with tremendous benefits of this constituent? The world wide web has so firmly entered our life and many would agree that we regard it as a lovely cup of coffee for breakfast even. But taking into account availability of this easy-life tool for all, in particular for children, one would think about its pros and cons. As statistics show, little users of the internet are more active than adults. Given the ability of children faster absorb and understand any updates, it becomes clear that they feel more free in the Internet. It's enough to say that WWW could replace live communication between classmates by chatting in social networks. But this is not the end. Easy access to virtual world made them feel free in using it, not thinking of any limitations or bans. So, along with its benefits, internet may be very harmful and even dangerous for the fragile child's psyche resources. And that is the major concern of parents. For instance, the last statistics of the Kaspersky Internet Security service showed that more than 13.8 percent of teenager users of the Internet in Azerbaijan faced with sites that use obscene language, promotes gambling, weapons and violence. The statistical data was obtained from computers that are running the module of "parental control", cloud service of the Kaspersky Internet Security. The number of Internet users in Azerbaijan with a population of over 9.6 million people reached 76 percent as of early 2016, according to the Communications and High Technologies Ministry. Today, Azerbaijani child starts his/her acquaintance with high technology and the Internet from early infancy. Adults should realize both physiological and psychological danger of the Internet and all the means to access it. Indeed, the network may contain something, that is absolutely not intended for children's eyes. For the past 12 months about 73.65 percent of Azerbaijani teenagers used Internet for communication. Some 6.66 percent used the Internet for online gaming, while 5.83 percent experienced resources, which provides information about alcohol, tobacco and psychotropic products. Parents' lack of internet skills and knowledge can actually harm children. However, experts believe, banning the use of the Internet or a computer will not resolve the problem. Several years ago, when not every family has a computer, Internet clubs were very popular. And now, despite the general computerization, these clubs are still rarely empty. Basically, their visitors are teenagers who are ready to spend more than one hour there, spending all their pocket money. Here no one is breathing down their neck and do not control which sites they search, thats why many teens willingly visit these places. Psychiatrist Fuad Ismayilov said that the theme of the social networks influence on adolescents is very relevant today, when they are increasingly using the Internet in their life. "Now more and more teenagers spend their free time on social networks. However, they should not forget about the golden mean -- everything should be in moderation. Many people incorrectly use their time on the Internet. At the same time, they become dependent on social networks. However, often the Internet leads to big problems, he believes. Ismayilov advises parents to communicate more with their children, and allow them to use the Internet only when necessary. "Parents need to be sure which sites their children visit. They need to be engaged in education of their child, because by sitting behind the screen for days may be more and more difficult to get rid of this dependence," he said. Earlier, the Electronic Security Center, under the Communications and High Technologies Ministry prepared a proposal to protect children and adolescents from the negative effects of the Internet, proposing the application of "Parental Control" which prevents children from accessing inappropriate content. -- Amina Nazarli is AzerNews staff journalist, follow her on Twitter: @amina_nazarli Follow us on Twitter @AzerNewsAz 1 June 2016 10:44 (UTC+04:00) Azerbaijan continues to play a critical role in the global supply of energy, says US President Barack Obama's message addressed to the participants of the 23rd International Caspian Oil & Gas Exhibition and the 6th Caspian International Power and Alternative Energy Exhibition. The events kicked off in Baku on June 1. "These past 20 years had been a transformation time for Azerbaijan - politically and economically," President Obama's message said. "Throughout this period, the US has worked very closely with the government of Azerbaijan in the energy sector." "Azerbaijan continues to play a critical role in the global supply of energy and is a reliable partner in our common goal of increasing regional diversification, market competition and energy security," President Obama's message said. According to Obama's message, in today's environment, diversification of energy supply remains as vital as ever. "Over the past year, Azerbaijan and its partners have achieved significant milestone in making the Southern Gas Corridor a reality," President Obama's message said. "The continued cooperation between Azerbaijan and its international partners will ensure the competition of this significant project," President Obama's message said. "And the US stands ready to assist and continue to underscoring importance of the Southern Gas Corridor with our international partners." The Southern Gas Corridor is one of the priority energy projects for the EU. It envisages the transportation of 10 billion cubic meters of Azerbaijani gas from the Caspian Sea region to the European countries through Georgia and Turkey. At the initial stage, the gas to be produced as part of the Stage 2 of development of Azerbaijan's Shah Deniz field is considered as the main source for the Southern Gas Corridor projects. Other sources can also connect to this project at a later stage. As part of the Stage 2 of the Shah Deniz development, the gas will be exported to Turkey and European markets by expanding the South Caucasus Pipeline and the construction of Trans-Anatolian Natural Gas Pipeline and Trans-Adriatic Pipeline. --- Follow us on Twitter @AzerNewsAz 11 July 2016 10:52 (UTC+04:00) Ueli Maurer, federal councillor, head of the Swiss Federal Department of Finance, will visit Baku on July 15-16, the embassy of Switzerland in Azerbaijan said July 11. The trade turnover between the two countries constituted about 379 million Swiss francs in 2015, 212 million of which fell on the share of export from Azerbaijan. This is the highest indicator among the South Caucasus countries and one of the highest rates among the CIS countries". The country exports to Azerbaijan mainly comprise jewellery, watches, machinery, and pharmaceutical products. Jewellery products and fuels account for the bulk of its imports. 65 Swiss companies are successfully operating in Azerbaijan, including giants such as Novartis, Holcim, Stadler Rail, ABB, etc. Swiss companies have invested $220 million in the country's economy. Recently famous Swiss company SIKA joined the list of the foreign companies doing business in the country. --- Follow us on Twitter @AzerNewsAz 11 July 2016 16:57 (UTC+04:00) Russias Foreign Minister Sergey Lavrov is visiting Azerbaijan, Azertac reported. During two-day trip, the Russian Foreign Minister will meet his Azerbaijani counterpart Elmar Mammadyarov. Following the meeting, the sides will hold a joint press conference. Earlier, the Azerbaijani side announced that the Russian minister will discuss the recent developments around the Armenian-Azerbaijani Nagorno-Karabakh conflict. The conflict between the two South Caucasus countries began in 1988 when Armenia made territorial claims against Azerbaijan. As a result of the ensuing war, in 1992 Armenian armed forces occupied 20 percent of Azerbaijan, including the Nagorno-Karabakh region and seven surrounding districts. The 1994 ceasefire agreement was followed by peace negotiations. --- Follow us on Twitter @AzerNewsAz 11 July 2016 18:00 (UTC+04:00) Ukrainian President Petro Poroshenko will pay an official visit to Azerbaijan on July 13-14, the Ukrainian presidents official website reported on July 11. During the visit, Poroshenko is expected to take part in the fifth meeting of the Council of Presidents of Ukraine and Azerbaijan, as well as to hold meetings with the top leadership of the country. Moreover, during the Ukrainian presidents visit, the two countries are scheduled to sign several bilateral agreements aimed at improvement of relations. --- Follow us on Twitter @AzerNewsAz 11 July 2016 20:26 (UTC+04:00) Azerbaijans President Ilham Aliyev met with Russias Foreign Minister Sergey Lavrov in Baku on July 11. During the meeting, President Aliyev greeted FM Lavrov in the country. The president reminded about the meetings held throughout the year between Azerbaijan and Russia, noting that this shows how the relations are developing between the two countries. President Aliyev said Azerbaijan appreciates the efforts made by Russias President Vladimir Putin and Foreign Minister Sergey Lavrov for settlement of the Armenia-Azerbaijan Nagorno-Karabakh conflict, and expresses gratitude for those efforts. Azerbaijans president also pointed to the intensification of negotiations on the conflict. President Aliyev described the negotiations as the most dynamic ones. He also expressed hope that the positive dynamics in the talks on the Nagorno-Karabakh conflict will continue. President Aliyev noted that Russia is interested in the settlement of the Nagorno-Karabakh conflict. Touching upon the relations between the two countries in various spheres, the president said the main task is to strengthen them, and noted that Azerbaijan and Russia have friendly and partnership relations. 11 July 2016 10:43 (UTC+04:00) There is a little hope that any change may happen about the heavy tax that Irans industrialists have to pay to the Social Security Organization, Minister of Industry, Mine, and Trade Mohammad Reza Nematzadeh said. The issue is a thing of law and changing it is not so easy, the minister told Trend July 9 on the sidelines of a conference marking Irans Industry Day. The Social Security Organization believes the pensions and health services that it gives cost so much, he said, adding however that a systemic change is needed. Nematzadehs comments came as industrialists are anticipating a meeting with the Social Security Organization and its parent Ministry of Labor soon. The prospective meeting was promised by officials of the Ministry of Industry, Mine, and Trade as well as the Parliament who were present at the conference. The officials promise followed repeated objections by the industrialists of the burden that the taxation has imposed on them. One of the industrialists, interrupting the host as he spoke from his seat, said that the heavy taxation has deprived him and his likes in the industrial sector of the chance to allocate any budget to research and development programs and run their industries outdated. According to the law, enterprises in Iran have to pay 30 percent of their revenues to the Social Security Organization for insurance. Many doubt the fact that the insurance services would cost so much. As one, Chairman of Razavi Khorasan Textile Association Ebrahim Dehqan had recently said there are other insurance companies that would offer the same insurance for half of what the Social Security Organization charges. According to a 2014 report by the Iranian parliament, corruption was widespread in the Social Security Organization during the administration of Saeed Mortazavi, 2011-2013. It included, among other officials of the Islamic Republic of Iran, a notable number of members of parliament (MPs) and several editors of Reformist newspapers. The 84-page report by the Special Parliamentary Probe Committee included 37 cases of bribery and illegal handouts. One such case entailed 1.335 billion rials ($43,266) taken from the internal budget of the organization after being authorized by the head of the organization, and was given to 37 MPs [report included code numbers but no actual names] as gift cards [on April and May] of this year. --- Follow us on Twitter @AzerNewsAz 11 July 2016 10:33 (UTC+04:00) Irans new model of oil contracts will be finalized in the next five days, IRNA reported. Deputy Minister of Petroleum for International and Commercial Affairs Amir-Hossein Zamaninia announced about this while addressing International Conference on Optimization of Upstream Oil and Gas Contracts. Zamaninia said on Sunday that $185 million project has been devised for the upstream, midstream and downstream oil industries in the next five years and between $40 to $50 billion can thus be lured in annually. He said today, the world political economy of the world has undergone changes. 'So, if you care for national interests, we should avoid dealing with legal issues in order to reach agreement with the other party.' He added that IPC is only an oil contract but the main point is the talks for conclusion of contract should be held. By the next one and half month, the IPC contract will be finalized and negotiations will start after clearly passing all required procedures. IPC offers contractors the various stages of exploration, development and production as a complex package. Foreign oil companies hold intensive negotiations to return to the Iranian market at the present. Iran already concluded contracts with several European oil companies , and the implementation of those contracts is expected to take place in near future. --- Follow us on Twitter @AzerNewsAz 11 July 2016 12:53 (UTC+04:00) One person was killed and at least 17 others injured on July 9 as an explosion occurred at a fuel station in the southern Khatlon province in Tajikistan, according to Asia-Plus. The accident occurred in the Bokhtar district at about 3:40 pm. The explosion occurred when a tank truck was pouring out liquefied into the fuel stations gas storage. The fuel station burnt down. The blast was so powerful that it blew out the windows of nearby buildings and damaged two cars. The cause of the explosion is under way. Meanwhile, an official source at the Khatlon fire department says that according to the preliminary investigation the explosion was caused by violation of fire safety regulations. --- Follow us on Twitter @AzerNewsAz 11 July 2016 13:09 (UTC+04:00) By Nigar Abbasova Energy-rich Turkmenistan is currently engaged in the exploration of possibilities for the export of electric power to Pakistan and Tajikistan. Prospective export routes of the energy of Turkmenistan particularly to Pakistan and Tajikistan are acquiring more and more solid grounds, the Turkmen governments report said. The expansion of export possibilities are triggered by the recently commissioned gas-turbine power plant in the countrys Beyik Turkmenbasy district located in the Lebap region, according to the report. The annual capacity of the power plant is 254 megavolts. The project was implemented by the Turkish Calk Enerji Company by the order of the countrys Turkmenenergo state power engineering corporation. The project allowed making the eastern region of the country a powerful basis for the export of the countrys electric power primarily to Afghanistan which may be used as a transit point in the future. The facility is comprised of the complex of technological buildings as well as three gas-turbine installations manufactured by the U.S. General Electric Company. The station is totally computerized. Gas supply to the turbine is implemented by means of the automatic gas-distribution system which provides for the optimal pressure level and guarantees durability of the enterprise. Earlier, it was reported that the country supplies electric power to Afghanistan on easy terms and implements purposeful activities to increase the volumes of electric energy export to the neighboring country. The supplies are fulfilled by the Imamnazar-Andhoy transmission facility with the capacity of 300 million kilowatts per hour and Serhetabat-Gerat-Turgundi facility with the capacity of 200 million kilowatts per hour. Previously, the countrys energy ministry reported that the volume of electricity output amounted to 22.5 billion kilowatt per hour in 2015. Export volume to neighboring countries in this period was at the level of 3.2 billion kilowatt per hour. Turkmenistan plans to increase its electricity output up to 27.4 and 35.5 billion kilowatt per hour by 2020 and 2030 respectively, according to the countrys power industry development plan. -- Nigar Abbasova is AzerNews staff journalist, follow her on Twitter: @nigyar_abbasova Follow us on Twitter @AzerNewsAz 11 July 2016 15:57 (UTC+04:00) By Nigar Abbasova Netherlands, USA and Switzerland became the largest investors in the economy of Kazakhstan in the first quarter of 2016, National Bank of Kazakhstan reported. The volume of investments from the Netherlands in this period amounted to $1.605 billion while the investments made by the USA and Switzerland totaled $875.5 and $527.3 million respectively. France invested some $269.1 million while the investments from China and the UK amounted to $203.6 and $190.8 million respectively. Russia ranked the 7th among the investors raising $164.9 million in this country. Regardless drop in oil prices, main volume of foreign investments in the country's economy fell to a share of oil and gas production as well as geological survey. The volume of direct investments in the countrys economy in January to March 2016 amounted $958.4 while investments in geological operations totaled $1.51 billion. Foreign investments in metal mining were at the level of $137.5 million. Foreign investments in the sphere of manufacturing industry of Kazakhstan in the first quarter of 2016 amounted to $509 million. As much as $522 million was invested in the production of finished metal goods excluding vehicles and equipment. The volume of foreign investments in the sphere of construction amounted to $97.1 million. As much as $198.9 million in the reported period fell to the sphere of transport and warehousing, while $200 million was invested in the pipeline transportation. The sphere of communication accounts for $416.4 million of investments. Foreign investments in financial and insurance sector in this period made up $69.5 million. The total volume of investments in this period constituted to $4.28 billion as compared to the rate of $4.37 billion in the same period in 2015. Investments of Kazakh depositors to foreign countries totaled $688 million as compared to $920 million in 2015. The largest amount of Kazakh investments accuonted to Switzerland. The amount of investment to the country totaled $490.7 million in the first quarter of 2016 while the investments to Russia amounted to $106.2. Attraction of foreign investments as well as improvement of the countrys investment climate was one of the main objectives of the countys state program of the industrial and innovative development for 2010-2014. One of the significant program outcomes is that currently approximately every third industrial sector in the country has a production with the participation of foreign investors. Kazakhstan ranked 25th in the protection of minority investors among 189 countries, according to the World Banks Doing Business 2016 rating. Investment climate monitoring system operates in Kazakhstan. The Council of Foreign Investors addresses strategic issues while the Council for Improvement of Investment Climate, created under the prime minister, is engaged in the systematic issues, as well as improvements on tax legislation and customs duties. -- Nigar Abbasova is AzerNews staff journalist, follow her on Twitter: @nigyar_abbasova Follow us on Twitter @AzerNewsAz 11 July 2016 17:25 (UTC+04:00) By Nigar Abbasova The government of Uzbekistan has applied to the Asian Development Bank (ADB) with the request to issue loan worth $450 million for the implementation of the second stage of the project on modernizing Talimarjan thermal power plant (TPP). The plant is located in Kashkadarya Province of Uzbekistan, 440 km south west of Tashkent. The request is expected to be considered in 2017. The second stage with the preliminary cost of $910 million of the project envisages construction of two steam-gas plants with the capacity of 450 megawatt. Estimated construction period is 2018-2021. Uzbekenergo launched the first stage of improvement in 2013. General contractors of the steam-gas plants construction which is implemented on turnkey terms are Korean consortium of Hyundai Engineering & Construction and Daewoo International. Main supplier of services is Japan Mitsubishi Corporation. Financing of the project is implemented by the ADB, Japan International Cooperation Agency (JIKA) and Fund for Reconstruction and Development of Uzbekistan as well as own funds of Uzbekenergo. The funds of ADB amount to $350 million while the amount of financing provided by JIKA and the fund totals $300 million and $240.86 million respectively. The 1st stage is expected to be completed in December 2016. Improvement of the TPP is expected to increase the capacity of the station up to 2,600 megawatts and provide for the amelioration of energy supply to southern regions of the country as well as increase export potential of the country. The first power-generating unit on the TPP with the capacity of 800 megawatts was commissioned in 2004. Currently, the station provides the generation of 4.69 billion kilowatts hour with the fuel consumption rate of 314 grams per kilowatt hour. The stations coefficient of efficiency is expected to increase up to 58 percent while the current rate amounts to 38 percent. The commissioning of the two steam-gas plants under the second stage is expected to give a stimulus to the increase of the electric energy generation from 4.6 billion up to 10.4 billion kilowatts per hour. As much as 45 power stations with the total capacity of approximately 12,800 megawatt are currently operating in the country. Main portion of the electricity which amounts to about 90 percent is generated by 10 thermal stations with the total capacity of 10,600 megawatt. Uzbekistan joined the ADB in August 1995. The ADB program in Uzbekistan has provided loans, grants and technical assistance to grow the countrys economy and improve the lives of people, particularly the poor, women, children and other vulnerable groups. -- Nigar Abbasova is AzerNews staff journalist, follow her on Twitter: @nigyar_abbasova Follow us on Twitter @AzerNewsAz 11 July 2016 18:43 (UTC+04:00) By Nigar Abbasova Chinese business circles expressed their readiness to expand presence in the promising market of Turkmenistan, the Turkmen government reported. The issue was discussed by representatives of China National Petroleum Corporation (CNPC) Van Ilin and Lui Gunsun and Turkmenistans President Gurbanguly Berdimuhamedov. The businessmen have confirmed the interest in the strengthening of fruitful cooperation with Turkmenistan which is based on the mutually advantageous and long-term basis. The Turkmen president in his turn said that CNPC is an important partner of Turkmenistan in the sphere of the fuel and energy, mentioning the progressive dynamics of relations with CNPC. The project for construction of the Turkmenistan-China gas pipeline is considered to be a striking example of this. The pipeline has ensured the delivery of the Turkmen natural fuel to the PRC since 2009. The transnational energy bridge which is considered to become an effective factor of the sustainable geopolitical and economic development in Asia may be referred to as a real contribution to the provision of regional and global power security, Turkmen state news agency reported. Turkmenistan enjoys the world's fourth largest natural gas reserves after Russia, Iran, and Qatar It produces about 70-80 billion cubic meters of gas annually. The country is one of the key players in the energy market in the resource-rich Caspian region. Moreover, the country plans to construct the world's largest gas-chemical complex in the Derveze district of Turkmenistan's Akhal province. Turkmenistan is a major strategic partner of China in natural gas provision. Roughly 35 percent of Chinas gas import accounts for the Turkmen gas. -- Nigar Abbasova is AzerNews staff journalist, follow her on Twitter: @nigyar_abbasova Follow us on Twitter @AzerNewsAz LOrchidee, a London-based bakery selling French macarons, luxury cakes and desserts is looking to raise 200,000 to expand across the capital. The bakery launched a Crowdcube campaign to raise 200k as it prepares to open more locations throughout Englands capital. It currently has three outlets and an online shop, which delivers across London. LOrchidee said: We aim to become the to-go place for French macarons, luxury cakes and desserts a national brand that is recognised by unique style and designs, with shops around London first, nationally in the future, as well as internationally as we grow. We believe we are so much more than a bakery or patisserie; we are design, fashion and experience in the kitchen. Since its inception in 2010, the company has opened three locations in Westfield Stratford, Shepherds Bush and Canary Wharf. This year it launched a range on Amazon, and was approached by Oxfordshire designer outlet Bicester Village to launch a pop-up shop, where it is to open a store next month. Besides expansions, funds from the campaign will also be used for marketing and driving wholesale growth. The initiative is set to close at the beginning of August. Three young people died in a horrific car wreck on Highway 98 in Lakeland Saturday night, just before midnight. Incident occurred just before midnight Saturday Investigators believe speed a factor in the crash Lakeland Police said Brittany Lewis, 24, Christopher CJ Stewart, 22, and Kathrin Katie Pitock, 22, all of Lakeland, died in the crash. Police said Stewart was driving north on U.S. 98 near Plaza Drive when Michael Marrero, 26, of Lakeland, lost control of his Volkswagen, crossed over into the northbound lanes, and hit Stewarts vehicle. Investigators said Stewart and Pitock died instantly. Lewis died in the hospital. Michael Marrero was taken to the hospital, where he remains in stable condition, according to investigators. Investigators believe speed was a factor in the crash. Stewarts mother, Donna Collogan, was the first to learn of the crash. I fell to the ground and just cried, said Collogan. Stewarts family said the group was riding together, taking Lewis home. Stewart and Pitock were dating. Lewis had been friends with Stewart since high school. According to the Stewarts, Pitock grew up in Michigan. She worked at Steak N Shake in Lakeland, while Lewis worked at Taco Bell. Stewarts family said he was a handyman and landscaper, who loved his nieces and nephews. I lost my baby," said Collogan through tears. "He was my first son. My only son and he was a brother, an uncle, my world. I dont know how Im going to go on with this." Lewis mother, Takasha, visited the crash site Sunday morning, and left flowers. She said she didnt know how she was going to go on either. Im missing my daughter for this senseless crime, explained Takasha Lewis. I mean, three people got killed. Three people lost their lives. After that, [Marrero] should be in jail. If you feel you need to speed and need to have that adrenaline rush, take it where it needs to be," said Collogan. "Take it to the racetracks, take it where its legal to do." Sgt. Terri Smith with Lakeland Police said charges are likely. The investigation is ongoing. Wealth of Wild Surprises, Shockers with N. Oregon Coast's Beach Discovery Program Published 07/10/2016 at 6:01 PM PDT - Updated 07/10/2016 at 6:15 PM PDT By Oregon Coast Beach Connection staff (Seaside, Oregon) In July and August, in the north Oregon coast town of Seaside, you can have some rollicking beach fun time and get your eyes widened in wonder all at once. Seaside Aquarium has begun its Seaside Beach Discovery Program, right out in front of the facility, happening every weekend in July and August. It runs each Saturday and Sunday from 10:30 a.m. to 3:30 p.m. It's free and great for adults and kids. Look for the tent in the sand by the Promenade. It is weather permitting, however, as one recent day saw winds pick up their tent for a time. The aquarium's Tiffany Boothe said the Seaside Beach Discovery Program started in 1995, making it more than 20 years old now. Since its beginning, the program has been a welcome source of education and fun. As a free service to the public it does not bring in any revenue, but in terms of humanity it does a lot, Boothe said. The program allows visitors a chance to slow down and stop rushing from one place to the next. Its a place for people to stop and ask questions for awhile before heading down to the water. We answer any questions visitors or locals may have and if we dont know the answer we do our best to find it. It's mostly interactive activities that lend a whole new layer to your coastal experience. There is a touch tank, microscopes, wave tank, magnetic sticks, pamphlets, field guides, shells, and plenty for people to discover. Each day, staffers collect a different sample of plankton from the ocean for the curious to view under microscopes. They also gather other small specimens and samples of plants or animals that they find on the beach for folks to view up close. There are displays of creatures you can find on the beach, like mole crabs, blood worms and living sand dollars, among others. Keith Chandler, manager of the Seaside Aquarium, said one of the big surprise-makers is the wave machine tank, which helps illustrate how and why sand levels change. He said that when the tides go far up the beach, as in winter, it tears sand away. But when only small waves happen, this allows sand levels to rise. The wave tank helps illustrate this by letting visitors use a paddle to make small waves, and then to make big waves. It shows the slow processes that happens every year. A lot of kids end up splashing their siblings with it eventually, Chandler said. Another popular feature is the samples of sand from not just around the Oregon coast, but from around the world as well. People come to the Discovery Program, they see that and they send us sand, Chandler said. So we've got all kinds of sands from different places around the world, like Galveston or Bolivia. People send us sand all the time. We just got one from South Africa. What makes the sand different is the terrain that's around there. Chandler said the activity that seems to surprise people the most is the magnet stick. If the weather is dry enough, they give you a stick with a magnet on it and this picks up a whole lot of magnetite bits. It never ceases to delight, Chandler said, and you can get a jar and take these home with you. One of the biggest shockers in their exhibits shows how Seaside's landscape changed when the jetties on the Columbia River were built about 100 years ago. Before then, the beaches were much steeper, there was much less space between the Promenade and the tide line, and they were a lot more gravelly. Chandler said one example they like to point out is that if you look at all those steps going down to the beach at the Turmaround, most of that is now covered up by sand. All those steps going down to the beach there were 16 steps at the time, Chandler said. Now there's just a few. Where the beach now sits is where a platform once lay. There were plenty more steps going down beyond that, but these have disappeared. Chandler said foot traffic now keeps the dune from growing higher around the Turnaround's bottom. They used to have to go out to the tide line at low tide to get sand and bring it back towards the Promenade for people to play on, Chandler said. "The Prom was originally built to protect the businesses and homes from the ocean waves, but now of course that's no longer necessary. Seaside Aquarium is on the Prom in Seaside, Oregon. 503-738-6211. Oregon Coast Lodgings for this event - Where to eat - Map and Virtual Tour. More on this area and the program below. Photos above by Tiffany Boothe, Seaside Aquarium More About Oregon Coast hotels, lodging..... More About Oregon Coast Restaurants, Dining..... Coastal Spotlight LATEST Related Oregon Coast Articles Back to Oregon Coast Contact Advertise on BeachConnection.net All Content, unless otherwise attributed, copyright BeachConnection.net Unauthorized use or publication is not permitted A Wienerschnitzel is coming soon to the former Jack in the Box location on Main Street in Lumberton, the city's Chamber of Commerce announced this week in a Facebook post. Wienerschnitzel officials have signed a long-term lease, Debbie Cowart with Coldwell Banker Commercial Arnold & Associates told the chamber. A Coast Guard boat crew rescued three men from a sinking shrimping boat at the mouth of the Sabine River on Monday morning, according to information from the U.S. Coast Guard. The Captain Kelly, a 68-foot shrimping boat based in Galveston, began taking on water around 4 a.m. Monday, the Coast Guard reported. The Coast Guard launched a 45-foot response boat from Station Sabine, which is located in Sabine Pass, shortly after the call came in. The Sabine Pass boat crew arrived at the Captain Kelly's location at 4:30 a.m. and found debris and a sunken ship, the Coast Guard reported. Two men were pulled out of the water near the river's jetties, and another was found in the water on a life ring. The Coast Guard said none of the men were wearing life jackets. All three men were taken to the Station Sabine. One crew member who was hurt in the rescue was taken to a Southeast Texas hospital with minor injuries, according to the Coast Guard. According to the Coast Guard, the boat was carrying 5,000 gallons of diesel fuel at the time it sank. A safety zone was set up by the Coast Guard one quarter mile north and south of the location of the boat while crews cleaned up the boat and an oil sheen. SFlores@BeaumontEnterprise.com Starting next week, residents of Jefferson, Orange and Hardin counties will have the opportunity to voice their opinions on the region's public transportation needs. The South East Texas Regional Planning Commission's Transportation and Environmental Division will gather comments from four public meetings scheduled for this month to create the group's Public Transportation Coordination Plan. The Sales and Marketing Executives Club of Southeast Texas recently honored Paul Skinner as the 2016 Executive of the Year at its annual banquet. The Club was chartered in 1948 and proceeds from the banquet help fund various projects, including the club's scholarship fund through the Marketing Department of Lamar University. Skinner is the founder of Star Graphics. He has served as a Better Business Bureau board member for more than two decades. He is also a board member of Christus Southeast Texas and the Greater Beaumont Chamber of Commerce. Skinner has been an active member of the Rotary Club and Christian Fellowship among many other organizations where he volunteers his time. This is a carousel. Use Next and Previous buttons to navigate Michael Smith was a "Cop's Cop," according to an award he received from his fellow officers in the Dallas Police Department. Smith, a Port Arthur native and 1979 graduate of Thomas Jefferson High School, was a veteran sergeant who was among five officers killed Thursday in an ambush attack by a sniper at the end of a peaceful demonstration in Dallas. Smith was 55. A Dallas Police Association magazine article in 2009 described Smith as conscientious and professional, an officer who cared so deeply that he would even dip into his own pocket to pay for his training if needed. He consistently received outstanding performance awards. When Smith and a partner patrolled a downtown Dallas area and encountered two suspected gang members, one lunged at his partner with an unknown object. Smith intervened and suffered a large head wound that required 31 stitches to close. "He was salt of the earth," a family friend told the Dallas Morning News. Smith, his wife, Heidi, and their two daughters, 14 and 10, made their home in Carrollton, a Dallas suburb. Heidi Smith teaches at Mary Immaculate Catholic School in Farmers Branch, another Dallas suburb. In 1989, Smith earned his bachelor's degree in business administration from Lamar University and joined the Dallas police department the same year. In a message posted on Facebook, John Sherwood, a high school classmate of Smith's said in part, "We lost one of our own last night in Dallas. Mike Smith, TJHS, '79, was one of the policemen shot in last night's protest. Our prayers go out to Mike and his family in the wake of this horrible tragedy. I'm just wanting to say that I love all of y'all. God bless and be kind in your hearts today in Mike's memory." In the Dallas Morning News account of the officers who were killed and wounded, a Smith family friend, Vanessa Smith, tried to describe the loss. "He loved his job and the guys on the force, and he loved his wife and kids," Vanessa Smith said. "I can't imagine what his wife and daughters are going through. You just don't expect it. It's devastating." Family friends created a GoFundMe account to help pay for immediate and longer-term expenses. "Please give to help protect this family as Officer Smith did for the city of Dallas. Any amount is appreciated. All of the Smiths' family, friends and acquaintances are devastated and are trying to figure out how to help the family navigate through these times. Please help us provide a cushion to the blow of losing their loved one." The GoFundMe account (GoFundMe.com/MikeSmithDPD) had received $21,600 toward its $50,000 goal by Friday evening. Bishop Kevin Farrell of the Catholic Diocese of Dallas said on the diocese's Facebook page that "My prayers continue to go out to all off the families affected by last night's shootings in Dallas, including the Smith family." He released a statement on the Diocese of Dallas website that tried to cope with the losses. "The magnitude of the violence in downtown Dallas Thursday night is staggering," Farrell said. "Our first concern is for the families who have lost loved ones in this tragic attack. We pray for consolation and healing for both the families and those killed and wounded. We are reminded of the ever-present danger to those who are dedicated to protecting us." Farrell continued: "We have been swept up in the escalating cycle of violence that has now touched us intimately as it has others throughout our country and the world. All lives matter: black, white, Muslim, Christian, Hindu. We are all children of God and all human life is precious." DWallach@BeaumontEnterprise.com Twitter.com/dwallach This is a carousel. Use Next and Previous buttons to navigate Ruth Woods, the Vidor mayor who stared down the Ku Klux Klan in a desegregation fight over public housing and prevailed in a recall attempt launched by the racist group, has died. She was 82. Woods was a month short of her 83rd birthday when she died on Saturday at Harbor Hospice in Beaumont. During her almost three-year tenure as mayor in the early 1990s, Woods battled public perception of Vidor as a racist city following a 1993 Texas Monthly article titled "The Most Hate-Filled Town in Texas" and a nationally televised segment on her hometown's treatment of a black resident in 1994. She also withstood threats of physical harm from the Ku Klux Klan, whose members fought efforts to desegregate an all-white public housing complex in Vidor. Former Mayor Larry Hunter, who preceded Woods in office, said Woods was courageous for her stand. She did the right thing and got council to rally around her. Hunter, a Beaumont lawyer who still lives in Vidor, said Woods' council, for the first time in the city's history, denied a parade permit to an outside "nationalist group" that wanted to protest the desegregation order. "It was good money spent, saying no," Hunter said. "We were tired of being the stomping grounds for such malarkey." Woods, in an early 1994 article in The Enterprise, sharply criticized the tabloid TV program "A Current Affair" for a segment it aired using hidden cameras to report on a black man living in Vidor for two weeks. "I thought Texas Monthly was a sleaze bag and that nobody in journalism or whatever they call themselves could stoop any lower, but 'A Current Affair' did," she said. The Texas Monthly article never mentioned the city's racist protests began with agitation from a Klan leader based in Cleveland or that a so-called nationalist group from Mississippi sought the parade permit, according to Woods. Hunter said he asked Woods to run for mayor in 1991 when he decided not to seek re-election. "I finished my term, then the roof caved in," he said, referring to the struggles about race. In September 1993, Woods was mayor when Henry Cisneros, the former San Antonio mayor who had become Secretary of the U.S. Department of Housing and Urban Development, visited to announce a federal takeover of Orange County's public housing complex in Vidor. Woods called Cisneros' visit and the federal intervention "a great day for the city." Hunter praised Woods for her determination in public office but also for her family. "Everybody liked her," Hunter said. "She was a very positive person and I had a lot of respect for her." Funeral services will be at 11 a.m. Wednesday, with visitation beginning at 10 a.m., at First Baptist Church of Vidor. Burial will follow at Restlawn Memorial Park in Vidor. Woods' obituary said "she loved Vidor and was very involved in the Republican political party." Woods was preceded in death by her husband, Joe T. Woods Sr. She is survived by her sons Joe T. Woods Jr. and his wife, Missy, of Vidor, and Richard Keith Woods of Beaumont. She leaves behind four grandchildren and six great-grandchildren. DWallach@BeaumontEnterprise.com Twitter.com/dwallach This is a carousel. Use Next and Previous buttons to navigate Albert Williams, a tall, lean man of God and pastor of the Mount Zion Missionary Baptist Church for 17 years, wore his "All Lives Matter" shirt into an afternoon prayer service Friday at Sunlight Baptist Church. Williams and others attended the service to pray for the five Dallas police officers killed by a sniper during an ambush Thursday night as a peaceful protest organized by "Black Lives Matter" was ending. "Hatred never accomplished anything. Fighting never accomplished anything. Killing never accomplished anything," Williams said. "We are all brothers and sisters, created by the one God and under one nation," he said. "We are our brother's keeper." In small groups, in almost impromptu gatherings, people came together across Southeast Texas to pray for unity and peace and to stand in solidarity with their police officers. Mid-day Friday, more than 100 people gathered in front of Beaumont police headquarters in a quickly arranged prayer service led by the police department's chief chaplain, the Rev. Howard Cameron, pastor of Trinity Church. Cameron and other pastors laid hands on Beaumont Police Chief James Singletary as they prayed for the five murdered officers and the seven wounded officers in Thursday's ambush, as well as for their families. Singletary said Friday also was the fifth anniversary of Beaumont Officer Bryan Hebert's death in the line of duty. Hebert's mother, Cyndi, knows how the families of the Dallas officers feel. "My heart just hurts for them," she said. "No words can describe it. No parent should have to go through this. It doesn't get better, but you learn to live with it. It's a new way of life." Cyndi Hebert said she is thankful for an organization called Concerns of Police Survivors (nationalcops.org), a support group that likely will contact the families of the Dallas officers. Singletary spoke proudly of the Beaumont police department's outreach efforts in recent years to forge good relations with the diverse community it serves. "It's a tragic time for Dallas and for our country," he said. "This is a tough time for good cops and we're good cops." Singletary said Beaumont police will continue to do their jobs professionally. "Criminals will not dictate how the Beaumont police does its job," he said. Singletary said Beaumont police sent video of the 45-minute prayer service to the Dallas Police Department. "They appreciated it," he said. Cameron, BPD's chaplain, asked people to pray to "bring peace" as other pastors also prayed for the affected families - of the murdered officers and for those who lost loved ones in the recent police-involved shootings in Baton Rouge and Minnesota. Bishop Curtis Guillory of the Diocese of Beaumont cautioned people against generalizing or judging. He said the problem is not black, brown or white, but a national problem that requires people to come together Mayor Becky Ames expressed her sadness but spoke confidently that "something good" can come from this tragedy, the way the nation pulled together after the Sept. 11, 2001, terror attacks. "So many citizens stand shoulder to shoulder with our men and women in blue," she said. Rob Flores, a former Beaumont police officer who is now Beaumont school district police chief, said the murders are reminiscent of 9-11, but said he didn't know how to absorb it yet. Officer Tyler Cowart, a member of the Beaumont police special assignments unit, said officers still must do their jobs, day to day. Beaumont police motorcycle officer Kolin Burmaster, perhaps one of the most recognizable officers in the city, said all lives matter. "It doesn't matter who it is," he said. "We're all God's children." Lumberton Police Chief Danny Sullins said people had sent roses, complimentary lunches and were showing their gratitude to his department. "It's been a tough day," he said. "A woman came up to me and told me that her brother is a police officer in Dallas. She hugged me and told me that she just needed a hug from a police officer today." Later on Friday, Pastor Irvin Barrett at Sunlight Baptist Church said the country is gripped by unrest and uncertainty, among black people and law enforcement. "It's a cry for help," he said. "We need respect on both sides." Barrett said he believes in the power of prayer and it must lead to a spiritual revolution. "First and foremost, it has to start with prayer," he said. DWallach@BeaumontEnterprise.com Twitter.com/dwallach This is a carousel. Use Next and Previous buttons to navigate Six black pastors stood in the pulpit of a 102-year-old Beaumont church and took turns leading stirring, rhythmic prayers that decried violence and called for divine intervention to bridge America's racial divide. The pews facing the church leaders, though, were empty. Just three people attended the service hastily organized less than 24 hours after Thursday's racially motivated, ambush-styled killing of five Dallas police officers. The downtown shooting happened amid a peaceful Black Lives Matter protest prompted by the deaths of two black men by police in Baton Rouge and Minnesota last week. The lack of a crowd Friday afternoon at Sunlight Missionary Baptist Church undercut the pastors' urgency - a similar tone and message relayed by Beaumont's white leaders at their own quickly assembled Dallas vigil: Beaumont must address race relations before national unrest upsets Southeast Texas. "It's only a matter of time, if (racial tensions are) not controlled, that something happens here," said the Rev. Irving Barrett of Sunlight Missionary. Beaumont Police Chief James Singletary, whose department hosted a prayer vigil held one mile away and three hours before the Sunlight service, also expressed urgency. "We've got to do more," Singletary said. "We've got to do it quick. I hope this is something all the departments nationwide can build on. You have to have something positive come out of this. We've got to keep building our relationships with the community." The shooting galvanized local calls for harmony between police and residents and black and white neighbors, but the question of how remains perplexing amid uncertain national times. "Even in the 1960s and 1970s, when there was a lot of tension around policing and civil rights and the antiwar movement, we'd never seen anything like what happened in Dallas," said Darrel Stephens, the executive director of the Major Cities Chiefs Association and an instructor at Johns Hopkins University. In all, 12 Dallas officers and two citizens were shot Thursday night by a black gunman who reportedly told police he wanted to shoot white people, particularly officers. The shooting was despite the city being held in national esteem for how it has addressed the issue of officer-involved shootings. "The Dallas Police Department is a great example of a department that has taken the issue of police shootings serious," President Barack Obama said Saturday. The local civil rights activist Ed Moore, who got his political start fighting for equality in Beaumont's segregated schools in the 1950s and became the first black Jefferson County commissioner in 1987, said that indicates national frustration. "It might have been just a frustration of what's happened all over the country in general," said Moore, now 88. "I think there's a frustration that came out in him that's in a lot of blacks. We are victims of the police system." Moore said he believes the shooter had a "sick mind" and said political participation, not violence, is the way to address issues. Moore also supports the peaceful, if disruptive, protests that have followed accusations of police brutality since 2014, he said. "When we looked at that film of this man laying on the ground, subdued on the ground and this (Baton Rouge) policeman pulls out his gun and we can see him shoot him a couple of times, there's an anger there," Moore said. "Nine times out of 10, the system is going to come up with some kind of way to justify what (the officer) did. I think that's where the anger is coming from." Southeast Texas has avoided the major white-on-black police brutality claims that have fueled anger and national coverage in places like Ferguson, Missouri, Baltimore, Chicago and elsewhere. "Truly, I can say that Beaumont has been blessed," Pastor Hector Ardoin Jr. of Bethel Baptist Church said. "We have had our little incidents, but we haven't had any big thing on the stage that is happening right now. ... I still believe we still have this gap." But the region has a long history of racial division that has sometimes turned violent, like when martial law was declared amid the race riots of 1943. In 1956, Beaumont Mayor Jimmy Cokinos prevented a race riot at Lamar State College of Technology when the school was integrated - his home and the church his family attended were later targets of bombs. Today, the three-county Southeast Texas area is home to 14 public school districts. Of the 18,900 black students in those districts, 93 percent attended just three in 2014, according to state data: Beaumont, Port Arthur and West Orange-Cove. In four other districts, black students made up 1 percent or fewer of the student population. The Atlantic magazine last year profiled Beaumont as an example of American cities that remain racially divided, focusing on local resistance to building a public housing complex in the city's West End and disparities between the city's mostly white and mostly black neighborhoods. And fights over how the school system is managed, dating back decades, are frequently waged along racial lines. Acknowledging right versus wrong regardless of race, and building multiracial political coalitions could go a long way to solving issues, Moore said. "There are good white folks, just like there are good black folks," Moore said. "There are bad ones, in every race." Singletary said he hopes to build upon the police department's existing community-outreach efforts, like open forums, an advisory board, ride-a-longs and an event geared at introducing children to police in a friendly, fun environment. The pastors after praying at Sunlight Missionary said partnerships between churches and the police need strengthening. "If the clergy and those officers come together, I believe things won't be perfect but it will be a better standing," Ardoin said. "It's about us coming together as a people." Barrett, the Sunlight Missionary pastor, said the responsibility is on leaders to bring people together. "I believe efforts like this need to be more widespread," Barrett said after the special service Friday. "We need to have some innovative ways, some modern ways of reaching this modern society so we can get the message out." The New York Times contributed. EBesson@BeaumontEnterprise.com Twitter.com/EricBesson_news This is a carousel. Use Next and Previous buttons to navigate Beaumont voters want experience, diversity and honesty from their next school board trustees. What they don't want are the old trustees. Prospective board members have several months before filing for the May 2017 election - the first in six years - but voters are hoping those candidates will bring stability to the district after the turbulent years that led to the replacement of the previous board in 2014 with state-appointed managers. "It would be great if somebody were running who had experience in education, not just somebody who wants to be on a school board," said Elizabeth Pabon, a mom and former elementary school teacher. Sina Nejad, owner of Sigma Engineering and president of the Beaumont Planning and Zoning Commission, said that the current Board of Managers should be "cultivating people to run," and that a diversity of skill sets and perspectives should be a priority. The board should be considering whether lawyers or accountants are overrepresented, compared to other types of professionals, Nejad said. The current Board of Managers includes two experienced educators, former Lamar president Jimmy Simmons and current Lamar administrator Vernice Monroe. The rest of the board reflects a variety of backgrounds: A.B. Bernard, Joe Domino and Robert Turner have backgrounds primarily in business; Jack Carroll is a lawyer and accountant; and Lenny Caballero is director of the city of Beaumont's event facilities. All are eligible to run for the elected board next year and continue their terms, but according to Board President Simmons, none has indicated an interest. "My impression is that none of the current managers are interested," he said. "Of course, we're hoping that when the community elects a new board, it will be people who care about our schools," he said. Simmons stopped at speculating on what skills or qualities he thinks trustees should have. Bernard and Domino both said that they are not planning to run. Other board members could not be reached for comment on whether they might. The ousted BISD trustees, who were elected in 2011, could also run to retake their seats. "In a word, no," said Robert Vallin, parent of a BISD second grader, when asked if he would support the previously elected trustees. Gwen Ambres, former board president, declined to comment on the possibility of running for a seat on the BISD school board. Woodrow Reece, who called his two years away from the board a fun vacation, said that running again is "one of the farthest things from my imagination." Trustee Mike Neil moved to Colorado after the board was replaced, so he won't be running either. Other former trustees could not be reached for comment on whether they would seek office in 2017. Shunta Thomas, parent of two BISD elementary students, said she wants incoming trustees to be clear about where money is being spent and what changes they're making in the district. "They should probably be more transparent, when you see all that has happened," she said. At least four former district employees have been sentenced in federal and criminal courts in the past two years on embezzlement charges. Before anyone takes office and before Texas Education Commissioner Mike Morath releases a transition plan for the replacement of the managers with the trustees, TEA will hold an August training session in Beaumont for any prospective candidates. The session, which was announced at a Board of Managers meeting on Wednesday, is scheduled for 9 a.m. to 2 p.m. Aug. 20. No location has been announced. Board Secretary Robert Turner said the session will cover the responsibilities of trustees. Simmons said during Wednesday's board meeting that Morath has indicated the session is necessary in order for him to expedite a transition plan. Although seven new trustees will be elected in May, the Board of Managers will remain in place until they are gradually replaced according to Morath's transition plan, which will include a schedule for replacing one-third of the appointed managers with elected trustees until the entire board has been replaced. A recent opinion issued by Texas Attorney General Ken Paxton gave Morath the authority to replace the board on his own timetable and to extend the board of managers' terms indefinitely. Among the new trustees' responsibilities will be management of the district's budget and finances. Nejad pointed to this as a failure of the old board, referring to the 2007 voter-approved $388.6 bond issue. He said he was the only "no" vote when the Chamber of Commerce board supported the bond issue because he didn't think the school board or its administration at the time was up to the task of wisely spending the money. Serious problems arose with the bond issue and board managers are still awaiting a final audit of the projects. When voters go to the polls in May, they will be casting ballots for the first time to elect a single-member representative and two at-large candidates. Beaumont voters in 2011 approved a voting plan that created five single-member districts and two-at large positions. A proposed map on the district's website (bmtisd.com) shows three majority black districts and two majority white districts. Paul Jones, president of the Beaumont chapter of the NAACP, called the 5-2 plan "discriminative and retrogressive," and said that he and the organization will "certainly be taking a look at it." Until 2011, BISD voters selected trustees from seven single-member districts, which Jones said "was put in place many years ago to give minorities fair representation." With the redistricting, "the two at-large (trustees) can live anywhere in the city ... and have a tremendous impact on other people that live across town that don't live in their community," he said. The Department of Justice struck down a previous 5-2 voting map drawn up in 2012, writing in a letter to former BISD attorney Melody Chappell that "the school district has failed to establish that implementing the proposed method of election will offer the same ability to African American voters to exercise the electoral franchise they enjoy currently." The revised 5-2 plan will be discussed in public hearings in August, said Simmons. Because of a 2013 Supreme Court case, the city and its school district are no longer required to receive federal preclearance on the changes. That means the DOJ will not weigh in on the revised plan. All seven trustees elected in May will be charged with balancing and maintaining BISD's finances while improving academic performance, something Jones worries has stalled in recent years. "In corporate America, finance is the bottom line," he said, which he thinks the current board of managers has focused on. "But in an education system, your No. 1 priority is educating kids. This upcoming process is going to probably get emotional, and I hope we focus on the real objective of the district." LTeitz@BeaumontEnterprise.com Twitter.com/LizTeitz DWallach@BeaumontEnterprise.com Twitter.com/dwallach A Public Citizen analysis found pharmaceutical companies provided lawmakers who opposed the Obama administration's Medicare Part B payment proposal substantially more financial support, according to STAT. In May, a group of legislators implored the Obama administration to get rid of their proposed payment changes to Medicare Part B. In their letter, legislators cautioned the program may harm patients by limiting their access to treatment. Here are four insights: 1. Companies paid 310 lawmakers who opposed Medicare Part B overhaul more than $7.2 million for their 2016 campaign, which averaged more than $23,000 per representative. 2. However, 124 legislators who did not sign the letter received only $1.6 million from pharmaceutical companies for their campaign, which totaled $12,700 on average, per representative. 3. These contributions reinforce pharmaceutical companies' desire to reinforce they will act in their power to combat any effort to change Medicare drug pricing, according to STAT. 4. However, the analysis shows Republican Majority Leader Kevin McCarthy and House Speaker Paul Ryan received signification contributions, and neither legislator signed the letter. Speaker Ryan received $290,000 and Majority Leader McCarthy received $293,000. More articles on coding & billing: Donald Trump's healthcare plan abandons Medicaid expansion, leaves 18M uninsured: 5 key notes Despite nearly $22M lawsuit, Maryland co-op to pay CareFirst BCBS $24.2M under risk-corridor program 5 key notes Medicare Part D patients pay 10.5 times more in copays for 2 brand-name drugs 6 points Health insurers WellCare Health Plans and Centene both made offers for Medicare Advantage plans that Aetna is divesting to gain approval for its Humana merger, sources familiar with the matter say, according to St. Louis Post-Dispatch. Here are five takeaways: 1. Regulators have expressed concern that Aetna's Humana acquisition would violate antitrust laws, thereby stifling competition in the payer marketplace. Therefore, Aetna met with U.S. Department of Justice officials last week to try to alleviate such concerns. Last month, seven U.S. senators pushed forward a request to block the merger. Sen. Richard Blumenthal (D-Connecticut), one of the petition's signers, argued the deal was anti-competitive and posed as a threat to jobs in Connecticut. 2. Last week, Aetna said it plans to sell billion-dollar assets, according to sources familiar with the matter. Sources claim Aetna and advisers are working on an asset portfolio that could limit any substantial overlap between Aetna and Humana's operations if the assets are divested. 3. To minimize antitrust concerns, Wall Street analysts said the payer will have to sell MA plans in regions where combined market share exceeds 35 percent. However, in May, the Missouri Department of Insurance said the merger would be anti-competitive in the state, marking the first U.S. state to push back against the deal. Aetna implored a Missouri court to review the regulatory order, and maintains the merger will serve in consumers' best interest. 4. If the merger is approved, Aetna and Humana will collaboratively have more than 4 million MA customers, making the combined entity one of the largest payers in the MA arena. 5. At the end of March, WellCare had 326,000 MA members, while Centene had slightly less with 303,000 MA members. A Centene spokeswoman stated in an email, "It is Centene's policy not to comment on rumors. Additionally, it is a long-term policy of Centene not to participate in auction." Humana and WellCare did not respond to St. Louis Post-Dispatch for comment, and Aetna did not comment. More articles on coding & billing: Donald Trump's healthcare plan abandons Medicaid expansion, leaves 18M uninsured: 5 key notes Despite nearly $22M lawsuit, Maryland co-op to pay CareFirst BCBS $24.2M under risk-corridor program 5 key notes Medicare Part D patients pay 10.5 times more in copays for 2 brand-name drugs 6 points Montana Gov. Steve Bullock has introduced a hospital pricing initiative which health officials claim will save taxpayers up to $25 million during the next two years, reports Billings Gazette. But the medical cost savings plan could also raise out-of-pocket expenses for some state employees. The new reimbursement model aims to resolve price disparities between hospitals for the same medical procedure. To do this, Allegiance, the state's third-party health plan administrator, has negotiated reduced payment rates with participating hospitals. Rates are based on a multiple of what Medicare pays for the same medical procedure. The plan only applies to state employees, former state employees and their dependents. So far, Allegiance has negotiated lower reimbursement contracts with nine of the 10 largest hospitals in the state and 47 of 58 smaller critical access hospitals. Benefis Health System in Great Falls is the only large hospital not yet participating in the program, reports Billings Gazette. Reduced payment amounts could save the state $25 million by the end of 2018, said state officials. But it could also drive up out-of-pocket costs for state employees who receive care at out-of-network facilities. Allegiance said it will pay non-participating hospitals the same reduced rate as in-network hospitals. This means facilities and physicians that haven't signed on to the plan could bill patients for the difference between what the state's health plan pays and what the provider charges. "As the largest state employer, it is critical that the state of Montana leads the way in managing rising healthcare costs and do so in a fiscally responsible way," Gov. Bullock told Billings Gazette. A recent report that published rates Oregon hospitals charge commercial insurers for medical procedures could explain why some carriers have withdrawn from particular regional markets, reports The Bulletin. In 2017, central Oregon residents hoping to buy individual plans will have less insurers and health plans to choose from compared to residents in other parts of the state. Deschutes County residents in central Oregon, for instance, will only have two carriers to choose from, the fewest choices of any county in the state, according to the article. The Oregon Health Authority's most recent report the Oregon Hospital Payment Report 2014 used insurers' reported median costs of reimbursements to Oregon hospitals for 46 common medical procedures. The report shows St. Charles Bend, the largest healthcare provider in central Oregon, charged insurers more than the statewide median for 43 out of 46 common inpatient and outpatient procedures. The hospital's high prices and the region's lack of competition could explain why many carriers have chosen not to offer products in the region, healthcare experts believe. "When the price points are higher, it's just harder to do business in that area," Oregon State Public Interest Research Group policy director Jesse O'Brien told The Bulletin. An 11-year-old is drawing from his own experience as a patient who uses telemedicine to promote a bill in the Massachusetts legislature advancing and expanding access to telemedicine, reports Boston.com. Carson Domey was diagnosed with a rare chronic inflammatory disorder called orofacial granulomatosis when he was 7 years old, and then two years ago he was diagnosed with Crohn's disease, according to the report. Carson lives in Bellingham, Mass., approximately 45 miles outside of Boston where Carson's physicians work at Boston Children's Hospital, so he uses telemedicine services himself to receive care, according to the report. Carson raises thousands of dollars each year for the hospital and has become an "honorary lobbyist" to promote bills for the hospital's Office of Government Relations, according to the report. The telemedicine bill he is currently promoting H. 267 seeks to expand Medicaid coverage for certain credentialed clinicians providing telemedicine services. "If someone doesn't go to a doctor's appointment because it's too long of a drive, they could use telemedicine," Carson said in the report. "They could still see that doctor, [and] it will improve the quality of care for the community and the person." Given his experience using telemedicine, Carson testified on Beacon Hill for the legislation, according to the report. More articles on telehealth: HHN announces Most Wired hospitals of 2016 Is healthcare transformation 'the age of wisdom' or the 'winter of despair' for hospitals? Nebraska looks to expand telehealth services Culture. Productivity. Strategy. Execution. These ideas will never go out of style for hospital and health system leaders. The following leadership articles were published by Becker's Hospital Review in the last week. 1. 7 ways to move a conversation from negative to positive Among friends and colleagues alike, conversations can be full of negativity. Whether it's an offhand comment or a lengthy discussion, pessimism can ruin your mood for the rest of the day. 2. Is healthcare transformation 'the age of wisdom' or the 'winter of despair' for hospitals? As with most major shifts political, economic, social or otherwise there will always be both winners and losers. There will be success and there will be failure. There will be those who celebrate the new direction, and those who mourn the passing of an era they loved. 3. The head or the face of the company: How CEO appearance affects the bottom line We all use first impressions to guide our perceptions of other people. One would think the professional experience, business acumen and leadership ability of CEOs would prevent us from judging them on looks alone. However, this is not the case. 4. Why Bill Gates and Warren Buffett are best friends On July 5, 1991, two legendary leaders and innovators Warren Buffett and Bill Gates met for the first time, according to Tech Insider. In a recent post on his blog GatesNotes, Mr. Gates toasted the famed investor, shared his favorite memories and discussed why their friendship has lasted 25 years. 5. 3 career-inhibiting habits and how to break them Oftentimes, it's just one bad habit that stands between you and your highest career aspiration. A VitalSmarts study of nearly 1,000 managers found that 97 percent have at least one career-limiting habit behavior that prevents us from achieving greater success or satisfaction in our career, Joseph Grenny, New York Times bestselling author and cofounder of VitalSmarts, wrote in the Harvard Business Review. The same study found that while most people are aware of the deficiency that is holding them back, few make much progress in ever overcoming it. Hillary Clinton, the presumptive Democratic presidential nominee, unveiled a healthcare policy brief Saturday that marks a formal shift left for her campaign. Here are five things to know about her updated healthcare plan. 1. Ms. Clinton's policy maintained the core themes her campaign has previously supported. This includes building on the Affordable Care Act to move toward universal coverage, continuing to expand Medicaid, capping prescription drug costs and blocking or modifying health insurance premium rate increases. 2. She proposed increasing the mandatory funding to Federally Qualified Health Centers by $40 billion over the next decade. This would double the funding for primary care services at community health centers. She also noted support for President Barack Obama's proposal to triple the size of the National Health Service Corps to $810 million in 2017, up to $1.3 billion by 2027. President Obama's budget for fiscal year 2017 included $310 million in mandatory funding for the NHSC, as well as $20 million in discretionary funds for a behavioral health loan repayment and $50 million for an addiction treatment workforce. This budget included the proposal to increase mandatory funding to $810 million annually in the coming years. 3. Ms. Clinton also formally backed a "public option." In the briefing, she promised to support establishing a public option insurance plan in every state and expand Medicare to Americans ages 55 and older. 4. Ms. Clinton's shift left on policy is said to be a campaign move to capture more of Sen. Bernie Sanders' (I-Vt.) progressive voter base. Ms. Clinton has backed similar public option proposals before, though. She floated a plan in May to allow people ages 50 and older to buy into Medicare and cosponsored a bill in 2001 to expand Medicare to Americans ages 55 and up, according to The Hill. 5. Sen. Sanders has voiced support of Ms. Clinton's more progressive proposals, indicating he may be ready to endorse her campaign soon. "Today's proposal by @HillaryClinton is an important step toward expanding health insurance and healthcare access to millions of Americans," Sen. Sanders' campaign tweeted Saturday. His campaign is expected to endorse Ms. Clinton this week an event in New Hampshire, according to a report from The New York Times. "The Clinton campaign and I are and our campaigns are coming closer and closer together, in trying to address the major issues facing this country, which is what my campaign was all about," Sen. Sanders said, according to ABC News, which confirmed with sources close to the campaign that Sen. Sanders would endorse Ms. Clinton at the New Hampshire event. "And we look forward to continue working with the Clinton campaign and will have more to say I think in the very near future." More articles on leadership and management: Elizabeth Holmes barred from running lab for 2 years Sanders to endorse Clinton if she moves left on healthcare, other policies Trump's healthcare plan would increase uninsured by 18M, but save billions of dollars The hardest part of any leader's job is to share bad news with employees, whether it's about layoffs, errors or poor company performance. Unfortunately for Elizabeth Holmes, founder and CEO of Palo Alto, Calif.-based Theranos, she has gotten a lot of practice with this task in recent months. Last week CMS revoked the certification for Theranos' lab in Newark, Calif., and banned Ms. Holmes from owning or operating a medical laboratory for at least two years. The blood testing startup faces eight consumer lawsuits seeking class action status and is under investigation by the Securities and Exchange Commission and the U.S. attorney's office in San Francisco. As Ms. Holmes and the company work through these challenges, here are four tips leaders can glean from Theranos' steps and missteps. 1. Do not delay information to employees. Leaders must share information with staff as soon as possible. It's important to stay ahead of the news cycle to maintain trust and loyalty. If there's one thing worse than the bad news itself, it's allowing employees to be caught off guard when they hear negative information from an outside source. According to a Wall Street Journal report published Sunday, "a person familiar with the matter" said employees were not privy to the company's decision to void two years of its test results until it was reported by WSJ, and that information was shared with regulators a month before the WSJ report came out. Theranos didn't comment for WSJ on the internal meetings. 2. Present the facts truthfully and fully. Leaders must be as transparent as possible when bad news hits. Glossing over bad news to put a good face on something can also be a blow to employee trust. According to Sunday's WSJ report, a Theranos employee allegedly said Ms. Holmes discussed the company's development of 304 blood tests at a company meeting last month, but failed to mention that most of those blood tests were still in research stages. While most staff knew this, the employee claimed the omission weakened trust in leadership among his or her colleagues, according to the report. However, Ms. Holmes' recent remarks indicate she may have learned from past missteps. The company is currently collecting clinical data on its technology to present at a national chemistry meeting on Aug. 1, and when an employee asked what Ms. Holmes would do if the data didn't fit Theranos' narrative, "Ms. Holmes responded, according to the attendee [an employee], that one had to tell the story the data told," The Wall Street Journal reported. 3. Be courageous in presenting bad news. Employees often give leaders the benefit of the doubt if they handle company challenges with as much grace as possible by taking responsibility, apologizing and/or explaining why. Ms. Holmes has taken steps to publicly take responsibility for company operations. In an April interview with Maria Shriver from the "TODAY Show," Ms. Holmes said, "I feel devastated that we did not catch and fix these issues faster." 4. Focus on the future. Rather than attempt to put a positive spin on bad news, leaders should focus on the steps they plan to take to remedy the issue. This will give employees the positive momentum they need to stay engaged at work while ensuring they have full knowledge of what went wrong. Theranos has continued full steam ahead through its troubles and adjusted course to help address some past issues. For example, the company voided past test results "out of an abundance of caution," and it has taken steps to add new medical experts and staff, including a new scientific and medical advisory board. More articles on leadership and management: Clinton unveils Sanders-influenced health plan: 5 things to know Elizabeth Holmes barred from running lab for 2 years Trump's healthcare plan would increase uninsured by 18M, but save billions of dollars Bonner General Health's former CFO Norilina Harvel will spend 90 days in jail for embezzling more than $200,000 from the Standpoint, Idaho-based hospital. Ms. Harvel was employed at BGH for 15 years 10 of which she served as CFO. She left BGH in June 2014 to serve as CFO of a Colorado hospital, and financial discrepancies began to emerge within a month of Ms. Harvel's departure. From 2012 to 2014, she allegedly made unauthorized credit card charges and stole various sums of money from the hospital that were small enough to avoid detection. In addition, Ms. Harvel also allegedly took out a lease on a piece of medical equipment that the hospital never acquired and collected the proceeds. Hospital officials accused Ms. Harvel of embezzling $220,000, and she was charged with grand theft last fall. She was scheduled to be tried on the felony charge in May, but Ms. Harvel began plea negotiations with prosecutors before the trial was underway. Under the plea agreement, Ms. Harvel will serve 90 days in jail. The agreement also calls for the conviction to be removed from Ms. Harvel's record if she completes five years of probation and pays $217,075 in restitution, according to the Bonner County Daily Bee. After Ms. Harvel's sentence was announced, Bonner General Health CEO Sheryl Rickard said, "We would have liked a longer jail sentence however, it is more important that she pay back the funds she took from the hospital, which can only happen if she is working and not in a jail cell," according to the report. Ms. Harvel has already repaid more than $150,000 of the $217,075 in restitution owed to the hospital, according to the report. More articles on healthcare industry lawsuits: 16 latest healthcare industry lawsuits, settlements Medical devicemaker to pay $8M to resolve physician kickback claims Becker's Hospital Review is pleased to present the 2016 edition of the "Critical Access Hospitals to Know" list, highlighting 50 of the nation's 1,332 certified critical access hospitals. Critical access hospitals must have no more than 25 inpatient beds, have an annual average length of stay of no more than 96 hours for acute care, offer 24/7 emergency care and be located at least a 35-mile drive away from any other hospital. The ones on this list meet all of those requirements but also go the extra mile for their patients. To choose the 50 hospitals on this list, the Becker's team examined rankings and awards from several respected organizations, including iVantage Health Analytics, Healthgrades, the National Rural Health Association, Truven Health Analytics, Women's Choice Award and the Leapfrog Group. Note: This list is not an endorsement of included hospitals or associated healthcare providers, and organizations cannot pay for inclusion on this list. Hospitals are presented in alphabetical order. Sposored By: athenahealth connects care nationwide with a unique network of services for electronic health records (EHR), revenue cycle management and medical billing, patient engagement, care coordination, and population health management, as well as Epocrates and other point-of-care mobile apps. With a network of over 76,000 providers, athenahealth helps providers thrive through change and focus on patient care. A recognized industry leader, athenahealth received two Best in KLAS awards in 2015/2016, including #1 Ambulatory EHR (11-75 physicians) and #1 Practice Management (11-75 physicians). Unlike software alone, athenahealth combines the power of its nationwide network with continually updated knowledge and back-office work to keep providers profitable and prepared for change. athenahealth alleviates administrative burden by taking on paperwork, tracking claims, managing pre-registration and more. With athenahealth as a partner, practices, hospitals and health systems are always connected to the latest knowledge and well-prepared to thrive into the future. Abraham Lincoln (Ill.) Memorial Hospital. Abraham Lincoln Memorial Hospital is an affiliate of Springfield, Ill.-based Memorial Health System. [READ MORE] Aspen (Colo.) Valley Hospital. Founded in 1889 to care for men employed in the mining industry, Aspen Valley Hospital became the first Colorado hospital to affiliate with the Mayo Clinic Care Network in 2013. [READ MORE] Aspirus Grand View Hospital (Ironwood, Mich.). Founded in 1932, Aspirus Grand View Hospital is a fully accredited hospital serving the medical needs of those in upper Michigan and northern Wisconsin. [READ MORE] Aspirus Medford (Wis.) Hospital. Aspirus Medford Hospital, part of the Wausau, Wis.-based Aspirus Network, has served the Taylor County community since its humble beginnings in 1920. [READ MORE] Avera Holy Family Hospital (Estherville, Iowa). Avera Holy Family Hospital belongs to Sioux Falls, S.D.-based Avera Health, a regional healthcare system that spans five states. [READ MORE] Barrett Hospital and HealthCare (Dillon, Mont.). Since its founding in 1922, Barrett Hospital and HealthCare has grown into a modern, rural healthcare organization capable of providing care to 12,000 patients a year. [READ MORE] Boone County Health Center (Albion, Neb.). Boone County Health Center is a nationally recognized leader, providing healthcare excellence to central Nebraska residents for more than 60 years. [READ MORE] Bridgton (Maine) Hospital. Bridgton Hospital is a member of the Central Maine Medical Family, an integrated healthcare delivery organization that serves the central and western state. [READ MORE] Calumet Medical Center (Chilton, Wis.). In 1956 Calumet County opened Calumet Memorial Hospital, since renamed Calumet Medical Center. [READ MORE] Central Montana Medical Center (Lewistown). Nestled on top of a hill with a view of five mountain ranges, Central Montana Medical Center is a 25-bed critical access hospital that provides a full range of healthcare services. [READ MORE] CHI St. Alexius Health Dickinson (N.D.). CHI St. Alexius Health Dickinson, formerly CHI St. Joseph's Health, became part of the Englewood, Colo.-based Catholic Health Initiatives network in 1996. [READ MORE] Delta (Utah) Community Hospital. Delta Community Hospital, formerly known as Delta Community Medical Center, joined Salt Lake City-based Intermountain Healthcare in 1980. [READ MORE] Fairview Hospital (Great Barrington, Mass.). Fairview Hospital is owned by Pittsfield, Mass.-based Berkshire Health Systems. [READ MORE] Floyd Valley Healthcare (Le Mars, Iowa). Floyd Valley Healthcare is a municipally owned facility that includes a critical access hospital, three family medicine clinics and an assisted living facility. [READ MORE] Gunnison (Colo.) Valley Hospital. Gunnison Valley Hospital is a community-owned, integrated health system that provides a full range of healthcare services. [READ MORE] Hammond-Henry Hospital (Geneseo, Ill.). Hammond-Henry Hospital, located about 150 miles west of Chicago, was founded in 1901. [READ MORE] Hancock County Hospital (Sneedville, Tenn.). Hancock County Hospital, part of Kingsport, Tenn.-based Wellmont Health System, opened in 2005. [READ MORE] Hudson (Wis.) Hospital & Clinic. Hudson Hospital, part of the Bloomington, Minn.-based HealthPartners network, demonstrates excellence in patient care and environmental efforts. [READ MORE] Huggins Hospital (Wolfeboro, N.H.). For more than 100 years, Huggins Hospital has provided healthcare services to residents and visitors of the Eastern Lakes region. [READ MORE] Kittitas Valley Healthcare Hospital (Ellensburg, Wash.). Situated in the heart of Washington, Kittitas Valley Healthcare Hospital provides quality healthcare services to residents of Kittitas County, which roughly 41,000 people call home. [READ MORE] Madison (S.D.) Regional Health System. Madison Regional Health System, formerly known as Madison Community Hospital, operates a 22-bed nonprofit, independent healthcare facility that has served Madison and its surrounding communities for more than a century. [READ MORE] Magruder Hospital (Port Clinton, Ohio). Magruder Hospital offers quality healthcare services to the Port Clinton community, about 44 miles east of Toledo, Ohio. [READ MORE] Martha's Vineyard Hospital (Oak Bluffs, Mass.). Since its beginning in 1922, Martha's Vineyard Hospital has offered the Oaks Bluff community high quality, community-focused medical care. [READ MORE] Mayo Clinic Health System-Red Cedar (Menomonie, Wis.). Mayo Clinic Health System-Red Cedar is a physician-led, integrated system serving families in western Wisconsin. [READ MORE] Memorial Hospital (Seward, Neb.). Memorial Hospital is owned and operated by Seward-based Memorial Health Care Systems and consists of three family medical clinics, a pharmacy and a physical therapy program. [READ MORE] Millinocket (Maine) Regional Hospital. Millinocket Regional Hospital, a 25-bed facility about 130 miles from Augusta, Maine, was founded in 1952. [READ MORE] Ministry Door County Medical Center (Sturgeon Bay, Wis.). Ministry Door County Medical Center is a fully accredited, nonprofit acute care hospital and outpatient medical center founded in 1943. [READ MORE] Mount Desert Island Hospital (Bar Harbor, Maine). Since it was established in 1897, Mount Desert Island Hospital has grown to not only offer care through the critical access hospital but also through a retirement community, nine regional health centers, a full-service behavioral health center and a dental clinic. [READ MORE] Myrtue Medical Center (Harlan, Iowa). Myrtue Medical Center is an integrated healthcare facility that includes the Harlan, Avoca, Shelby, Elk Horn and Earling medical clinics as well as behavioral and community health offerings, a wellness center and an aquatic complex. [READ MORE] New Ulm (Minn.) Medical Center. New Ulm Medical Center, affiliated with Minneapolis-based Allina Health, is a nonprofit hospital and clinic serving south central Minnesota. [READ MORE] Newman Regional Health (Emporia, Kan.). Centrally located within the city of Emporia, Newman Regional Health is a 25-bed, community-owned hospital that serves residents in seven counties. [READ MORE] Orange City (Iowa) Area Health System. Orange City Area Health System is a comprehensive health system comprised of four family medicine clinics, a behavioral health clinic, birthing center, surgical center, home health and hospice program and an award-winning critical access hospital, among other services. [READ MORE] PeaceHealth Cottage Grove (Ore.) Community Medical Center. Cottage Grove Community Medical Center is part of Vancouver, Wash.-based PeaceHealth, a nonprofit Catholic health system that serves communities in Washington, Oregon and Alaska. [READ MORE] Perry County Memorial Hospital (Perryville, Mo.). Perry County Memorial Hospital, founded in 1951, recently opened a 103,000-square-foot facility to better serve patients' needs. [READ MORE] Pike County Memorial Hospital (Louisiana, Mo.). Established in 1928, Pike County Memorial Hospital provides extensive healthcare and ambulance transport services to the county, which roughly 16,500 people call home. [READ MORE] Pikes Peak Regional Hospital (Woodland Park, Colo.). Pikes Peak Regional Hospital and Surgical Center is part of the Franklin, Tenn.-based IASIS Healthcare network. [READ MORE] Providence Hood River (Ore.) Memorial Hospital. Providence Hood River, a 25-bed critical access hospital, is located about 60 miles east of Portland, Ore., in the Columbia River Gorge. [READ MORE] Redington-Fairview General Hospital (Skowhegan, Maine). Redington-Fairview General Hospital has provided care as an independent community hospital in Maine since it was established in 1952. [READ MORE] Richland Hospital (Richland Center, Wis.). Richland Hospital is a 25-bed critical access hospital that offers general medical and surgical care. [READ MORE] River Falls (Wis.) Area Hospital. Since its incorporation in 1939, River Falls Area Hospital has evolved from a small city hospital into a larger regional hospital supported by Minneapolis-based Allina Health Network. [READ MORE] Sparrow Clinton Hospital (St. Johns, Mich.). In 1869, the hospital was officially founded by the Women's Hospital Association to bring critical medical services to the area. [READ MORE] Speare Memorial Hospital (Plymouth, N.H.). From its humble beginnings as a soldiers' and sailors' hospital in 1899, Speare Memorial Hospital has transformed into a worthy medical leader among its critical access peers. [READ MORE] Steele Memorial Medical Center (Salmon, Idaho). Key quality measures illustrate Steele Memorial's dedication to excellence in patient safety and clinical care. [READ MORE] Tahoe Forest Hospital (Truckee, Calif.). Tahoe Forest Hospital has served its northeastern California community since 1952. [READ MORE] Tomah (Wis.) Memorial Hospital. Tomah Memorial Hospital, founded in 1952, is a 29-bed critical access hospital that serves residents in Tomah and its surrounding communities. [READ MORE] Transylvania Regional Hospital (Brevard, N.C.). Transylvania Regional Hospital, founded in 1933, is a nonprofit community hospital serving Transylvania and the surrounding counties. [READ MORE] University Hospitals Geneva (Ohio) Medical Center. UH Geneva Medical Center is a community medical center and part of the Cleveland-based University Hospitals healthcare system. [READ MORE] Waldo County General Hospital (Belfast, Maine). Waldo County General Hospital opened its doors in 1904. [READ MORE] Whitman Hospital and Medical Center (Colfax, Wash.). Whitman Hospital and Medical Center placed among iVantage Health Analytics' Top 100 Critical Access Hospitals in the nation in 2016. [READ MORE] Winneshiek Medical Center (Decorah, Iowa). Winneshiek Medical Center is a nonprofit community hospital that has served northeastern Iowa since 1914. [READ MORE] To continue following the latest news and information for Bedfordshire and surrounding areas, simply enter your full postcode below The Finance Minister will today meet his counterparts from Scotland and Wales to discuss the implications of the vote to leave the European Union. Sinn Fein's Mairtin O Muilleoir will travel to Cardiff for talks with Scottish Government Finance Secretary Derek Mackay and Welsh Cabinet Secretary for Finance Mark Drakeford. The ministers are expected to discuss the impact of the Brexit vote on public finances and on future funding streams. Before the meeting, Mr O Muilleoir said: "The impact of the EU referendum has created uncertainty and challenges for us all across these islands. "It is therefore critically important that the three devolved administrations work together closely on financial areas of common interest. "I will state the case for our situation in the North and I am keen to hear the implications of the referendum result across Scotland and Wales. "I am committed to working with ministerial colleagues across these islands to explore all the options to safeguard the interests of our people." Mr Mackay said: "No one can be clear on the likely impact of Brexit on UK Government finances, and we are already seeing the UK Government suggest changes to future spending plans. It is clear there are significant issues and challenges ahead. A majority of people in Scotland and Northern Ireland voted to stay in the EU in last month's referendum, while in Wales 52.5% of voters chose to leave. Meanwhile, the Republic's foreign minister has claimed the EU will be sensitive to Ireland's desire to preserve the "invisible border" and maintain close relations with post-Brexit UK. Charlie Flanagan insisted other EU partners understood the nature and importance of the close link between Ireland and the UK. Concerns have been expressed on both sides of the Irish border that movement of trade and people will be negatively impacted when the UK leaves the EU. The future of the Common Travel Area (CTA), which has allowed people to travel freely around the island since partition, has been the subject of intense public debate. While the governments in Dublin, Belfast and London have expressed a desire to keep the border as open as possible, the EU member states will ultimately have to agree to the shape of what will become of one of the Union's external frontiers. Addressing the Diplomatic Corp - Irish based ambassadors representing 75 countries - Mr Flanagan highlighted the "crucial role" the EU played in the peace process. He said while the contribution of the EU to reconciliation projects in Northern Ireland would be missed, he stressed that the peace that had been achieved would remain "embedded and irreversible". On the Republic's National Day of Remembrance, the minister told ambassadors: "Without doubt, the period ahead will be very challenging for the European Union as it seeks to ensure that the UK remains a close partner while working to deliver even more effectively on the priority concerns of its citizens." He added: "I have spoken to several of my EU counterparts in recent days and I will continue these conversations over the coming weeks. "I know our EU partners understand well the uniquely close nature of Ireland's relations with the UK and that, in the spirit of accommodation which characterises the European Union, they will be sensitive to our concerns which include the exceptionally close relationship between these islands, the sharing of a land border, the unique status of our citizens in the United Kingdom and, of course, the implications for the peace process..." Mr Flanagan said: "The Irish Government will continue to work intensively with the British Government and the Northern Ireland Executive to see how best collectively we can work to ensure that the gains of the last two decades are fully protected." Theresa May set out plans to change the way big businesses are governed Theresa May's proposals to shake up executive pay and governance have been given the seal of approval by a leading business group. The Institute of Directors (IoD) said it is "broadly supportive" of the prime ministerial hopeful's proposals, which include binding votes on executive pay, having employees on boards and aligning executive pay closer to staff pay. Oliver Parry, the IoD's head of corporate governance, said: "Theresa May has identified problems and is proposing remedies. When it comes to having workers on boards, there would be challenges in terms of training and induction, but it's something we support if done on a voluntary basis. "We're also in favour of either annual binding votes on executive pay, rather than the current three years, or having flexibility from boards if circumstances demand that pay should be reviewed. On pay ratios, it's not always helpful, but it's something we would support on a voluntary basis." Mrs May suggested a raft of changes in a newspaper column published on Monday. The Home Secretary pledged that if she becomes prime minister, she will push for consumers and employees to be represented on company boards, make shareholder votes on pay binding rather than advisory and make transparent the ratio between chief executive pay and the average company worker's pay. She said: "It is not anti-business to suggest that big business needs to change. Better governance will help these companies to take better decisions, for their own benefit and that of the economy." Mr Parry agreed that the proposals are not anti-business. "There is a problem that companies are seen to be detached from society, so it's a good thing," Mr Parry said. A number of firms were given bloody noses earlier this year when shareholders revolted over mammoth pay deals. BP faced a humiliating shareholder rebellion over executive pay in April, when almost 60% of shareholders rejected the oil giant's remuneration report, which awarded boss Bob Dudley 13.8 million. More than a third of shareholders in advertising giant WPP refused to back boss Sir Martin Sorrell's mammoth 70 million pay deal, and mining giant Anglo American also faced investor protests after 42% of shareholders voted against chief executive Mark Cutifani's 3.4 million pay package for 2015. However, the votes were non-binding and advisory only. TUC general secretary Frances O'Grady also welcomed Ms May's "common-sense approach", offering to meet her to discuss the proposals. She said: "Workers have a clear interest in the long-term success of their companies and deserve a bigger say. "This move would inject a much-needed dose of reality into boardrooms, as well as putting the brakes on the multi-million pay and bonus packages which have done so much to damage the reputation of corporate Britain." Rory Curran, director of The Spinning Wheel, with his father and company founder Harold One of Northern Ireland's most familiar home-grown retailers is reopening in new premises. The Spinning Wheel is shifting from Donegall Square West to nearby Fountain Street. It will occupy the premises formerly used by fancy goods retailer Hanna & Browne, which went into administration three years ago. The family-owned fabric and curtains shop has been in Donegall Square West for nearly 40 years. Now its former home will be taken over by bakery chain Greggs. Spinning Wheel managing director Rory Curran - whose father Harold founded the business - said: "We've been there for around 36 years and we just feel it's time for a change. "On Donegall Square, we just seem to be a wee bit on the periphery of the shopping area, so we're happy with our move down to that area of Fountain Street because it's closer to other big shops which draw footfall. "Yes, there's footfall at Donegall Square but it's not necessarily shopping footfall." Customers could expect more of the same in Fountain Street. "We'll just continue our focus on dress fabrics and craft fabrics, though we have diversified into blinds, and have a new van for home consultancy and free measuring." His father Harold founded the business in Downpatrick 40 years ago, and moved it into Belfast four years later. "My father said that it was different back then, and all you had to do was open. Belfast was a very different place then, but it's still a wonderful retail city," said Rory. He said the city's retail mix of independents and multi-nationals worked well. And he said he was intrigued about returning to his old shop when it becomes a Greggs. "I'll look forward to having a coffee in it," he added. The Scottish Provident building that has housed the Spinning Wheel and other businesses, as well as offices, is owned by bookmaking family the McLeans. It's also home to the Apartment bar and restaurant, and high-end cafe Patisserie Valerie. Glyn Roberts, chief executive of the Northern Ireland Independent Trade Association (NIIRTA), welcomed the shop's move. "This is a nice bit of good news, and it's pleasing to see that the business is in a position to adapt," he said. "Obviously they are a well-established business and I'm very happy that the owners are breathing new life into it." He said the arrival of a new business to Fountain Street was good news for its other retailers - which include food shop and deli Sawers. "It's almost becoming a niche area now for independent retailers, with places like Sawers doing so well," he said. "In fact, you could develop it into a little Carnaby Street with many different types of independents." New cafe the Permit Room has also opened in Fountain Street. It's run by Niall David and Marty Murphy, the team behind restaurant Howard Street. Vicky McClure has said the UK needs more affordable drama schools Vicky McClure has called for more affordable drama schools in the UK. The Line Of Duty star trained at a free workshop in Nottingham, and insisted budding actors do not need to attend costly establishments. She told Radio Times: "It's striking how many successful actors came out of Nottingham. "We don't need to send people to expensive drama schools. "When I went to the Nottingham Television Workshop it was free, and even now it's affordable - 100 a term - and if people don't have that there are bursaries and sponsors." McClure, 33, added: "There should be places like that in every city." The actress got her break at 15, when Nottingham-based director Shane Meadows visited the workshop and cast her in his film A Room For Romeo Brass. She said: "I thought my big break had come because the film was going to be shown in cinemas. Then I found out it was only six cinemas. "It's been a blimmin' long, hard slog to get to where I am now. "It took another ten years before I did This Is England 86." McClure is now set to appear in her first period drama, a three-part BBC adaptation of Joseph Conrad's literary classic The Secret Agent. She plays Winnie, the wife of Soho sex shop owner and secret agent Anton Verloc, who is played by Toby Jones. :: This week's Radio Times is on sale on Tuesday, July 12. Four Weddings And A Funeral star Simon Callow has voiced his concern about children bullying their gay classmates in school playgrounds. The writer and director, 67, who recently married his 33-year-old partner Sebastian Fox in the Greek islands, said he feared children were picking up anti-gay sentiments from their parents. Speaking to the Radio Times shortly after the shooting at a gay nightclub in Orlando, Florida, he said: "We're not in the Promised Land. There's a great deal of bullying and prejudice; some of the worst incidences of anti-gay feeling are in the playground. "That's very, very, very dismaying and strange. Children don't, generally speaking, bully their black fellow pupils, the children of Poles or Italians or whatever, which they certainly used to do in my day. "Now, they very steadily and determinedly bully their gay fellow pupils. One can only assume they get it from their parents." The actor, who is best known as gay reveller Gareth in Richard Curtis's film Four Weddings And A Funeral, said he believed resistance to gay marriage by conservative elements of society was "one of the great paradoxes of our times". Callow, who said he has received his fair share of hate mail about his sexuality, told the magazine: " They should have been the ones supporting it, unless they actually say homosexuals are indefensible and must be put out into the middle of the ocean and drowned. I'm sure there are some people who think that." Callow will next be seen as Henry Palmer in The Rebel, a sitcom based on a column in the Oldie about a pensioner who pines for the social rebellion of his youth. The full interview appears in the Radio Times, which is on sale now. Countryfile presenter Anita Rani says she 'fears we are increasingly unable to see beyond someone's colour or accent' Countryfile presenter Anita Rani says she fears the West is becoming increasingly intolerant of refugees. Shortly after taking part in Strictly Come Dancing last year, the TV presenter visited the Zaatari refugee camp in Jordan, on the border with Syria, which is now home to 80,000 people after opening four years ago. She jumped at the chance to visit because she was "sickened by some of the rhetoric used to describe fellow humans in their most extreme hour of need" by the media. Writing about her experience in the Radio Times, she said: " I'm deeply concerned that we are increasingly unable to see beyond someone's colour or accent. "The West is in danger of turning away from tolerance, from the progressive, forward-thinking countries that we pride ourselves on being. "Instead we are becoming close-minded and extreme, all within living memory of the Second World War." The TV presenter said she was "acutely aware" of how lucky she was to live in Britain, explaining her paternal grandfather was invited to move to England from India in the 1950s to work here. Rani expressed surprise that hardly any of the refugees spoke of wanting to come to Europe, instead wishing to return to their homeland of Syria. She called on the UK to show more "humanity and kindness" as the crisis worsened. She wrote: "At the moment Britain is living in a Brexit bubble, but we cannot ignore the most important story of our times ... Right now the world is in crisis and we are one of the best-placed countries to help." :: Read the full story in this week's Radio Times, out now. Mairtin O Muilleoir: Vital the interests of the people across the devolved administrations were protected Finance ministers from the UK's three devolved administrations have pledged to fight Westminster austerity measures resulting from Brexit. Northern Ireland finance minister Mairtin O Muilleoir held talks in Cardiff on Monday with his counterparts from Wales and Scotland, Mark Drakeford and Derek Mackay. They are seeking an urgent meeting with Chancellor George Osborne in a bid to avoid further public spending cuts or savings and protect EU funding. They said: "We are determined to act resolutely to protect the interests of all our people, especially against further austerity policies that might be considered as a consequence of the referendum result. "This is particularly relevant in the context of the majority vote in both Scotland and the North of Ireland to remain within the EU." They urged the UK Government to take the opportunity provided by the referendum to think again about its economic and fiscal policy. They said: "It is essential we assure potential investors, the business community and those in receipt of European funding that we will endeavour to put in place the necessary measures to safeguard our interests; and certainty on future budgets will underpin those assurances." They sought clarification on alleged plans to reduce some spending by a further 3.5 billion in 2019-20. Mr O Muilleoir said it was vital the interests of the people across the devolved administrations were protected and ministers worked together on areas of common interest. He added: "Together we are seeking assurances from the Westminster Government around budgets and that the levels of current significant EU investment will be sustained. "Obviously the potential economic impact on the border region in the North of Ireland, which has greatly benefited from European funding, is of particular concern." Mr Mackay said: "We remain unclear on the likely impact of Brexit on UK Government finances, and we are already seeing the UK Government suggest changes to future spending plans as well as considerable concern over the economy and investment levels. "The devolved administrations need urgent answers from the UK Government on the impact that the Brexit vote could have on future budgets, and that is why it is crucial that we meet with Mr Osborne imminently." Mr Drakeford said the impact of Brexit on the UK's finances would feed into devolved budgets. He said: "Today's meeting gave us an opportunity to come together to share our concerns and to explore how we can speak with one voice in our talks with Westminster. "That is why we have written to the Chancellor requesting a meeting to raise our collective concerns and to seek assurances for our people, communities and businesses." A woman who launched a fundraising drive to pay for pioneering cancer treatment she hoped would save her life has lost her fight with the disease. Karen McGrath (46) from Coalisland, Co Tyrone, passed away at hospital on July 3 and was laid to rest on Wednesday. Posting on Facebook on Friday, her devastated partner, Chris Carlin, said: "Karen may have gone but she will never be forgotten. I was so lucky to call her my fiancee and she will forever be my wife. "She was the most beautiful caring, loving and kind woman I ever met. "Love you with all my heart baby, until we meet again xxx miss u like crazy". He later posted: "So lost without you at my side. Baby. "We have never been apart this long. Luv u with all my heart. Xx". Ms McGrath was diagnosed with lung cancer in January last year and despite enduring gruelling radiotherapy and chemotherapy, the cancer spread and she was told it was terminal at the end of last year. Unwilling to accept the prognosis, Ms McGrath - a mother of two and grandmother of three - found a new treatment in the US and launched an online appeal to raise the 25,000 she needed to pay for it. Speaking at the time, she said: "I am only a young woman and I'm not ready to leave my family and my young grandchildren. "I need help to raise this money to allow me to go to America to receive life-saving treatment. "The treatment is not available in the UK or Ireland." Ms McGrath went to her doctor after suffering from a persistent cough and constant breathlessness. After her diagnosis, she had gruelling radiotherapy - 44 times in three weeks - and chemotherapy. She said: "They found a tumour of approximately 10cm in my right lung. At that stage I was advised all was going well and the tumour was shrinking." But after she developed pain in her right shoulder, it was found the cancer had spread to her collar bone. During a routine check, the doctors told her there was no more hope after they found the cancer had spread to her left lung, liver, bones and lymph nodes. She was due to have more chemotherapy to try and bring the condition under control before travelling to America but her condition deteriorated and she died without fulfilling her dream of marrying her long-term partner, Chris. Family friend Nuala Donnelly paid tribute to her pal: "Karen had a real fighting spirit, very much so, for her family." Ms McGrath's funeral was held at St Mary and St Joseph's Church in Coalisland. Alastair Bull (13) is currently in a rehabilitation facility in Spain A father has told how a family holiday in Spain turned into a nightmare after his son suffered an extremely rare spinal stroke. Simon Bull from Bangor, Co Down, and his family - including 13-year-old son Alastair - were enjoying a sunshine trip to Salou when illness struck. On June 23 Alastair, who attends Bangor Grammar, woke on the third morning of the family trip. Over breakfast the young rugby player mentioned his back was sore but dismissed it. He went to the pool to play with his brothers Ryan (14) and Tristan (4), and sister Lucy (6). However, he left after a while saying he needed to lie down. And when he couldn't move his legs a doctor was called. He asked for an air ambulance to take Alastair to the Vall d'Hebron Hospital in Barcelona. Dad Simon, himself well known in rugby circles in Bangor, told the Belfast Telegraph last night his son had suffered a spinal stroke, which has left him paralysed from just below the chest. He said: "The doctors believe he had a spinal stroke which is basically where blood forms a blockage in the spine and prevents blood flow. "It is extremely rare. "Comprehending what happened was very difficult because we didn't know this was possible." He added: "The injury is no different than had Alastair been in a car accident, thrown from a horse or fell out of a window or something like that and fractured his spine. "It was very, very difficult. "It was a struggle to take it in and it took a while for it to sink in just how serious it was." Brave Alastair was in intensive care for a week and is now at The Guttman Institute, a rehabilitation centre near Barcelona, where his mother has been at his bedside. His dad and siblings returned home in recent days. Mr Bull said medics have now told them Alastair is fit to travel home. The family are clinging to the hope that, with rehabilitation, he will be able to walk again. They hope to be able to bring Alastair back from Spain this week, but as there are no paediatric rehabilitation facilities available in Northern Ireland, he is likely to go to Stoke Mandeville Hospital in England. Mr Bull said he had been "overwhelmed' by a JustGiving online fundraising campaign for Alastair. "We have had a lot of people who contributed who are close friends, but also a lot of people donated anonymously." On the JustGiving page it says: 'The stroke caused Alastair a severe spinal cord injury. "He is paralysed from just below his chest. "The degree with which he will recover is unclear and the extent to which he will undergo rehabilitation is also unclear. "What is clear is Alastair, his siblings, his parents, and his wider family, have a long journey ahead of them. "Alastair has shown great courage and resolve in the past weeks. We are looking to raise funds to support Alastair through his rehabilitation, to ensure that in the long-term Alastair will be able to continue to be the active, inquisitive, teenager he has grown into. 'Ultimately we are looking to establish a charity to support Alastair, and other children who have suffered spinal strokes, and to raise awareness. "Thank you for your support and we will keep everyone informed of Alastair's progress in the coming months and years." The campaign aims to raise 25,000 to help Alastair through his rehabilitation and ensure he reaches his full potential. Last night the figure stood at over 8,500. Former Deputy Chief Constable Judith Gillespie was the victim of vicious and misogynistic tweets on social media A former top police officer has revealed how she refused to let misogynist cyber-bullies ruin her life. Judith Gillespie was Northern Ireland's most senior police woman when she became a high-profile victim of online bullying. In a vicious, incessant campaign of abuse on social media, trolls targeted the then Deputy Chief Constable by posting nasty lies and comments about her personal and professional life. She eventually took legal action against several of those responsible, who were forced to post apologies and pay financial redress to a charity of her choice. In an interview with the Belfast Telegraph, Mrs Gillespie admitted that it was a "very difficult" period for her and revealed how she eventually dealt with the very public abuse. "At first I found it really difficult and it really did annoy me," she said. "Some of the stuff that was tweeted about me was so sexually explicit that it crossed the line in terms of grossly offensive so that crossed the criminal threshold. People told me to ignore it and that was the worst advice. Generally it was men who were saying, 'Just ignore it, it will go away, sure it's nothing'. "And it wasn't nothing. It was my personal integrity. And a woman in a position of high visibility, your personal integrity is incredibly important. "Some of these were downright misogynists who were tweeting horrible stuff. I started to take legal action against some of the people who were saying defamatory stuff about me. "They had to post apologies and pay donations to charity. That gave a great sense of satisfaction. That sends out the message that it's not acceptable, there are consequences." Cyber-bullies try to intimidate, humiliate and discredit their victims, and are a growing problem, particularly for women. Alliance MLA Naomi Long is another high profile victim of cyber-bullying on Twitter. Recently Mrs Long hit back at those behind the abuse by humorously sharing some of their nastier posts in an online video. Mrs Gillespie said she admired how the Assemblywoman dealt with it. "I think Naomi Long has dealt with it incredibly well. She has had so much horrible stuff said about her and she just gives it right back with dignity, professionalism and humour," she said. Mrs Gillespie is now using her experience to try and help others deal with online abuse. "I get asked to speak at a lot of women's leadership events and I would always talk about this. Ignoring it is the worst thing to do. But don't reply on the medium, it just feeds their tiny little egos. Seek legal advice if it's defamatory, if it's criminal. It says more about the person tweeting than it does about you. Keep it in perspective and hold your head high." Leonie is described as being 51tall, of very slim build with long dark brown hair Police in east Belfast are concerned for the welfare of missing 15-year-old Leonie Hopkins. Leonie was last seen in the North Road area at around 9.45pm on Wednesday 6th July. She is described as being 51tall, of very slim build with long dark brown hair and was wearing a maroon Adidas top, blue jeans and was carrying a tartan carrier bag when last seen. Police would ask Leonie or anyone who knows of her whereabouts to contact them at Strandtown police station on 101. A man has sustained injuries to his face following a knife attack in Belfast. Detectives are appealing for information following an assault in the Fountain Lane area in the early hours of Sunday, July 10. At approximately 3.30am it was reported that a man was assaulted by an unknown male brandishing a knife. He sustained injuries to his face. Detective Sergeant Mason would appeal to anyone who has information about this incident to contact Detectives at Reactive and Organised Crime at Musgrave on the non-emergency number 101, quoting reference number 318 10/07/16. Alternatively, if someone would prefer to provide information without giving their details they can contact the independent charity Crimestoppers and speak to them anonymously on 0800 555 111. Rathlin Island residents are considering the possibility of joining an independent Scotland following the Brexit referendum. The population of the island, off the coast of county Antrim, are concerned about the consequences of Brexit, Scotsman.com has reported. The island lies almost 12 miles west of Kintyre, a peninsula in western Scotland. The island has benefited greatly from EU funding previously, particularly in relation to a modern harbour and connections to the National Grid. A spokesperson told the Herald Scotland: In the immediate aftermath of the referendum result, people on Rathlin talked, like people all over the UK, of little else. And very quickly talk turned, only half jokingly, to new ways of thinking about the islands relationship with its neighbouring islands. A unilateral declaration of independence worked for some, but others looked east and north to Rathlins historic friends in Scotland. Source: Irish Independent Campaigners have expressed shock after a 13-year-old boy was arrested after a racist attack in south Belfast Campaigners have expressed shock after a 13-year-old boy was arrested after a racist attack in south Belfast. A 55-year-old man was targeted in the Tates Avenue area of the city on Saturday night when he was punched in the face. A PSNI spokesman said they were treating it as an "unprovoked racially motivated assault". A 20-year-old man was also arrested following the attack. A police spokesman said the incident took place on Tates Avenue at around 10.10pm on Saturday when the man was "bumped into by two males". The spokesman added: "They proceeded to punch him about the face, inflicting cuts and bruises to his face and hands." Both suspects have since been released on police bail pending further inquiries. The PSNI spokesman said: "We would take this opportunity to remind the public that incidents of this nature will not be tolerated. "We would encourage anyone who has been the victim of a hate incident/crime to report the matter to their local police on 101." Patrick Yu, executive director of the Northern Ireland Council for Ethnic Minorities, told the Belfast Telegraph it was particularly concerning that a young teenager was arrested. He said: "We were sickened a young teen was allegedly involved. It is alarming for some one of such age to allegedly be involved in racist hate. Hate is not in-born but learned from peers and the society." Mr Yu said it is important that young people are told racism cannot be tolerated. "We need to re-double our efforts to educate our children and young people about racism and sectarianism which are not socially acceptable behaviour." He echoed the police's call for people to get in touch with them about hate crime. Mr Yu also said the government in Northern Ireland needs to do more. He said: "At the same time we would like to ask the Executive Office, which had responsibility on sectarianism and racism, to develop an educational and training programme. "It needs to be rolled out to the community and school system through the Racial and Equality Strategy and the Programme for Government." The Tates Avenue attack has also been condemned by local politicians. South Belfast Green Party MLA Claire Bailey said she was glad there had been arrests and urged people with information to contact the police. She also expressed concern at the age of one of the suspects. "Attacks for whatever reason are not to be tolerated in any circumstances but when you hear that kids are allegedly involved, it is a bit more shocking." She said police have not seen a rise in reported hate crimes since the Brexit debate but she encouraged people to report incidents. A race equality strategy "needs to be pushed as a matter of urgency" at Stormont, she added. Stormont's finance watchdog will be briefed by a team from the National Crime Agency (NCA) today about its ongoing investigation into the controversial sale of Nama's Project Eagle loan book Stormont's finance watchdog will be briefed by a team from the National Crime Agency (NCA) today about its ongoing investigation into the controversial sale of Nama's Project Eagle loan book. The specially convened meeting at Parliament Buildings was requested by the Finance Committee before its two-month summer recess until September. Two high-profile businessmen have been arrested by fraud squad chiefs in connection with the 1.6bn (1.2bn) loan book sale by Nama to US investment fund Cerberus - the biggest ever property deal in Northern Ireland. More arrests are expected in the coming weeks. The probe by the NCA, the UK's lead policing body, was sparked by the discovery of a 7m offshore transfer to an Isle of Man bank. The deal has been the subject of parliamentary probes on both sides of the border, as well as an investigation by US authorities. As well as getting an update on the NCA's investigation, committee chairwoman DUP MLA Emma Pengelly - who used to work as a special advisor to former first minister Peter Robinson - stressed that the meeting is to ensure its own examination of the sale did not prejudice the long-running fraud investigation. In recent days, the Department of Finance has provided the investigating committee with new documents discovered in a fresh trawl of government files ordered by Sinn Fein minister Mairtin O Muilleoir. The new Department of Finance papers shared with the committee include a document which reveals that a former senior Anglo Irish Bank official had been nominated by former DUP Finance Minister, Sammy Wilson, to the Northern Ireland committee advising Nama. The name of Neil Adair emerged in newly disclosed and unredacted files given to the Stormont committee.Adair's name was previously blacked out on papers provided. The Irish Government subsequently selected another of Mr Wilson's nominees, Frank Cushnahan, in 2010. Mr Cushnahan was one of the two men arrested in Co Down in June by the NCA. The other was former senior Nama executive, Ronnie Hanna. The former Ulster Bank senior executive was head of asset recovery at Nama, but left in late 2014. The men, who were named under parliamentary privilege in the Dail, were later released without charge. They deny any wrongdoing. A Finance Committee spokeswoman said it has "no plans to further consider the papers made available by the Department until after its NCA meeting." The committee's next scheduled meeting is on September 14. Project Eagle involved 850 properties across Ireland and the UK, based on loans made to borrowers in Northern Ireland. While Nama bought the loans for 2bn, they were sold to vulture fund Cerberus for 1.6bn. At the end of last month, a motion in the Dail calling for a Commission of Investigation to be set up to investigate the sale was passed - but will not be able to start its work until criminal investigations have ended. During the debate, Independent TD Mick Wallace said he is going to set up a website with help from some of those involved in leaking information from CIA whistle-blower Edward Snowden. A partially-sighted man who claims a Translink worker mocked his disability said the company has added insult to injury by sending him a bland letter which stopped well short of an apology. Jamie Shields (26) has claimed he was belittled and "made to feel like a second class citizen" at Belfast Central Station last month, after being told to check a timetable board for himself, despite making the Translink employee aware of his disability. Then, having asked for a train time once again, the employee allegedly said: "I don't have eyes on the back of my f*****g head." After he complained about his treatment, Mr Shields, who lives in Belfast, was visited at home by a senior Translink manager and said he was led to expect a written apology from the company. But what arrived was a "standard response letter" which could have been sent to any customer, one which failed to acknowledge his specific complaint. Mr Shields, who is registered blind, said he was so upset by his treatment that he has not used public transport since - and has been off work due to the trauma. And, having reported the incident to the Equality Commission, he is now considering his next course of action. "I went to the ticket desk on June 30 and asked the person behind the counter for the time of the next train to Adelaide Station," he said. "I was told to check the board and when I explained that I couldn't see it because I'm visually impaired the person just repeated that it was on the board. "When I explained again that I'm registered blind the employee said: 'I don't have eyes in the back of my f*****g head' and then rolled their eyes at me." The Co Tyrone native added: "I was in total shock. I asked to speak to a manager because an employee had cursed at me and rolled their eyes at me... To which they replied: 'You're visually impaired, how would you see?'" Mr Shields, who attended Jordanstown School for children who are deaf or have visual impairments, suffers from Ocular Albinism with nystagmus, which he described as "a really big problem". The condition means he qualifies for one of Translink's half-fare SmartPasses, and he uses their service daily to travel to and from work as a customer advisor with BT. But, following the incident, "which has knocked me for six", he said he has been forced to take time off work as he can't bear to travel with Translink. "I asked for help because of my disability and now my confidence has been shattered because of the way I was treated," he said. "I was born with this condition. It runs in my family. I know I don't look disabled. I don't have a cane or a guide dog, but I declared myself disabled to that employee; and that's something I should never have to do with a half-fare SmartPass." In a letter to Mr Shields, who can't legally drive because of his disability, Translink said it was "extremely disappointed to receive your report of poor customer service from a member of our team". "I understand that you have lost confidence in our service," it read. "We sincerely regret that". It added: "We are taking this matter very seriously. Your complaint is being dealt with internally with the full rigour appropriate to the nature of the incident". An infuriated Mr Shields said that he was "disgusted with their response". "The letter brushed everything off," he said. "They've let me down. My disability wasn't taken into consideration at all. It's a disgrace. I'm not happy. "I thought they were taking my complaint seriously but they haven't at all. "I work in a customer service role and the letter they sent me was an impersonal, generic response to a complaint." Translink did not respond to a request for a comment. Peace activists Niall Farrell and Margaretta D'arcy are detained by police as they attempt to block the runway of Shannon Airport Peace activists Niall Farrell and Margaretta D'Arcy attempting to block the runway of Shannon Airport in protest at its use by US military Activist and artist Margaretta DArcy has been sent to Limerick prison after she was taken into custody at Galway Garda Station on Monday morning. Ms DArcy (81) was brought to the prison shortly after 11am on foot of a warrant from Judge Patrick Durcan. She told a crowd of supporters that she did not fear returning to prison, where she is now expected to remain for the next two weeks. I feel very honoured to be going back to jail. I have no fear about it. Many of these women I know from my last stay, she said. Ms DArcy was given a two week prison sentence on June 24 which was to be suspended if she signed a bond to stay away from restricted areas of Shannon airport. However, she refused to sign the bond and was liable for arrest from this morning. Arriving at Galway Gardai station, dressed in an orange jumpsuit, Ms DArcy said gardai had called to her home earlier this morning but she was not ready at that stage to accompany them to the station. She denied that her decision to make herself available for arrest at the station was a publicity stunt and insisted it was in solidarity with political prisoners around the world. She said she hoped her actions would encourage others to carry on the struggle. Ms DArcy also insisted that following her two week stint in prison she will not pay a 250 fine imposed on her. I refuse to pay the fine because it is like blackmail money to the Government. I dont know if that means they will re-arrest me when I am released, this could be the non-stop Shannon show, she added. Ms DArcy said she intends to abstain from food during her two weeks detention in solidarity with people who have lost their lives and seen their communities and homes destroyed. Irish Independent A defiant Jeremy Corbyn said Labour MPs will understand they have to come together after it was agreed to automatically include him on the ballot in the party's leadership contest. The Opposition leader said he was "delighted" that the secret vote of the ruling National Executive Committee went in his favour after hours of discussions at the party's headquarters in central London. But the ruling will come as a major blow to the majority of Labour's MPs who are desperate to overthrow the party leader. As jubilant supporters cheered Mr Corbyn, he told reporters: "I'm sure Labour MPs will understand that the party has to come together in order to present to the British people the options of a different and better way of doing things." Mr Corbyn said he hoped his opponents would not mount a legal challenge over the decision. Pledging to campaign "on all the things that matter", he said anyone who had any disagreements should "come and talk about it". But the offer will do little to appease furious MPs who have complained bitterly about the difficulties of trying to engage with the leadership team. Mr Corbyn said: "I have been elected, last year, 10 months ago today, with a very large mandate. I respect that mandate. It's a responsibility I'm carrying out. "I would hope there isn't going to be a legal challenge. There's been a very long legal discussion this afternoon. There were very well-qualified lawyers on hand to advise, so I think we are fine." Mr Corbyn posed for selfies with supporters as he emerged from the tense negotiations that lasted more than six hours. NEC members wrestled with legal advice over whether the leader would need to secure 51 nominations to make it onto the ballot after both sides insisted Labour's rulebook backed their case. The committee favoured his position by 18-14. Without automatic inclusion in the race Mr Corbyn would almost certainly have been unable to defend his position. The result is a significant setback for Angela Eagle, who faces a major battle to overturn his overwhelming backing among party supporters. Ms Eagle said: "I'm glad Labour's NEC has come to a decision. I welcome the contest ahead. And I am determined to win it." A Labour spokesman said: "The NEC has agreed that as the incumbent leader Jeremy Corbyn will go forward onto the ballot without requiring nominations from the Parliamentary Labour Party and the European Parliamentary Labour Party. "All other leadership candidates will require nominations from 20% of the PLP and EPLP." Transport union TSSA said "good sense" had prevailed at the meeting. General secretary Manuel Cortes said: " I am glad to see cool heads and good sense have prevailed at today's Labour Party NEC and should there now be a leadership contest that Jeremy will indeed be allowed to be on the ballot in accordance with party rules. "Let's face it, with the Tories united behind Theresa May and our NEC now united behind Jeremy Corbyn it would be better for our members, better for our party and most of all, better for our country, if all sides of the party now come together, agree that we have more in common than that which divides us and work together to deliver a united and effective opposition to Theresa May's Tory government. "But if there is yet to be a leadership contest, let it be conducted in a comradely manner and we at the TSSA look forward to supporting Jeremy continue to develop and expose his positive programme for the next Labour government." Labour Party members need to have signed up on or before January 12 to be eligible to vote in the leadership contest. Mr Corbyn was swept to power on a wave of registered supporters that paid a 3 fee to vote in the contest. The NEC has agreed that this time round, the one-off payment that will allow them to take part will go up to 25. A Labour spokesman said: "The timetable for the contest for the leader of the Labour Party has been agreed by the NEC and will commence on Thursday. The timetable will be published then." LONDON, ENGLAND - JULY 11: Andrea Leadsom MP speaks to the media as she announces her withdrawal from the Conservative leadership race at Cowley Street race on July 11, 2016 in London, England. Leadsom has pulled out of the Conservative leadership race to be Prime Minister of the United Kingdom, and will now offer her full support to Theresa May MP. (Photo by Carl Court/Getty Images) Home Secretary Theresa May officially launches her campaign to become prime minister at Austin Court in Birmingham. PA Theresa May has promised to give British people "more control over their lives", after a day of drama in Westminster saw her coronation as David Cameron's successor as Prime Minister. Mrs May will take up office as Britain's second female PM on Wednesday, after Mr Cameron answers MPs' questions in the House of Commons for the last time and goes to Buckingham Palace to offer his resignation to the Queen. Even before arriving at 10 Downing Street, Mrs May was facing calls for a snap general election from Labour, who said it was "crucial" that the UK has a "democratically elected Prime Minister" at a time of economic and political instability following the vote to leave the EU. The Home Secretary was unexpectedly transformed from leadership candidate to prime minister-designate by the sensational decision of her only rival, Andrea Leadsom, to pull out of the race to succeed Mr Cameron, which had been due to last until September 9. Admitting she was "shattered" by a torrid few days of negative headlines since securing her place on the ballot paper for a nationwide poll of Tory members, Mrs Leadsom conceded she had too little support among MPs to offer "strong and stable government", and offered Mrs May her "full support". Mrs May - who rushed back to Westminster from a campaign speech in Birmingham - appeared outside Parliament to declare herself "honoured and humbled" to become the Conservative leader. Accompanied by husband Philip and flanked by dozens of applauding MPs from all sides of the party, Mrs May said she would offer "strong, proven leadership to steer us through what will be difficult and uncertain economic and political times". She repeated her message that "Brexit means Brexit", in a clear bid to reassure Eurosceptic Tories that she will make good on the referendum vote to quit the EU, despite being a Remain supporter during the campaign. And she set out her One Nation vision of "a country that works not for the privileged few, but that works for every one of us", adding: "We are going to give people more control over their lives and that's how together we will build a better Britain." Her speech in Birmingham signalled a sharp change in direction for the Government when she takes the helm, promising "a different kind of Conservatism" that will "get tough on irresponsible behaviour in big business" and give ordinary workers a greater share in economic growth. In comments indicating she recognises voter "frustration" with the austerity offered by Mr Cameron, she said the June 23 referendum was not only a vote to leave the EU but also "a vote for serious change". She set out a series of plans to rein in executive pay and bonuses, put workers into the boardroom and tackle market abuses by banks and utility companies, while also putting multinational companies such as Amazon, Google and Starbucks on notice that she expects them to pay their taxes in full. Mr Cameron said he was "delighted" that the 59-year-old Home Secretary will replace him in Downing Street. After announcing Theresa May will become PM on Wednesday, David Cameron hummed a tune as he headed into No. 10 https://t.co/IraBFqc5f4 Sky News (@SkyNews) July 11, 2016 Speaking outside Number 10, he said: " She is strong, she is competent, she is more than able to provide the leadership that our country is going to need in the years ahead and she will have my full support." Mrs May also won the backing of Brexit standard-bearers Boris Johnson and Michael Gove, who issued messages of support immediately after Mrs Leadsom's withdrawal, at a time when there was still some confusion in Westminster over whether she would now have to fight a different opponent. Mr Johnson said he had "no doubt Theresa will make an excellent party leader and Prime Minister", while Mr Gove - who was eliminated from the leadership contest after taking third place in last week's vote by MPs - said Mrs May had "my full support as our next Prime Minister". Labour election co-ordinator Jon Trickett said he was putting the whole party on general election footing, on the very day when its own leadership contest was kicked off by a formal challenge to Jeremy Corbyn from Angela Eagle. Mrs May set her face against a snap election when she launched her campaign for the Tory leadership on June 30, saying: "There should be no general election until 2020." But other parties are likely to remind her of Mr Cameron's demand for an immediate election in 2007, on the grounds that Tony Blair's successor Gordon Brown "doesn't have the mandate (and) wasn't elected as prime minister". I would like to congratulate Theresa May on her victory in the Conservative leadership election. She will be a great... Posted by Theresa Villiers for Chipping Barnet on Monday, 11 July 2016 Mr Trickett said: "It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected Prime Minister. I am now putting the whole of the party on a general election footing. It is time for the Labour Party to unite and ensure the millions of people in the country left behind by the Tories' failed economic policies have the opportunity to elect a Labour government." Liberal Democrat leader Tim Farron demanded an early election, saying: "The Tories now have no mandate. Britain deserves better than this." And Green MP Caroline Lucas - herself a candidate for her own party's leadership - said it was "unacceptable" that the next PM should be appointed only by Conservative MPs rather than chosen by voters. Plaid Cymru's Westminster leader Hywel Williams said he anticipated many disagreements with Mrs May in the coming months, but said he wished her well as she led the negotiations on Britain's disengagement from the EU. He said: " First and foremost, the UK needs certainty. Wales, more than any other country in the UK, relies on its trading relationship with the European Union and I will be seeking urgent assurances from Theresa May that the UK Government will not allow a vote to leave the EU to lead us towards leaving the European single market." Lifting the ban on women in combat roles will "drag our infantry to far below the required standard" and put people at greater risk of dying, according to a Northern Ireland war hero. "The infantry is no place for a woman, and to permit them to serve in close combat roles is a pure politically correct extravagance," Tim Collins has said, following David Cameron's announcement on Friday that women will be allowed to perform combat roles in the army. The Belfast-born former soldier added: "No one pretends that allowing women onto the front line enhances the Army's capabilities." Citing a report by the US Marine Corps from 2015, he added that having women in the armed forces would be more likely "cost lives on the battlefield". But Hannah Bryce, from the Royal Institute of International Affairs, has said having women in the roles would make the Army "more operationally effective", as well as more reflective of society. "Wars are not just fought by men, and do not just affect men," she told the BBC. "The battleground has already moved from the remote front lines of 20th century warfare to a more urban environment and as a result is influenced by all society and not just military personnel. "The armed forces need women in all military roles if they are to understand and influence events on the battlefield and beyond." She continued: "This is not just about fairness, but about winning." Women are currently able to fill 80% of jobs in the armed forces and make up more than 10% of the workforce. The Iraq War was illegal, according to Lord Prescott, the deputy prime minister at the time of the 2003 invasion. The Labour heavyweight used his strongest language yet to condemn Tony Blair's decision to take part in the Iraq War, a decision he supported at the time. Lord Prescott's comments come days after the publication of the long-awaited Iraq Inquiry report by Sir John Chilcot. Writing in The Sunday Mirror the peer said: "I will live with the decision of going to war and its catastrophic consequences for the rest of my life. "In 2004, the UN secretary-general Kofi Annan said that as regime change was the prime aim of the Iraq War, it was illegal. "With great sadness and anger, I now believe him to be right." Lord Prescott said the Chilcot report was a "damning indictment of how the Blair government handled the war - and I take my fair share of blame". "As the deputy prime minister in that Government I must express my fullest apology, especially to the families of the 179 men and women who gave their lives in the Iraq War." He also welcomed current Labour leader Jeremy Corbyn's decision to apologise on behalf of the party for the war. The Chilcot report strongly criticised the way former prime minister Mr Blair took the country to war in 2003 on the basis of "flawed" intelligence with inadequate preparation at a time when Saddam Hussein did not pose an "imminent threat". Read more Read More Sir John also said the way the decision about the legal basis for the war was reached was "far from satisfactory", but the report did not rule on the legality of the military action. Lord Prescott said he had concerns about how Mr Blair ran his government, with Cabinet ministers given "too little paper documentation" to make decisions. He also said intelligence reports were based on "discussions at receptions and prejudiced sources", amounting to "tittle-tattle, not hard evidence". Meanwhile, a senior Tory has said the public wants to see Tony Blair punished, as MPs prepare a Commons motion to find the former prime minister in contempt of Parliament. David Davis said "quite a lot" of MPs already support the motion which will claim Mr Blair deceived MPs over the invasion. He intends to put the motion before Speaker John Bercow on Thursday, and if granted, MPs could debate it on July 18 or 19, before parliament breaks up for the summer. Mr Blair has defended the decision to oust Saddam. A memorial in Dallas at the scene of the killing of five police officers by gunman Micah Johnson (AP) Barack Obama is returning to the US from a diplomatic trip to Europe to help comfort an America rattled by a series of shocking shootings and racial unrest. Mr Obama will fly to Dallas, the scene of the massacre of five police officers which, added to two shootings of black men by white police officers, has emerged as a tipping point in a national debate about race and justice. The US president is due to deliver remarks at an interfaith memorial service and is expected to meet victims' families and local law enforcement officials mourning their own. Former president George W Bush, his wife, Laura, and vice president Joe Biden will also attend, and the ex-president will deliver brief remarks. In choosing to the deliver a high-profile speech, Mr Obama has tasked himself with ministering to Americans as they make sense of a frustrating cloud of issues swirling around the shootings. As he travelled to Poland and Spain last week for meetings with European leaders, the president was publicly working through his thoughts. At times, he acknowledged "anger" and "confusion" in the public, and at other times he seemed to downplay the enormity of events. On the shootings by police of black men in Minnesota and Louisiana, Mr Obama called for more activism and reforms. And he sought to impress upon white Americans what he said he and other African Americans already know: the problem is real. On the shooting in Dallas, Mr Obama cast Micah Johnson, the sniper killed in a stand-off with police, as "demented" and his motives as unknowable. People should not believe that "the act of a troubled individual speaks to some larger political statement across the country," he said. "It doesn't." Mr Obama also pointed to other forces driving discontent at home and in Europe - lone-wolf terrorism or economic instability wrought by globalisation - and tried to sell his policies aimed at tackling each. On Sunday, a few hours before returning home, Mr Obama issued a plea for better understanding between police and demonstrators taking part in the protests across the country. "I'd like all sides to listen to each other," he said. Meanwhile, the Dallas police chief said the suspect in the deadly attack on officers taunted authorities during two hours of negotiations, laughing at them and at one point asking how many officers he had shot. The chief and the county's most senior elected official also said that Micah Johnson had larger attack plans and possessed enough explosive material to inflict far greater harm. Dallas police chief David Brown said Johnson, a black Army veteran, insisted on speaking with a black negotiator. The chief said he also wrote in blood on the wall of a parking garage where police cornered and later killed him. The shootings marked the deadliest day for US law enforcement since the September 11 terrorist attacks. The five officers were fatally shot just a few blocks from where president John F Kennedy was slain in 1963. Nearly 200 arrests have been made in Louisiana's capital city Baton Rouge as protests took place around the US over police killings of young black men. Officers in full riot gear stopped a group of protesters in Baton Rouge from walking onto an interstate road route on Sunday evening, thwarting a tactic which activists have attempted in several US cities in order to block traffic. Further protests are expected throughout Monday. Tensions between black citizens and police have risen since last week's killings of Alton Sterling in Baton Rouge and Philando Castile in Minnesota by white officers, as well as an apparently retaliatory attack by a black sniper in Dallas which killed five officers and wounded several others. DeRay Mckesson, a prominent Black Lives Matter activist who was released from jail on Sunday following his arrest at a Baton Rouge protest, accused city police of provoking protesters. Mr Mckesson said he hopes the US justice department, which already is investigating Sterling's death, also reviews how Baton Rouge police have treated protesters. He said: "There's a lot of work to be done, with this police department specifically." More than 1,000 people left a Black Lives Matter rally in Memphis, Tennessee, and walked up a bridge over the Mississippi River on Sunday night, temporarily blocking all traffic on Interstate 40. At a California protest, hundreds of people poured into the streets of Inglewood late on Sunday, blocking traffic for several minutes on the 405 Freeway. Authorities told the Los Angeles Times there were no arrests and no reports of violence. Elsewhere, hundreds blocked a segment of Interstate 94 in Minneapolis-St Paul on Saturday, while hundreds more also blocked motorists recently on part of Interstate 264 in Portsmouth, Virginia. Demonstrators have also tried but failed in recent days to block highways in Atlanta and Columbia, South Carolina. Some government and law enforcement officials have been outspoken in seeking to discourage protesters from blocking traffic. South Carolina governor Nikki Haley said: "While I appreciate the peaceful intent of this weekend's rallies, I'd ask that we not put our fellow citizens or law enforcement at risk - which is exactly what attempting to block highways does." In Louisiana, some 2,000 people rallied outside the Capitol building on Sunday, State Police Major Doug Cain said, calling that initial protest "very organised and peaceful". Then, by Sunday night, a few hundred people aimed for an on-ramp of Interstate 110 in Baton Rouge. After a lengthy stand-off, police in riot gear moved in, pinning some of the protesters as others fled. Approximately 50 people were taken into custody for trying to block a highway. Baton Rouge police spokesman Sgt Don Coppola had blamed the large number of arrests on people coming to Baton Rouge from other cities. Police have confiscated three rifles, three shotguns and two pistols during protests, he wrote in an email. New Orleans residents accounted for nearly half of the people who were arrested at protests that started Sunday in Baton Rouge. Twelve of them are listed as residents of other states or the District of Columbia. One officer was injured by a projectile in the weekend protests, authorities said. At a press conference before Sunday's arrests near the interstate, Louisiana governor John Bel Edwards said he was "very proud" of his state's law enforcement response. Mr Edwards added he did not believe using riot gear to push protesters away from a highway was overly aggressive. "I can assure everyone we are hearing the protesters," the governor said. "We are listening to their voices. But I'm especially gratified that our citizens here in Louisiana, to a very large degree, have decided to protest in a constructive and peaceful manner." South Sudan has been hit by fighting in the capital Juba, on the weekend the country marked five years of independence The president of South Sudan and his opposition rival have called for a ceasefire in a conflict that has seen fierce clashes between their forces spread from the capital to a south-eastern town. President Salva Kiir declared a halt in fighting that began on Thursday night and has raised fears of a return to civil war that could draw in even more of the East African country's ethnic groups. On Saturday, the troubled nation marked the fifth anniversary of its independence from Sudan. Hours after the declaration by Mr Kiir, gunfire could still be heard, although it was unclear if was fighting or troops firing in celebration. Former rebel leader Riek Machar also called for a ceasefire. Mr Machar, who is the country's first vice president under a fragile peace deal, made the call in an interview with South Sudan-based Eye Radio. He also said he was still in Juba. Mr Kiir's announcement came after his forces overran an opposition base in Juba and killed 35 of Machar's bodyguards, according to opposition officials. The government forces also attacked a UN peacekeeping base and camp for civilians who fled the violence. UN Secretary-General Ban Ki-moon urged the Security Council to place an immediate arms embargo on South Sudan. Speaking to reporters, Mr Ban also called for additional sanctions against South Sudan leaders who have blocked the existing peace deal and the "fortifying" of the UN peacekeeping force there. Mr Ban said: "The renewed fighting is outrageous. It is yet another grievous setback. It deepens the country's suffering. It makes a mockery of commitments to peace." Many of the thousands displaced by the fighting are seeking shelter at two UN bases, a World Food Programme compound and other areas, said Matilda Moyo, a spokeswoman at the UN Office for the Coordination of Humanitarian Affairs. Massive explosions were heard in Juba's Tomping neighbourhood, which houses a UN compound where at least 3,000 civilians have sought shelter. The district also has several embassies and the airport. The United States told its citizens it would evacuate all non-essential staff from the country. The Canadian Embassy has closed, according to a message sent to its citizens. India is planning to evacuate its citizens, according to a tweet by its external affairs minister. In addition to the continued battles in Juba, clashes broke out in the town of Torit in the south-east. There have also been sporadic hostilities in Wau in the west. South Sudan's civil war broke out in December 2013 after fighting between the Dinka and Nuer ethnic groups spread across the country. The two-year civil war killed tens of thousands and displaced more than 2 million. The war exposed South Sudan's other ethnic divisions. Mr Kiir's supporters are largely Dinka, while Mr Machar's followers are mostly Nuer. Mr Kiir and Mr Machar signed a peace accord last year and formed an uneasy transitional coalition government. But fighting continued despite the agreement and the current clashes in Juba threaten to plunge the parts of South Sudan that had been relatively stable back into violence. The new fighting has also raised concern the conflict could spread to other ethnic groups. "2013 was mostly a fight between the Dinka and Nuer at the local level, but now it is everyone against everyone, and we have no idea where this is going to head," said Luuk van de Vondervoort, former member of the UN panel of experts on South Sudan. "Getting the pieces back together is going to be incredibly, incredibly difficult. You can't the put the genie back in the bottle now," he said. In addition to killing Mr Machar's bodyguards, government forces bombed his house, said rebel spokesman Goi Jooyul Yol at a news conference in Addis Ababa, Ethiopia. Much of the fighting in Juba centred in the Jebel area where there is an opposition camp and another UN base where about 28,000 displaced civilians have been living since 2013. Thousands more fled to the camp in the current fighting. Government troops overran the opposition base in Jebel, leaving the forces loyal to Mr Machar with only their camp in the Gudele area as a foothold in Juba, said William Gatjiath Deng, an opposition spokesman. Two government helicopters bombed areas near the UN base, while ground forces shelled the camp that houses tens of thousands of civilians, according to a source in the UN compound. The displaced civilians are mostly of the Nuer ethnic group who sought protection from the UN after a series of government-led killings of Nuer in Juba in 2013 that sparked the civil war, according to an African Union commission of inquiry. Government officials have repeatedly accused the civilians inside the UN bases of being rebels or rebel supporters. UN peacekeepers have not protected civilians at the Jebel camp or fired at the troops shelling the base, said the source in the base, who accused the soldiers with UN blue helmets of abandoning their positions. "UN peacekeepers, they even run away," he said. "They are not stopping it." UN peacekeepers in South Sudan are mandated to use lethal force to protect civilians under imminent threat in South Sudan. A government tank fired on a Chinese armoured personnel carrier on Sunday, a witness in the UN base told The Associated Press. Two UN peacekeepers from China were killed at the base, according to Chinese state media. Video from Chinese state TV showed smoke rising after the attack and Chinese peacekeepers tending to their wounded. There were 67 injuries and eight deaths in the UN base on Sunday, according to an internal situation report circulated among humanitarian organisations and seen by the Associated Press. Tanker trucks have been unable to bring water to the tens of thousands of people in the base. A hospital operated by the International Medical Corps was hit by shelling but no one was injured and the patients had to be relocated, the organisation said. Since Friday, it has treated 139 wounded, the group added. AP Diplomatic issues are common between the UK and Spain over Gibraltar Spain's foreign ministry has called in the British ambassador to protest over what it described as "reckless behaviour" by a Gibraltar police boat patrol towards a Spanish police vessel. The ministry said it had lodged a serious complaint with Ambassador Simon Manley, saying Gibraltar police had approached a Spanish police vessel twice at great speed on Friday and only diverted to avoid a collision at the last moment. Ministers said the action, which occurred in waters between Gibraltar and Spain, had placed both crews at risk. Spain ceded Gibraltar's sovereignty to Britain in a 1713 treaty, but has persistently sought its return ever since. Regarding the fallout from the Iraq war on the arrival of the Chilcot Report, do those who clamour about the number of British servicemen who died recognise that more of them died in the Falklands war than in the Iraq war; that as many Iraqis died in the war with Iran into which Saddam led his own people than in the 2003 conflict; that Saddam was killing his own people in their hundreds of thousands, including with chemical weapons; that the violence in the Middle East, including Iraq, was set in motion by Osama bin Laden and al-Qaida by memory of the Muslim massacres in Bosnia and Kosovo? This region was going to be a quagmire because of many issues other than the Allied invasion of Iraq. Iraq, subsequently Syria, and perhaps other countries have used chemical weapons. Does the rest of the world just stand by and allow this to happen? And what about the similar war in Afghanistan, about which no one seems to complain? Also, Tony Blair, on his own, did not go to war with Iraq; it was led by the US and accompanied by 42 other countries. The clamour to castigate Tony Blair is nothing more than scapegoating by others who have other axes to grind. Do people in Northern Ireland forget that it was only by Tony Blair's tenacity that the peace process we now enjoy ever took place? Also: does anyone recall the state of the country, in particular our health service, when the old brigade of Conservatism fell to Labour in 1997? At that time Britain had become the bin of Europe and it was the unique leadership of his government that restored honour and statesmanlike behaviour to our lives in the UK, Europe and beyond. I am ashamed of the short memories and short-sighted rabble of loud voices with little to say other than sling mud at those who had to have the courage to act in the face of frightful circumstances. TMK Randalstown, Co Antrim All hail Queen Beyonce. She stormed Croke Park on Saturday night to show why shes Irreplaceable on the world music stage. The American superstar brought her Formation world tour to Dublin and wowed the 75,000 members of her Bey-hive during an energetic, two-hour long spectacle. Fans at the sell-out gig were promised a jaw-dropping performance and the former Destinys Child star did not disappoint. The Bootylicious star opened her concert at 8.45pm to the mainly-female audience with the title track of her tour, before moving swiftly on to a string of songs from her critically-acclaimed album Lemonade. The 34-year-old star was accompanied by a giant, revolving screen and an impressive array of fireworks and pyrotechnics. She strutted her stuff on a lengthy walkway deep into the cheering crowd, in a dazzling array of skimpy, Swarovski-covered ensembles customised for her tour by Welshman Julien McDonald. She told her Irish fans her Irish fans they were always the loudest crowd in the world. Ive had some of the best shows of my career in Dublin, Ireland. I want to thank you guys for your loyalty over the years its been 19 years, she said. She got the crowd on their feet with hits like Baby Boy and All Night as well as Diva, Drunk in Love and Partition. But she ditched the stage tricks for an a cappella version of Love on Top. Tribute was paid to the late star Prince with a moving rendition of The Beautiful Ones and Purple Rain before she moved on to one of her biggest hits Crazy In Love. For the final part of the show, she blasted out an emotional version of Blue, the song she wrote for her little girl with hubbie Jay Z as well as a hark back to her Destinys Child days with Survivor. The curtain came down with crowd-pleaser Halo, before she finished with Schoolin Life and one very satisfied crowd. Kashmiri protesters clash with Indian police in Srinagar following the killing of a top separatist fighter, July 10, 2016. A curfew remained in force in Indian-administered Kashmir on Monday as the death toll climbed to 25 from three days of violent clashes that followed the killing of a top separatist commander, police said. Two teenagers who were shot when security forces fired on stone-hurling crowds that were protesting the slaying of Hizbul Mujahideen (HM) leader Burhan Wani on Friday, died Monday of their injuries, officials said. The two were identified as Shahid Ahmad, 13, and Yasmeena Akther, 14. Except for an effort by a few dozen protesters to storm the Awantipur Air Force Station near Srinagar, Monday was relatively peaceful following weekend clashes in several districts in Jammu and Kashmir, according to officials. The Indian-claimed side of the disputed Himalayan region has been gripped by a separatist insurgency since the late 1980s. We are constantly reviewing the situation and I hope the curfew will be lifted in a few days, Syed Javid Mujtaba Gilani, Jammu and Kashmirs inspector general of police, told BenarNews. One policeman, identified as Arfroz Ahmad, had died and nearly 400 security personnel and at least 250 civilians had been injured during weekend clashes, he said. Ahmad drowned on Sunday after a mob allegedly pushed his vehicle into a river in Anantnag district, police said. Several police stations and police vehicles have been torched by unruly mobs, but we are showing maximum restraint to avoid civilian casualties and collateral damage, Gilani said, adding that mobile internet services remained suspended for the third straight day to prevent protesters from organizing rallies. Jammu and Kashmir residents blamed Indian security forces for using excessive force to thwart peaceful protests, but state Chief Minister Mehbooba Mufti on Sunday vowed to investigate those allegations while appealing for calm. They [the police] are using brute force to muzzle peaceful protests aimed at paying tribute to the slain separatist. They are fully responsible for the cold blooded killing of civilians, Tajamul Ahmad, 17, a Srinagar resident, told BenarNews. By doing this, Indian authorities are only further alienating the people of Kashmir. It is this excessive force that is forcing Kashmiri youths to pick up guns against Indian armed forces, he said. Pakistan decries Wanis death Wani, 23, who is believed to have joined HM, Kashmirs largest rebel faction, in 2010 after he and his brother allegedly were assaulted by Indian security personnel, was considered a poster boy of armed separatism in the region. A resident of south Kashmirs Tral town, Wani had a bounty of 1 million rupees ($14,894) on his head. Pakistan, which along with India lays claim to the entire Kashmir region, on Sunday termed Wanis death as an extra-judicial killing. The extra-judicial killing of Kashmiri leader Burhan Wani and scores of other innocent Kashmiris is deplorable and condemnable. Such acts are a violation of fundamental human rights of Kashmiris and cannot deter people of J&K from their demand for realization of the right to self-determination, Pakistans foreign office said in a statement. Reacting to the statement, Indian Minister of State for Home Affairs Kiren Rijiju urged Pakistan to refrain from interfering with Indias internal affairs. They [Pakistan] should worry [more] about human rights violations in Pakistan-occupied Kashmir than Jammu and Kashmir. Kashmir is an internal matter of India, Rijiju told reporters in New Delhi on Monday. Youthful discontent Meanwhile, political and security observers called on Indian authorities to practice restraint and try to address the issue of growing discontent among youths in Kashmir. The discontent among Kashmiri youths is deep-rooted. Indian authorities must attempt to understand where its coming from and address it using peaceful means rather than brutal force, Noor Mohammad Baba, a Srinagar-based analyst, told BenarNews. However, retired Maj. Gen. G.D. Bakshi, a Delhi-based security expert, hailed the police action to thwart violent protests, saying it was time that Indian authorities reined in the voices fanning the idea of separatism in Kashmir. There were orchestrated attempts by separatists and those who support separatism to exploit the situation after Burhan Wani was killed. Their only aim is to stoke separatism, Bakshi told BenarNews. Our security forces have done a commendable job by preventing the situation from escalating. How could the police just stand by while unruly mobs were attacking their posts and vehicles? I think, our armed forces have been able to effectively deal with the situation that appeared to be going out of hand, he said. Bangladeshs government has ordered schools and colleges nationwide to notify it about students absent for 10 consecutive days or more, after a group of young men who were missing for months carried out the nations deadliest terrorist attack. We understand that more students may be missing. Their involvement with terrorist plots cannot be ruled out. The educational institutes will identify the students who remain absent for 10 consecutive days without showing any cause, said a directive issued by the Ministry of Education on Sunday. The five men who carried out an overnight assault at a Dhaka cafe on July 1 that resulted in the deaths of 20 hostages and two senior police officers had left their families, and belonged mostly to the countrys privileged and well-educated class, according to officials. They said the five were among 15 young men who were missing for months, but authorities have not been able to find the 10 others who are feared to have been indoctrinated and recruited by extremists. The ministry issued its directive the same day that U.S. Assistant Secretary of State Nisha Desai Biswal arrived in Bangladesh for bilateral meetings on counter-terrorism and security her second such visit in a little more than two months. After meeting with Bangladeshs home minister on Monday, Biswal pledged her governments support for Bangladeshi efforts to combat radicalism and terrorism. Biswal also met with Prime Minister Sheikh Hasina. Violent extremism is a global threat. As we have seen too frequently, international terrorist groups can recruit and operate anywhere, Biswal, the undersecretary of state for Central and South Asian Affairs, said in a statement issued by the U.S. embassy in Dhaka. I am here to offer U.S. assistance and support for Bangladeshs own efforts against terrorism and extremism. This is an extension of our long and deep partnership based on shared values of democracy, tolerance, and inclusion, and the United States remains committed to this broad-based relationship with Bangladesh of which CT [counter terrorism] is but one part, she added. Threat is real and credible A U.S. citizen was among 17 foreign hostages who were hacked to death inside the Holey Artisan Bakery cafe in Dhakas diplomatic quarter. The other foreigners included nine Italians and seven Japanese. The Middle East-based extremist group Islamic State claimed responsibility for the attack, but Bangladeshi authorities say that banned militant group Jamaat-ul-Mujahideen (JMB) was behind the cafe attack as well as Thursdays terrorist attack on the countrys largest Eid prayer gathering, which killed two police officers and a woman in northwestern Bangladesh. On Monday, officials in northern Rangpur district said that eight suspected JMB members had been charged in the Oct. 3, 2015, killing of a Japanese farmer, Kunio Hoshi, 66, who lived in the area. Four of the suspects were in custody but the remaining four were on the run, police officials told BenarNews. We will examine our [security] needs and communicate with them [the U.S. government], Home Minister Asaduzzaman Khan Kamal told BenarNews after his meeting with Biswal. As Biswal was wrapping up her visit to Bangladesh, the State Department issued its second travel advisory for Bangladesh in less than a week. The U.S. government assesses that the terrorist threat is real and credible, said Mondays travel alert, which noted that the department had authorized family members of U.S. personnel working at the embassy to leave Bangladesh voluntarily. We hope to get these answers very soon Meanwhile, Bangladeshi authorities on Monday announced the blocking inside the country of cable television broadcasts of an Islamic program, Peace TV. The show features sermons by a radical preacher, Zakir Naik, that may have influenced at least two of the people who carried out the cafe attack, officials said. Two of the attackers were following the preacher online, local news reports quoted authorities as saying. The Information Ministry ordered that cable operators stop transmitting the Dubai-based program, which has also been banned in India. The information ministry has issued the directive, but we have yet to receive any instruction to stop online transmission of Peace TV. We will stop it as soon as we get the written permission from the [telecommunication] ministry, Shahjahan Mahmood, chairman of the Bangladesh Telecommunication Regulatory Commission (BTRC), the agency that regulates the internet in the country, told BenarNews. YouTube also has been ordered to take down online videos of radical preachers. Some of the parents of missing children have told media that they never monitored what their children were looking at online. We are looking whether they have been trained at home, or they were trained abroad. We dont know the answers, but we hope to get these answers very soon, Gowher Rizvi, an adviser to the prime minister told Voice of America, a sister entity of BenarNews. As you know it is complex, it is hydra-headed, it has too many tentacles. Wrapping them all together may take time. Unfortunately there was no systematic reporting of those who had left their homes or disappeared from their academic institutions. We hope to create a data base, we hope to see what connections these missing persons have, Rizvi added. Thai dissidents are escorted from Ban Pong Police Station to a court in Ratchaburi, Thailand, following their arrest, July 11, 2016. With less than a month left until Thailand holds a controversial vote on a new constitution, four activists and a journalist on Monday appeared in a provincial court to face charges of breaching the nations referendum law, their lawyers said. The five are the latest to be arrested in junta-governed Thailand over allegations of speaking out against a constitutional referendum set for Aug. 7. They were produced before a judge in Ratchaburi province and were released after posting bail which was set at 140,000 baht (U.S. $3,982), Anon Nampa, a lawyer with Thai Lawyers for Human Rights (TLHR), told BenarNews. Ratchaburi is about 130 km (80 miles) west of Bangkok. On Sunday, police in the Ratchaburi town of Ban Pong arrested Pakorn Areekul, Anucha Rungmorakot, Anan Lokete who identify themselves as members of the New Democracy Movement and Panuwat Songsawatchai, a student at Mae-Jo University in Chiang Mai, on suspicion of possessing documents deemed in violation of the referendum law. Police arrested them after stopping and searching the car they were traveling in. A man identified as Taweesak Kerdpoka, a reporter for the news website Prachatai who was also in the car, was charged with the four activists. The activists reportedly were carrying pamphlets and stickers urging voters to vote no on Aug. 7. The TLHR law team sought bail for them. Each was released on 140,000 baht bail, Anon said, adding that the bail money for the four was raised through public donations via a TLHR-run foundation, and that Prachatai bailed out its reporter. What will come next? The news of the arrests of the activists and reporter angered NGOs that champion free speech. Paris-based Reporters Without Borders (RSF) called on Thai authorities to drop charges against Taweesak, the Prachatai reporter. It is unacceptable that local officials, acting under the juntas authority, fail to distinguish between political activists and a journalist who is covering their activities, Benjamin Ismail, the head of RSFs Asia-Pacific desk, said in a statement. As if violating the fundamental freedoms of assembly and opinion were not enough, the authorities are compounding their crimes by also violating freedom of information and media freedom. What will come next? Arresting the journalists who cover these arbitrary arrests? The government must tolerate the media reporting of the critical views of opposition, he added. In a joint statement, two Thai media association made a similar plea, calling for the charges to be dropped against Taweesak. To reason that traveling in the same car with news sources is illegal is unfounded. Because in certain scenarios, reporters may need to board the same car, which is normal, said the statement from the Thai Journalists Association and Thai Broadcast Journalists Association. No vote not illegal: activist The upcoming vote will mark the first time that Thai will be going to the polls since the military seized power in a coup in May 2014. But the atmosphere in the build-up to the referendum has been the opposite of conducive to free speech, with authorities threatening to arrest anyone who campaigns against the proposed constitution or who criticizes it. The draft charter has drawn widespread criticism because a yes vote would clear the way for a new constitution that would allow the junta to hand-pick all 250 members of the Thai Senate, including six officers from the militarys top brass. In the run-up to the referendum, the junta has banned criticism of the proposed charter through a new law that prohibits misleading or rude speech related to the issue. In particular, Clause 2 in Section 61 of the referendum laws prohibits dissemination of messages deemed to be fact-distorting, violent, aggressive, rude, seductive or that could intimidate voters. Anyone convicted of violation this clause faces up to 10 years in prison. The activist Pakorn Areekul told supporters and reporters that to vote no is not illegal as he was escorted from the Ban Pong police station, according to news reports. In late June, 13 members of the New Democracy Movement and of a Thai labor organization were rounded up in Bangplee market in Samut Prakarn, south of Bangkok, and charged with defying the juntas order prohibiting political gathering of more than five people, and distributing leaflets in violation of the referendum law. Last week, the Bangkok Special Prison released seven of them all students associated with New Democracy Movement. Myanmar nationals and migrant workers work on a fishing boat in waters off Ban Nam Khaem village in southern Thailand's Phang-nga province, Dec. 3, 2014. Thai police and a Myanmar nongovernmental organization that helps migrant workers have rescued 19 trafficked Myanmar fishermen forced to work aboard a fishing boat in slave-like conditions, the director of the NGO said. The Myanmar Association in Thailand (MAT) and the Anti-Human Trafficking Division (AHTD) of the Royal Thai Police found the men on Sunday in a boat moored off the southern Thai city of Pattani, said MAT director Kyaw Thaung. They range in age from 13 to 34 and are from southern Myanmars Mon and Tanintharyi region and from western Rakhine state, he told Radio Free Asia, a sister entity of BenarNews. We found and saved the 19 Myanmar fishermen in the Pattani region near the Thailand-Malaysia border, he said. They were locked inside an Indonesian fishing boat and couldnt go anywhere, Kyaw Thaung said. A police officer from Dawei [in southern Myanmars Tanintharyi region] called me and gave me this information, he said. We asked the AHTD for help, and together we found the victims. The men will be sent to immigration camps in Thailand and return home after six months or a year, he said. MAT has collaborated with the AHTD for several years to crack down on human trafficking. Officials make arrests Thai police have now arrested a Thai businessman and a Myanmar woman from Mon State who were accused of being directly involved in the trafficking, the online journal The Irrawaddy reported. The woman had promised six of the men jobs that paid about U.S. $260 a month at a factory in Pattaya, a resort city on the Gulf of Thailand, but instead transported them to Pattani, the report said, citing MAT communications officer Sai Aye as the source. The rescued fishermen testified at the nearby police station that about 80 other trafficked fishermen from Myanmar were also being held against their will at an unknown location in southern Thailand, the report said. Thailands fishing industry relies heavily on trafficked and forced labor, especially from Myanmar, Cambodia, and Laos, according to a 2014 report issued by the Environmental Justice Foundation (EJF), a U.K.-based nonprofit organization that focuses on protecting the environment and defending human rights. Many of the estimated 200,000 migrants from Thailands neighboring countries have been trafficked and forced to work in appalling conditions with no pay and subjected to brutal subjugation, the report said. The EJF called on the Thai government to identify and prosecute criminals, corrupt officials and unscrupulous businesspeople and enforce measures to regulate the countrys fishing industry and recruitment practices. ein Google-Unternehmen Google-Dienste anzubieten und zu betreiben Ausfalle zu prufen und Manahmen gegen Spam, Betrug und Missbrauch zu ergreifen Daten zu Zielgruppeninteraktionen und Websitestatistiken zu erheben. Mit den gewonnenen Informationen mochten wir verstehen, wie unsere Dienste verwendet werden, und die Qualitat dieser Dienste verbessern. neue Dienste zu entwickeln und zu verbessern Werbung auszuliefern und ihre Wirkung zu messen personalisierte Inhalte anzuzeigen, abhangig von Ihren Einstellungen personalisierte Werbung anzuzeigen, abhangig von Ihren Einstellungen Wenn Sie Alle ablehnen auswahlen, verwenden wir Cookies nicht fur diese zusatzlichen Zwecke. Nicht personalisierte Inhalte und Werbung werden u. a. von Inhalten, die Sie sich gerade ansehen, und Ihrem Standort beeinflusst (welche Werbung Sie sehen, basiert auf Ihrem ungefahren Standort). Personalisierte Inhalte und Werbung konnen auch Videoempfehlungen, eine individuelle YouTube-Startseite und individuelle Werbung enthalten, die auf fruheren Aktivitaten wie auf YouTube angesehenen Videos und Suchanfragen auf YouTube beruhen. Sofern relevant, verwenden wir Cookies und Daten auerdem, um Inhalte und Werbung altersgerecht zu gestalten. Wir verwenden Cookies und Daten, umWenn Sie Alle akzeptieren auswahlen, verwenden wir Cookies und Daten auch, umWahlen Sie Weitere Optionen aus, um sich zusatzliche Informationen anzusehen, einschlielich Details zum Verwalten Ihrer Datenschutzeinstellungen. Sie konnen auch jederzeit g.co/privacytools besuchen. As I said in a previous post, Im working my way through a series on the role of discernment when the church gathers together. (See the Introduction post here.) Ive also stated already that I believe that discernment is the work of those who are gifted at distinguishing between spirits, but it is also the work of those who are not gifted in that way. Similarly, I pointed out that discernment is part of the edifying process that occurs while the church gathers together. I pointed out a couple of examples in which people used Scripture to help them weigh what was being said. While its popular to state that Scripture answers all questions, Scripture itself never makes that claim. In fact, there are a few examples of people discerning what God wants them to do when Scripture does not answer the question. Ill cover two of those examples in this post. The first example is found in Acts 16 during Pauls travels with his team on what is typically called his second missionary journey: And they went through the region of Phrygia and Galatia, having been forbidden by the Holy Spirit to speak the word in Asia. And when they had come up to Mysia, they attempted to go into Bithynia, but the Spirit of Jesus did not allow them. So, passing by Mysia, they went down to Troas. And a vision appeared to Paul in the night: a man of Macedonia was standing there, urging him and saying, Come over to Macedonia and help us. And when Paul had seen the vision, immediately we sought to go on into Macedonia, concluding that God had called us to preach the gospel to them. (Acts 16:6-10 ESV) Previously, Paul had decided to visit the believers in the cities where he and Barnabas had traveled. Once he got to Antioch of Pisidia, he decided to continue. He first tried to go into the region of Asia, then they attempted to go to Bithynia. Finally, they went Troas. There, they not only met Luke (apparently), but Paul had a dream of someone from Macedonia asking for help. Luke tells us that the group concluded that God wanted them to go to Macedonia to proclaim the gospel. So, when Paul and his team was trying to decide where to go next, they could not turn to Scripture. There was no passage in the Old Testament that would tell them what city or region to travel to. Instead, they apparently used their own reason or desires until God stopped their progress or directly communicated with them. Even when he directly communicated with Paul through a dream, it appears that Paul shared the dream with the group so that they could all weigh their response. (Note: The participle concluding does not necessarily point to a long, drawn out debate, but it does point to the potential for deciding one way or the other.) The next example is even more intriguing (at least to me). Later in Pauls journeys, he decides that God wants him to go to Jerusalem. In fact, he feels that the Holy Spirit has him in chains and is pulling him to Jerusalem. (See Acts 20:22.) When his team sails across the Mediterranean and reaches Caesarea, the are approached by a prophet: While we were staying for many days, a prophet named Agabus came down from Judea. And coming to us, he took Pauls belt and bound his own feet and hands and said, Thus says the Holy Spirit, This is how the Jews at Jerusalem will bind the man who owns this belt and deliver him into the hands of the Gentiles. When we heard this, we and the people there urged him not to go up to Jerusalem. Then Paul answered, What are you doing, weeping and breaking my heart? For I am ready not only to be imprisoned but even to die in Jerusalem for the name of the Lord Jesus. And since he would not be persuaded, we ceased and said, Let the will of the Lord be done. After these days we got ready and went up to Jerusalem. (Acts 21:10-15 ESV) This passage is intriguing to me because the prophet Agabus accurately communicated what eventually happens to Paul. (So, this was not only an instance of prophecy, but also of foretelling the future.) However, there was disagreement concerning what they should do with this information. Paul knew that God wanted him to go to Jerusalem. The people with him thought the prophecy meant that Paul should not go to Jerusalem. Again, this is not a question that is answered in Scripture. In these cases we get a better view of what is included in discernment. Discernment not only refers to determining what something means, but it also refers to determining how it may or may not apply. Something could apply to no one, to an individual, to a sub-group, or to the entire group. This is all part of discernment. Does it concern you that we must often discern (weigh) questions that are not answered by Scripture? What other means do we have to discern different types of questions or speech or activities? For Immediate Release, July 11, 2016 Contact: Leigh Moyer, (520) 623-5252 x 313, lmoyer@biologicaldiversity.org 10,000 Endangered Species Condoms to Be Given Away for World Population Day Condoms Highlight Connection Between Wildlife Extinction Crisis, Human Population Growth TUCSON, Ariz. The Center for Biological Diversity will today distribute 10,000 free Endangered Species Condoms nationwide as a part of World Population Day. The distribution seeks to make the connection between unsustainable human population growth and the wildlife extinction crisis by highlighting the need for universal access to reproductive healthcare and education. Art by Shawn DiCriscio. Package design by Lori Lieber. Condom package photos are available for media use. Human population continues to grow at a rate of about 227,000 people per day, driving habitat loss and forcing competition for natural resources. Wild plants and animals are going extinct at rates 1,000 to 10,000 times the natural background rate due to habitat loss, pollution, climate change and other human-caused problems. Human population growth is rarely addressed by environmental groups, but we cant truly solve the pressing environmental issues of our time without coming up with real solutions for our increasingly crowded planet, said Leigh Moyer, the Centers population organizer. Ensuring that people can choose if, when and how many children to have is a critical part of protecting wildlife and the environment. Thats why we created our Endangered Species Condoms and why were working hard to draw attention to World Population Day. The Centers Endangered Species Condoms were created to raise awareness about the effect of rampant human population growth on wildlife species and are wrapped in colorful packages featuring six different endangered species and information about the impact of runaway human population growth on polar bears, monarch butterflies and other imperiled wildlife. The Center has given away 660,000 of the free condoms since 2009. The condoms will be handed out by more than 100 volunteers at farmers markets, summer concerts and on college campuses across the country. I am so excited about having the opportunity to distribute these condoms as a real way to reach out to people and help them understand their own responsibilities as stewards of this planet, said James Bland, a volunteer condom distributor in Memphis, Tenn. There are so many people young and old who can be inspired to real action if someone just takes the time to get them better educated. Truly there are so many times and places where I can be an advocate for planet Earth. World Population Day, July 11, was designated by the United Nations in 1989 to raise awareness about global population issues. There are more than 7.4 billion people on the planet, with the United States ranked as the third-most populous country. The Centers population and sustainability program uses creative media to promote a range of common-sense solutions like access to family planning and reproductive health services, as well as education, opportunity and equal rights for women and girls. The Center for Biological Diversity is a national, nonprofit conservation organization with more than 1 million members and online activists dedicated to the protection of endangered species and wild places. Rwanda is hosting the 27th Assembly of Heads of State and Government of the African Union (AU) under the theme: '2016: Year of Human Rights with a particular focus on the rights of Women'. The AU passport is also expected to be launched this week at the summit. The newly constructed Convention Centre (KCC) has given a facelift to the capital city, Kigali. All the major streets are carrying colourful visual branding of the summit artworks, with messages to popularise the vision of the African Union and its development Agenda 2063. The Summit officially kicked off on 10 July 2016 with the Thirty-second (32nd) Ordinary Session of the Permanent Representatives Committee (PRC) at the Kigali Convention Center (KCC). The Ambassadors of AU Member States who constitute the PRC, are discussing items on the agenda in preparation for the 27th AU Summit, to be submitted to the Executive Council for adoption. Meanwhile, the Twenty-ninth (29th) Ordinary Session of the Executive Council will start on 13 July 2016. The Ministers of External Affairs and other ministers or authorities designated by the governments of AU Member States will deliberate on the different reports of the Specialized Technical Committee (STCs) ministerial meetings organised by the AU Commission during the last six months. The Twenty Seventh (27th) Ordinary Session of the Assembly of the Heads of State and Government of the African Union is scheduled to take place on 17 and 18 July 2016 in the presence of Dr Nkosazana Dlamini-Zuma, chairperson of the Commission of the African Union; Erastus Mwencha, deputy chairperson of the AU Commissioner and the eight AU Commissioners. Meanwhile, during the Summit, the African Union (AU) is expected to launch the AU Passport, a flagship project of Africas Agenda 2063 with the view to facilitate free movement of persons, goods and services around the continent - in order to foster intra-Africa trade, integration and socio-economic development. Dlamini-Zuma has described this initiative as both symbolic and significant, calling it a steady step toward the objective of creating a strong, prosperous and integrated Africa, driven by its own citizens and capable of taking its rightful place on the world stage. Aspirations 2 and 7 of Agenda 2063, respectively, envision an Africa that is integrated and united, and the introduction of the African Union (AU) Passport as an effort towards realising integration and unity on the continent. The first group of beneficiaries will include: AU Heads of State and Government; Ministers of Foreign Affairs; and the Permanent Representatives of AU Member States based at the AU Headquarters in Addis Ababa, Ethiopia. Embattled national broadcaster SABC has refused to comment on the highly publicised suspension of three of its journalists. The move comes after it indefinitely halted disciplinary hearings against several staff members suspended last month. SABC spokesman Kaizer Kganyago said yesterday he did not understand why the broadcaster was expected to operate differently when it came to its disciplinary processes. "The SABC is an employer just like any other organisation. We do not discuss employer-employee matters in the public space or to third parties," he said. Kganyago was speaking after trade union Solidarity said yesterday that disciplinary proceedings against Thandeka Gqubule, Foeta Krige and Suna Venter had been "postponed indefinitely". The three were suspended after they questioned a decision by the SABC not to show footage of violent protests. Another charge was for questioning why staff were forbidden from reporting on a protest outside the SABC's Auckland Park offices over a directive by its chief operating officer, Hlaudi Motsoeneng, not to show violent protests. On the decision to suspend disciplinary proceedings, Solidarity's deputy CEO, Johan Kruger, said it was unclear why proceedings had been postponed. Kruger said the union still planned to put pressure on the broadcaster. "We will, today, serve labour court papers on the SABC to have the suspension of the journalists withdrawn. "This week, we will file an application for direct access to the Constitutional Court to adjudicate on the lawfulness of censorship of this kind at the broadcaster." Source: The Times Late last week in London, the D&AD New Blood revealed the winners of the 2016 New Blood Awards. With entrants from 58 different countries, this year's awards once again reflect the best in young global creative talent. Emotive causes, higher purpose and Snapchat featured highly in projects this year. Whilst the latter may come as no surprise, the former proves that the wider industry trend towards connecting brands with consumers on an emotional level has struck a chord with graduates. In addition, the power of creativity for good continues to be a strong motivator with many campaigns focusing on world-changing creative ideas, resulting in four White Pencil wins across four different briefs. Andy Sandoz, D&AD president and creative partner Havas Worldwide commented, Our two Black Pencil winners are incredibly emotive pieces of narrative. Bottles of Squash shows us a raw and honest time of life where the simplicity of execution belies the depth of craft that is going on. Retro Serif wins its Pencil for the story of the censorship of language. Creating a typeface that makes a positive impact on the world is an incredibly difficult brief and they delivered a beautiful piece of work. Naresh Ramchandani, partner, Pentagram Design added, The New Blood Awards represent hope and idealism, showing older creatives how new creatives can do it better and showing us that theres a new generation coming up who are more able to change the world. An impressive 24 young creatives were the recipients of a Yellow Pencil this year, with two receiving the ultimate accolade, a Black Pencil. The full Pencil statistics are: Two Black Four White 24 Yellow 58 Graphite 111 Wood The New Blood Awards are a platform for students and other new creatives to test their limits on real briefs, set by real clients. No other programme offers such a comprehensive test, or a more accurate representation of the challenges that await on the other side. Brand representatives and industry experts decide what work wins a coveted New Blood Pencil and the bar every year is extremely high. Paul Drake, D&AD foundation director commented, D&AD New blood is all about inspiring the next generation, which is where our Win One, Teach One mantra really comes to life. Winning a New Blood pencil is a huge turning point in a young creative's career, not only are they recognised for being the best at what they do, but they get access to a wealth of contacts and advice from professional award Pencil winners and industry experts alike. This years brands and briefs Adobe: Remix the Adobe Creative Cloud Identity for future creators Amnesty/WPP: Break barriers between young adults and Amnesty International Crimewatch/BBC: Design a digital solution to enhance Crimewatch beyond broadcast D&AD: Fortune favours the brave Dazed: Declare independence in 15 seconds Design Bridge: Break new ground in beauty branding Desperados: Unleash urbanites party spirit with an unforgettable experience Dr. Martens: Celebrate Dr. Martens unique brand using radios unique platform Ford: Team up with Ford to mobilise city-wide change John Lewis: Create a window into the brand Monotype: Use the power of typography to activate your cause Nationwide: Focus nationwides service for the next generation Newsworks: Champion the written word in print and on screen Shutterstock: Capture dramatic narrative in everyday reality The Telegraph : Inspire a digital audience to think again about The Telegraph : Inspire a digital audience to think again about TOMS: Engage a new audience with the Purchase With Purpose movement WWF: Activate a global conservation community Black Pencil Winners Dazed - Bottles of Squash - winner: James Wuds Truly excellent stand out piece of work. Funny, poignant, cool and accomplished, proud to have on Dazed. - Jonny Kanagasooriam, creative strategy director, Dazed Media Monotype - Retro Serif - winner: Polina Hohonova, college: Chelsea College of Arts Powerful. Political. Poignant. Rarely does a piece of work have the potential to inspire change and have such a profound impact on culture and society. - Craig Oldham, creative director and founder, Office of Craig Oldham White Pencil Winners Amnesty International/WPP - Every Minute Matters - winners: Laurens Grainger, Matt Kennedy, college: School of Communication Arts 2.0 Ford - Ford Fu - winners: Chloe Lam, Ryan Ho, college: Falmouth University Monotype - Better Together - winner: Kegan Greenfield, college: Chelsea College of Arts WWF - Human Filter - winners: Elisa Beretta, Rosita Rotondo, Alessandro Prestia, Massimo Mazzucca, Giulia D'agosta, college: Fondazione Accademia di Comunicazione Selection of Yellow Pencil Winners Adobe - Turning Brand Identity Into User Experience - winner: Julia Baulin, college: Westerdals Oslo School of Arts Communication and Technology Amnesty International/WPP Profuckation - winners: Batara Bayu Soedarwanto, Kevin Wijaya, colleges: Nanyang Academy of Fine Arts (NAFA), Loughborough University, Miami Ad School San Francisco Monotype - Protecting Pubs Campaign - winner: Neil Bennison, college: University of Central Lancashire Nationwide - Nationwide's YouTube Stock Exchange - winners: Ryan Leckie, Hana Ovcina, Aditya Sunilkumar, Lukas Bruhn, college: University of South Wales WWF - Game On - winner: Simon Eden, college: Portsmouth University To see all the winners please click here. Rob Newlan, London-based head of Facebook Creative Shop in the EMEA region, returns from Cannes and his most recent trip to South Africa last week overflowing with creative news. We had a brief chat with him to keep up with his latest insights. Highlights from Cannes It was amazing seeing the talent and purpose-driven work at Cannes. However, theres a challenge growing around the world to make sure that digital categories in award shows move from novelty to celebrating true, high quality craft. Rob Newlan Newlan spoke about his top three most memorable campaigns, two of which formed part of the Mobile category, at Cannes: The Spanish Christmas Lottery Campaign featuring Justino, a thoughtful janitor of a mannequin factory, which won a Cyber Grand Prix at Cannes This campaign showed the quality of mobile creativity. It was well-written and showed humanity. It also showed how people use various platforms. Straight Outta Somewhere Campaign created to promote the film, Straight Outta Compton People have often spoken about user-generated content and this campaign achieved that so well. It had simple, great utility as well as lightweight and strong mobile executions. People have often spoken about user-generated content and this campaign achieved that so well. It had simple, great utility as well as lightweight and strong mobile executions. The SAB Spike Detector was a great mix of brand, utility and purpose. SAs creativity reviewed Newlan was impressed with the South African creative industrys potential and spoke highly of Ogilvy & Mathers work, especially with regard to their multiple wins at Cannes this year. I love coming to South Africa. On my most recent trip, I was excited to see the marriage between traditional creative departments and digital teams. Theres huge talent in South Africa and the SA industry is in a strong place. Newlan explained the mobile context in South Africa, saying there are 14 million monthly active users on Facebook in SA, of which 90% use mobile and commented on how this has encouraged an evolution to mobile creativity. I noticed theres a general appreciation of building work on mobile platforms in the way that people are using the whole frame of the phone, as well as focusing on video and film for mobile. As for the annual Loeries Awards, I know well be seeing high quality, driven craft and well be investing hugely in the awards. Creativity propels technology We [at Facebook Creative Shop] believe creativity unlocks technology. There are multiple opportunities for us and were looking at how we can work with the community and produce solutions for everybody. While Newlan advocates for all the recent Facebook solutions announced at Cannes, he showed particular enthusiasm about the following three: API (audience) insights which base all our work in strong, powerful insights. These provide us with great, human-rich understanding. Live is an executional app that is phenomenally exciting. It allows for connective work and maintains brands authenticity. The Slideshow unit, which is 15 times as light in video delivery, offers a film-like experience in low bandwidth areas. This allows us to produce service for everybody. Technology changes education as we know it In the spirit of #MandelaMonth, we enquired as to what solutions Newlan believed technology could provide for greater global good. Newlan expressed excitement towards the benefits of "technology and all kinds of metrics in the world" that will transform education in our lifetime. The potential for greater connection opens up bigger solutions for the world. It also opens up more diverse and divergent thinking. In terms of education, it creates opportunities for people to have greater access to different paths of education, which they are able to choose for themselves. It enables them to learn from the best and brightest minds in the world regardless of geography or location. Read more of Rob Newlan's insights, here. In June, international food commodity prices shot up 4.2 percent, the steepest monthly increase in the past four years. The recently released FAO Food Price Index averaged at 163.4 points in June and is now one percent below the level reached a year earlier. Affecting all commodity categories except vegetable oils, the June rise was the fifth consecutive monthly increase. stevepb via pixabay The price movement reflects FAO's updating of its cereal supply and demand forecasts for the 2016/17 marketing season. FAO's Food Price Index is a trade-weighted index tracking international market prices for key traded food groups. The FAO Sugar Price Index rose 14.8 percent from May, as Brazil, the world's largest sugar producer and exporter, endured heavy rains that hindered harvesting and dented yields. The FAO Cereal Price Index rose 2.9 percent in the month and is now 3.9 percent below its level of June 2015. Maize prices drove that increase, primarily due to tightening export supplies from Brazil. Ample wheat supplies and reports of record yields in the United States held down wheat prices. The FAO Dairy Price Index rose 7.8 percent from May, spurred by an uncertain outlook in Oceania and slower production growth in the European Union. Nonetheless, the index remained 14 percent below its level of a year ago. The FAO Meat Price Index rose 2.4 percent from its revised May value, as average quotations for pork, beef and poultry all rose for the third consecutive month. The FAO Vegetable Oil Price Index defied the trend, declining 0.8 percent from its May level. Higher forecasts for wheat output and cereal consumption in 2016/17 FAO's Cereal Supply and Demand Brief pointed to improved production prospects primarily for wheat. Global wheat production is now pegged at 732 million tonnes, more than one percent higher than anticipated in June, mainly due to improved prospects in the EU, the Russian Federation and the US, as a result of better weather conditions. The forecast for world maize production in 2016 was, however, cut down as prospects for the second crop in Brazil have dimmed and as reduced government support in China led to lower planting. Overall coarse grain production for this year is now expected to be 1,316.4 million tonnes, some 0.6 percent lower than last month's forecast. World total cereal utilisation in the 2016/17 marketing year, meanwhile, is now projected at 2,555.6 million tonnes, 1.3 percent higher than the estimate for 2015/16. As a result, global cereal stocks, by the end of farming season in 2017, are expected to stand at 635 million tonnes, 1.5 percent below their opening level. The resulting world stocks-to-use ratio for cereals would stand at 24.2 percent in 2016/17, compared to the 2007/08 historical low of 20.5 percent. Edcon CEO Bernie Brookes says he is confident about the group's future after the retailer secured support from its bondholders and bank lenders to obtain R1.5bn in bridge financing. As part of efforts to improve its financial performance and maximise its liquidity position, Edcon is undertaking a comprehensive reform of its entire capital structure. The apparel group expects the streamlining of its business to culminate in a significant reduction of its debt. Boston-based Bain Capital bought Edcon for R25bn in a leveraged buyout in 2007. The global economic crisis, which began soon after the deal was finalised, hit the group hard. Since then the group has grappled with increasing debt. Brookes said the bridge financing had been devised with the support of Bain as well as key lenders. "The consent provided by our lenders not only assures the groups liquidity, but also facilitates the next step of debt restructuring. Along with a comprehensive set of operating initiatives and renewed focus on delivering value to our customers, reducing our debt obligations will allow us to reclaim our former leading position in the market." In November 2015, Edcon reached agreement with its bank lenders to extend the due dates on loans worth about R7.9bn. The group also secured commitments for a new R1.85bn facility. The transactions amounted to a R4.5bn debt reduction. In April, it received consent to defer interest payments of about R1.6bn on bonds maturing in 2018. Edcons bridge finance has been approved by the Reserve Bank and is denominated in dollars and euros. It will be made available in two tranches of up to R750m each. Brookes said the restructuring of Edcons capital framework was expected to be finalised in the near to medium term, during which the new financing would be used to ensure the retailers liquidity needs were met fully. While Edcon would normally issue its annual results during June, the company said this years audited results would be released following finalisation of its debt restructuring. Analysts have said options for Edcon, SAs largest nonfood retailer include selling some of its noncore assets or relisting on the JSE. The group trades under three divisions: Edgars, which serves middle-and upper-income markets; Jet and Jet Mart, which serve middle-to lower-income markets; and the speciality division, made up of brands such as Red Square, Boardmans and Legit. It trades in SA, Botswana, Mozambique, Namibia, Swaziland, Lesotho, Zambia, Ghana and Zimbabwe. Ratings agency Standard & Poors said in a report released in February that Edcons capital structure was "unsustainable in the long term" due to high debt and interest expenses, the refinancing risk on debt maturities concentrated in December 2017 and March 2018, and the unhedged exposure to foreign currency risk-of-debt. According to Bloomberg, SAs biggest banks including Standard Bank, Barclays Africa and a unit of FirstRand, have loans and investments at risk through exposure to Edcon. As Country Bird scooped up another 3.5m Sovereign Food shares on Friday, details began to emerge of plans for a huge payout to the top six Sovereign executives in the event of a hostile takeover. Country Bird CEO Kevin James.Picture: Tyrone Arthur The proposed payout would amount to about R40m, equivalent to almost 50% of the attributable profit reported by Sovereign in financial 2016. Vunani Securities small to mid-cap analyst Anthony Clark described the planned payout as another example of the patronage that has destroyed the support of smaller shareholders. "Over the past two years, this management team has failed to reach its own targets and yet it believes it deserves a huge payout if a hostile takeover is successful," said Clark. By late Friday, the chances of a hostile takeover had increased significantly. Kevin James, CEO of Country Bird, said that after buying shares and talking to some of the institutional shareholders, the company was close to securing the 25% level needed to block a proposed Sovereign scheme that would introduce a black economic empowerment shareholder and give the management team joint control. As things stand, Tuesday is the last day to trade in order to be able to vote at the Sovereign scheme meeting scheduled for 25 July. James wants this plan delayed by a month. He said that if the scheme went through it would kill the Country Bird offer, which is pitched at 900c a share. "There would be an extra 20m Sovereign shares in circulation; we couldnt afford that," he said. Albie Cilliers, a long-term Sovereign shareholder, was an enthusiastic supporter of management until he came across what he regarded as excessive and unjustified remuneration in financial 2015. Cilliers had expected earnings of about 150c. The company reported 102c instead. Bonuses and incentives increased by about R30m that year, sucking up 40% of the increase in profits. The payment to management knocked 39c a share off Sovereigns earnings. At one of the many shareholder meetings held in the past 10 months, Cilliers trawled through documents held at the companys office in Uitenhage. When he came across the arrangement, put in place in 2013, to pay out three years pay plus bonuses to management, he realised it was time to get out of the company. Cilliers hopes that Country Bird will increase its offer nearer to Sovereigns R10 a share net asset value. But James believes 900c is generous and should be compared with the 850c the empowerment scheme was priced at or with the recent independently assessed fair value of 742c-798c a share. The large institutional shareholders, which have consistently backed management throughout the past 10 difficult months, say it is too early to comment on Country Birds offer. Lynn Bolin, head of communications and media at Prudential Investment Managers, which holds 22% of Sovereign, said Prudential was carefully analysing the offer and was unable to comment. At Old Mutual Investment Group, Jenna Wilson said the OM Equities team was considering the merits of the offer and could not comment at this stage. Global spending growth of 5% per annum on capital projects & infrastructure (CP&I) is projected within four years, doubling the low rates of growth of just 2% expected this year. By 2020, annual global infrastructure spending is forecast to reach $5.3tn, up from an estimated $4.3tn in 2015 (in constant 2014 exchange rates), according to a new analysis released by PwC, underlining the long-term strength of the sector. The analysis by Oxford Economics for PwCs capital projects and infrastructure team examines projected spending across seven regions and six key infrastructure sectors. Given the recent volatility in the market, the report also examines two scenarios against the baseline projection - a high growth recovery and a hard landing in the Chinese economy (given China is the worlds largest CP&I market). Slow but sustained recovery On the baseline outlook, using current economic projections for economic growth, while current spending is showing signs of CP&I growth, it will remain low - around 2% - for the coming year. It will make a slow but sustained recovery to 2020, when spending will be at 5% or $5.3tn per annum. The largest percentage increases in global CP&I investment between now and 2020 will be in social infrastructure (for example schools and hospitals) and in manufacturing related infrastructure. Recent slowdowns are a result of the decline in oil and commodity prices, availability of public and private finance, a slowdown in Chinas growth rate and currency volatility which have all weighed heavily on the sector. Slow growth was felt hardest in the utilities sector, buffeted by a combination of subsidy cuts in Europe for renewable energy projects; sluggish global economic and trade growth, which reduces demand for electricity; and diminished private sector thirst for capital projects in the face of a negative commodities price environment. The UK's recent decision to exit the European Union came after the research for this report was finalised. It is too early to comment on the specific UK and global impact of Brexit in 2020, however, in the short term the additional uncertainty and volatility is likely to directly impact the UK capital projects and infrastructure market and indirectly impact the global CP&I market, although the latter is unlikely to be severe. Long-term demand for infrastructure remains strong Richard Abadie, PwC Capital Projects and Infrastructure team, comments: Even in these volatile times, there are still opportunities. But sponsors and investors are being very selective on which projects to proceed with, for example ensuring mining and oil and gas projects are profitable at todays depressed commodity prices. Companies will want to remain invested because the long-term demand for infrastructure remains strong particularly given its fundamental link to economic growth prospects. The research underlines the contagion effect of oil and commodity prices, and Chinas economic conditions on the sectors prospects as a whole. The extraction sector is in for a difficult time under the upside or downside forecasts. Even in the global upturn story line, the slower rate of increase in oil prices holds back infrastructure investment. Scenario 1: China hard landing Outlook: In the China hard landing scenario, spending does not actually fall between 2015 and 2020 but rather it is lower in 2020 versus the baseline level. Sector impacts: Compared to the baseline, industry infrastructure spending would drop about 4% with extraction taking the worst hit because weakness in Chinese infrastructure and manufacturing development would significantly slash demand for oil, gas, steel and other minerals. Transport and utilities account for about half of infrastructure spending in Asia Pacific, and these sectors would also fare poorly if conditions in China worsen. Regional impacts: Over 60% of the decline in infrastructure spending would occur in Asia Pacific. Latin America, the Middle East and countries like Russia, which depend on oil production and other extraction industries to propel their infrastructure-related exports and public or private development projects would also be affected. Western Europe would be least harmed since commodities trades are a relatively small part of the regions global economic activities. Dr Andrew Shaw, Transport & Logistics leader for PwC South Africa, says: Any slowdown in China is likely to have a ripple effect on SSA economies that rely on Chinese demand for their exports to stimulate their markets. Economic activity in sub-Saharan Africa (SSA) has weakened substantially. Overall, growth for the region fell to 3.5% in 2015, the lowest level in 15 years and is set to decelerate further to 3% this year well below the 5-7%range experienced over the past decade, according to the IMFs Regional Economic Outlook: Sub-Saharan Africa, 2016 survey. This is largely due to the marked decline in commodity prices, which has put substantial strain on many of the largest SSA economies. In addition, many oil exporters continue to face difficult economic conditions, particularly in West Africa, and several southern and eastern African countries, including Ethiopia, Malawi and Zimbabwe are suffering from a severe drought. Despite, the markedly weaker picture, the IMF predicts that medium-term growth prospects for the region remain favourable. Scenario 2: Upside scenario Outlook: In this analysis, global infrastructure investment between 2015 and 2020 would hit $28.8tn, about $1.7tn more than the outcome of a Chinese hard landing and a full $600bn more than the baseline. Regional impacts: Western Europe and Asia Pacific would gain the most, with over $350bn increased spending in Asia Pacific alone, due to enhanced demands for the regions exports from Western economies and greater capital influx as the appetite for investing in emerging markets grows. Commodity-dependent regions, particularly the Middle East, would benefit the least. Sector impacts: Increased spending by both the private and public sectors would engineer broad-based improvements in CP&I expenditures. Utilities and transport would lead the way, reflecting greater industrial activity and renewed interest in building highways, airports and bridges. Although the smallest overall spend on infrastructure, sub-Saharan Africa is the fastest growing regional infrastructure market, with a projected average increase in transport spending of over 11% per year from 2015 to 2025. Most of this growth is expected in roads and ports. Roads will likely remain the biggest area of investment, especially for growth such as in Africa. This is partly due to the rise in prosperity and, hence, car ownership and also the large volumes of freight now moving on Africas roads. SSAs infrastructure market is dominated by two major regional economies South Africa and Nigeria. These two economies account for over two thirds of infrastructure investment. Growth in these two economies has slowed markedly. The IMF (2016) measures real GDP growth in South Africa to have dropped from 3% per annum in 2010 to 0.6% in 2016, and in Nigeria dropping from 10% per annum in 2010 to 2.3% in 2016. Per capita GDP growth in both countries is now negative. Growth in Kenya was also seen at 6% in 2016 from the 6.8% predicted last December. Backlog in infrastructure investment in Africa Infrastructure is generally a long-term investment and there is a significant backlog in infrastructure investment in Africa that needs to be addressed irrespective of what the next few years of GDP growth looks like. Infrastructure in these times can act as a significant stimulus to growth. In addition, it can improve country competitiveness and also act to ready countries for increased commodity demand when the global economy begins to pick up. Shaw comments: Regardless of which of the two scenarios upside or downside pans out, the overall need for infrastructure will not diminish. In addition, certain megatrends will continue to drive growth in infrastructure spend over the medium term. These include continuing global urbanisation, the growth of emerging economies, and the rising middle class, technological innovation and resource scarcity. Even in these volatile times, there are still opportunities for projects and investors. While levels of investment and infrastructure will always be sensitive to factors such as macro-economic conditions, commodity prices, and the cost of finance, the need for essential services are constant. The latest unemployment figures in South Africa, as announced by the Statistician-General earlier this year, creates a gloomy outlook for young South Africans seeking employment. The expanded rate of unemployment amounts to around 9 million people who could potentially be part of the workforce, according to the figures. David Leman But there are in fact numerous opportunities for South Africans to find work, especially for those willing to consider a move outside the country. And one company is looking to create up to 25,000 new jobs for those willing to travel. According to David Leman, chief human resources officer, Middle East and Africa, Marriott International, The Middle East and Africa region is seen as the new growth environment for business the world over. International business is turning its attention to the untapped markets that this region offers, and we are experiencing more opportunity on the African continent now than in previous decades. Need for new staff in new hotel properties It is this sentiment that drives the growth strategy of Marriott International. Marriott already has the largest footprint of hotels in the region with 150 properties in 19 countries, Leman says. A large number of these fall under the Protea Hotels by Marriott brand, which is represented in South Africa itself as well as in another eight countries in Africa. Marriott International has plans to add a further 93 hotels to its Middle-East and Africa portfolio by 2025. This growth plan means that there is a pressing need for additional staff to be identified and trained up to provide the skills needed to run the new hotel properties. By 2020 alone, we anticipate that the various new hotel openings will necessitate that 25,000 new jobs will be created, Leman explains. The bulk of these positions will be at entry level not needing any previous specialist skills - and this will allow for thousands of South Africans potentially to become gainfully and sustainably employed. Since Marriott Internationals recruitment philosophy focuses on attitude over experience and the willingness to learn while employed, and not on tertiary education requirements, entry into the company is uncomplicated. In some instances, employees will be able to help support families back home. According to Leman, Weve already seen that most of the young South Africans working for Marriott in Dubai, for instance, send funds back home to their families, offering a lifeline for a number of their family members in South Africa. Ultimately, Leman concludes, Marriott and Protea Hotels by Marriott actively encourage young South Africans to look to us for employment opportunities. Working for a leading corporate of this size offers the chance of a lifetime an opportunity for an international career. SA is working on relaxing visa regulations to make it easier for Indian citizens to travel with ease, President Jacob Zuma said on Friday. SA has the largest population of Indians outside of India. HONGQI ZHANG via 123RF Zuma was speaking after talks with Indian Prime Minister Narendra Modi, who is on a tour of Africa in an attempt to boost ties with the region. Modi visited Mozambique before arriving in Pretoria, and will also visit Tanzania and Kenya. Zuma said they had agreed to expand and improve people-to-people contact between the countries. "We would like to assure the Indian side that SA is a wonderful tourism destination and that simplification of SA's visa regime with India is underway," he said. "This will promote ease of travel to SA." The countries have a strong relationship, with more than 100 Indian companies operating in SA. Speaking in April after visiting India, Tourism Minister Derek Hanekom said issues raised by Indian travel companies included the difficulties they experienced in obtaining visas from the Department of Home Affairs. At the time, he said the Cabinet's decision to allow citizens of BRICS (Brazil, Russia, India, China and SA) countries to get multiple-entry visas valid for 10 years was slowly being implemented. Frequent visitors from India qualified for a three-year multiple-entry visa. Source: Business Day MADRID: Spain's heavily indebted Telefonica said on Sunday it had sold a 1.51% stake in China Unicom, China's second-biggest telecommunications provider, for 322 million ($356 million). The former state monopoly, which has been selling stakes in non-core assets, sold 361.8 million shares in China Unicom at 7.80 Hong Kong dollars per share, Telefonica said in a statement. The operation leaves it in possession of about 1% of China Unicom, it added. "This operation is part of measures to manage the asset portfolio, and the goal of medium-term deleveraging of the company," Telefonica said. The Spanish company said it remained committed to its strategic alliance with China Unicom, with which it recently set up a joint venture to sell big data services. The sale comes after the European Commission in May shot down Telefonica's blockbuster sale of British telecom giant O2 to Hong Kong group Hutchison. The Spanish carrier has been counting on the 10.3 billion (12.1 billion) sale of the O2 unit to reduce its debt pile of 49.9 billion. Telefonica reported net profits in 2015 of 2.75 billion, a drop of 8.5% over the year partly down to costs associated with voluntary redundancies in Spain. It is rated two notches above junk by both Moody's Investors Service and Standard & Poor's. Source: AFP SA's bulk export volumes rose by 3.4% year-on-year (y/y) in June to 12.8 million tons (Mt), after rising by 6.2% y/y in April to the second highest monthly total of 16.2 million tons (Mt), data from the Transnet National Ports Authority (TNPA) showed on Friday. The record monthly tonnage of 16.4 Mt was achieved in January 2015. Port of Durban The June and April y/y increases were the only two y/y rises in the first six months of 2016 after declines of 6.1%, 11.7%, 15.7% and 12.2% in May, March, February and January respectively. This followed a 7.3% increase in 2015 to a record annual tonnage of 168 Mt. Despite the June and April y/y increases, total bulk exports are still down 6.2% y/y in the first six months of 2016. Bulk exports out of Richards Bay, which is mostly coal, rose by 9.2% y/y in June to 6.9 Mt after growing by 8.2% in 2015 to 93 Mt. As Richards Bay Coal Terminal (RBCT) no longer releases operational statistics, economists are finding it more difficult to track economic performance in SA in a timeous manner. Despite the 40% plunge in the iron ore price in 2015, bulk exports out of Saldanha, which is mostly iron ore, increased by 12.7% in 2015 to 63.4 Mt, but in June 2016 there was a 9.6% y/y fall to 4.7 Mt. If Saldanha exports are excluded, then total bulk exports would have grown by 12.7% y/y in June. The surprise in the June data was the 39.0% y/y rise in bulk exports from other ports such as Durban to 1,185,481 tons after falling by 19.3% in 2015 to 11.6 Mt. Source: BDpro South African marketers continue to debate whether to include Snapchat in their social media strategy. US-based content marketing platform Newscred says it's difficult for brands to ignore its audience of 150 million daily users. Snapchat is primarily used for creating multimedia messages referred to as "snaps" and can consist of a photo or a short video and be edited to include filters, effects and captions. Though primarily seen as appealing to younger people, globally Snapchat has added users aged between 25 and 34 (up by 103%) and older-than-35 users (84%) faster than 18-24 users (56%) in the past year. But, notes Newscred: "If you're targeting millennials, ignoring their love of Snapchat is folly. On any given day, Snapchat reaches 41% of all 18-34-year-olds in the US." Figures for the SA market are harder to come by. Some experts estimate penetration is not more than 2%-5% of smartphone owners but is growing. Newscred says marketers should not regurgitate the same content on Snapchat that is posted on other channels. "Snapchat is unique and content should be pithy and personal." The technology website Memeburn says for the SA market it makes more sense to use Snapchat influencers in campaigns as opposed to running brand accounts. Source: Financial Mail The winners of the Standard Bank Ovations Awards 2016 were announced at the closing of the National Arts Festival in Grahamstown. The Standard Bank Ovations Awards are only given to new productions on the National Lottery Fringe. Once a production has been awarded an Ovation Award, the Company is invited to propose a new work to the following years Arena programme. Nompumelelo Zuma in For Colouled Girls Who Have Considered Suicide When The Rainbow Is Of the more than 318 productions and performances submitted to the National Lottery Fringe this year, 232 were premiers and eligible for consideration for an Award. Says Standard Bank Ovations Awards chairperson, Tracey Saunders, The new productions on this years programme ranged from the staging of familiar South African texts to established international scripts, deeply personal monologues to epic family sagas and some ground-breaking new texts straddling the terrain in between. Saunders went on to say that despite economic constraints and the general feeling of malaise gripping the country, the National Lottery Fringe continues to excite and entertain and, more importantly, provoke conversations and ask questions of us as individuals and society. The National Lotteries Commission contributed R10m to the Fringe this year, and assumed naming rights to the event. Eugene Masiane performs in ...feathers... Standard Bank Standing Ovation Awards The 2016 Standard Bank Standing Ovation Award was presented to the French Institute of South Africa and the Embassy of France for two decades of supporting visionary collaborations and exchanges between South African and French artists. A Standard Bank Standing Ovation Award was also presented to Gary Gordon to honour his longstanding creative energy in South Africas cultural life and his enormously significant contributions to the vitality of the National Arts Festivals Main, Fringe and Arena programmes. A Standing Ovation Award was presented to Ismail Mahomed who ends his tenure as artistic director of the National Arts Festival at the end of July. Speaking at the announcement, National Arts Festival CEO, Tony Lankester, recognised the nine-year commitment that Mahomed had made to the Festival, saying that he had transformed the programme, filled it with richness and left a lasting legacy of excellence. Commenting on the impact of the awards, Mahomed said, The Ovation Awards have earned a significant gravitas in the arts sector. They have become a barometer for audiences and visiting arts managements about productions that should not be missed. Artists at the Festival have been bold and have used their talents and skills to engage with burning issues in the most creative ways. lara van Wyk in You Suck and Other Inescapable Truths The full list of winners for 2016 are as follows: Rust Co-Operative was awarded Gold for the theatre production, Sillage Artscape was awarded Silver for the theatre production, Ityala Lamawele Alan Parker was awarded Silver for the dance, Sacre for One Rust Co-Operative was awarded Silver for the theatre production, The Graveyard Artscape was awarded Silver for the theatre production, Die Glas Ennie Draad Explosiv Productions was awarded Silver for the theatre production, Dangled Kristin Hua NG-Yang was awarded an Ovation for the dance, BIRD/FISH Matt Newman was awarded an Ovation for the theatre production, Cock Sibikwa Arts Centre was awarded an Ovation for the theatre production, Chapter 2 Section 9 Theatre for Africa was awarded an Ovation for the theatre production, Ebola Uyabona Ke was awarded an Ovation for the physical theatre production, Falling Off the Horn Artscape was awarded an Ovation for the theatre production, Henrietta with Love One Shushu Day Artistry was awarded an Ovation for music, Msaki and the Golden Circle Nombasa was awarded an Ovation for music, Nombasa Hungry Minds Productions was awarded an Ovation for the theatre production, Out of Bounds Lebo Leisa was awarded an Ovation for the theatre production, Paleho Neo Motsatse was awarded an Ovation for music, The Concert Bloom & Stone was awarded an Ovation for the comedy, Tease! Liquid Fusion was awarded an Ovation for the dance, Burn Moving into Dance Mophatong was awarded an Ovation for the dance, ...feathers... Klara van Wyk was awarded an Ovation for the comedy, You Suck: and Other Inescapable Truths UJ Arts & Culture (a Division of FADA) was awarded an Ovation for the theatre production, For Coloured Girls Who Have Considered Suicide when the Rainbow is Enuf Lexi Meier was awarded an Ovation for the performance art, Fabric of the Universe ExploSIV Productions was awarded an Ovation for the comedy, Thenx Presents Aza-Nya is Five-To Daneel van der Walt was awarded an Ovation for the cabaret, Dani and the Lion Sibonele Dance Project was awarded Merit for the dance, Abangawona (The Unseen) Umsindo Theatre Projects was awarded Merit for the theatre production, 10 Days in a Shebeen Slindile Mthembu was awarded Merit for the theatre production, Milked Voice Well Worn Theatre Co was awarded Merit for the theatre production, Rat Race Outreach Foundation (Hillbrow Theatre) was awarded Merit for the theatre production, Isaro ExploSIV Productions was awarded Encore for the comedy, The Dark Ages Student Theatre Awards Awards for the best works by students at the National Arts Festival were decided by a panel, convened by Jacqueline Dommisse, that included Lee-Ann van Rooi and Bertina Johnson. Nominated for the Most Promising Playwright of the Year Award Thembela Madliki of Rhodes University for Nyanga The cast of Pharmakon by University of the Free State for a devised script Winner of the Most Promising Playwright of the Year Award: Namisa Mdlaloze & Pueng Stewart for University Of Cape Towns Figs Nominated for the Most Promising Director Award: Sarah Nansubuga Wits University for The Village Dara Beth of University Of Cape Town for Figs Winner of the Most Promising Director Award Thembela Madliki of Rhodes University for Nyanga Nominated for Best Production Award: University Of Cape Town for Figs Winner of Best Production Award: Rhodes University for Nyanga Figs, Student Theatre. Image credit CuePix: Dani O'Neill, National Arts Festival 2016 Short, Sharp Stories Collection The annual anthology of Short, Sharp Stories was launched at the National Arts Festival this week. Die Laughing stories of wit, satire and humour was edited by Joanne Hichens, who is also the curator of the competition. The authors included in the collection were announced and awarded at the National Arts Festival. Best Story: This Could Get Messy by Greg Lazarus Runners-up: This Is Not A Joke, Maureen by Gail Schimmel Angel Heart by Kobus Moolman Editors Choice: Learning a New Language by Fred Khumalo Highly Commended: Jim Goes to Durban by Anton Krueger and Pravasan Pillay Number One With A Bullet by Christopher McMichael The Derby by Ofentse Ribane The Viewing Room by Diane Awerbuck The Seduction of Ozzie Stone by Stephen Symons Earlier in the week, South African author, Lidudumalingani won the 2016 Caine Prize for African Writing for his short story Memories We Lost, which featured in the 2015 Short, Sharp Stories anthology Incredible Journey: Stories that Move You. Cecil the lion's death just outside Zimbabwe's Hwange National Park put a recognisable face on the difficult problem of setting policy for lion hunting. Conservation biologists and wildlife managers have been grappling with the issue across sub-Saharan Africa. But there is no one simple answer. By Yathin S Krishnappa - CC BY-SA 3.0, Wikimedia Commons Trophy hunting can be used to generate revenue and promote the protection of ecosystems. These might otherwise be converted to agriculture, accelerating the continents rapid loss of wildlife for reasons that include habitat conversion and fragmentation. On the other hand, lions are both rare and highly coveted by hunters. Field studies consistently show that lion numbers in Zimbabwe, Zambia, Tanzania, Cameroon and Mozambique have declined with over-harvesting which is excessive numbers lost due to trophy hunting. This has occurred despite scientific guidelines to promote sustainability. Lions, and their habitat, have declined by 70% over recent decades. This is due to a combination of habitat loss and fragmentation, decline of prey numbers, snaring, human conflict, retaliatory killing and excessive legal hunting. Most nations have a network of protected areas, including national parks that do not allow hunting and game reserves or game management areas that do. Given that the status quo is not working, what can be done to save the king of beasts? That is the question our recent study involving scientists and managers at the Zambian Carnivore Programme, Montana State University and the Zambian Department of National Parks and Wildlife attempted to answer. The gap between theory and practice The current strategy for sustainable hunting of lions is known as age-restricted harvesting. This is where only male lions above a certain age, typically six years, can legally be shot. In theory, such a system can be sustainable. This is because old males form a small part of most lion populations and these old males have often been ejected from prides by younger and stronger males. In practice, there are problems with identifying a males age just by looking at him. There are also challenges enforcing age-based rules. A more fundamental problem is that existing guidelines were based on patterns in a very well-protected, growing lion population in Tanzanias Serengeti National Park. Most other lion populations face tougher conditions. Our study aimed to determine whether lion hunting can be made sustainable for populations facing more typical challenges, including low prey numbers and the risk of being snared. These challenges are almost universal at this point for large lion populations in major ecosystems. Small lion populations in fenced areas tend to face fewer problems, but these populations are all small. Gaps in the system We projected population dynamics for African lions 25 years into the future, both without hunting and under a range of hunting scenarios. We used mathematical models that were based on seven years of data from lions in the Luangwa Valley, home to Zambias crown jewel, the South Luangwa National Park. The scenarios we considered included maximum quotas for hunting blocks, age restriction, hunting periods punctuated by recovery periods with no hunting, and combinations of these strategies. Our analysis is based on hunting blocks located adjacent to a fully protected national park. This is often the case in the real world, with lions moving regularly between protected and hunted landscapes. Many people might consider the death of Cecil a few meters from a national park boundary to be an anomaly, but our analysis found that lions were typically shot less than a kilometre from the park boundary. This is well within the normal range of movements of lions living in the park. We confirmed that age restriction is helpful, but most hunting scenarios resulted in a long-term decline in trophy-aged males. This is both detrimental to lion populations and undesirable for hunters if they are concerned with sustainability. The best strategy requires a combination of tactics. The analysis showed that a decrease in the availability of prime-aged males is minimised by the combination of a block quota of one, a three-on/three-off cycle of hunting and recovery, and a minimum hunted age of seven or eight years. In other words, hunting blocks can sustainably be allocated one trophy hunt per year. That is about one lion per 2,000km of at least seven years old, for three consecutive years, followed by three years for recovery. It is also clear that trophy fees must be increased to account for the reduced quota if hunting is to effectively yield protection of public land. Such an increase could perhaps be paired with paying some portion of the fee only if a lion is shot, rather than paying proactively. This would reduce the incentive to shoot a lion, any lion. Broader problems Unfortunately, even this scenario is likely to allow a long-term lion decline if the problems of poaching or habitat degradation worsen. Trophy hunting by itself might be sustainable, but not when added to a background of poaching, habitat loss and retaliatory killing. If it does not effectively contribute to controlling the problems of prey depletion and lion snaring in areas that allow hunting, trophy hunting at any level will not be sustainable. Lion hunting cannot continue to rely on drawing lions out of adjacent, fully protected national parks at unsustainable rates, as it recently has. Such a situation is part of the problem, not the solution. With serious reforms to employ a combination of quotas, age restriction and recovery periods, perhaps this slow motion extinction can be reversed. Future generations will judge us harshly if we stand by and let the last lion leave this world. One of the most effective philanthropic campaigns of last year was The CEO SleepOut. The first-time campaign was so effective, in fact, that it has brought home Africa's first AMEC awards for excellence in communications measurement and evaluation for 2016 - two gold ones, at that. Oresti Patricios, CEO of Ornico, explains the trend. Oresti Patricios Ornico actually started as a part-time wedding video production company in about 1979. At the time, Patricios needed to earn spending money while studying at university, so that was an opportunity he leaped at. They were actually the first company in South Africa to film wedding videos when everyone else was doing it on 8mm film. He also reveals that the name Ornico is a combination of the first names of Patricios and the two friends with which Ornico was started: OResti, NIck and COsta. As a brand intelligence firm with presence now in 14 African countries, Ornico offers expert knowledge into the concept of brand, media and reputational intelligence and research, especially against the impact of social media. Patricios says, I dont believe we can look at a brand through a single lens and one dimension, because consumers engage and experience the brand in many ways. They dont wake up in the morning thinking that they want to look at brand advertising or deliberately plan to engage with the brand on social media. Multidimensional customer journeys Instead, that customer journey is multidimensional and when that journey engages with the media, Ornico is able to measure and evaluate the communication. Therefore, they monitor most communication disciplines, including paid, earned, owned and shared media; create data; and analyse this data in order to provide clients with an independent view of their communication, while also providing a benchmark against industry competitors. For many years, Ornico has advocated for using all-encompassing measurement and evaluation standards, as they say the AVE (Advertising Value equivalent) measurement model is outdated and not dynamic. Instead, communication needs to be evaluated and measured against success factors, which can include social outputs such as followers and likes. However, to really understand the effect of your communication, Patricios says brands need to also look at the outcomes. The question for brands, marketers and advertisers thus is What are the objectives for this communication? Those objectives must then be measured. Ornico Group's AMEC Awards for their CEO SleepOut campaign measurement Of their recent AMEC wins for just this Best use of measurement for a single event and Best measurement of a not-for profit campaign on the CEO SleepOutTM, Patricios says it serves as confirmation that South African companies have methodologies that are world-class and that we can compete with the best in the world. Shifting focus further afield, he says, It means African brands can measure their communication using a world-class methodology and approach. Explaining the winning concept of the CEO SleepOutTM then, with which they partnered in 2015 in order to help with fundraising, Patricios calls it an opportunity for leaders and influencers to use their power for the greater good and to participate actively towards social change. The concept of the triple bottom line (TBL) is becoming more and more important for many companies, and while he does not believe it to be mandatory, he asserts: It should be something people want to do to make the world a better place. The one big issue companies need to look at in the TBL framework is the social perspective and how they can make a difference. At the end of the day, education is a critical factor to ensure South Africa grows and helps people to become employable. Thats why this years CEO SleepOutTM will support education, crucial for South Africas success and growth both economically and socially. Patricios says fundraising is difficult in any environment, so using a unique method such as the CEO SleepOutTM helps everyone to engage with and to understand the social issues at hand. Shifting focus to the year ahead, he envisions business in general will focus on trends such as evaluating and measuring everything you do, due to tight budgets; increasing efficiencies through Artificial Intelligence and machine learning; and generating smart data from big data. Click here for more on the CEO SleepOutTM. For more information on Ornico, visit their press office and follow their Twitter stream. Look back five years, and inspect the cohorts accepted onto various programmes by acclaimed international accelerators such as Techstars, Y Combinator, and 500 Startups. See any African startups in there? No, probably not. Image by 123RF Fast forward to 2016, and what started as a trickle in the last 18 months or so has now become a wave. Here are five international accelerator programmes and accompanying VC funds backing African tech startups. 500 Startups A global venture capital seed fund and startup accelerator founded by Dave McClure and based in Silicon Valley, 500 Startups has around US$200 million in assets under management. Its four-month accelerator sees thousands of applicants vying for intake and only two per cent making the cut. In spite of the level of competition, 500 Startups has led the way when it comes to African startups, selecting South Africas SweepSouth and Ghanas Kudobuzz for a programme last year, and startups from Kenya and Egypt the year before. Nigerian e-commerce startup Podozi was in one of their most recent cohorts. The company has gone beyond just accepting African startups onto its programmes. It has also launched 500 Falcons, a US$30 million fund for investing in startups from the Middle East and North Africa (MENA) region, while it has partnered DraperDarkFlow to host the US$1 million SpeedUPAfrica bootcamp in Ghana. Techstars Launched in the United States (US) in 2006, Techstars is now a global phenomenon, running programmes across the world. Less than one percent of the companies that apply to Techstars are accepted. Kenyas Bamba Group is the overseas trailblazer in this case, taking part in the Techstars accelerator held in Austin, Texas, but the accelerators biggest impact on African startups has come on the continent rather than back home in the US. Techstars teamed up with Barclays to run the banks fintech accelerator programme at the end of last year, and just completed its own African accelerator in Cape Town. It is also keen for African startups to join more of its overseas programmes, inviting applications for programmes in New York and London recently. Nest Hong Kong-based VC firm Nest typically partners large corporates and runs accelerators on their behalf, aimed at identifying startups for partnerships, investments or acquisitions. The company launched its African operations in Kenya last year, led by Aaron Fu, and has not looked back since. A product lab with Barclays aside, there have been no programmes held in Africa as yet, but the company has been keen to get African startups involved in its Asia-based programmes. Kenyas SuperFluid and South Africas Creditable took part in a Hong Kong fintech programme held in conjunction with DBS Bank, while opportunities have also been available in Singapore. Nest also recently made its first African investment, in Kenyan instant messaging platform Ongair. Startupbootcamp Launched in Amsterdam in 2010 and now also running programmes in Berlin,Copenhagen, Eindhoven, Istanbul, London, Barcelona, Rome and Singapore, Startupbootcamp is another major accelerator fluttering its eyelashes at African startups. Tanzanian startup BimaAfya, a mobile micro-health insurance product specifically targeted at the poor and the informal sector, recently took part in the three-month Startupbootcamp InsurTech accelerator programme in London, but African programmes are also underway. The accelerator has started a number of initiatives in South Africa, holding mini-bootcamps last year, and there have been suggestions more full-scale programmes are in the offing. Startupbootcamp South Africa co-founder Karolin Kruiskamp told Disrupt Africa last year the mini-bootcamps were a truly vibrant experience, and proved a full three-month programme would work in the country. Y Combinator So what of Silicon Valley-based Y Combinator, founded in 2005 and considered possibly the worlds most powerful startup accelerator? Its model was the inspiration for a number of programmes in Africa, notably the now dormant 88mph, but Y Combinator itself has been mostly quiet when it comes to Africa. There are signs this may be changing though, with Egyptian bug-reporting app Instabug joining a Y Combinator programme earlier this year, and receiving funding. It remains to be seen whether the renowned accelerator will further increase its activities in Africa, or whether they will take the advice of Sandheep Ramluckan, managing director of Cape Town-based programme StartUp90, who says the Y Combinator approach does not work for African startups. The university has decided to move forward with a scholarship program for students of the CDC. Qualified students from migrant schools in Tak province will have a chance to apply for a scholarship, according to Saw Myo Nyunt, the deputy headmaster of the CDC. Speaking to Karen News, Saw Myo Nyunt said. The [the scholarships] have been granted only for those in the CDC school. However, other migrant schools and schools in refugee camps also want to join and officials of the Thai university have agreed, if they enter through CDC. Saw Myo Nyunt explained that the applicants for the scholarship must have some form of legal documents, such as passports, a 10-year resident ID, or a pink work permit card. Students will be examined by a qualification committee composed of several border area educational organizations, and if they are selected, they can directly enter the university, he added. The Thai university will accept a maximum of 20 students to receive scholarships. Students who are interested in the scholarship program must have graduate certificates from grade 12 or above and can communicate fluently in either English or Thai. If granted a scholarship, students can study two-year programs such as, Welding Technician, Computer Technician, Electronic Technician or four-year programs such as Business and Liberal Arts, Engineering, Science and Fine Arts. A five-year Industrial Education (with teaching licenses) program is also available. Naw Paw Ray, chairperson of the Burmese Migrant Worker Education Committee said this is an exciting opportunity for migrant students. This is a great chance for the students from migrant schools and schools in the refugee camps. There have been 500 graduates from these schools each year. The opportunities they are going to gain, if they get a degree, will be very important for them. According to a statement from the scholarship program, the students will be offered expenses for university fees and housing. Students will have to cover the costs of food, school uniforms, transportation and healthcare. Rajamangala University of Technology, Tak (RMUTL, Tak) will start after August 15, students who are interested in the program will be selected by the qualification committee during July 2016. Camp residents said that on July 5th, health workers employed by Premiere Urgence Aide Medical Internationale at Mae La and Umpheim Mai refugee camps went on strike after a disagreement between PU-AMIs management and the health workers. According to health workers sources in both refugee camps, the cause of the strike was caused by dissatisfaction over the way PU-AMI treated them in regard to their rights. PU-AMI are expected to withdraw from the three refugee camps Mae La, Umpheim Mai and Nu Po in July. Health workers claim that PU-AMI is pulling out a month before their contract ends on August, 2016. A senior health worker who asked not to be named told Karen News that the disagreement is over demands that they should be paid compensation until the end of August when their contract runs out and not until July when AMI has now stated that they will leave. The health worker source said they have been treated differently from PU-AMI base staff, who based outside the refugee camp. We feel it is unfair that AMI agreed to pay their base staff a compensation of three months wages while refusing to pay us claiming that we are illegal and not entitled to compensation. Other PU-AMI camp staff spoken to by Karen News are angry that their years of service has been ignored and that they feel insulted at being classified as illegal. There are 330 health workers in Mae La camp and 102 health workers in Umpheim camp as of June, 2016. Karen News is lead to understand that PU-AMI agreed to pay their base staff who based outside the camp the compensation of three months wages as it was stated in their policies. A senior refugee camp official was scathing in their criticism of AMI and said that It is unfair to classify some staff who have worked for them for years as illegal. This is a blatant attempt to avoid meeting their obligations as employers. Naw Blooming Night Zan, a spokeperson and treasurer of the Karen Refugee Committee spoke to Karen News on the case. For this issue, we cant listen to just one side, we will listen to both sides and see what we can do from there. We have asked for reports of detail information leading to this problem. Karen News understands that several meetings between health workers, camp committees, AMI and Thai officials were held to try resolve the issues. According to eye witnesses in the camp, patients went home after learning about the situation. Currently, patients were taken care of by AMI base staff from Mae Sot and Umphang respectively. The senior health worker said that they feel upset about leaving patients, but said that they have their dignity and the responsibility to look after their familys welfare. A senior health worker with vast experience told Karen News that AMI pay them 3,500 baht a month (around US$100). Karen News was unable to get access to PU-AMI officials to report their comments on the claims. Mon National Conferences are typically held every three years, with the last conducted in 2013 in the Mon State capital of Mawlamyine, formerly known as Moulmein. This years event will take place over three days in Taung Pauk Village, part of NMSPs Moulmein District Headquarters in Kyarinnseikkyi Township, Karen State. Group photo taken at the 2013 Mon National Conference in Mon States capital (Photo: MNA)This conference is designed to raise one voice for all Mon people and to determine how we can work together. We do not yet know who will participate in the Union Peace Conference, but whoever presents there must represent the voice of us all. We plan to discuss the Rehmonnya Mon State Draft Law and whether to add to or amend the draft, said Nai Win Hla, head of NMSPs Department of Home Affairs and organizing committee member for the Mon National Conference. At the 7th Mon National Conference, participants decided to plan a national convention for the next event, not another conference, but the change was not implemented and the objective will be raised again this year, according to the organizing committee. Nai Win Hla added that although members of Mon political parties and the NMSP can have differing opinions and varying degrees of commitment to the conference, the event aims to find solutions that unite ethnic Mon people and priorities. At total of 150 representatives will be invited to attend the conference, including 40 members each from the NMSP, Mon political parties and Mon civil society, along with several monks and community members. The conferences organizing committee is comprised of five members from the NMSP, including Nai Win Hla of NMSPs central executive committee, Nai Hongsar Bone Khine, Nai Lawi Mon and Nai Chem Mon of NMSPs Central Committee, and five members each from Mon political parties and Mon civil society organizations. Previously the Mon Affairs Union was to head the 8th Mon National Conference in May in Japanese Well, a village in NMSP-administered area along the Thai-Burma Border, but the plan was halted. Seized teak logs (Photo: MNA/Mon Htaw) Seized teak logs (Photo: MNA/Mon Htaw) The seizure took place around 1 PM on Wednesday, in Thein Kon Quarter, Mudon Town, when the logging truck driver failed to provide legal documents to the local forestry department. This is not the first time an illegal logging case has surfaced in Mudon Township. We have confiscated timber several times. However, it is the first instance that weve seized it in a large number this year, said U Soe Naing, the head of the Forestry Department. U Soe Naing added that after being informed about a log truck entering Mudon Town, the State Forestry Department, Mudon Township Forestry Department, Thanbyuzayat Town based Infantry Battalion (IB) 62, Mudon Town Police Force and the head of ten-households from Myo-ma (4) Quarter came to check the truck and seized the logs. Soon after the arrest, the two truck drivers, U Kyar Pauk and U Aung Sein, were facing charges under article 6(1) of Act Law for protection of public property. The Mudon Town Police also took in U Aung Sein at mid-night on July 6 to the police station for further investigation. It has been allegedly stated on Facebook that the seized logs were carried by a ten-wheel truck from Kalain Aung area, Tenasserim Division to Mudon Town, of Mon State. The forum, titled Women, Media and Peace in Myanmar, aimed to raise awareness about the importance of womens participation in the political dialogue phase of the peace process. We heard that, if it is necessary, the political dialogue framework will be amended but we dont know if this will happen. If [the representative groups] amend the framework, we urge inclusion of 30 percent women. We urge them to require womens involvement, not just try for it. But we actually had to demand a lot for them to even include the phrase We will try for involvement [in the current framework], said Daw Nang Shan, program coordinator for Social Integration for Peace with the Nyein (Shalom) Foundation. The framework for political dialogue, drawn up by the Union Peace Dialogue Joint Committee (UPDJC) under the Thein Sein administration, serves as the structure for the Union Peace Conference slated for late August. According to representatives of the nationwide ceasefire agreement signatory groups, the UPDJC will meet this month to analyze the framework, including the commitment in Chapter 2 to work towards 30 percent womens participation in the dialogue. When we look at it, we find that men are considered the heads of households but women are actually the ones doing everything at home. If a woman leads her household, why cant she lead other sectors? We are calling for 30 percent involvement because we dont have that. If we already had this opening, we wouldnt have to ask for it, said Daw Thuzar Tin, the director for the Womens Federation for Peace (WFFP). The WFFP director added that although there has been a sharp increase in womens participation in media and civil society groups, hovering around 80 percent of coordination roles, their presence in leadership roles remains weak. Some activists, including General Secretary U Sai Nyunt Lwin of the Shan Nationalities League for Democracy (SNLD), asserted that 30 percent in the peace process was not enough, given womens broad involvement in other sectors. Why do they only request 30 percent? They should ask for more. They should at least attempt that. My view is that [participation] should be granted based on qualifications. If there are 100 women and 100 of them are qualified, they should be leaders. If they have the qualifications, they should not only be 30 percent. On the afternoon of October 25, there was fighting between the Military Council and the KNLA joint troops on the Myawaddy-Kawkareik Asian Highway in... It looks like you have reached this page in error ... The content you are looking for has either moved, or if you typed in the address there might have been a mistake. If you believe there has been a technical error please let us know. Most Popular Destinations Coffee Can Cause A Serious Impact On Hearing: Study Wellness oi-Staff Coffee lovers who like to attend rock music concerts or work at the airports should be cautious, as a recent study indicated that caffeine has a serious impact on hearing. According to a research by the McGill University in Canada, regular caffeine consumption can greatly impede hearing recovery from a loud noise, even making the damage permanent, the Xinhua news agency reported. "When the ear is exposed to loud noise, it can suffer from a temporary hearing reduction, also called auditory temporary threshold shift. This disorder is usually reversible in the first 72 hours after the exposure, but if symptoms persist, the damage could become permanent," said Dr. Faisal Zawawi, an otolaryngologist at McGill. The researchers found this impact through an experiment on guinea pigs. They grouped the pigs and tested them in environments of noise without coffee, and noise with coffee. The noise the animals were exposed to for one hour per day was similar as to what people hear at a rock concert. After 8 days, a significant difference of hearing loss was recorded between the two groups, according to the research team. In 2015, the European Food Safety Authority published an advice that caffeine intake from all sources up to 400 mg per day and single doses of 200 mg might be safe for adults in the general population. However, the McGill research suggests that exposure to loud noises coupled with daily consumption of 25 mg of caffeine may have a clear negative impact on hearing recovery. Each review score is between 1-10. To get the overall score that you see, we add up all the review scores weve received and divide that total by the number of review scores weve received. In addition, guests can give separate subscores in crucial areas, such as location, cleanliness, staff, comfort, facilities, value for money and free Wi-Fi. Note that guests submit their subscores and their overall scores independently, so theres no direct link between them. You can review an Accommodation that you booked through our Platform if you stayed there or if you arrived at the property but didnt actually stay there. To edit a review youve already submitted, please contact our Customer Service team. We have people and automated systems that specialise in detecting fake reviews submitted to our Platform. If we find any, we delete them and, if necessary, take action against whoever is responsible. Anyone else who spots something suspicious can always report it to our Customer Service team, so our Fraud team can investigate. Ideally, we would publish every review we receive, whether positive or negative. However, we wont display any review that includes or refers to (among other things): Politically sensitive comments Promotional content Illegal activities Personal or sensitive information (e.g. emails, phone numbers or credit card info) Swear words, sexual references, hate speech, discriminatory remarks, threats, or references to violence Spam and fake content Animal cruelty Impersonation (e.g. if the writer is claiming to be someone else) Any violation of our review guidelines. To make sure reviews are relevant, we may only accept reviews that are submitted within 3 months of checking out, and we may stop showing reviews once theyre 36 months old or if the Accommodation has a change of ownership. An Accommodation may choose to reply to a review. When you see multiple reviews, the most recent ones will be at the top, subject to a few other factors (what language a review is in, whether its just a rating or contains comments as well, etc.). If you like, you can sort and/or filter them (by time of year, review score, etc.). We sometimes show external review scores from other well-known travel websites. We make it clear when weve done this. Guidelines and standards for Reviews These guidelines and standards aim to keep the content on Booking.com relevant and family-friendly without limiting expression of strong opinions. They are also applicable regardless of the sentiment of the comment. Contributions should be travel related. The most helpful contributions are detailed and help others make better decisions. Please dont include personal, political, ethical, or religious commentary. Promotional content will be removed and issues concerning Booking.coms services should be routed to our Customer Service or Accommodation Service teams. Contributions should be appropriate for a global audience. Please avoid using profanity or attempts to approximate profanity with creative spelling, in any language. Comments and media that include 'hate speech', discriminatory remarks, threats, sexually explicit remarks, violence, and the promotion of illegal activity are not permitted. All content should be genuine and unique to the guest. Reviews are most valuable when they are original and unbiased. Your contribution should be yours. Booking.com property partners should not post on behalf of guests or offer incentives in exchange for reviews. Attempts to bring down the rating of a competitor by submitting a negative review will not be tolerated. Respect the privacy of others. Booking.com will make an effort to obscure email addresses, telephone numbers, website addresses, social media accounts, and similar details. The opinions expressed in contributions are those of Booking.com customers and properties and not of Booking.com. Booking.com does not accept responsibility or liability for any reviews or responses. Booking.com is a distributor (without any obligation to verify) and not a publisher of these comments and responses. By default, reviews are sorted based on the date of the review and on additional criteria to display the most relevant reviews, including but not limited to: your language, reviews with text, and non-anonymous reviews. Additional sorting options may be available (by type of traveller, by score, etc.). Translations disclaimer This service may contain translations powered by Google. Google disclaims all warranties related to the translations, express or implied, including any warranties of accuracy, reliability, and any implied warranties of merchantability, fitness for a particular purpose and non-infringement. The Most Extensive and Reliable Source of Information Related to the Mexican Drugs Cartels. You will not find this level of coverage anywhere else, join us! WARNING: Posts may contain strong violent material, discretion is advised. COMMENTS: We do not publish all comments, and we do not publish comments immediately. Following the recent shootings in America, John Robert Lewis, the U.S. Representative for Georgias 5th congressional district, has shared his experience of using a whites-only restroom 55 years ago. 55yrs ago today I was released from Parchman Penitentiary after being arrested in Jackson for using white restroom. 55yrs ago today I was released from Parchman Penitentiary after being arrested in Jackson for using "white" restroom pic.twitter.com/i4CMCrJ3Lh John Lewis (@repjohnlewis) July 7, 2016 He said: I was beaten bloody by police officers. But I never hated them. I said, Thank you for your service.(sic) After the infamous single act of disobedience by Rosa Parks in Montgomery in 1955, the civil rights movement developed in America. In 1966, Tuskegee Institute student Samuel Younge Jr. was the first black student to be killed for trying to use a whites-only restroom. Younge served in the navy before being discharged due to a failed kidney, he then returned to Tuskegee and joined the civil rights movement during his first semester at school. Reports say he was violently killed. Lewis said: I feel sometimes were sliding backwards. The scars & stains of racism are still deeply embedded in America society (sic). We have to deal with it. Spectators were fascinated at the Galway International Arts Festival when giant fire-breathing insects took over the streets. A Spanish company, Serruga, are the creators of the mesmerising pyrotechnic show. A Fine Gael backbencher says the party needs a new leader by September. Brendan Griffin says the past fortnight has shown Fine Gael is "rudderless" and completely unprepared for an election. Speaking to Radio Kerry, Deputy Griffin says Enda Kenny needs to allow an orderly succession process take place. Mr Griggin said: "We've a Taoiseach who says he wants to serve a full term and a Taoiseach who says he doesn't want to lead us into the next General Election. "Those two positions are incompatible and as a result it is leading to huge uncertainty and a lack of stability and that's what needs to be addressed. "We are rudderless as a government, particularly as the last fortnight has shown how vulnerable and precarious the Government is." The Government is looking at new ways to tackle so-called revenge porn. A law change could see images taken off the internet in future without the need for a court order. It is also hoped that extra offences will be introduced to prosecute those responsible. Currently revenge porn, which involves uploading intimate photos online without consent, Read More: The Law Reform Commission, which is making the recommendations, has stopped short of calling for mandatory sentences. LRC commissioner, Raymond Byrne, Read More: The LRC is looking at offences in the cyber world from both a criminal and regulatory point of view. The key thing the Commission will be concerned with is divided into two blocks of law, said Mr Byrne. One is the criminal side. There is a recognition there is a gap in law and its clear from consultations weve had that the Non-Fatal Offences Against the Person Act doesnt deal with revenge porn. When an image is uploaded to a social media platform, in this manner, its not done to communicate directly with the target and it might not be persistent. Thats a clear gap. The Commission recognises thats a clear gap. The National Treatment Purchase Fund has been tasked with devising a plan to cut waiting list times. Health Minister Simon Harris said said he wants to see a plan to cut endoscopy waiting lists. Almost 20,000 people are currently waiting on the procedure which checks a person's digestive tract. Minister Harris said that there needs to be a plan from the NTPF to reduce this number. "We clearly need to bring back the NTPF in a real sense if we're to drive down those waiting lists," he said. "What we don't need now in the Irish health system is a big debate about ideology and how best to clear the waiting lists. What we need is an effective tool, so that patients today waiting on procedures and waiting too long today, can see action. "I believe, and the Government believes, that the NTPF is a very useful tool in that regard." Firefighters were summoned to an incident involving a bonfire as huge blazes were lit in loyalist communities across Northern Ireland marking the height of the parading season. The overall number of calls to the Northern Ireland Fire and Rescue Service (NIFRS) was lower than last year, an early-evening update from the emergency service said. The traditional "Eleventh Night" fires mark the start of commemorations of the victory of the Protestant King William of Orange over Catholic King James II at the Battle of the Boyne in Ireland in 1690. The lighting of the towering structures, most built with stacks of wooden pallets, drew thousands of onlookers, but was again the source of controversy despite the efforts of organisers to improve their image. To their defenders they make for a family-friendly, spectacular celebration of loyalist/Protestant culture; to their detractors they are potentially dangerous, environmentally damaging, magnets for anti-social behaviour and alienating to nationalists. There were complaints of the burning of Sinn Fein election posters made for May's Stormont Assembly poll as well as the use of toxic tyres. In recent years, bonfire builders have faced criticism after items linked to the nationalist/republican tradition - such as Irish flags and posters, and effigies of high-profile politicians - were placed on top of some of the fires and torched. Last year, homes near Chobham Street in east Belfast had to be evacuated over fears the giant nearby bonfire could topple onto property. While this year's bonfire in that neighbourhood has been moved away from those homes, and is set to be smaller in scale, the fire is still proving contentious. Equipment in a newly-built children's play park has had to be moved amid fears of damage. At the Ballybeen bonfire at Dundonald in greater Belfast, environmental concerns about noxious fumes have been raised after footage emerged of a large number of tyres being dumped at the site for burning. Many fires were lit late on Monday night, ushering in Tuesday's Twelfth of July parades. Authorities in Northern Ireland are cautiously optimistic the Twelfth can pass off peacefully, but have a major policing operation planned to deal with any unrest. A couple from Co Limerick are celebrating today after they claimed 113,661 from Lotto HQ. The lucky pair won the Match 5 + Bonus prize for the main Lotto draw on Saturday, June 18. The delighted couple who wish to remain private, purchased their Quick Pick selection ticket at Newcastle West Service Station in Newcastlewest, Co Limerick on the day of the draw. There were two winners of the Match 5 + Bonus prize in the main Lotto draw on Saturday 18 June, each claiming 113,661 in prize money. The other winning ticket was sold at Eason Newsagents on OConnell Street in Dublin City. We actually went to the shop to specifically play the Lotto on the Saturday evening. I came out of the shop, only to realise that I had forgotten to do it! I went back in and played a Quick Pick and from that moment, we knew that there would be something special about that ticket, laughed the husband. The winning couple plan to spend their 113,661 prize sensibly with some small treats to help them to celebrate. The timing of this win is absolutely perfect, he added. We have some bills that we would like to pay off and after that I think we might have a holiday and maybe we will upgrade our car. Wednesdays jackpot is an estimated 4.5m. The Education Minister Richard Bruton says it is not in the country's interest to challenge the leadership of Enda Kenny. Minister Bruton says now is not the time to undermine the Taoiseach and sap the energy of the Government. It comes after the Government Chief Whip Regina Doherty, called on Mr Kenny to set out a timeline for his future as leader. However, Mr Bruton believes now is not the time for the Taoiseach to go. He said: "I believe very strongly that now is not the time to be challenging the leadership of Enda Kenny, it's not in the country's interest. "Fine Gael has taken on the responsibility to confront the huge challenges in housing and health. "In addition, we've just had the body blow of the British decision to leave the European Union. We need Enda Kenny's experience and relationships in Europe to steer us through this very difficult time." Independent TD Finian McGrath says the Independent Alliance is looking to appoint somebody to mend fences with Fine Gael. Mr McGrath said that the Cabinet is united to deal with the challenges ahead. He said: "Brexit is a very important issue and we are all united in the Cabinet and the Independent Alliance are working very hard to deal with the major problems that could result from Brexit. "At the moment we are trying to appoint a person who will try and mend the fences with Fine Gael, and that will be our objective over the next couple of days." Update - 1.10pm: The Health Minister Simon Harris says there are no plans to close emergency departments or remove services from them. Minister Harris said there may need to be changes to how trauma services are distributed, but that will not mean closing EDs. He said: "What we don't need is a patient arriving to a hospital which isn't equipped to deal with them, and to then wait around before being transferred because that delay can lead to adverse patient outcomes. "Let me be crystal clear, there are no plans to close EDs in relation to this and any suggestion that there is is misleading. "I am awaiting reciept of the Trauma Steering Group report which I don't expect to receive until the end of the year and I will review it then." Earlier: Nine hospitals around the country face losing their emergency trauma services, writes Joyce Fegan. The draft plans for health service will see emergency departments at the nine hospitals no longer treat patients presenting with major traumas like head injuries and broken limbs. Some of the hospitals included in the proposal are the Mercy University Hospital in Cork, St Lukes Hospital in Kilkenny, Wexford Hospital, and South Tipperary Hospital. Fergal Hickey, a consultant in emergency medicine and spokesman for the Irish Association for Emergency Medicine, said it is important the news is not interpreted through a negative lens. The risk of publicising this as a negative is that it has the risk of something, that is fundamentally positive, not running because of political implications, said Mr Hickey. Politicians, by and large, will see this as losing something when this is about better outcomes. Mr Hickey stated that the association has long held the view that people need to be treated in the appropriate place, and not necessarily in an emergency department, in order to have better recovery outcomes. Theres a big difference between saying Wexford hospital will not receive emergency trauma [and] saying Wexford wont have an emergency department, said Mr Hickey. People are not going to lose emergency departments. Whats going to change is that patients with emergency traumas will be brought to hospitals with a better capacity to deal with that trauma. He said traumas only account for a very small percentage of emergency departments day-to-day work: Major traumas account for a very small percentage of an EDs work, maybe 1%, but 1.2m present in our EDs every year. He said the change in how we deal with emergency medicine has already been implemented in the UK and that this proposal is essentially a replication of that. Under the previous health minister, Leo Varadkar, the Policy on a Trauma Network for Ireland steering group was established. Its aim was to ensure people who suffer traumatic injuries have quicker access to the services best-suited to meeting their specialised needs and that more patients survive as a result. This story first appeared in the Read More: Irish Examiner. Update 1.05pm: Theresa May is to be the UK's second female Prime Minister, after her only remaining rival, Andrea Leadsom, sensationally dropped out of the race to succeed David Cameron. Mrs Leadsom - who had admitted she was "shattered" by the experience of intense media scrutiny since securing the second place on the ballot paper in the leadership contest - said she had decided she did not have sufficient support among MPs "to lead a strong and stable government". BREAKING: Andrea Leadsom withdraws from race to be Britain's Conservative leader https://t.co/brHbySwGed https://t.co/fO4W1SFaJF Bloomberg (@business) July 11, 2016 Mrs May swiftly received backing from leading Brexit supporters Michael Gove and Boris Johnson, and the chairman of the Conservatives' backbench 1922 committee, Graham Brady, said there was no need for the leadership contest to be re-run. Mr Brady said he had to consult with the party's board before formally confirming that Mrs May was leader, but there seemed no doubt that she will soon be moving into 10 Downing Street. 1922 Committee chair says Conservative party board must formally confirm Theresa May is the new Conservative leader https://t.co/FsnoL9OpHm Sky News (@SkyNews) July 11, 2016 Mr Brady was unable to say whether he would be able to confirm her leadership by the end of the day or this week. In a statement accepting that Mrs May has effectively been chosen as Tory leader, the Home Secretary's campaign manager, Chris Grayling, said she was "enormously honoured to have been entrusted with this task". Chris Grayling MP: "Theresa May is enormously honoured to have been entrusted with this task" https://t.co/hjYMI2yHNm Sky News (@SkyNews) July 11, 2016 Mrs May, who launched her national campaign with a speech in Birmingham just moments before Mrs Leadsom's withdrawal, was travelling back to London to make a statement. "Theresa will do everything she can to equip our country for the challenges that lie ahead," said Mr Grayling. Mrs Leadsom announced that she was quitting the contest shortly after apologising to the Home Secretary over an interview in which she appeared to suggest that the fact she was a mother gave her the edge over the childless Mrs May as a future PM. Mr Gove, who came third in the leadership ballot among Tory MPs, pledged his backing to Mrs May, saying: "Andrea Leadsom spoke with great dignity and courage today. I wish her every success in the future. "We should now move as quickly as possible to ensure Theresa May can take over as leader. She has my full support as our next Prime Minister." And Mr Johnson - who abandoned an expected tilt for the leader's job after realising he faced competition from both Mrs Leadsom and Mr Gove - said he had "no doubt" that Mrs May would make an excellent party leader and PM. Mr Johnson said: "Theresa May will provide the authority and the leadership necessary to unite the Conservative Party and take the country forward in the coming weeks and months. "Andrea's decision, which is both brave and principled, allows that process to begin immediately. "I have no doubt Theresa will make an excellent party leader and Prime Minister and I'm encouraged that she's made it clear that Brexit means Brexit - that we will leave the EU. "It is vital that we respect the will of the people and get on with exploiting new opportunities for this country." Update 12.33pm: British Home Secretary Theresa May is set to become the next leader of the UK's Conservative Party, it was confirmed this afternoon. Graham Brady, the chairman of the Tory backbench 1922 Committee, confirmed this afternoon that the leadership race will not be re-run after May's last remaining rival, Andrea Leadsom, dropped out of the race minutes earlier. He could not confirm when Ms May would officially assume the role of British Prime Minister. Another former rival, Michael Gove, has backed Ms May to become British Prime Minister, saying: "We should now move as quickly as possible to ensure Theresa May can take over as leader. She has my full support as our next Prime Minister." Earlier: Andrea Leadsom has confirmed she is quitting the race to succeed David Cameron as leader of the UK's Conservative Party and British Prime Minister. She said that a nine-week leadership campaign at such a critical time for the UK would be highly undesirable. Mrs Leadsom - who had admitted she was "shattered" by the experience of intense media scrutiny since securing the second place on the ballot paper in the leadership contest - said she had decided she did not have sufficient support among MPs "to lead a strong and stable government". Speaking on the steps of her campaign HQ in Westminster, the Energy Minister wished Mrs May "the very greatest success" and promised her "my full support". The move leaves leave Home Secretary Theresa May as the only candidate - potentially clearing the way for her to be named Tory leader and PM as early as today. However, it is not immediately clear whether Conservative rules would require the party's 1922 Committee to seek another contender to appear on the ballot paper alongside Mrs May for a planned vote of the party's 150,000 members. Graham Brady, the chairman of the Tory backbench 1922 Committee, is set to make a statement about the leadership contest on the steps of St Stephen's Entrance to Parliament at 12.30pm. Earlier, Ms Leadsom has apologised to Theresa May "for any hurt I have caused" after the row over comments which appeared to suggest being a mother gave her an advantage as a potential prime minister. The energy minister insisted that she did not want motherhood to play a part in the campaign and "deeply regret that anyone has got the impression that I think otherwise". Mrs Leadsom said she had felt "under attack" since the row over her comments, which were branded "vile" by one senior Tory MP while a fellow minister said it showed she was unsuitable for Number 10. In an interview with The Times, Mrs Leadsom said: "Genuinely I feel that being a mum means you have a very real stake in the future of our country, a tangible stake." The Home Secretary has previously spoken about how she and husband Philip were affected by being unable to have children. Former New York City mayor Rudy Giuliani has been criticised after he said the term "black lives matter" is ''inherently racist". During a Sunday appearance on CBS TV's Face the Nation, Mr Giuliani said: "When you say 'black lives matter', that's inherently racist." Hundreds of barristers have advised British Prime Minister David Cameron to tread carefully before taking steps to withdraw the UK from the European Union. More than 1,000 have signed a letter to Mr Cameron which says the referendum result is "advisory" and not legally binding. They say primary legislation will be needed before Article 50 of the Lisbon Treaty - the route via which members states leave the EU - can be triggered. The lawyers say the British Government should organise an independent investigation into the pros and cons of withdrawal before making exit plans. They say their letter will reach Mr Cameron this week and proposes a way forward which "reconciles the legal, constitutional and political issues" triggered by the Brexit vote. Philip Kolvin QC, who co-ordinated the creation of the letter, said: "Parliament is sovereign and the guardian of our democracy. "MPs are elected to exercise their best judgment on the basis of objective evidence, to safeguard the interests of the country and their constituents for this and future generations. "At this time of profound constitutional, political and possibly social and economic crisis, we look to them to fulfil the responsibility placed upon them." One barrister involved, Aidan O'Neill a constitutional and EU law specialist, said: "The Brexit referendum has made clear that the UK is not a united nation-state, but a divided state of nations. "But it has given no mandate or guidance as to what our nations' future relationship might be with Europe, and with each other." He added: "Precipitate or unilateral action by the UK Government to trigger Article 50 will simply further divide us." Military service changed suspected Dallas gunman Micah Johnson from an extrovert into a hermit, his parents have said. Johnson's mother, Delphine Johnson, said in an interview that her son had wanted to be a police officer as a child, and that his six years in the Army Reserve, including a tour in Afghanistan, were "not what Micah thought it would be ... what he thought the military represented, it just didn't live up to his expectations". His father, James Johnson, said also told The Blaze website: "I don't know what to say to anybody to make anything better. I didn't see it coming." Micah Johnson, a black 25-year-old, is suspected of fatally shooting five officers in Thursday's attack. He also wounded at least nine other officers and two civilians before he was killed. The incident happened while hundreds of people were gathered in Dallas to protest against recent fatal police shootings. Authorities have said Johnson had plans for a larger assault, possessed enough explosive material to inflict far greater harm and kept a journal of combat tactics. "We're convinced that this suspect had other plans and thought that what he was doing was righteous and believed that he was going to target law enforcement - make us pay for what he sees as law enforcement's efforts to punish people of colour," Dallas Police Chief David Brown told CNN. The fact that Johnson had material for explosives and talked of using homemade bombs during a stand-off with police before he was killed indicated he could have inflicted more damage with more time, Dallas County Judge Clay Jenkins said. He added: "If this had not been a crime of opportunity where the protest was quickly organized in response to events in the same week ... he could have caused a lot more harm than he did." Mr Brown also revealed details about Johnson's negotiations with police, saying he laughed at authorities, sang and at one point asking how many officers he had shot. Johnson insisted on speaking with a black negotiator and wrote in blood on the wall of a parking garage where police cornered and later killed him. The gunman wrote the letters "RB" and other markings, but the meaning is unclear. Investigators are trying to decipher the writing by looking through evidence from Johnson's suburban Dallas home. The writing suggested that Johnson was wounded in a shootout with police. The police chief defended the decision to kill Johnson with a bomb delivered by remote-controlled robot, saying negotiations went nowhere and that officers could not approach him without putting themselves in danger. He also became increasingly concerned that "at a split-second, he would charge us and take out many more before we would kill him". The shootings took place just a few blocks from where president John F Kennedy was slain in 1963. Federal agents are trying to trace the origin of the weapons used, including a military-style semi-automatic rifle. About 30 agents are involved in identifying bullet casings. The wider crime scene includes the parking garage where Johnson was killed and at least two other sites where he is believed to have fired at officers. The attack began on Thursday evening during protests over the police killings of Philando Castile, who was fatally shot near St Paul, Minnesota, and Alton Sterling, who was shot in Baton Rouge, Louisiana, after being pinned to the pavement by two white officers. Video from Dallas showed protesters marching along a street about half a mile from City Hall when shots erupted and the crowd scattered, seeking cover. Among those injured were two officers from El Centro College, identified them as Cpl Bryan Shaw and Officer John Abbott. Cpl Shaw was struck by a bullet as he guarded an entrance to the college, but treated on scene, the statement said. Officer Abbott was also guarding the entrance, and was hurt in both legs from flying glass after it was struck by bullets. Cpl Abbott tended to his wounds at the scene and then returned to assist others, the statement said. Dallas police previously said seven officers and two civilians were hurt in the attack, but its number of wounded did not include any El Centro College officers. North Korea has made more threats against its enemies, vowing to take unspecified "powerful" measures over a US plan to deploy an advanced missile defence system in South Korea. A statement issued by the North Korean military was filled with the belligerent, over-the-top rhetoric common when Pyongyang sees an external threat. However, a direct military attack from Pyongyang's large but impoverished military, which would probably finish the country's authoritarian leadership after US and South Korean retaliation, is highly unlikely. North Korea favours covert, surprise attacks such as the 2010 shelling of a South Korean island in which four people were killed, and Seoul has accused it of carrying out a spate of cyberattacks. The North's most recent threat, carried in state media, came three days after Seoul and Washington said they were close to determining a location in South Korea for the Terminal High-Altitude Area Defence (Thaad) system to better deal with North Korean threats. The North said it will take an unspecified "physical counter-action" as soon as the Thaad location is announced. The statement also carried one of the North's favourite, oft-repeated threats: to turn Seoul into a "sea of fire". It has been regularly warning it will do that since 1994. US and South Korean officials say Thaad only targets North Korea, but China and Russia suspect it could also help US radars detect their own missiles. South Korea's President Park Geun-hye said on Monday that she agreed to the US missile deployment because North Korea has openly threatened to launch nuclear and missile attacks on South Korea. The two Koreas have been divided along the world's most heavily fortified border since the 1950-53 Korean War. The United States stations about 28,500 soldiers in South Korea. Around 130 people have been arrested in Baton Rouge as demonstrations continue over shootings by police. With officers with rifles trying to keep protesters off a major road, Louisiana Governor John Bel Edwards said he was "very proud" of the law enforcement response to marches over the fatal shooting of a black man by white police officers in the city. Mr Edwards said he does not believe officers have been overly aggressive by using riot gear to push protesters off a highway. "The police tactics in response have been very moderate. I'm very proud of that," said the Democratic governor, who comes from a family of sheriffs. Tensions between black citizens and police have risen over the past week amid police shootings of African-American men in Minnesota and Louisiana and the gunning down of five white police officers by a black suspect in Dallas in apparent retaliation. "I remain disappointed in the Baton Rouge police, who continue to provoke protesters for peacefully protesting," said DeRay Mckesson, a prominent Black Lives Matter activist who embraced supporters on Sunday after spending the night in jail. Black Lives Matter activist DeRay McKesson walks out of the Baton Rouge jail yesterday. Pic: AP "There's a lot of work to be done, with this police department specifically." Baton Rouge police spokesman Don Coppola blamed some violence and the large number of arrests on outside agitators. One officer lost teeth to a projectile thrown outside police headquarters, and police also confiscated three rifles, three shotguns and two pistols during that protest, he said. "It appears the protest at Baton Rouge Police Headquarters have become more violent as out of town protesters are arriving," he said. Peaceful protester in Baton Rouge. #blacklivesmatter pic.twitter.com/GefqAlesoz Jewish Voice for Peace (@jvplive) July 10, 2016 But most of those detained live in Louisiana and faced a single charge of obstructing a highway, sheriff's spokeswoman Casey Rayborn Hicks said. The trouble reached well beyond Louisiana. In Minnesota, authorities said 21 St Paul police officers and six state troopers were hurt and about 100 people were arrested late on Saturday and early Sunday during clashes over the police killing of Philando Castile. There was very little violence by comparison in Baton Rouge. "I can assure everyone we are hearing the protesters," the governor said. "We are listening to their voices. But I'm especially gratified that our citizens here in Louisiana, to a very large degree, have decided to protest in a constructive and peaceful manner." In Louisiana, Saturday's demonstration began at the convenience store where 37-year-old Alton Sterling was killed by police. Members of the New Black Panther Party for Self Defence called for the arrest of the officers involved in Mr Sterling's death. The Justice Department has launched a civil rights investigation. "These are human rights violations," Krystal Muhammad shouted to the crowd at the convenience store. "They are not operating as human beings. They are being predators on our communities across America." The list of those arrested released by the sheriff's office included two homeless people, and 18 are from out of state, including Mr Mckesson. The vast majority of the Louisiana residents were from the Baton Rouge and New Orleans areas. Kira Marrero, 21, of New Orleans, charged with obstructing a highway, said she did nothing of the sort. "I have no doubt in my mind that I did nothing wrong," said the 2015 graduate of Williams College in Massachusetts. Police are investigating the rape of an 18-year-old woman at T in the Park. The teenager was attacked on Thursday night in the festival campsite at the Strathallan Castle estate in Perthshire. A Police Scotland spokesman said: "Police are making inquiries into a report of a rape of an 18-year-old female on Thursday evening. "Our inquiries are ongoing." The rape investigation comes after police announced on Friday that two people had died at the site before any of the acts had taken to the stage. The 17-year-olds were named locally as Peter MacCallum, from Lochgilphead, Argyll, and Megan Bell, from the north of England. Police are looking at the possibility that the deaths were drug-related. Police are also appealing for information after a cash machine was stolen from the festival's main arena sometime between midnight on Thursday and 7am on Friday. The ATM contained a ''significant'' amount of cash, officers said, as they asked any witnesses to come forward. Police Scotland reported a total of 40 arrests over the weekend. T in the Park, Scotland's biggest music festival, drew to a close on Sunday night with Californian band the Red Hot Chili Peppers taking to the main stage. This year was the second time the festival has been held at Strathallan following a move from nearby Balado in 2015. Theresa May will become Britain's second female prime minister on Wednesday evening after David Cameron makes his final Commons appearance as premier. We are going to give people more control over their lives says Theresa May, pledging to "build a better Britain https://t.co/UeDiaaIsWS Mr Cameron hailed his successor as "strong and competent" as he announced he would go to Buckingham Palace to offer his resignation to the Queen following Prime Minister's Questions. Mrs May's appointment follows rival Andrea Leadsom's shock decision to pull out of the Tory leadership race. BREAKING: Andrea Leadsom withdraws from race to be Britain's Conservative leader https://t.co/brHbySwGed https://t.co/fO4W1SFaJF Bloomberg (@business) July 11, 2016 The British Prime Minister said he was "delighted" that the Home Secretary would succeed him in Downing Street. Mr Cameron will chair his last Cabinet meeting tomorrow morning and will take his final Prime Minister's Questions at noon on Wednesday. In a statement in Downing Street, he said: "We're not going to have a prolonged Conservative leadership election campaign. David Cameron announces his resignation and then sings a little ditty. "Right!" pic.twitter.com/yjUzu9IDx6 euronews (@euronews) July 11, 2016 "I think Andrea Leadsom has made absolutely the right decision to stand aside and it's clear Theresa May has the overwhelming support of the Conservative parliamentary party. "I'm also delighted that Theresa May will be the next prime minister. She is strong, she is competent, she is more than able to provide the leadership that our country is going to need in the years ahead and she will have my full support. "Obviously with these changes we now don't need to have a prolonged period of transition and so tomorrow I will chair my last Cabinet meeting, on Wednesday I will attend the House of Commons for Prime Minister's Questions, and then after that I expect to go to the Palace and offer my resignation. "So we will have a new prime minister in that building behind me by Wednesday evening." The leadership contest had been timetabled to run until September but was dramatically cut short after Mrs Leadsom announced she was withdrawing from the race. Conceding she had too little support among Tory MPs to deliver a "strong and stable government", the Energy Minister said she had concluded that "the interests of our country are best served by the immediate appointment of a strong and well-supported prime minister" and was offering Mrs May her "full support". Mrs May now faces demands from Labour, the Liberal Democrats and the Green party to call a snap general election, rather than waiting for the 2020 contest envisaged under Mr Cameron's fixed-term parliaments legislation. Labour election co-ordinator Jon Trickett said he was putting the whole party on general election footing, on the very day when its own leadership contest was kicked off by a formal challenge to Jeremy Corbyn from Angela Eagle. Mrs May set her face against a snap election when she launched her campaign for the Tory leadership, saying: "There should be no general election until 2020." But other parties are likely to remind her of Mr Cameron's comments when Gordon Brown succeeded Tony Blair without a vote in 2007. Calling for an immediate general election, the then leader of the opposition said: "Gordon Brown doesn't have the mandate, he wasn't elected as prime minister, and he should go to the country." Mr Trickett said: "It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected Prime Minister. "I am now putting the whole of the party on a general election footing. It is time for the Labour Party to unite and ensure the millions of people in the country left behind by the Tories' failed economic policies have the opportunity to elect a Labour government." The third iteration of the Karachi Biennale (KB) is slated to run between October 31 and November 13 across nine... LAHORE: The Lahore Electric Supply Company (Lesco) has declared city of Lahore load shedding free for the time being... For the economic development of any country, the vibrancy of its port city is of utmost importance. In its early ... BRUSSELS: Two EU chiefs on Monday congratulated Rishi Sunak on becoming Britains next prime minister while... TEHRAN: Iran shrugged off Sunday what it called US President Joe Bidens interference in the month-old protests... A Canberra public servant has been awarded $9 million in damages after he was left quadriplegic when his electric bike collided with a reversing car. Mohgamat Hendricks was found partly responsible for the crash which happened as he rode home from work in Belconnen the evening of January 20 in 2012. Mr Hendricks was travelling along a footpath in Latham when the Jeep Wrangler reversed in front of him and he cried out before he hit the the side of the vehicle. ACT Supreme Court documents stated the driver of the car, Walid El-Dik, had given evidence the impact was "pretty significant" and said to the rider immediately after the crash: "You were flying mate". Mr Hendricks, who was rendered a quadriplegic due to injuries sustained in the crash, attempted to sue the Mr El-Dik for $12 million in damages. The ACT government is pushing to re-establish a low-cost air route to Melbourne, and has announced it will hire a "commissioner for international engagement" to enhance Canberra's ties with cities abroad. It has been five years since the last budget carrier, Tigerair, flew from Melbourne to Canberra. jetstar Credit:Paul Rovere The airline, now owned by Virgin Australia, had been flying the route for roughly two-and-a-half years, carrying 140,000 passengers per year. But the service ended in August 2011, after Tiger was grounded by the Civil Aviation Safety Authority, leaving Qantas and Virgin as the only two airlines flying to Melbourne. Adelaide utility David Mackay has issued a warning to rest of the AFL that the red-hot Crows still have more improvement to come. Adelaide, the league's highest-scoring outfit, rose to third on the ladder after beating Carlton by 10 goals on Sunday at the MCG their seventh consecutive win. "In those seven games we have played some good footy but we still think there's a lot of improvement left in us, which is really exciting," Mackay told reporters on Monday. "We are still building and that is the exciting thing for us as a group. Prominent player agent Colin Young is among a group agitating for change among the AFL Agents Accreditation Board, but the governing body insists it should still retain power. Young made his feelings clear when he took to the floor at the recent annual agents conference in Melbourne. Battle: A group of agents is agitating for change on the AFL agents accreditation board. Credit:Getty Images "I think you need experienced agents along with two AFL Players Association delegates on the board as the industry has changed dramatically and I don't feel the AFL Players Association has the necessary expertise to govern this body, especially now that Ian Prendergast has left," he told Fairfax Media on Monday. His plans include the possibility of breaking away from the auspices of the AFLPA and having agents governed by their own independent body. But agents accreditation chairman Brett Murphy said the current set-up provided the required education programs and policing. The Australian Geographic retail chain is set to disappear within the next year unless a new owner is found for the loss-making venture. The 67 stores which specialise in educational toys, scientific gadgets, globes and telescopes was once generating close to $50 million in sales a year but have been hit hard by competition and online retailers. Melbourne's Myer family, which founded the department store of the same name, has owned Australian Geographic since 2007 through its investment vehicle but has decided to exit the venture, according to the Australian Financial Review. The family has offered the business to potential buyers without success, the AFR reports, leading to plans for it to be wound down. Negotiations for lease take-overs have reportedly suggested a March 2017 deadline. Workers, including Sydney cleaner Prashanta Shrestha, have won greater protection against unfair dismissal and loss of entitlements, according to the union that helped him and 18 others recover $70,000 in redundancy payments. The Fair Work Commission has awarded the cleaners entitlements they lost when transferred to a new company after their former employer lost a cleaning contract with two Sydney hotels. Prashanta Shrestha was one of 19 cleaners who received $70,000 in redundancy payments after winning their case in the Fair Work Commission. Credit:Peter Rae United Voice NSW secretary Mel Gatfield said the case was "hugely significant, not just for the 19 cleaners who've now received $70,000 in redundancy payments, but for the legal precedent it sets". "Workers who are transferred over to a new contractor now have greater protection from unfair dismissal, losing their parental leave, and being denied flexible work arrangements," she said. But unlike some American companies including shoe vendor Zappos, her management approach is not purely consensual. Zappos, owned by Amazon, has embraced a system called "holacracy" which replaces the management hierarchy with a democratic system of self-managed teams. "I'm not suggesting there is no leadership here, there has to be," Brittain-White says. "It is allowing people to express a view and then having someone who actually has to own the decision. "It is important to me ... to say to people you are not barred from this meeting, this is not about seniority and hierarchy. It is about getting things done." Australian companies including Retriever Communications avoid the extremes of human resources trends everybody runs the company at one end, brutal rankings and top-down management hierarchy at the other. When it comes to performance reviews, the focus for Brittain-White is on the quality of the conversation and setting career goals for the benefit of staff. "It is for the employee to feel they are getting the level of feedback they need, rather than the business needing it," she says. "The individual gets very frustrated in terms of not having a career path planned, not having a formalised assessment." The so-called "rank and yank" approach tested and ultimately abandoned by Microsoft, General Electric and other companies in recent years resulted in the bottom 10 per cent of employees being culled. While setting worker against worker might encourage competition between sales staff, Brittain-White believes it fails miserably when applied to creative types. "Conflict is not the best way to get the best out of engineers. What they are looking for is a more harmonious environment and encouragement. They need that," she said. Anxiety over job security and rankings breeds internal competition and in worst cases, gaming and cheating of the assessment system. Before founding her company, Brittain-White worked for many years in large corporations including IBM and Motorola in Silicon Valley. "I came from high sales and everyone was competing with the other bastard," she says. "In a smaller business like mine where you know everyone personally, I have made two people redundant in 20 years. We are desperate to find people with programming skills, we are trying to keep them, not get rid of them." The approach to human resources management in larger corporates was more brutal in the US, where employees are more easily sacked at will. In an apocryphal story, a former human resources manager at Netflix named Patty McCord reportedly convinced her boss Reed Hastings that he should re-evaluate everyone in the executive ranks by asking the question: would you hire the same person again today? Reed took McCord's advice to heart and used it to oust her from her job after 20 years in his service. Tighter regulation of unfair dismissal under workplace laws makes sackings more difficult in Australia. Even so, significant down-sizing in recent years has still led to brutal retrenchments. The rhetoric of retaining and developing staff talent operates in sharp contrast to the cold efficiency of downsizing. "You are valuable until a company decides it doesn't need you," says John Shields, professor of human resource management at the University of Sydney business school. "When it doesn't need you, it will move you out as quickly and clinically as possible." Since the global financial crisis, many companies have moved away from reward payments towards talent development and training. "The performance pay side of things really did take a hiding because of the way that executive rewards were exposed during the GFC," Shields says. The recent move away from performance reviews universally hated and often criticised as an empty ritual has surprisingly also led to employees becoming less engaged according to new research. Aaron McEwan from best practice company CEB, said its survey of 9500 employees and 300 heads of human resources managers found employees, particularly high performers, had become disengaged without performance reviews. The study of staff and managers at global companies including those operating in Australia found the move away from performance ratings resulted in a 28 per cent drop in the productivity of high performers. In tossing out the bureaucratic box-ticking exercise, the valuable conversation between employee and manager had also been sacrificed, leading to staff, particularly high performers, withering without constructive feedback, recognition, goal-setting or encouragement. Academics like Shields say the performance review format needed to be improved instead of jettisoned to protect the valuable time for a conversation between staff and managers. Roy Green, dean of the University of Technology Sydney business school, found Australia is lagging behind many other countries including the US and Japan when it comes to promoting workplace productivity and creativity. "We are not good in Australia at engaging talent and creativity in the workforce," he said. Harsh culling techniques used in company downsizing do little to encourage the talent and confidence of staff that remain. "They are characterised by a survivor syndrome in that they wonder why they are still there and will they be the next to go," Green says. "I think the evidence is now suggesting that a very authoritarian approach to managing and constructing your workforce is instilling approaches that are the very opposite of the kind you would like to see occur, which is greater collaboration, greater commitment to the ethos of the organisation, greater participation ... "If you have a workforce that is so alienated that they don't do these things, you are compromising the future success of your organisation." Performance reviews had become so "bureaucratic, over bearing and intrusive" in recent years that they had failed to give employees a greater sense of autonomy or enable them to participate in the innovation and growth of an organisation. The performance review had also become the proxy for ongoing dialogue with the workforce. "Performance reviews have a role as long as they are a servant and not a master of job performance," Professor Green says. "Some of the old ideas of authoritarian management are disappearing but we still have many managers who are not well trained for their roles, who are insecure and who feel they need to have control over everything." Professor of human resource management at the University of South Australia business school Carol Kulik says there were conflicting purposes of performance reviews, including rewarding high performers with higher pay and laying off the bottom performers. The administrative and punitive side of the reviews had stifled the candidness needed in genuine conversations that encouraged development and had led to some people trying to game the system. The "rank and yank" technique often created internal competition and conflict among staff. She says about 20 per cent of the Fortune 500 companies in the US had some form of forced distribution system which put employees on a bell curve. "A forced distribution system only works if you are going to have some reward or punishment to attach to the ratings. Otherwise you are creating turmoil for nothing," Kulik says. "We haven't seen forced distribution being that popular in Australia and part of the reason for that is because we've historically had such a strong centralised industrial relations system where a much smaller percentage of people's pay is based on individual performance. Most of it is based on the award rate." Companies like GE and Microsoft that had eliminated 10 per cent of staff saw good performers lost. Peter Freedman, the chief executive officer at RODE Microphones in Sydney, China and the US, says he avoids putting his 150 Australian staff through the hoops of "horribly confronting" performance ratings and has kept good employees for 10 years or longer "because that's how you get a good business because they know what they are doing". "What we do is ask them what the highlights of the year were and what they have achieved," he says. "If the company is doing really well, and we have had another cracker year, then I come out there and increase everybody's wages by 10 per cent. If you are doing well, why wouldn't you share it. "And that's a huge motivator, otherwise they see me driving around in my hot car and they aren't getting anything." The business also has a very flat management structure. "Everybody could articulate why we are doing what we are doing. And they are super proud how we are beating multi-billion dollar companies because we are fast," Freedman says. Between 13,000 and 17,000 healthy greyhounds are euthanised per year in Australia according to the RSPCA ; including around 7200 who are bred then deemed surplus to requirements. While adoptions assist in re-homing, they cannot cope with the thousands of unused greyhounds put out to pasture each year. This is in spite of the widespread animal cruelty and cheating via live baiting, revealed by ABC's Four Corners. If the Andrews government is serious about its intention to outlaw cruel breeding practices, it should put its money where its mouth is and extend that ban to greyhound racing. It is commendable that NSW Premier Mike Baird is taking on the greyhound gambling industry (whether or not some of that motivation is the lucrative land greyhound racetracks sit on). Meantime, more than 10,355 pet dogs were euthanised in Australia between 2012 and 2013, according to the RSPCA; 29,119 of them from Victoria. RSPCA Victoria also investigated 9372 cruelty complaints. Across the country more than 19,600 cats and 13,437 kinds of other domestic animals went to the giant animal farm in the sky, all because there were not enough homes for them to go to. In 2013 the state government introduced its intention to ban the sale of commercially bred puppies in Victorian pet stores. As of this month, pet stores are required to keep detailed records on all dogs and cats as a bid to increase consumer confidence that they're not being sourced by puppy mills or farms, where conditions can be poor, sometimes with inadequate access to veterinary care, food and running water. In some cases the animals aren't even allowed to exercise or socialise, confined only to a cage in which they live, eat, sleep, defecate and give birth, though they are barely able to move. The breeder females are permanently pregnant. The government says pet stores will soon be limited to only facilitating adoptions of animals from registered shelters or pounds but we have yet to hear when the deadline for that decision will be. The RSPCA says there are insufficient federal standards to adequately provide for the welfare and health of breeding dogs to ensure they are reared as companion animals only, not as profit centres. It's time for a consistent, enforceable ban on commercial breeding, both across the gambling and pet store industries. We're often told that anger is inappropriate and useless. It's unhealthy or even counter-productive. That's a myth. Anger is one of the most important emotions you can feel. You can embrace and make excellent use of it. Anger abounds right now. Anger on a global scale. Some people are angry that Pauline Hanson has picked up Senate seats. People are angry that Donald Trump is the Republican candidate. Others are angry about the perceived racist sentiment that Brexit has unearthed and given voice to. They are angry that black people are being killed by police. On the flip side, people are angry with the institutions that caused their frustrations: the EU; the two-party political structure; systems that have failed them; injustice. Anger is like size. It's what you do with it that counts. Credit:iStock The tribal dividing line between mainstream parties exasperates voters, hence the rise of the anti-politician. It's no wonder. Politicians are seen as the servants of powerful lobbies and interest groups who help them maintain their influence; not the servants of everyday people. If people feel ignored, their voices too quiet, their clout too powerless, their threat too toothless to effect any real change, they're going to do the only thing they are empowered to do: punish at the ballot box. Disillusionment is the useless emotion, not anger. Disillusionment leads to apathy. People do nothing because they refuse to believe one voice can make a difference. But the answer isn't more stagnation. Political gridlock has led to stalemate and impasse on issues such as marriage equality, asylum seeker policy, deficit reduction, climate change. Real change is down to us harnessing anger to galvanise and unite people. BOB COWPER SQUADRON LEADER, DFC, OAM 24.6.1922 - 21.6.2016 Squadron Leader Bob Cowper, who has died aged 93, is thought to have been the last surviving Australian fighter "ace" of the Second World War. Flying night fighters, he was credited with destroying at least six enemy aircraft. During the air operations to support the Allied landings in Normandy in June 1944, Cowper and his colleagues of No. 456 Squadron RAAF mounted standing patrols over the beachhead and in a few days accounted for 35 enemy aircraft. On the night of June 9/10, Cowper and his navigator, Flying Officer William Watson, were on patrol near Cherbourg when they attacked a Heinkel 177 bomber and damaged it so severely it was forced to crash land. Later in the sortie, they intercepted a Dornier 217 bomber and destroyed it near Beaumont. Bob Cowper, right, with veterans who returned to France for the 70th anniversary of the D-Day landings. Credit:Sahlan Hayes A few days later Watson picked up a contact on his radar and homed their Mosquito on to a Junkers Ju 88 bomber. He opened fire and hit the port engine, which soon caught fire, forcing the crew to bale out. The Cowper/Watson team achieved their fourth success on the night of July 4/5. They identified a Heinkel 77 attacking enemy shipping south of Selsey Bill and shot it down into the sea. Were one to construct a moot case study in mismanagement and opportunism for a university's first-year politics class, it would be hard to trump the situation surrounding Victoria's firefighters. Labor Premier Daniel Andrews' mismanagement has been astounding, Liberal Prime Minister Malcolm Turnbull's opportunism disingenuous. The community is left with a bizarre state of affairs. Some weeks ago, after more than three years of a dispute that essentially concerns an attempt by the union representing the Country Fire Authority's approximately 1000 professional firefighters to seize operational control of the 60,000 volunteers who protect the bulk of regional and rural Victoria, a resolution appeared imminent. But Mr Andrews intervened, effectively giving the union a right of veto over operational matters and infuriating the CFA. For reasons that remain a mystery and have sparked widespread speculation, Mr Andrews chose to champion a non-binding agreement by a member of the Fair Work Commission. In so doing, he utterly undermined his Emergency Services Minister, Jane Garrett, who had long held that the union's position was "outrageous". Predictably, she quit. The CFA board and chief executive have gone, too. So has the chief fire officer, who says the deal backed by Mr Andrews has the potential to undermine public safety. The CFA dispute has left the community with a bizarre state of affairs. Credit:Jessica Shapiro Enter Mr Turnbull, who was desperate to improve his flagging fortunes ahead of the election. His promise to protect the volunteers' interests played well, and some believe might have helped save some marginal Liberal seats. It is not at all clear that is the case, but it has left Mr Andrews open to the attack he might have cost Labor the election. Mr Turnbull has vowed to amend the Fair Work Act pretty much as soon as his diminished government limps back to Canberra. People could be forgiven for thinking Mr Turnbull is creating a diversion from the inglorious reality that the key industrial issue with which he justified calling a double dissolution election the reinstalment of the Australian Building and Construction Commission may well be poised for failure. He barely mentioned the ABCC throughout the lengthy campaign, even though it was supposed to be a touchstone topic. There is considerable doubt that Mr Turnbull can deliver. Even were he able to persuade Parliament to pass changes to the Fair Work Act to stop emergency services organisations making agreements and registering them under that law, the whole cunning plan could come unstuck in the High Court, because it arguably intrudes into responsibilities that under the constitution are the domain of the states. As The Age has already pointed out, the fundamental issues are not receiving adequate attention. The pre-eminent principle is maximising the protection of the community from fire. From that flows a second pivotal priority: maximising the safety of those who protect the community from fires. Labor claimed the West Australian seat of Cowan and these results took the Coalition to 76 seats, Labor to 67, with five MPs on the crossbench and two undecided. The Queensland seats of Flynn and Capricornia fell on Monday to the Coalition, meaning he will not have to rely on the lower house crossbench MPs to govern. Coalition hopes are rising that it will be able to claim the votes needed to re-establish the construction watchdog and set up a Registered Organisations commission, while Prime Minister Malcolm Turnbull finally won the 76 seats needed to form majority government. In the Senate, ABC election analyst Antony Green told Fairfax Media it was likely there would be 30 Coalition senators to be elected, 27 Labor senators, eight Greens senators, three Xenophon senators, three Hanson senators, and independents Jacqui Lambie and Derryn Hinch - with three Senate spots in NSW, Queensland and WA undecided. Malcolm Turnbull can say "I won fair and square". Credit:Peter Rae Coalition strategists had initially feared that re-establishing the Howard-era Australian Building and Construction Commission and setting up the Registered Organisations commission to monitor union governance, which provided the double dissolution trigger, would be more difficult because of the likely expansion of the Senate crossbench and the loss of about a dozen Coalition MPs in the lower house, But government insiders now believe they may be able to lock in at least 112 of the 114 votes needed and, depending on the results in the two undecided lower house seats of Hindmarsh and Herbert and three Senate seats, the bills are a good chance to pass in a joint sitting. Senator-elect Pauline Hanson and her two colleagues are likely to have a key say in deciding the fate of the laws. Opposition Leader Bill Shorten has demanded a revised Coalition agreement between the Liberal Party and Nationals be made public, a day after Deputy Prime Minister Barnaby Joyce said the conditions should be kept secret. On Sunday, Mr Joyce said the Nationals would "drive a hard bargain" with Mr Turnbull as the Coalition looked likely to scrape home with only 76 or 77 seats in the House of Representatives. "The first aspiration is the agreement remains confidential," Mr Joyce said. Industry Minister Christopher Pyne has condemned MPs speaking out against Malcolm Turnbull's authority after the Coalition's electoral collapse, saying anonymous quotes about the Prime Minister's leadership were cowardly. Speaking on ABC radio a day after Mr Turnbull declared victory in the July 2 election, Mr Pyne was asked about an unnamed conservative MP who warned the close result would see some conservative backbench MPs attempt to to dictate policy to Liberal moderates. "His theory was to win and win comfortably so the conservatives would all have to kneel at the altar of Malcolm Turnbull; well, I think someone else will be kneeling at the conservative altar now," the MP told News Corp. Outgoing senator Glenn Lazarus is demanding answers from the Australian Electoral Commission about alleged voting issues and questionable practices during the federal election. Mr Lazarus has posted on his Facebook page his four-page letter of complaint to the AEC outlining the issues and his questions. Glenn Lazarus appears likely to lose his seat in the Senate. Credit:Andrew Meares His demands include wanting to know how many people were marked off the roll without voting, how many polling booths ran out of ballot papers and whether the AEC delivered ballot papers to hospitals and aged care facilities. Mr Lazarus has said previously that he's been inundated with complaints about the July 2 election in which he is expected to lose his upper house seat. Whether he lasts as leader, and whether that term is a standard three-year stint, is largely in his hands. Astoundingly, no prime minister has served from flag to flag since 2004-07, and then John Howard was summarily ejected from Parliament itself. While electoral defeat has never been good for leaders' longevity, securing a win used to be enough to guarantee survival. Not any more. A debate is now raging about what sort of government Turnbull should lead in light of the thinly preferred margin handed to him by voters. One school of thought is that he should govern as if he has a majority of 20, loudly asserting his government's authority and insisting on obedience. Yet recent history shows independent and micro-party senators tend to be convinced of their own mandates, meaning Turnbull will more likely be condemned to hours of horse-trading and micro-management with an enlarged crossbench. Of course, there is always the opposition, which has already flagged support to wave through some so-called "zombie" savings in a bid to free up funds. Within Labor's constituency, however, the twin currents of revenge and schadenfreude are pressing on Bill Shorten to adopt the path of most resistance as payback for Tony Abbott's aggressive take-no-prisoners approach to the role against the Gillard government. There is also a powerful political incentive for doing so: successful governments tend to be re-elected. So it stands to reason that if Shorten assists the Turnbull operation to achieve policy reform, he is acting against his own chances of winning in 2019 or before. But Labor would run risks if it is too negative, especially if that is seen to reinforce nagging doubts in some quarters over its economic policy rigour. Credit rating agencies eager for budget repair and employers impatient for labour market reform are watching nervously. While the prospects for wrangling an eclectic crossbench aren't good, Labor treasury spokesman Chris Bowen has given both cause for optimism. "I think we'll deal with these [savings] matters as they arise, but we will not be engaged in the sort of wrecking-ball approach that Tony Abbott took for three years, that consistent negativity," he told the ABC's AM program. "Where we can work with the government, where we can work with the other parties in the Parliament, we will. I think the Australian people are looking for that sort of leadership. Labor is prepared to provide that." The Australian Industry Group's Innes Willox said his organisation would engage early with the new senators, arguing for their backing for measures that support "investment and growth". "We will need to work hard to ensure the minor-party and independent senators who are eventually elected understand the importance of the various policies we have put forward," he said. "Our key message to all parties, members and the media will be to stress the importance of the new government delivering leadership, stability and direction, and encouraging bipartisan support for important economic measures." Tom Hiddleston has given an awkward interview while spotted going for a run at Broadbeach, on the Gold Coast. The 35-year-old actor, who made headlines last week when he touched down in Australia with new girlfriend Taylor Swift, 26, to begin filming his new movie Thor: Ragnarok, did not appear to want to answer any questions about Swift, literally running away from a Channel Nine reporter who attempted to ask one. "How's Taylor enjoying the beautiful Gold Coast?" Nine reporter Hayley Webb asked the actor on Sunday, to which Hiddleston stuttered - or perhaps caught his breath - before eventually replying: "I'm not going to answer that, if that's alright." After being asked what he "and [his] girlfriend were looking forward to doing on the Gold Coast", Hiddleston seemed exasperated. Brisbane City Council will pursue the owners of an East Brisbane property after the character home on the block of land was demolished, despite being protected. While a private certifier had approved the demolition of a recent extension to the Stafford Street home on April 8, the council became aware of its complete demolition in May. Records show the property was sold to Nomad Investment Fund Pty Ltd in December for $913,000. City planning chairman Julian Simmonds said the council intended to take legal action against the property owner, which could see them fined up to $196,137. Police have found an 82-year-old woman who went missing from Brisbane's south-east safe and well on Monday afternoon. Earlier: Police are asking for the public to help find an older woman who was reported missing from Brisbane's south-east on Monday. Elizabeth Penfold has been missing since 7.30am on Monday morning. The woman, 82, was last seen at an address on Tryon Street at Upper Mount Gravatt about 7.30am and has not been seen since. Concerns are held for her welfare as she has a medical condition. Brisbane's "Barrett Centre community" is worried the Queensland Government will not honour its commitment to build a new "live-in adolescent mental health centre" to replace Queensland sole live-in adolescent mental health centre, the Barrett Centre at Wacol. They noted no money was allocated in the June state budget. Three former patients of the Barrett Adolescent Centre killed themselves in the months after its closure. Credit:Michelle Smith However Health Minister Cameron Dick on Monday night promised a new centre will be built. On Wednesday, families of young people who used the centre will hear the outcome of the nine-month inquiry into the previous government's decision to close the Barrett Centre in early 2014. Queensland greyhound owners and trainers - and maybe the dogs - can sleep easier after the government reaffirmed it was behind the sport. Racing Minister Grace Grace met with key stakeholders at Albion Park raceway on Monday to consolidate their support following the Baird New South Wales government's decision to ban greyhound racing in NSW from July 2017. Among the attendees at the hour-long meeting were Brisbane Greyhounds Racing boss Luke Gatehouse, Queensland Greyhound Breeders, Owners, Trainers Association president Brenton Wilson and Townsville Greyhound Racing Club president Gary Heath. Queensland greyhound racing has undergone massive changes following a report by Alan MacSporran QC last year in the wake of the live-baiting scandal. A luxury car dealership in north Queensland has suffered "major damage" after a fire broke out on Tuesday morning. It took half a dozen fire crews about two and a half hours to have the blaze extinguished at Townsville's Mike Carney Toyota Lexus dealership. Fire investigators were called in after the flames were put out about 9.30am. Acting fire station officer Clint Craperi told the ABC the showroom was heavily damaged. A police operation is under way in Melbourne's outer-east after a man's body was found in a creek. The man's body was reportedly spotted by a 13-year-old girl, near the local train station. The body was located in the creek near Brice Avenue, in Mooroolbark, soon after 2pm on Monday. Emergency services, including police search and rescue crews, were working to recover the body from the water on Monday night. Police say multiple offenders broke into a Point Cook home around this morning, 3AW is reporting. They grabbed a laptop and the keys to a BMW and a Mercedes from a home in Pasadena Circuit. The occupants of house did not know the cars were stolen until they heard the cars taking off. The thieves took off at excessively high speeds and causing police to abort a chase. The BMW, Mercedes, as well as a black Mazda 3, were last seen around the Forster Road and Monash Freeway interchange.ars. A suggestion by Victoria's most senior traffic police officer that the zero blood alcohol limit should be extended to all drivers under 26 has not been backed by the roads minister. The disagreement over the best ways to deal with road safety came as a stretch of road on one of Melbourne's busiest freeways became the first in Australia to trial dynamic speed limits. Young drivers 'are consistently over-represented in alcohol-related road trauma'. Credit:Jerry Galea Assistant Commissioner Doug Fryer used the 40th anniversary of the introduction of random breath testing in the state to start a discussion widening the drinking ban. "We know young drivers are at the highest risk on our roads and they are consistently over-represented in alcohol-related road trauma," Mr Fryer said on Monday. Melbourne's high-rise CBD construction boom will continue unabated despite the Andrews government's attempt to rein in its worst excesses, a leading planning academic has warned. And the state government has become so heavily dependent on the construction boom continuing that it now rakes in almost four times as much revenue from property taxes than it receives from gambling taxes, RMIT planning expert Michael Buxton says. Professor Buxton is among almost 100 planners, property owners, councils, heritage activists and city residents taking part in hearings starting on Tuesday into new rules for CBD building designs. Professor Buxton, in his submission to the hearings that will last for eight weeks, said the frenzy of high-rise tower approvals between 2012 and 2014 in particular represented "a 'time bomb' for the CBD, providing a huge reserve of poor quality towers". Four men once famed among Victoria's greyhound racing industry have indicated they will plead guilty to animal cruelty offences over the use of live rabbits and pigs to bait dogs to run faster. Lawyers for former trainer Anthony Mills, a one-time legend in greyhound racing, his son Stuart, fellow track manager Lawrence Cunningham, and Darren McDonald, a dual Australian trainer of the year, on Monday told Frankston Magistrates Court the men would all formally plead guilty next month. Greyhound trainer Anthony Mills at Frankston Magistrates Court on Monday. The four are among a group of 14 greyhound racing figures connected to a training track in Tooradin who were charged following raids by RSPCA investigators and police at tracks in Victoria, NSW and Queensland. The Victorian group are charged with offending between November 2014 and January last year. South Perth Council will meet on Monday night to again discuss the beleaguered luxury Lumiere high-rise proposed for prestigious Mill Point Road. When the Supreme Court overturned planning approval for the original 29-storey proposal, developer Edge Living was ready with another this time for 44 storeys. Lumiere's proponents say serviced apartments will bring employment to the area, but the council and residents are not convinced. Credit:Emma Young The appeal judge ruled the original didn't satisfy the requirements for a 50-50 commercial-residential mix. Edge solved that by adding 147 serviced apartments, classed as "commercial" space. He and his wife of seven years had never argued and he thought everything was fine. But one night earlier this year, they returned from a friend's engagement party and things 'hit the fan'. "My wife said to me, I can't relate to you, I don't love you any more, I don't want to be with you," he said. "She moved her stuff into the spare room. "It was harrowing." The couple conducted their next conversations in writing. He wrote her a long letter and left it on her pillow. She wrote an even longer one back, telling him that for the past 18 months, she'd felt as though she had been living with a stranger. The father of two young children said his high-pressure job had left him 'wound up' but he thought the best way to handle stress was not to bring it home. "While I spent time thinking about work I was neglecting my family ... drinking more than normal, in my own world, not realising it was transferring. Being a manly man, I didn't think I needed any help. "I had a massive falling out with a close bunch of friends and when it all blew up at home I was left with no friends and a wife on the verge of leaving." As the dust settled, each went to a counsellor. "In my sessions they said I wasn't really listening to her. I would fob things off when she was trying to talk to me about pressure and stress she was feeling," he said. "She was also told to communicate better. "So we started to talk every night once the kids were in bed. "I told her I would not walk away, but fight my way back to what we once had." Mr Ross was now "a hell of a lot more aware" of showing his love through physical and other gestures. "I realised I had not been doing much in the household so I now do a lot more cleaning and looking after kids and making sure they have lunches for day care, whereas before it didn't cross my mind," he said. "That's brought me closer to my kids as well. "I've also mended bridges with friends ... admitted I was in a bad place. It's hard for men. We are meant to be hunters, protectors. To get in touch with this stuff and admit mistakes is difficult." Sexologist and couples counsellor Amanda Lambros, from Curtin University's School of Occupational Therapy and Social Work, said relationships had changed over the decades. "Women are now in completely different roles than they were in the 1950s. Then, they were cooking, cleaning, looking after the kids and making sure there was dinner on the table," she said. "Now, families find it hard to get by on a single income. Women are working ... but there is still the expectation they will fulfil traditional roles. "They feel like they have the weight of the world on their shoulders. They can't ask for help and men, while willing to help, don't read the body language or hear the hints. "Everything gets swept under the carpet and resentment begins to build. Then finally, an eruption. Sexologist and relationships expert Amanda Lambros says a weekly 'traffic light conversation' is a good start to keep a marriage healthy. Credit:Cooper Studio "The husband has not seen it coming, while she thinks she has given him every opportunity." Ms Lambros said communication was a challenge for homosexual couples as well, though she found gay men were often more open to talking about issues than lesbian couples. Many waited a good 18 months to get help and when they sought it, already had "one foot out the door". People were not taught how to talk constructively, and were consequently bad at it. "They are all 'you, you, you'. They say, 'you never do this'. They need to learn how to turn language around, to say, 'I'm feeling like this'," she said. While sometimes they were temporarily enthused by a workshop or a book on the subject, good intentions dissolved quickly. She recommended people focus more on flexibility and compassion than what their "role" was, be willing both to ask for help and to give it, and to make time for relationship maintenance as they would a car service or haircut. She said people often reminisced about the early days in their relationship, and she would tell them to recreate those dates - without the kids. "They say babysitters are expensive. I tell them divorce is even more expensive." She recommended the 'traffic light' conversation to talk about what's going well and should continue (green), what needs watching (yellow) and what is not going well and needs to stop (red). "Once a week," she said, strictly. "Of course it's hard. It is a crazy amount of commitment. "But my view is that whatever effort you put into finding that person, put ten times that effort into keeping them. "People have very unrealistic expectations of marriage. They think it is going to be just like Hollywood and it should be easy. "But they have work, kids, bedtimes. They get through the daily grind and then they sit on the couch and think, I'm so exhausted, I just want to sit and watch TV. The last thing they want to do is work on their relationship. "But that is the perfect time to have a ten-minute conversation about what's green, yellow and red in your lives. Then you can watch TV. Or just go and have sex! "But it's the same deal. People think, I am just too tired to have sex right now. "Well, saying you're too tired is not going to make your relationship work." Marriage education certificates have been required by the Catholic church for about three years, Ms Lambros said, with a typical program covering roles and expectations, communication skills, problem solving and conflict resolution. But Ms Lambros said many Christian couples were now choosing civil celebrant marriages to avoid this step. The statistics would seem to back up the proposition that people will do just about anything to avoid talking about their relationship: the proportion of civil celebrant marriages increased again to 74.1 per cent of all marriages in 2014, an increase again from 72.5 per cent in 2013. A woman who attempted find her daughter's father says, despite a worldwide media storm, no Rodney has come forward. Kerryn-Leigh, 52, a jewellery designer based in Perth, started her search for Rodney earlier this year. She hoped her daughter, who was about to celebrate her 13th birthday, might finally know her father. A Facebook post by Kerryn-Leigh, who wanted to keep her family name private, was shared more than 13,000 times and and made international headlines. Major Crime Squad Detectives are calling for information over the death of a 48-year-old man who was found on Beaufort Street on Sunday morning. The man had been sleeping on the front steps of the "metrochurch" building, on the corner of Beaufort and Aberdeen streets, and was found to have significant injuries. The man is described as Caucasian, around 178cm tall with a medium build, grey collar length scraggly hair and a grey beard. It's believed he was in the area between 8pm and midnight on Saturday. Minneapolis: Police arrested dozens more protesters in Baton Rouge, Louisiana, on Sunday after authorities warned they would not tolerate violence during street demonstrations over the fatal police shootings of two black men. Photos and video posted on social media by witnesses and journalists showed protesters being arrested in different parts of the city by police officers who told the crowds they were no longer holding peaceful demonstrations or that they were blocking major thoroughfares. Louisiana media, citing Baton Rouge police, reported that at least 48 people were taken into custody after demonstrators clashed with police on Sunday evening local time following an earlier peaceful march to the state capitol. Calls for demonstrations to remain peaceful in Louisiana and Minnesota came as authorities grappled with a wave of protests against police use of force that has swept the country in the past week. Tokyo: Voters helped Prime Minister Shinzo Abe of Japan move closer to securing the lawmaker support he needs to revise a pacifist constitution that has been in place since US occupiers created it in 1947. Although national election results were incomplete, all major Japanese newspapers reported that the governing coalition and its allies had captured two-thirds of the seats in the upper house of Parliament on Sunday, the amount required to proceed with the constitutional revision. A final count was likely to be announced on Monday morning. Despite a weak economy and divided public opinion on the expanded role for Japan's military that Abe is seeking, exit polls by NHK, the country's public broadcaster, indicated that his Liberal Democrats and their allies had again won a commanding majority in the upper house. Something went wrong, please try again later. Invalid email Something went wrong, please try again later. Want the top crime stories from Bristol? Sign up for our new email updates on Crime & Punishment Teenagers said they were left disgusted after being given a "horrifying" leaflet titled 'R U Asking 4 It?' by police at a sexual consent talk. Pupils from the North Bristol Post 16 Centre attended the 'Only Yes Means Yes' event and left with the out of date leaflets branded "shocking". Police have since apologised for the brochure blunder, which left the talk "spiralling out of control" and needing teachers to step in. Kizzie Tims, 16, said she and nearly 50 of her classmate went to the talk and she said at one point she felt the need to stand up and explain that alcohol, nor clothing, caused raped - but rapists did. She added that many of the students became tearful and were shouting after feeling the police were "victim blaming". "The leaflet was about personal safety - robberies and muggings - but were not appropriate when taking about rape. "It was not the most professional talk and at this age we are very sensitive about these sorts of issues. "A lot of people began shouting and others got teary about it." Another 17-year-old boy who attended the event genuinely thought the leaflets were a "joke". "I personally could not believe my eyes when I received my leaflet, thinking it was somehow an ironic joke?," he said. "I was assured that it was in fact very real, much to my disgust. "Victim blaming arose rather quickly from the police, telling girls that they shouldn't drink on nights out and suggesting that it was more the victim's job to prevent the attack from happening. "The talk was full of intelligent strong minded 17 year olds who quickly raised concerns about this take." Police have since apologised for the talk and giving out the old leaflets. They emphasised it was now pushing a 'this is not an excuse campaign'. The leaflets are believed to date back to 2008 and were printed following a spate of attacks on the Clifton Down. "We're sorry this has happened. I will personally ensure a correct session is delivered to the students involved and have already arranged for the leaflets handed out, which are nearly ten years out of date, to be destroyed." Other students took to Twitter to express their anger over the talk and leaflets, which took place on Tuesday. Chief Inspector Marie Wright, force lead for rape and sexual assault, said: "Any suggestion that a victim of rape or sexual assault can ever, in any way, be to blame is totally unacceptable and is the exact opposite of the message we've worked so hard to get across through our 'This is not an excuse' campaign. "Our stance couldn't be clearer and the students were right to challenge the incorrect message. In this episode of Preacher, He Gone, we learn a little more about Jesses childhood, and the history he and Tulip share. Plus, Jesse faces several people questioning his actions, and Eugene is nowhere to be found. Comic-Con 2016: Friday Schedule Released for TV-Related Events >>> Little Jesses Prayer Im always fascinated by the flashbacks on Preacher, and this episode very much delivers in that area. Were treated to several more scenes featuring Little Jesse, but we also get a good dose of Little Tulip as well. Early on, we see Jesse and Tulip, as schoolchildren, waiting outside the principals office while Jesses dad talks to the administrator. When he comes out, he asks them why they fought several other students, which is the reason theyre in trouble. Jesse argues that his father taught him to stand up to bullies, which is what they were doing when they got into a fight that escalated into Tulip biting the nipple off of one boy. Yes, you read that correctly. Long story short, Jesses father quickly realizes that Tulip has no safe family to go home with, so she ends up sleeping on their couch for a while. The arrangement seems to be working out just fine, with Jesse and Tulip doing chores and homework accordingly and all that. But one day, Tulip overhears Mr. Custer speaking with someone on the phone about her. Next thing we know, the Texas Department of Human Services shows up. Despite Jesses impassioned protests and subsequent chasing of the car they arrived in, Tulip is taken away by two women who come to speak with her. It looks like they wont be together til the end of the world, as they had both promised each other. Jesse questions why she had to be taken away when she was being so good, and his father claims its because Tulip is an OHare and that there was always going to be trouble with her as a result. That night, Jesse prays for his friends safety and, still furious with his father, he prays for the mans death in the next breath. At some later point, Little Jesse is suddenly awoken by his father in the middle of the night, and John Custer instructs his son to hide under the bed. The next thing we see after Jesse conceals himself is two men breaking into the house and beating his father, before the two Custers end up outside, with John having a gun to his head. His father tells Jesse to be good and to not cry. Just as Jesse is screaming that this is all his fault because he prayed for it, his father is shot to death in front of him. A Dark Turn Jesses commitment to his promise, and to saving lost souls, likely stems from what happened to his father as a child. I think its safe to say that hes trying to make up for what he might perceive as his responsibility for his fathers death. But in this episode, he goes a little too far in his quest to rid the world of sin. Jesse goes through an entire church service after dooming Eugene to Hell and seems completely unconcerned with the young mans fate. Even when the Sheriff starts asking around about his son, the Preacher admits nothing. Later, Cassidy goes to him and tells him that he saw what happened to Eugene but rather than rail against him for his actions, he asks how he can help his friend with the situation. But because Emily is listening in, Jesse doesnt answer him and quickly leaves. When Tulip comes into the kitchen that Cassidy is left in not long after, they bicker a bit about their respective relationships with the preacher before Cassidy lets her know that he didnt tell Jesse about what happened between them. Tulip doesnt seem concerned and turns the whole thing around on Cassidy by asking him whether hes told Jesse about his true nature. They bicker a bit, with Tulip establishing that, despite his claims about Jesse being his best friend, Cassidy doesnt know him at all. He retaliates by saying that the other man can make people do things just by telling them to, but Tulip blows this off and says, Not me. But, of course, we all know thats not true. Quincannons Turnaround Or is it? Is Jesses power really as effective as he believes? After watching a rehearsal of a dramatization at the church about Lots wife in the Book of Genesis (Jesse suggests that the players need to seem more terrified of whats happening to them), hes called away to speak with Odin in another room. To his shock, Odin then presents Jesse with paperwork, signing over his land and the church to Quincannon and his company. Jesse says that that wasnt their agreement, that he made Odin into a Christian, but Odin calmly replies that hes no Christian. When Odin wont back down, Jesse refuses to sign anything, and Quincannon promises to return. So why didnt Jesses abilities work on Odin? Or did they but only temporarily? Did they ever work on the other man in the first place? Preacher Recap: Does Jesse Give Up His Power? >>> Im No Innocent Either Later, Cassidy and Emily are both outside the church before a dinner theyre both attending, along with Tulip and Jesse. They speak briefly, and Emily admits that she knows there are things she doesnt know about Jesse. But Cassidy reassures her that the preacher is a good bloke but it isnt long before we all end up questioning his opinion on that. At the dinner, theyre all pleasantly conversing when the Sheriff shows up. He lets them know that he cant find Eugene, and he had hoped his son was hanging around with the preacher like he often does. But Jesse completely denies even seeing Eugene, which Emily quickly refutes. She states that they definitely met before the church service. But when Jesse wont admit to this, she backtracks and claims that she also saw Eugene leave afterwards, which, as we know, simply isnt true. After walking the Sheriff to the car and seeing him off, Jesse is confronted by Cassidy, who whacks him with a fire extinguisher. Jesse admits that he didnt mean what happened to Eugene. But when Cassidy asks to help again, Jesse isnt inclined to help the man he damned at all. Jesse then tells Cassidy the truth about what happened with Eugene and Tracy Loach. Apparently, Tracy was a very popular, loved girl in the community, and when Eugene asked her out, she rejected him. His response was to put a gun to her head and blow half her head off, before turning the weapon on himself. So he explains to Cassidy that the boy is not so innocent after all. When Cassidy argues that that doesnt mean Eugene deserved to be damned, and that Genesis is completely messing with Jesses head, the preacher wont hear of it. He argues that its all part of the plan God has, which Cassidy denounces. Finally, Cassidy states that hes not innocent either, before tossing the fire extinguisher at Jesse, removing his clothes and catching fire in the Texas sun, since hes a vampire and all. Your Daddy Would Be Proud The next time we see Jesse, hes back inside with the fire extinguisher, facing questions from Emily and Tulip. But Tulip figures out very quickly exactly what happened Jesse let his friend burn after all. Jesse asks if they knew about Cassidy, which Tulip admits to but Emily is completely lost about. Tulip snarls at him that his father would be proud, and Jesse demands that she stop talking about him, before insulting her and the dinner she prepared for them. What are you even doing here? he asks. She replies, Good question, before leaving. Emily, the only one left in the room with the angry preacher, reassures him that she always believed in him. But rather than thanking or encouraging her, Jesse replies that that was stupid of her, before telling her to go home. She readily complies. Desperate Times, Extreme Measures Finally, the episode closes with Jesse literally ripping up floorboards in the church, before digging into the ground beneath it. Using his commanding ability, he desperately repeats, Come back! By the end of this episode, at least, its to no avail. Meanwhile, not far away, Quincannon suddenly approaches with a bulldozer, headed straight for the church. And hes not alone. With him is a small army of his employees, and it looks like theyre not about to leave without a fight. Preacher airs every Sunday night at 9pm on AMC. (Image courtesy of AMC) How do you turn a mosquitos genes on and off? The mosquito Anopheles gambiae, an important vector for malaria. Credit: James Gathany/Centers for Disease Control A new research project tackles this question, with possible implications for disease control Mosquitoes are responsible for hundreds of thousands of deaths each year. Although we know the sequence of the mosquito genome, we have little functional information about what much of that genome sequence does. Marc Halfon, professor of biochemistry University at Buffalo BUFFALO, N.Y. Scientists are using machine learning to identify important sequences of DNA within the mosquito genome that regulate how the insects cells develop and behave. The research project, funded by the National Institutes of Health (NIH), could have implications for disease control, potentially facilitating efforts to use genetic engineering to control mosquito populations, or to create mosquitoes that have reduced ability to transmit maladies, such as malaria, to humans. Our work will break new ground in the field of mosquito genomics and genetics, says Marc Halfon, PhD, professor of biochemistry in the Jacobs School of Medicine and Biomedical Sciences at the University at Buffalo. Mosquitoes are responsible for hundreds of thousands of deaths each year. Although we know the sequence of the mosquito genome, we have little functional information about what much of that genome sequence does. Our work will take important steps toward filling in this crucial missing information. It will demonstrate our ability to functionally annotate the regulatory elements within genomes of various insect disease vectors without requiring extensive and expensive new genome-scale experimental data for each. The project is funded by a $449,000 grant from the National Institute of Allergy and Infectious Diseases. It focuses on Anopheles gambiae, an important vector for malaria transmission. Using machine learning to interpret the mosquito genome A microscope image shows a four-segment section of the nervous system of an Aedes aegypti mosquito embryo. The dark purple indicates areas where a gene called short gastrulation is being expressed. Using a software tool called SCRMshaw, researchers were able to identify the DNA sequences that regulate this mosquito gene, as well as the sequences regulating its counterpart in the fruit fly. Credit: Molly Duman Scheel, Indiana University School of Medicine-South Bend Within the genome of every plant and animal, there are regulatory switches strings of DNA that control the behavior of genes, dictating when and where in the body different genes are turned on and off. These regulatory sequences matter because they can affect a species mating success and resistance to insecticides, Halfon says. In addition, regulatory mechanisms are crucial to genetic engineering of mosquitoes, in which researchers seek to control the expression of foreign or mutated genes introduced in a target animal. For over a decade, Halfon has worked with UBs Center for Computational Research to build a database called REDfly that contains more than 5,600 regulatory sequences for a different insect species, the fruit fly Drosophila melanogaster. Now, his team is leveraging this trove of information to learn more about regulatory mechanisms within the mosquito genome. With Saurabh Sinha, a computer scientist at the University of Illinois at Urbana-Champaign, Halfon developed a software called SCRMshaw that learns from the regulatory sequences within REDfly, then searches the genomes of other insects for strings of DNA with similarities. The software has successfully identified regulatory sequences in mosquitoes that look nothing like Drosophila sequences to the human eye, but that possess similar traits (such as containing a related assortment of short 3- to 6- letter DNA subsequences). Finding regulatory elements is hard traditionally, it has been done by tedious experimental work that examines one gene at a time, Halfon says. We wanted to know how you can do this faster: Just by looking at a DNA sequence, can you tell where the regulatory elements are? In at least some cases, the answer appears to be, Yes. Early implementation of SCRMshaw Using SCRMshaw in mosquitoes, Halfon, Sinha and colleagues were able to identify some of the regulatory sequences that may cause the activity of a network of genes to shift from the midline of the ventral nerve cord analogous to the human spinal cord to the lateral regions during the formation of the embryo of the mosquito Aedes aegypti, which transmits Zika, dengue fever and chikungunya. This work, published online June 21 in the journal Developmental Biology, highlights how SCRMshaw can pinpoint regulatory sequences in non-Drosophila species. It shows how we can use SCRMshaw to address interesting biological questions of development and evolution, Halfon says. The next step is to use the new NIH funding to conduct extensive discovery of regulatory elements within Anopheles gambiae. We will focus on trying to identify regulatory sequences most useful for understanding aspects of mosquito biology that are relevant to its role as a disease vector for instance, development of the salivary glands or the midgut, or olfaction or that could be useful for biocontrol methods, such as genes affecting reproduction, Halfon says. Once we have generated a high-confidence set of regulatory element predictions, we will test them in transgenic mosquitoes. The new NIH project is a collaboration between UB and the University of Maryland. The effort will be bolstered by continued development of the REDfly database, which is supported by a $1.2 million grant from the National Institute of General Medical Sciences, part of the NIH, and a $447,000 grant from the National Science Foundation. Founded in 1846, the Jacobs School of Medicine and Biomedical Sciences at the University at Buffalo is beginning a new chapter in its history with the largest medical education building under construction in the nation. The eight-story, 628,000-square-foot facility is scheduled to open in 2017. The new location puts superior medical education, clinical care and pioneering research in close proximity, anchoring Buffalos evolving comprehensive academic health center in a vibrant downtown setting. These new facilities will better enable the school to advance health and wellness across the life span for the people of New York and the world through research, clinical care and the education of tomorrows leaders in health care and biomedical sciences. The schools faculty and residents provide care for the communitys diverse populations through strong clinical partnerships and the schools practice plan, UBMD Physicians Group. Media Contact Information PATCO track tragedy took the lives of two family men NTSB: PATCO track 'had not been taken out of service' when workers were hit on Ben Franklin Bridge tracks INDIA'S WARS A Military History 1947-1971 Arjun Subramaniam Harper Collins 562 pages; Rs 799 The transition from British rule to independence is conventionally described as peaceful. This is true only insofar as there was no armed conflict with the erstwhile imperial power, for multitudes died in the Partition bloodbath and there was open war within two months of the "Brexit". The Indian three-wheeler industry, that has been clocking a 20 per cent growth in exports over the past decade, has now seen a reverse trend in the first quarter of this fiscal, when exports have dipped by 46.22 per cent. With volumes of around 940,000 units in FY15, India is positioned as the largest manufacturer as well as market for three wheelers globally. As per an ICRA report in March, over the past decade, FY2006 to FY2015, the Indian three wheeler has witnessed a compounded annual growth rate (CAGR) of 8.9 per cent driven by steadily rising exports as well as domestic demand. has signed a Memorandum of Understanding (MoU) with Stanford University for the Stanford South Asian Translational Heart Initiative (SAATHI) related to South Asians and Chronic Disease. The tie-up is to study the causes associated with cardiovascular risk in diabetic and pre-diabetic South Asians. The MoU is the first step towards a long-term partnership that is expected to develop and grow over time. The study will capture data on prediabetes and insulin resistance, help physicians understand early patterns of glycemic imbalance, help them understand epigenetic impact on genetically similar populations, and achieve targeted lifestyle and medical therapy. The collaboration will be expanded at a later stage to identify common factors or characteristics contributing to chronic vascular diseases in Massachusetts. The hospital major, quoting studies said that South Asians have four times higher rate of myocardial infraction (MI) and 40 per cent higher rate of mortality after first MI. The Coal India board on Monday decided to buy back 1.72 per cent of its shares by using Rs 3,650 crore from its reserves of more than Rs 38,000 crore. today announced the launch of its Android Skilling program in India that will aim to train two million mobile developers. unveiled a slew of initiatives to skill up, educate, and certify millions of students and developers in Android Development. announced the launch of a specially designed instructor led training program on Android Fundamentals. This will be made available across public and private universities and training institutes of the National Skill Development Corporation of India. The in-person training module integrated into the course curriculum will be introduced within this calendar year at no additional fee. Caesar Sengupta, VP, Product Management at Google said, "India is expected to have the largest developer population globally, overtaking the US, by 2018, with four million developers. But today only 25 per cent of developers are building for mobile. We believe India is uniquely placed to innovate and shape the Internet experience of billions of users who are and will come online on the mobile platform." The Android Fundamentals course will also be available, free of charge, on NPTEL (an initiative of the IITs and IISc) as part of its online Mobile Computing course starting 18th July 2016. Additionally, Google has tied up with training partners like Edureka, Koenig, Manipal Global, Simplilearn, Udacity and UpGrad who will operate as authorised Android Training Partners in India. Google will train their trainers and to update their Android courseware to prepare students for the Android Certification and a career in Android development. Google also announced the launch of its globally recognised job-oriented Associate Android Developer Certification-a performance-based exam that will help successful candidates to get an entry-level Android Developer jobs in the Industry. After training, aspiring candidates can log on to the Google Developer training website and take the certification exam priced at Rs 6,500. "We introduced the Android Nanodegree program in India last year with Udacity, and today, we have over 11,500 students in India enrolling every month in our Android courses. For university students we have now-for the first time-introduced a instructor led Android Fundamentals course to be incorporated into university curricula in India," said Peter Lubbers, Head of Google Developer Training. "We also realise that India has a huge base of developers seeking opportunities for employment. And for that, we are introducing a globally recognised, job-oriented Google Developer Certification. The certification sets a benchmark for the industry to evaluate developer capabilities and is mapped to an actual job already in the industry, as determined by job task analyses." he added. In addition to partnering with Universities, NPTEL and industry training partners, Google will also open-source all Android Developer Fundamentals practicals and courseware and make these available to everyone for free. Housing Development Finance Corp Ltd (HDFC) on Monday said it would issue rupee-denominated bonds worth Rs 2,000 crore, with an option to retain over-subscription of up to Rs 1,000 crore, to foreign investors outside the US. HDFC Realty, the real estate advisory arm of Housing Development Finance Corporation (HDFC), is planning to take its business to smaller cities via the broker aggregator route.The company offers real estate solutions across verticals such as residential, commercial, retail, valuation, land, and consultancy. The company will engage 2,500 brokers in Mumbai and Pune alone as part of its new model.It currently has more than 25,000 brokers associated with it all nationwide. "If we set up a large distribution network, with brokers in multiple cities, developers can market their projects in many cities, instead of restricting it to their home markets," said said Vikram Goel, CEO, . "As this will be a success-fee based engagement, and the broker gets the majority of the success fee for closing a property deal, it will also benefit the company which will not have to pay too much to acquire a lead and servicing it in an industry where conversion rate stands at 2%." The fact that Karsanbhai Patel, founder and chairman of Nirma, began his entrepreneurial journey in the late 1960s by making detergent powder in his backyard and selling door-to-door has become a corporate legend. Unlock 30+ premium stories daily hand-picked by our editors, across devices on browser and app. Full access to our intuitive epaper - clip, save, share articles from any device; newspaper archives from 2006. Curated newsletters on markets, personal finance, policy & politics, start-ups, technology, and more. Pick your 5 favourite companies, get a daily email with all news updates on them. 26 years of website archives. Hitting out at the Congress-led UPA for playing politics of convenience, Group chairman, Gautam Adani, said that the facts in their allegations were not in order. SirionLabs, a Gurgaon-based SaaS company, announced that it had raised $12.25 million in series B funding by Sequoia India, QualGro ASEAN Fund and Canopy Ventures. Sanish Mondkar, former executive vice president and chief product officer at SAP, joined its board. Medical technology firm has announced acquisition of 60 per cent stake in Turkish healthcare firm Bome Sanayi Urunleri Dis. Tic. Ltd Sti, which is into In-Vitro Diagnostic (IVD) device manufacturing. The company has invested five million euros in the acquisition. With this, Trivitron will have direct access to the Turkish, Middle Eastern, African, South and South East Asian Markets. With increasing stock in Karnataka, Vedanta has filed a writ petition in the high court, seeking permission to export. The Supreme Court had banned mining of ore in Karnataka in July 2011, following allegations of illegalities, resulting in large-scale environmental damage. In April 2013, while the apex court allowed resumption of mining, it enforced an annual cap of 30 million tonnes (mt). The exports were also banned. Ahmedabad-based pharma major has received the final approval from the USFDA to market Nateglinide tablets, a drug used in the management of Type 2 diabetes. The company today informed in a statement that it has received the nod from the US drug regulator to market the drug in strengths of 60 mg and 120 mg. The drug is an oral anti-diabetic agent used in the management of Type 2 diabetes mellitus and will be produced at the group's formulations manufacturing facility at the Pharma SEZ in Ahmedabad. The Shiv Sena on Monday asked the Centre to abandon plans to catch mafia dons like Dawood Ibrahim and Tiger Memon and instead book controversial Mumbai-based Islamic preacher . "Stop making announcements of dragging back Dawood or Tiger Memon from Pakistan...Now concentrate on Naik, this hidden enemy at home...Arrest him and throw him in the same cell as (hanged 26/11 Pakistani terrorist) Ajmal Kasab," the Sena said in an edit in the party mouthpiece Saamana. Naik is under the scanner following revelations that two of the five young militants who massacred 20 hostages, including an Indian, at a popular cafe in Bangladesh's capital Dhaka on July 1, drew their inspiration from his speeches. Mumbai-based Naik, who is expected to be back in the city this afternoon, has been facing the heat following reports that his alleged "provocative" speeches had inspired some of the militants who carried out Bangladesh's worst terror attack in a cafe in capital Dhaka. The NDA ally also likened Zakir Naik's "social work" to the activities of Jaish-e-Mohammed chief Masood Azhar. The preacher has dismissed the allegations against him. The party a coalition partner with ruling Bharatiya Janata Party (BJP) both at the centre and in Maharashtra said that until now the Intelligence Agency, Central Bureau of Investigation and police of Maharashtra-Goa are hounding the Sanatan Sanstha right-wing Hindu organisation. "The way fanatics like Pakistan-based Azhar Masood openly spew venom, people like carry out through their social work in the name of peace by covert means...Naik has been nurturing anti-nationals for the last several years and the lessons in peace preached by him have been unmasked after the Dhaka carnage," the Sena said in a stinging editorial in its moutpiece "Saamana". "What about the propaganda and literature material of Naik it is directly fuelling separatist elements in the country. It seems he is trying to become a messiah of the Muslims by pushing them into hell. A new type of unrest and 'Pakistan' is being created in India," the Sena said. It urged the BJP governments in the centre and state "to display guts" and ban Naik and his Peace TV network all over the world, and demolish his propaganda machinery, as it is because of such pople that Muslims were not able to join the mainstream. Bolstering its argument, the Sena recently said an Islamic State (ISIS) den was found in Hyderabad whose chief Ibrahim Yezda had confessed to attending Naik's "peace camp" for 10 days. "If this is the outcome of his 'peace camps'. then India is being weakned from such holes...The government can postpone its plans to bring back 'black money' from abroad, but first it must catch those who are funding Naik's peace initiatives and blow them off," the Sena urged. It also accused the Indian agencies of getting cold feet while taking action against Naik, though it has gone very enthusiastically after Sanatan Sanstha and others "Makes us wonder if its not Naik's diabolical mind working behind all this". The party urged the government to immediately arrest Naik upon his return from a tour abroad, when he is scheduled to arrive later in the day. Notably, the Bangladesh government banned the broadcast of Naik's Peace TV on Sunday, after reports that his "provocative" speeches inspired some of the Bangladeshi militants, who killed 22 people, mostly foreigners, at an upscale restaurant in Dhaka on July 1. The Delhi High Court on Monday restrained a section of public sector bank employees from going on strike from Tuesday to protest against the proposed merger of State Bank of India associates and the privatisation of IDBI Bank. A single super-spreader patient in an overcrowded emergency room transmitted the virus to 82 individuals over a period of three days, according to new study that maps the transmission of South Korea's first outbreak of the deadly virus. Tracing the movements of patients at a South Korean hospital has helped identify the case of highest transmission Middle East Respiratory Syndrome (MERS) virus from a single patient outside the Middle East. Since it was first identified in 2012, of Coronavirus (MERS-CoV) has spread to 27 countries. Patients develop severe acute respiratory illness with symptoms of fever, cough and shortness of breath. About 3-4 out of every 10 patients reported with MERS-CoV have died, most of whom had an underlying medical condition. Previous studies have suggested that the potential for MERS-CoV to spread to large numbers of people was low. In between May and July last year, there was a MERS-CoV outbreak in South Korea, where 186 cases were confirmed within two months. The 'index patient' (where the outbreak originated) was a man aged 68, otherwise known as Patient 1, who had travelled to Bahrain, the UAE, Saudi Arabia and Qatar between 18 April and 3 May last year, before returning to South Korea. He first visited the Samsung Medical Centre in Seoul on 17 May, and was isolated on 18 May under the suspicion of and finally diagnosed with MERS on 20 May. However, before arriving at Samsung Medical Centre, Patient 1 had already transmitted the virus to several individuals in other hospitals, including another man (Patient 14), aged 35 with whom he shared a ward. Patient 14 was admitted to Samsung Medical Center with no information on possible exposure to MERS-CoV on 27 May - and it was this patient who led to the hospital outbreak. The research team did a retrospective investigation of the outbreak at the hospital, including a review of closed-circuit security video footage and electronic medical records. A total of 1,576 people were estimated to have been exposed to Patient 14 in the emergency room and a total of 82 people - 33 patients, 8 health-care workers, and 41 visitors - were infected between 27-29 May. There were no confirmed cases of patients or visitors who visited the emergency room on 29 May, after Patient 14 had been isolated. In contrast, Patient 1 was in contact with 285 other patients and 193 health-care workers but no further transmissions occurred at the hospital between presenting to the emergency room on 17 May and being isolated on 18 May. However, Patient 1 had previously infected 28 other patients in another hospital. The difference in transmissibility between Patient 1 and Patient 14 could be caused by many factors such as time from onset of disease, symptoms, duration of contact, pattern of movement and the spread of the virus itself, researchers said. The study was published in the journal Lancet. Union minister on Monday took a dig at Pakistan for accusing India of committing human rights violations in Kashmir, saying it should worry more about such violations in Pakistan-occupied Kashmir (PoK) than the Valley. "They (Pakistan) should worry (more) about human rights violations in Pakistan-occupied Kashmir than Jammu and Kashmir. Kashmir is an internal matter of India," he told reporters here. Rijiju's comments came a day after Pakistan accused India of committing human rights violations in Kashmir following the killing of Hizbul Mujahideen leader Burhan Wani. Clashes continued in the Valley on Monday, even as two more people succumbed to injuries incurred in the violence over the weekend, bringing the number of those killed to 30. More than 250 are injured. India's southwest might cover the entire country within 48 hours, news agency Reuters reported on Monday quoting a senior India Meteorological Department (IMD) official. As on Monday, the southwest covered 90 per cent of the country's geographical area, leaving out some parts of western Rajasthan and Gujarat. Niti Aayog Vice-Chairman has also emerged as a contender to take over as the 24th governor of the Reserve Bank of India, just a day before the governments expected official announcement on the matter. Prime Minister Narendra Modi will return from his five-day, four-nation tour of Africa on Tuesday morning and an announcement on Raghuram Rajans successor at Mint Road will likely be made later in the day, Business Standard has learnt from senior government sources. He (Panagariya) is well liked and comes with highly respected credentials. He is a contender for sure, said a senior official. The official said an announcement is imminent, since the prime minister will be back in New Delhi on Tuesday morning. The government has made it clear that it would prefer an economist to take over from Rajan, which could make the case for Panagariya even stronger. Amid the expanding footprint of ISIS across the world, Prime Minister on Monday warned that "preachers of hate and violence" are threatening the fabric of the society and asked the youth to build a counter narrative to extremist ideologies. He also emphasised that those giving shelter to terrorists and using them as political instruments must be condemned, an apparent reference to Pakistan. Addressing the students at the University of Nairobi, Modi pitched for a world free from terror and hate, saying safety and security of people and societies is essential for realising the benefits of the economic progress. "Preachers of hate and violence are threatening the fabric of our society," he said. The Prime Minister's comments assume significance in view of the expanding footprint of ISIS, which is particularly attracting the youth through a campaign of radicalisation. A recent case in point was the attack in Bangladesh at a cafe by a group of six educated youth in which 22 people, mostly foreigners, were killed. Some of these six attackers were allegedly influenced by controversial preacher Zakir Naik, who gives sermons on a TV channel. Apprehensions of some Indian youth getting attracted towards ISIS is also gaining ground with 15 youngsters from Kerala going missing mysteriously. Modi, while speaking about developmental strides, said: "A steady march towards our economic goals is indeed a priority. But, we also cannot ignore the safety of our people." The Supreme Court on Monday allowed the group to sell properties other than those entrusted to the Securities and Exchange Board of India (Sebi) at up to 90 per cent of their respective circle rates. This would include two lists of 19 properties submitted to courts and other assets. A soldier was killed on Monday along the Line of Control (LoC) in Jammu and Kashmir's Kupwara district when the army battled guerrillas who tried to sneak in from Pakistan. Defence ministry sources told IANS here that a group of heavily armed terrorists tried to breach the LoC to sneak into India in Keran sector, triggering a fierce battle. "A soldier has been martyred in the ongoing operation," an official said, adding, "The infiltration bid has been foiled." Tripura and Arunachal Pradesh Governor Tathagatha Roy on Sunday lauded Bangladesh government's decision to ban controversial preacher Zakir Naik's Peace TV. "Bangladesh has banned Zakir Naik's highly peaceful Peace TV. Long live Sheikh Hasina. She acted as befits Bongobondhu's daughter," Roy tweeted. Bangladesh Prime Minister Sheikh Hasina is the daughter of Bangladesh founder Sheikh Mujibur Rahman, popularly known as "Bangobandhu (friend of the people of Bangladesh)". Earlier on Sunday, the Bangladesh government decided to close down Peace TV. Bangladesh Information Minister Hasanul Haq Inu said his ministry will evaluate the issue and adopt administrative measures to take the channel off air on Monday. "Peace TV is inconsistent with Muslim society, the Quran, Sunnah, Hadith, Bangladesh's Constitution, our culture, customs and rituals," Inu said. The ban came in the wake of the recent bloody terror attacks which rocked Bangladesh. For the infamous July 1 Dhaka siege, two of the seven young militants, who struck at an upscale cafe in Dhaka's diplomatic Gulshan enclave, were reportedly inspired by the Salafi tele-evangelist's speeches. The attack had claimed the lives of 22 people, including one Indian girl. In India also, several government agencies have started probe on the functioning of Peace TV and the controversial preacher . The Information and Broadcasting Minisry in an advisory to all state governments has also asked them to ensure that cable operators do not broadcast the TV channels like Peace TV that are not permitted to downlink in India. Unemployed youth preferably from Muslim community are being roped in by handlers of terror groups like ISIS and JMB to expand their networks in border districts of West Bengal. Jamaat-ul-Mujahideen Bangladesh (JMB) has been targeting unemployed youth and ISIS too could be following its tactics. The recent arrest of 25-year-old Mohammed Musiruddin has exposed the presence of the terror group in the state, particularly in the districts bordering Bangladesh including Burdwan, Murshidabad and Birbhum, a top CID official of West Bengal said on the condition of anonymity. The group has also spread its tentacles in different pockets of the city, the official said describing the Khagragarh blast in 2014 as a clinching proof of the existence of its bases in the state. Burdwan district entered the terror map in October 2014 when two suspected JMB terrorists were killed while making improvised explosive devices at a rented house at Khagragarh. In fact, NIA in its supplementary chargesheet in connection with the Khagragarh blast had claimed that JMB had been recruiting youths from the border districts of West Bengal. "Unemployed youths are the main targets of terror groups. JMB had been doing that and the ISIS has started the same process," the official said adding that this fact has again got a confirmation during the grilling of Musiruddin, who was part of the of recruitment network. "They have handlers who supervise one to two districts and keep a tab on educated but unemployed youths including girls in the age group of 16-30 years preferably from the Muslim community," he said. "The brainwashing starts from the first meeting which helps them convince the youth to join the group. And from there they are moved to the training camps in the bordering districts," the officer said referring to the arrest of a 19-year-old polytechnic student at Durgapur by NIA this March. The name of Ashique Ahmed, alias Raja, a resident of Hooghly's Dhaniakhali, had surfaced during an interrogation of one Abdus Sami Qasmi, who was arrested by the agency from Uttar Pradesh in February. The NIA sleuths, who had seized some documents from Ashique's ancestral home, were initially hesitant to arrest him as he was a teenager and had no criminal record. "This is another tactic to choose someone with no criminal records but has some education. Youths are told that they do not need to come to Syria to join the war actively but can initiate their own jihad from their native places," the officer said. "It is nothing but asking them to carry out 'lone-wolf' attacks which are very hard to stop until they are executed," he said, adding that these camps also worked as a fund-raising machinery too. The handlers, he said, communicate with their leaders mostly based either in Syria, Iraq or Bangladesh through the social media like Facebook and also via Whatsapp and other messengers. Musiruddin's mobile phone showed calls received and made to numbers in countries like Syria, Iraq and Bangladesh, apart from the App he was using to communicate to the leaders, the officer, who is part of the probe team, said. The transfer of 22.5 per cent developed land back to those affected by the Navi Mumbai International Airport, especially from 10 villages, is gathering a momentum. The green tax introduced by the Government of Kerala in its revised budget for 2016-17 gets a thumps up from the automobile dealers in the State. They feel that the policy decisions of the new government is in right direction, with emphasis on tourism and support to trading. "It (imposing green tax) is a good decision," says John K Paul, president of Kerala Automobile Dealers Association (KADA) and managing director of Popular Vehicles & Services Pvt Ltd. on Monday issued the interim orders restraining the staff and officers associations from going on strike against the proposed merger of associate with State Bank of India. All India Bank Employees Association (AIBEA) and the All India Bank Officers Association (AIBOA) have called for a two-day strike on Tuesday and Wednesday. " in a writ petition filed by the associate of India passed an interim order thereby restraining the associations including state sector bank employees association to go on the proposed strike on the aforesaid dates," State Bank of Hyderabad (SBH) said in a statement. Financial Services is set to enter the general industry as the 30th player. The company on Monday said the Regulatory and Development Authority of India (Irdai) has accepted its registration application (R1) for setting up a general company. The insurer, to be called General Insurance, will be its wholly owned subsidiary. Banquet speech by Prime Minister during his visit to Kenya (July 11, 2016) . Excellency, President Uhuru Kenyatta, . . Deputy President William Ruto, . . . . Members of the Cabinet . . Distinguished Guests . . Jambo, Namaskar, . . Thank you for such a warm welcome. . . I bring to you the greetings and good wishes of the 1.25 billion people of India. From over two millennia ago, the waters of the great Indian Ocean have facilitated the inter-mingling of our two peoples. We are maritime neighbours. . . Communities from the western shores of India, especially from my home State Gujarat, and the eastern coast of Africa have settled in each other's lands. In end 19th century, Indians came to Kenya during the colonial era to build the iconic Mombasa Uganda railway. Many of them stayed and contributed to the economic development of Kenya. Several joined the freedom struggle, and stood shoulder to shoulder with Mzee Jomo Kenyatta, the founding president of Kenya. I count Makhan Singh, Pio Gama Pinto, Chaman Lal, M.A Desai among others.Ancient links between societies have also enriched our cultures. The rich Swahili language includes many Hindi words. . . Indian cuisine is now an intrinsic part of Kenyan cuisine.Excellency, Last evening, you and I saw first-hand the affection and bonds of the Indian diaspora with Kenya.They are a strong bridge between the two countries.And, we value this joint heritage. I am happy to return to your beautiful country which I first visited in 2008. This visit may have been short but the outcomes have been significant.I have been able to rekindle our personal friendship which began in New Delhi in October 2015.In the last few hours, we have been able to impart new vigour and momentum to our long-standing relations:Our political understanding and commitment have deepened. . . We are ready to join hands with Kenya in fulfilling your development priorities: . . -In sectors of your choosing; and . . -at a speed that you prefer;And, be it: . . -agriculture or health care; . . - Needs of education, vocational education or training; . . -development of small businesses; . . - Renewable energy or power transmission; and . . -building of institutional strengths. . . Like in the past, our experiences and expertise are available for Kenya's benefit. . . Excellency, we have a thriving economic and commercial relationship. But, it is not a temporary or transactional relationship. It is a time-tested bond, built on the foundation of shared values and shared experiences. . . . . Friends, . . Both India and Kenya have young populations. Both cultures value education. Now is the time for skill development.As the Swahili proverb goes: "Elimubilaamali, kamantabilaasali" (Means: Knowledge without practice is like wax without honey).Kenya and India have always worked for world peace. We can also unite our efforts with other developing countries, not just for the well-being of the weak and the poor.But, also to help preserve our Mother Earth. . . We can learn from each other in the crucial area of conservation of natural assets. As Mzee Jomo Kenyatta said, "Our children may learn about heroes of the past. Our task is to make ourselves architects of the future". . . President Uhuru Kenyatta, Distinguished Guests,May I now raise a toast . . To the health and well- being of the President of Kenya, His Excellency President Uhuru Kenyatta; . . To the progress and prosperity of the people of Kenya, our Indian Ocean neighbours, . . To the everlasting friendship between the people of India and Kenya.. . . India has put up an impressive show at INNOPROM 2016, the largest annual international industrial trade fair of Russia. India is the Partner Country for INNOPROM 2016. India showcased its strengths in the area of manufacturing and engineering at the Opening Ceremony of INNOPROM 2016 on July 10, 2016. Leading the Indian delegation, Ms Nirmala Sitharaman, Minister of State (Independent Charge) for Commerce & Industry, delivered a welcome address followed by the cultural showcase from India. The other chief guests at the Opening Ceremony included Mr Denis Manturov, Minister of Trade and Industry of the Russian Federation and Mr Evgeny Kuyvashev, Governor of Russia's Sverdlovsk Region. . . Speaking on the occasion, Ms Nirmala Sitharaman, said, We are proud to be the Partner Country at INNOPROM 2016. The Indian companies participating at INNOPROM 2016 will highlight the strengths in the area of engineering and innovation under the Brand India Engineering campaign. There is a strong potential for growth in India-Russia bilateral trade. Be it high-end engineering, or manufacturing, India is moving towards being synonymous to quality, reliability & durability." . . Ms Nirmala Sitharaman also held a bilateral meeting with Minister of Trade & Industry, Russia- Mr. Denis Manturov and discussed various specifics to further strengthen India-Russia industrial & trading ties. She also participated in the India- Russia business forum where she stressed on strong business to business ties between India & Russia. The India- Russia business forum is expected to form business associations between various Indian & global firms. . . The formal inauguration of the India pavilion took place on July 11, 2016 by Ms Nirmala Sitharaman and Mr Denis Manturov in the presence of Mr Devendra Fadnavis, Chief Minister of Maharashtra, Mr N Chandrababu Naidu, Chief Minister of Andhra Pradesh, Ms Vasundhara Raje, Chief Minister of Rajasthan and various other important members from the Russian and Indian business community. . . The Indian exhibition at the Trade Fair covers an area of around 3,600 square metres. As many as 110 companies (spread in over 100 stands) are taking part in the technological and engineering trade fair, including the Department of Heavy Industries, Department of Electronics and Information Technology, Ministry of New and Renewable Energy, Bharat Forge, Sun Group, NTPC, NHPC and Ace Designers to name a few. . . Shri Jaswantsinh Sumanbhai Bhabhor today took over as new Minister of State in the Ministry of Tribal Affairs here in New Delhi. Soon after assuming his office Shri Bhabhor said he would try his best in accomplishing the expectations of the Prime Minister. The Minister said he will work for the overall development of the tribal community in the country especially in the areas of construction of roads, schools and other necessary infrastructure in the tribal belts. The Minister said he will also work for the conservation of tribal arts and culture simultaneously. . . Shri Jaswantsinh Sumanbhai Bhabhor is a sitting MP of Bhartiya Janta Party from Dahod, Gujarat. Born on 22nd August 1966 in Dasa, Distt. Dahod. He is graduate and with Agriculture and Animal husbandry as his profession. He entered to the politics in late 80s and became a member of Gujrat Vidhan Sabha in 1995. Before getting elected to Lok Sabha he was MLA till May 2014.He has been a member of different state level committees in Gujrat which includes the following:- . . Member, Gujarat Legislative Assembly (five terms), Member of Welfare Committee for Scheduled Tribes, General Secretary of Pradesh Tribal Morcha & Member of Pradesh Executive Committee, Chairman, Gujarat State Tribal Development Corporation, Deputy Minister of Food & Civil Supply, Govt. of Gujarat, State Minister for Health & Family Welfare, Govt. of Gujarat, President, Welfare Committee for Scheduled Tribes, State Minister for Forest & Environment, Govt. of Gujarat, President, Welfare Committee for Scheduled Tribes, State Minister for Forest & Environment, Govt. of Gujarat, State Minister for Tribal Development, Rural Development Labour & Employment, Govt. of Gujarat, State Minister for Tribal Development, Panchayat & Rural Housing, Govt. of Gujarat Member, Panchayat Raj Committee, Gujarat Vidhan Sabha Member, Scheduled Tribes Committee, Gujarat Vidhan Sabha, State Minister for Forest & Environment, Tribal Development, Govt. of Gujarat, Elected to 16th Lok Sabha, Member, Standing Committee on Social Justice and Empowerment Member, Consultative Committee, Ministry of Social Justice and Empowerment, Member, Rules Committee, Union Minister of State, Ministry of Tribal Affairs . . ST/jk President of India to visit Darjeeling from July 12 to 15 . The President of India, Shri Pranab Mukherjee will visit Darjeeling (West Bengal) from July 12 to 15, 2016. . . On July 12, 2016, the Government of West Bengal will host a state reception in honour of the President at Chowrasta (The Mall). . . On July 13, the President will attend the Birth Anniversary Celebrations of Shri Bhanubhakta Acharya which will also be held at the Chowrasta. On the same day, the Government of West Bengal will host a dinner in his honour. . . On July 14, 2016, the President will address the annual general meeting of Darjeeling Tea Association. . . The Minister for Civil Aviation, Shri Ashok Gajapathi Raju held a meeting today to review the functioning of Air India. During a presentation made by Air India, the CMD, Shri Ashwini Lohani explained the initiatives taken recently and the various challenges that the airline was facing in the domestic and international market. Aspects about the performance of Air India in comparison with other domestic airlines and the industry as a whole were analysed. Strategies for improvement of various parameters were also looked at. . . The Minister reviewed the status of monetisation by Air India so far and the hurdles if any. He keenly sought information on operationalization of MRO for advanced aircrafts at Nagpur and Hyderabad. The Minister reviewed Air Indias strategy to increase its market share of the domestic and international market as well as improve its financial performance by reducing losses in any segment of the company. The Civil Aviation Minister exhorted the officials of Air India to use the best IT practices to bring efficiency in the airline. . . The Minister promised Air India that the Ministry on its part would look into their demands and will provide all possible support. . . Shri J P Nadda, Union Minister of Health and Family Welfare chaired a high level meeting to review the preparedness of the Delhi administration and other stakeholders in prevention and control of Dengue in Delhi and the NCR, here today. Ministers of State (Health) Shri Faggan Singh Kulaste and Smt. Anupriya Patel were also present during the meeting along with Health Minister of Delhi Shri Satyender Jain, Mayors of the Delhi Corporations and senior offers of the Union Health Ministry and Delhi Government, officials from National Vector-Borne Disease Control Programme (NVBDCP), Medical Superintendents of Central Government hospitals such as AIIMS, Lady Hardinge Medical College and Safdurjung, National Centre for Disease Control (NCDC), CPWD etc. . . Taking stock of the situation, Shri Nadda was briefed on the ongoing preparations of the Health Ministry. Shri Nadda said that the Government has taken all necessary steps to prevent and combat dengue in the upcoming monsoon season. He stated the Ministry has already issued various advisories to the State Governments for its prevention and control. The Health Minister informed that in addition to 33 surveillance labs, 2 apex labs with advanced diagnostic facilities are adequately equipped to undertake Dengue tests. He further said that all State Governments have been advised to ensure price capping on dengue testing. . . Shri Nadda stated that the Union Health Ministry has already provided all logistical and technical support to the Delhi Government in terms of surveillance and diagnostic kits to strengthen their efforts. The Health Minister was informed that adequate number of beds is earmarked for Dengue patients in the Central Government hospitals. Apart from this, testing kits were also reported to be present in adequate numbers. He assured all necessary support to the Delhi Government for prevention and management of Dengue. Noting that community participation and empowerment are the most crucial areas in Dengue prevention, Shri Nadda urged all the stakeholders to start rigorous awareness campaigns regarding the preventive steps to be taken by people and communities. He highlighted the importance of creating awareness about the measures to be taken by families and communities to prevent dengue. The Minister directed the MS of all Central Government Hospitals to ensure that their premises are free of dengue mosquitoes. . . Shri B P Sharma, Secretary (Health); Shri K B Agarwal, AS (Health) and senior officials from the health Ministry were also present in the meeting. . . The Minister of State for Home Affairs, Shri Kiren Rijiju inaugurated the two weeks' BOP Training Module of Sashastra Seema Bal (SSB) through video conferencing here today. This Training Module is being conducted simultaneously at 270 Company locations in which personnel of 625 BOPs are participating. . . Speaking on the occasion, Shri Kiren Rijiju expressed his happiness that a new chapter has been added to the historic role of SSB. He said that the country is getting security and peace due to services provided by the SSB and other security forces. He further said that SSB has transformed into not only a border guarding force, but also a specialized force. The MoS also said that SSB provides various other services such as VIP security and services in LWE-affected areas. . . Shri Kiren Rijiju also said that the task of SSB becomes the more difficult in case of porous borders with some neighbouring countries like Nepal and Bhutan, where there is no fencing. He said that he himself has personally visited BOPs and seen how SSB jawans work in diverse situation to protect the borders. The SSB has come up as a fully professional force, he added. He also appreciated the SSB for their active role in social services also. . . The MoS wished that this training module will benefit all SSB personnel and this BOP module will be very useful in imparting professional training to the jawans, he added. . . While giving the details of BOP Training Module, Smt. Archana Ramasundararn, DG, SSB apprised that the module has been designed to refresh the critical learning elements with an aim to increase overall effectiveness and professionalism of the force. To make the module easy to understand and comprehend, the contents have been prepared in Hindi and are more of audio-visual nature. Services of various agencies like Wildlife Crime Control Bureau (WCCB), Narcotics Control Bureau (NCB), National Investigation Agency (NIA) and NGOs working in the SSB's operational areas of responsibility have also been taken to make this module more fruitful and professionally enriching. She further added that all the Battalions personnel deployed along the borders will be able to attend this BOP Training Module while discharging their routine operational duties. . . She said that training is very important as it turns a common man into a disciplined soldier. She also said that emphasis has been given on various aspects such as soft skills, stress management, gender sensitivity and health. Several case studies have also been included in the training module, she added. . . SSB has been mandated to guard the Indo-Nepal and Indo-Bhutan borders. Nepal border touches five Indian states with a total length of 1751 kms, whereas Bhutan shares its boundary with 4 Indian States with a total length of 699 kms. In-order to prepare its personnel to meet the perspective goals set for the organization, SSB has established 11 approved and 6 additional training centres. These training centres conduct basic/induction, specialized and in-service promotional courses. There are 16 different Induction, 30 promotional and 55 in-service and specialized courses. The specialized and in-service courses are also offered to other CAPF and State Police through BPR&D. In the last seven years, SSB has trained 71 officers from Nepal, Armed/Police Force and Bangladesh Police. Presently 1300 recruits from Rajasthan Police are undergoing training at two Recruit Centres i.e. RTC Bhopal & Gorakhpur. . . On the occasion, MoS Shri Kiren Rijiju released a Handbook for BOPs. . . Senior officers of SSB and Ministry of Home Affairs (MHA) and a large number of SSB officers and personnel deployed all along Indo-Nepal and Indo-Bhutan borders witnessed the launching of programme from 49 locations. . . Expressing gratitude for the teachers who taught him and emphasizing the role of a teacher in nation building, Union Minister for Human Resources development Mr. Prakash Javadekar honored educationists, teachers and gurus at a function at Fergusson college in Pune on Sunday. "I am saluting all teachers of the country when I am felicitating my teachers", he said at Guru Pranaam function. . . Recalling the contribution of his own teachers in adding value to his life the Minister urged teachers to make education interesting and not dragging. . . Teachers can transform Indian education. The Government trusts the ability of teachers. Many teachers in the country are already doing a transformational job. But all teachers must be part of this mission," he said, adding if they(teachers) are determined they can ensure quality of education". There will be appreciation of efforts by them and there will be accountability", the Minister said. . . He said that Maharashtra has a tradition of reformers like Gopal Krishna Gokhale, B.R Ambedkar, Mahatma Phule, Gopal Ganesh Agarkar, Lokmanya Tilak, Maharishi Karve, Karmaveer Bhaurao Patil and Punjabrao Deshmukh who emphasized education. Mr. Javadekar said that teachers should encourage the students to dream big and impart life skills. . . Citing an example on how teachers can transform the education system, Shri Javadekar talked about his experiment in Paldev village in Satna district in the border of MP and UP in the Bundelkhand region. In a village school the result of class 12 was just 28% . When the village was adopted under the Sansad Aadarsh Gram Yojna Shri Javadekar took all the teachers in confidence and motivated them. As a result there was a phenomenal increase in pass percentage from 28% to 82% in 7 months. It is the teachers zeal that can change results .The Minister expressed confidence that teachers will participate with enthusiasm in a mission mode to improve quality of education. . . At the well attended function, the Minister felicitated among others former teacher at Maharashtra Education Society Sh. P.L Gawade, eminent author D.M Mirasdar, eminent scientist Dr. R.A Mashelkar, educationists Sharad Wagh, P.C Shejwalker, Dada Puntamberkar, Dr. S.N Navalgundkar and Dr. Wani. . . Text of the PMs address at India-Kenya Business Forum in Nairobi (July 11, 2016) Your Excellency Uhuru Kenyatta, President of Kenya; . Cabinet Secretaries; . Chairmen of various Chambers and associations of Commerce & Industry; . Friends from the Business Community of Kenya and India; . . Ladies and Gentlemen! . . I am happy to be with you on my first visit to Kenya as Prime Minister. Indians in general, and Gujaratis in particular, have had their second home in Kenya. So, from that perspective, I am really late in visiting this great country. There has been a long gap of nearly 35 years for the visit of Indian Prime Minister. Though at a personal level, I was able to maintain engagements with Kenya. As Chief Minister of Gujarat, I visited Kenya in 2008. We also received delegations in Gujarat from here. . . At the outset, I must compliment the people and leadership of Kenya for overall development and stability since independence. Kenyas economy and its infrastructure make it an influential player in the region. You are the only developing country which hosts the headquarters of UN agencies. . . Friends! . . India and Kenya have had a very special relationship. . . Thousands of Indians were brought here to build railways in 19th and 20th centuries; . Mahatma Gandhi has been a common inspiration for the Struggle against colonialism; . Indian banks have been operating in Kenya since 1953. . The first cuttings of the famous tea plantations of Kericho came from our Assam state. . In addition to being loyal citizens, Indians are also the third largest group of tourists to Kenya. . Your scholars and students consider India as a very comfortable place to live, learn and work; . No wonder, many words in Swahili language have their origin in Indian languages; . Both countries have had very old maritime contacts over the Indian Ocean; . Many Indian companies have made Kenya their base to operate in the entire East Africa. . . Mr. President, I am aware that your government has laid great emphasis on the use of solar energy in daily life. We are also working on an ambitious renewable energy programme. India and Kenya are blessed by sufficient solar radiation. Solar energy is a real solution for global warming. It is also a good strategy for providing electricity to remote villages and hamlets. We look forward to work together on this front under the International Solar Alliance. . . Similarly, health sector is another area of great interest to both sides. We are happy that thousands of Kenyan patients have returned from India after a successful treatment. I understand that Kenya would like to replicate the Indian experience in Medicare and become a medical hub in the region. We would be happy to support you in this process. . . These are just a few indicators of the depth of our relationship and the potentials for co-operation. . . Friends! . . Our predicament of the past is same; . Our problems of the present are same; . We have had common enemies; . Earlier it was colonialism; . Now it is poverty. . . So, as we worked together in the past; we have to work together even now. Like Kenya, India is also a young country. Your workforce is sought after in Africa. Similarly, the Indian work force is sought after in the entire world. We can do a lot together to nurture and train this human capital which can serve the whole world. . . Today, India is the fastest growing large economy of the world. We are not only having a high growth rate; we are also going up in various global indicators. . . We have jumped 12 ranks in the latest global ranking on Ease of doing business by the World Bank. . India has also jumped 16 places on the World Economic Forums global competitive index. . . Friends! . . India has changed a lot in last two years. We are now the most preferred destination for FDI. In the year of 2015, we have received highest ever FDI. And for you the good is that India is the largest trading partner of Kenya. India is also the second largest investor here. We will be happy to further remove the imbalance in trade between us. We will also be happy to enhance the level of investment in Kenya. . . I am here to assure the people and Government of Kenya that India stands by you. Our friendship is only a win-win game. Whether it is Kenyan students in India; or Indian professionals in Kenya- both should shine. Whether it is mines, minerals or machines; all are rewarding areas. We should jointly explore the opportunities. Various initiatives during my visit including this meeting are indicators in that direction. . . Friends! I assure Kenya that India will always work to strengthen your processes and enrich your resources. . . You may be knowing that we have launched Make in India campaign; . To make India a global Manufacturing hub; . Similarly, you have launched Make it Kenya; . To promote business and holiday opportunities; . In fact, the two can become complimentary . Since our commitment is; . To make the future of the people; . And since the people are largely the same; . Sweat of Indians has cemented the foundation of this nation . Indian blood has colored it's wings of freedom . It doesnt matter whether we . Make in Kenya . Or . Make in India. . . And Friends! . . As a demonstration of our commitment for Make it Kenya; . . We have printed a guide book on Doing Business in Kenya. . . This is for guiding the Indian Investors to invest here. . . It is my pleasure to present the book to His Excellency the President of Kenya. . . Thank You! . . An emboldened called on Monday for debate on Japan's pacifist constitution, which he said it was his "duty" to revise after scoring a strong win in weekend elections. Voters backed the hawkish prime minister, despite a lacklustre economic performance, handing his Liberal Democratic Party and its allies control of more than half of the upper house of parliament. Analysts say with the support of small nationalist parties, Abe may now have the numbers to push through a change to the constitutional bar on Japanese troops waging war. "We have always set a goal of revising the constitution...That is my duty as president," Abe said. "But the party does not have more than two-thirds of seats in both chambers by itself, so I don't expect the draft would pass as it is," he said, referring to the parliament's lower house as well, and suggesting compromise was needed. "So I hope debate will steadily deepen." Japan's constitution, imposed by occupying United States forces after World War II, prohibits the use of aggression to solve conflicts. The provisions are popular in the public at large, but reviled by rightwingers like Abe, who see them as outdated and punitive. The LDP's own draft amendment plan calls for keeping the war-renouncing spirit of the constitution, but wants to remove language it sees as infringing on the country's means to defend itself. Unofficial results from Sunday's vote compiled by media show the LDP and its Buddhist-backed allies, Komeito, now occupy more than half - at least 147 - of the seats in the upper chamber of parliament. Full official results are expected on Tuesday. With backing from fringe parties that also favour consitutional change, Abe could now have the two-thirds majority that he needs in both houses to push through any proposal to amend the country's basic law. However, observers point out that corralling support for a revision from its coalition partner Komeito which has traditionally shied away from nationalist posturing could be difficult. And the proposal would still face a referendum, with pollsters saying the vast majority of the public are wary of any softening of the country's pacifist stance. Australia's Prime Minister Malcolm Turnbull has on Monday returned to capital Canberra to decide on his Cabinet ministers for the 45th Parliament, after he secured victory in the 2016 federal election. Turnbull proclaimed victory on Sunday, Xinhua news agency reported. However, before Turnbull can be sworn into Parliament, he must decide on which ministers will take up cabinet positions, after three cabinet members were not re-elected at the election. Turnbull said there would likely be "many new and younger faces" in the new-look coalition government, to be decided on over the next week. "Regrettably, several ministers have not been returned and so there will be some changes," Turnbull said on Monday. Also prior to the swearing in ceremony, Turnbull must negotiate a new coalition deal with Nationals leader Barnaby Joyce. The Nationals are expected to be in a better bargaining position for cabinet positions after they secured a higher than expected percentage of seats in the lower house at the election. It is expected that Liberal and National MPs will meet in Canberra on July 18, and will be sworn in once Governer-General Peter Cosgrove returns from an official visit to France next week. Debate has continued to rage over the future prospect of implementing an online or electronic voting system for future election; counting continues in five seats which are determined too close to call. Prime Minister Turnbull said he has been "an advocate of electronic voting for a long time", while Labour Leader Bill Shorten said it is unacceptable to not have a clear result in the election eight days after voters went to the polls. "We're a grown up democracy - it shouldn't be taking eight days to find out who won and who lost," Shorten said over the weekend, "We can't afford to let Australia drift for eight days after an election." The elections took place on July 2. President and former President George W Bush are slated to address an interfaith memorial service in Dallas on Tuesday for the five police officers who were killed in a sniper attack last week. Obama will speak on Tuesday during an interfaith memorial service at the Morton H Meyerson Symphony Center. He is visiting on invitation of the mayor of Dallas, the White House said. The President was overseas in Poland at the time of the deadly attack, and will depart from Rota, Spain after a short visit with troops at the naval station there, the White House says. Obama will return to Washington Monday night, a day earlier than planned, skipping a stop in Seville. Fourteen people, including 12 police officers, were shot by a lone gunman on Thursday night after a peaceful protest against officer-involved violence around the country, officials said on Friday. Five of those officers were killed. Obama condemned the sniper-style shootings of Dallas police officers Friday, calling the assault a "vicious, calculated and despicable attack on law enforcement. Cambodian Prime Minister Hun Sen on Monday ordered authorities to launch a thorough probe into the killing of a prominent political analyst. Kem Ley, 46, director of the Organisation for Social Development, was shot dead on Sunday near a gas station in capital Phnom Penh, Xinhua news agency reported. "I order the authorities to find out the perpetrator(s) and accomplice(s) in order to bring them for prosecution in accordance with the law," the Prime Minister said. He added, "This severely affects the reputation of the government." He strongly condemned the brutal act and also declared a large-scale campaign to crack down on all crimes in order to strengthen security and social order. Meanwhile, National Police spokesman Kirt Chantharith said that a 38-year-old male suspect, whose shirt was stained with blood, was arrested with a Glock pistol shortly after the crime. He said the suspect confessed to the crime, saying that he got furious with Kem Ley, who owed him $3,000, but did not repay him. However, the suspect's confession was not taken into account. Police agencies across the US are on edge and on guard after receiving threats and calls for violence against them on social media in the aftermath of the killings of two black men and the sniper attack that left five officers dead in Dallas. Some departments ordered officers to pair up or more generally said they were heightening security. Authorities have said the Dallas gunman, who also wounded seven other officers and two civilians, wanted to "exterminate" whites in the aftermath of the killings of Philando Castile in Minnesota and Alton Sterling in Louisiana. The man who killed one person and wounded three including an officer in Tennessee apparently told investigators he was motivated by the recent killings of black men by police. Since then, threats ranging from generic promises of violence to specific video postings have been made, only heightening fears of further attacks. In Dallas, authorities received an anonymous threat Saturday, prompting police to tighten up security. Officers swarmed the department's headquarters, searching for a reported suspicious person in a garage before finally issuing an all-clear. While some threats have been unspecific and not credible, other promises of violence have been more targeted. In Louisiana, a man was accused of posting a video online showing him in his vehicle behind a police car, saying he wanted to shoot and kill an officer. Police say Kemonte Gilmore flashes a handgun in the video and talks about the slayings of Castile and Sterling. Police also say a Wisconsin man posted calls on social media for black men to gun down white officers, and a woman in Illinois is accused of threatening in an online video to shoot and kill any officer who pulled her over. In Mississippi, Waveland Police Chief David Allen was responding to threats of gunfire attacks that were phoned in and announced on social media. "No problems have yet materialised, thank heavens," Waveland Police Chief David Allen said in an email last night to The Associated Press. "While we haven't made any arrests, we are running down numerous leads given to us by the public. We been supplementing our shifts with more people and going to calls in groups. The outpouring of offers for help and shows of support from the public has really energised my entire department. At least in my area, police-public relations are strong and healthy," he said. FARC rebels in have clashed with government forces in the centre of the country, Defence Minister Luis Carlos Villegas has said, as the two sides push to finalise a historic peace deal. "This took place 48 hours ago in La Uribe. A FARC patrol unit came upon an army rapid response team patrol and engaged," Villegas said yesterday. The injured rebels were members of a delegation that was due to travel to the ongoing peace talks being held in Havana. The violence comes as a discouraging sign as the government and rebels are thought to be close to ending five decades of civil war. Israel's Attorney General Avichai Mandelblit announced on Sunday that he had ordered to open an initial probe amid criminal allegations against Israel's Prime Minister . The attorney general did not specify the nature of the probe, and stressed the examination is an initial probe and not a criminal investigation, according to Xinhua. "I wish to inform you that in light of information received regarding affairs that pertain to the prime minister, among ...the attorney general has conducted a number of discussions... decided to instruct that an examination of the matter be opened," the Attorney General's office said in a statement. The statement also said that recent reports by Israeli media outlets on the matter were not accurate. On Friday, Channel 2 news reported that the new affair involved the transfer of large sums of money to Netanyahu's family member for non-political purposes. Channel 10 news reported that Netanyahu and a senior official in the judiciary establishment were involved in a corruption affair, possibly involving money laundering. "In recent days, many reports -- which are inaccurate, to say the least -- have been published in the media. Naturally, we will not be able to refer to these reports or to elaborate as to the process of the examination at this stage," the statement added. A spokesperson for the prime minister said in a statement to the press that allegations against the prime minister are not found. "As was the case in previous affairs, when things that turned out to be baseless were attributed to Netanyahu, there will be nothing here as well, because there is nothing," the statement said. This is not the first time Prime Minister Netanyahu and his wife have allegations surface against them. Israeli authorities have embarked last month on investigating Netanyahu's alleged connections with French businessman Arnaut Mimran, who was recently found guilty by a French court over a massive fraud case. The attorney general ordered to open a probe into the French businessman's claim, made during the trial, that he gave Prime Minister Netanyahu about one million euros ($1.1 million) in 2009 for campaigning. Such sums are considered as a violation of Israel's campaign finance law. In May, the Israeli police recommended to the Jerusalem prosecution to file an indictment against Sara Netanyahu, the prime minister's wife, for alleged improprieties at the family residence, including fraud charges. Pakistan has expressed shock over the killing of Hizbul Mujahideen commander Burhan Wani in an encounter in Kashmir and deplored "oppressive measures" and "excessive" force used against civilians in the latest wave of violence in the Valley. Prime Minister Nawaz Sharif, who has been under attack from the opposition for remaining "silent" over the killing of protesters in Kashmir, came out with a late night statement condemning the action of Indian security forces. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement. The Prime Minister said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani. "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he said. Expressing concern over the detention of Kashmiri separatist leaders, Sharif said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day on Monday in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of the killing of Wani in an encounter on Friday. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Pakistan People's Party (PPP) chairman Bilawal Bhutto Zardari has alleged that "Sharif-Modi friendship" was causing "irreparable damage" to the Kashmir issue. "While Muslims celebrated Eid-ul Fitr across the world, our Kashmiri brethren marked the day of festivities amid violence carried out by the Indian army," Bilawal said in a statement on Sunday. He accused Sharif of damaging the foreign policy by giving his Indian counterpart Narendra Modi a "certificate of friendship". "Sharif is jeopardising the Kashmir issue by building his association with the Indian prime minister," he said. The on Monday extended by two years a project that has cut the cost of Lockheed Martin Corp's F-35 fighter plane by more than $1 million per jet, and kicked off a similar project to cut operating and maintenance costs. The Pentagon's F-35 program office is working closely with industry to drive down the cost of the jets - now running just over $100 million per jet - to around $85 million by 2019. The F-35 program is the Pentagon's costliest arms program. The US military plans to spend $379 billion to buy a total of 2,457 F-35 warplanes over the next decades. Under the Blueprint for Affordability cost-cutting program first announced two years ago, Lockheed and its key suppliers, Northrop Grumman Corp and Britain's BAE Systems Plc were due to invest $170 million in new technologies, materials and processes to lower production costs. They are due to recoup their initial outlays from the government once the accrued savings are verified. Specific programs included boosting efficiency through improved tooling, automating production by introducing robotics, and even modifying assembly instructions. The project funded 193 separate initiatives, of which 75 were still in process. The investments are expected to save $1.15 million per aircraft in the ninth production lot, and $1.7 million in the 10th. Over the life of the program, the changes will save around $4 billion, according to the F-35 program. Over the next two years, the companies have agreed to invest $24 million left over from the original program, and up to $170 million more on continued work to lower the cost of the jets, the program office said. In addition, the three companies agreed to invest up to $250 million in projects aimed at cutting the cost of operating and maintaining the jets, with a goal of saving $1 billion over a five-year period, the F-35 program office said. Pentagon acquisition Chief Frank Kendall said the extra investment by industry would help the military bridge to an F-35 block purchase planned in coming years for customers that could cut the cost of building the jets by over $2 billion. Kendall told reporters that the department was "basically ready to move forward" on the block buy, initially with a group of other countries, and then later with the US military. "We'd like to see that proceed as soon as possible," he said. "We think we're basically ready to move forward on that." Kendall said the separate program to cut operating costs would help the Pentagon achieve its goal of lowering the overall lifecycle cost of the program - now estimated to be over $1 trillion through 2070 - by 30 per cent. Bennett Croswell, who heads the military engines business of Pratt & Whitney, a unit of United Technologies which makes the F135 engine that powers the F-35, said his company would also set new cost-cutting targets after completing the engine's development programme by the end of this month. He said the new program would involve Britain's Rolls-Royce Holdings Plc, which makes the lift fan for the F-35B model, which can land vertically like a helicopter. The United States demanded an immediate end to renewed fighting in the capital of South Sudan, ordering all non-essential personnel out of the troubled country. "The United States strongly condemns the latest outbreak of fighting in Juba today between forces aligned with President Salva Kiir Mayardit and those aligned with First Vice President Riek Machar Teny, including reports we have that civilian sites may have been attacked," State Department spokesman John Kirby said yesterday in a statement. "In response to ongoing violence in South Sudan, the Department of State ordered today the departure of non-emergency personnel from the US Embassy in Juba." Urging Americans in the country to take security precautions, he warned that "the embassy's ability to provide emergency services to US citizens in Juba is extremely limited." The battles are the first between the army and ex-rebels in Juba since rebel leader Machar returned to take up the post of vice president in a unity government in April, under an accord to end a bloody civil war. Washington is pressing "both leaders and their political allies and commanders to immediately restrain their forces from further fighting, return them to barracks and prevent additional violence and bloodshed," Kirby said. "The United States is determined to ensure appropriate measures are taken to hold accountable those responsible for continuing fighting and violations of humanitarian law, including attacks on the UN Mission in (UNMISS) and targeting of civilians." Kirby said the United States was in touch with senior African Union and regional leaders. Thousands of Venezuelans poured across the border into Colombia to buy essential goods during a brief opening of the border that's been closed for nearly a year. After ordering the border closed last August for security reasons, Venezuelan President Nicolas Maduro authorised a maximum 12-hour opening of the pedestrian bridge that connects Tachira, and Cucuta, Colombia. Economically ravaged has suffered food and medicine shortages for months, a ripple effect from the falling price of oil, the country's primary export. Critics also blame grave mishandling of the state-led economy. Some 500 desperate Venezuelans illegally stormed the border earlier this week in search of basic goods. "There's no medicine for children; children are dying," Tulia Somaza said yesterday as she eagerly shopped among the masses in this border city. "People don't even have soap to wash clothes." Some people even spent the night in parked vehicles to get a good spot in line for the early 6:00 a.m. (local time) opening. According to a tweet from William Villamizar, governor of the Norte de Santander department that includes Cucuta, some 25,000 people surged in within the first seven hours. "Thank God!" was the motto of the day as Venezuelans stocked up on flour, oil, toilet paper and shampoo. "Thank you for this welcome, the people of are experiencing a serious humanitarian situation," said Jose Gregorio Sanchez, a resident of the border town of Urena. "The Venezuelan government halted the industries that supplied the population," Sanchez said, noting that the devalued Venezuelan currency does make it more expensive to shop in Colombia, but it's "much cheaper" than the Venezuelan black market. Shortages as high as 80% followed the global dip in oil prices, a devastating blow to a South American country whose oil and gas reserves account for 96% of its exports. Colombia deployed 300 police officers to Cucuta to monitor two of the city's largest supermarkets following reports of shoving early Sunday. Mumbai-based controversial Islamic preacher Zakir Naik's Peace TV went off the air in Bangladesh on Monday after authorities cancelled its broadcast rights. Bangladesh government banned the broadcasting of India-based controversial preacher Zakir Naik's Peace TV channel on Sunday, after reports that his "provocative" speeches inspired some of the militants who carried out the country's worst terror attack at a cafe here. The decision to ban the Mumbai-based preacher's 'Peace TV Bangla' was taken during a special meeting of Cabinet Committee on Law and Order, Industry Minister Aamir Hossain Amu, who chaired the meeting said. In the meeting, attended by senior ministers and top security officials, it was also decided to monitor the sermons given during the Friday prayers to check whether any provocative lectures are delivered, Amu told reporters. Naik's speeches are believed to have inspired some of the Bangladeshi militants, who killed 22 people, mostly foreigners, at an upscale restaurant in Dhaka on July 1. The government also appealed to the Imams in the country to deliver lectures in line with real Islamic ideology of denouncing terorism and extremism, the minister said. Besides senior ministers, the meeting was attended by chief of police and head of the elite Rapid Action Battalion (RAB), paramilitary border guards and top officials of different security agencies. Deployment of additional security forces at export processing zone was also ordered. Home Minister Asaduzzaman Khan had said on Saturday that Bangladesh's intelligence agencies were investigating the Islamic preacher Naik. "He is on our security scanner... Our intelligence agencies are investigating his activities as his lectures appeared provocative," Khan had told. Khan said the investigators were also probing Naik's financial transactions in Bangladesh. One of the slain attackers of the terrorist attack in Dhaka's high-security Gulshan area, the 22-year-old Rohan Imtiaz quoted Naik in a Facebook post in January this year where he urged "all Muslims to be terrorists." Twenty-two people, including 17 foreigners, were killed in the brutal late-night attack. Six days later, militants attacked police guarding the largest Eid gathering in Bangladesh and killed three more people. The activities of Naik's Mumbai-based Islamic Research Foundation are also under the scanner of Indian Home Ministry amid allegations that funds from abroad received by it have been spent on political activities and inspiring people towards radical views. The Maharashtra government has also ordered a probe into the sermons by the 50-year-old televangelist that has kicked up a storm. Britain and Canada have banned Naik from visiting the two countries several years ago while Malaysia banned his lectures fearing that they could instigate inter-racial tensions. Experts said Naik could not be accused of openly inciting terror but his preaching were a heady mix of ingredients which could abet radicalisation of the extreme kinds. When Shiv Inder Singh set up shop a decade ago, he was just looking for a business to steer him through his retirement years. A friend with a bicycle manufacturing facility in Taiwan suggested that he start something similar in India and even put money into the venture. And thus was Firefox born. Now a part of (Firefox was acquired last year) the company owns 30-odd bicycle brands, targeted at premium customers across all ages. Still managed by Singh, Firefox wants to now spread out into tier II towns and offer a wider price list, but its biggest hurdle will be building a unique identity in what is still a highly splintered market. To provide a long-term solution to Indias pulses crisis, the Centre on Monday constituted a high-powered panel under Chief Economic Advisor Arvind Subramanian to revisit the minimum support price (MSP) and bonus mechanism, while at the same expanded the buffer stock by 1.2 million tonnes on hopes of a good harvest this year. Indias gold imports were $9.4-9.6 billion, or around 210 tonnes, in the first six months of 2016. The import bill was down over 40 per cent from the same period a year ago and tonnage was down almost by half. Shares of three private sector banks HDFC Bank, IndusInd Bank and YES Bank have hit their respective lifetime highs on expectation of robust earnings for the first quarter (April June) of the current financial year 2016-17. L&T Infotech Ltds initial public offer (IPO) opens today for subscription. On offer are 17.5 million shares, which will result in 10.3% dilution in promoter stake to 84.6%. At the price band of Rs 705 to Rs 710, the IPO size is over Rs 1,200 crore. The issue closes July 13. Also Read: L&T Infotech: Low valuations, but lower growth too An anguished Congress party president said on Monday that political parties must be allowed time to find durable and enduring ways to fulfill the aspirations of the people of Jammu and Kashmir. In a statement issued with regard to more than 20 lives lost in the state owing to clashes between protestors and security forces following the death of militant Burhan Wani in an encounter in the Tral region last week, Gandhi said, "It is a matter of great anguish that so many innocent lives have been lost these past days in parts of the Kashmir Valley. There can be no compromise on matters relating to security. Terrorism must be dealt with firmly and forcefully." The statement further quoted her, as saying, "Even so, the death of so many of our brethren is very painful. The political process has made many gains in J&K over the last two decades particularly and these must not get lost." The Ministry of Home Affairs (MHA) has lauded the security forces for gunning down Hizbul Mujahideen commander Burhan Wani, saying this is a big setback to the home grown militancy. According to MHA sources, Wani's death is a great achievement in the sense that he was becoming an icon and would have attracted more youth towards militancy. Terming the encounter as genuine, the source also said that it would have been extremely difficult to arrest him or get him alive. It was also informed that in comparison to last year, almost double terrorists have been killed this year. In wake of the escalating protests post the Hizbul Mujahideen commander's killing, the MHA has also directed the security forces to exercise restraint and to use minimum force against the civilians. The MHA has also raised concern over the growing number of protestors coming out in support of militants. A political decision should be taken to reach out to youth and provide them employment, people need to be placated and their issues need to be addressed, the source added. It was also informed that stone-pelters, who are teenagers (13-19), should be persuaded by their parents not to indulge in such activities. Granting amnesty to first time stone-pelters is a step in right direction, the source said. Meanwhile, the death toll in the protest over the killing of the 22-year-old poster boy rose to 23. Curfew continued to be imposed in Srinagar following the protest. Union Home Minister Rajnath Singh had on Saturday chaired a high-level meeting to review the law and order situation in the state. Rajnath had said the Centre is working with the state government to bring normalcy in the valley. In a tweet, Singh appealed to the people to remain calm and maintain peace. The Kashmir valley has been on a boil as widespread protests were carried out over Wani's killing with many separatists' calling for a shutdown. Greek God Hrithik Roshan has mesmerised everyone with his exceptional dancing skills time and again. It was no different at IIFA this time. The 42-year-old star's act at the event, telecast yesterday, received tremendous response from the audience. Overwhelmed Hrithik shared, "I would like to thank all my fans for the amazing response to my performance at IIFA. The kind of love they have showered on me is overwhelming." The 'Bang Bang' actor also took to his Twitter handle to thank his fans for sharing amazing feedback to his IIFA act. "So happy reading all the amazing feedback on my Ifa act. Thank u guys. Made my day. Love u all," he posted. Proving himself to be a sheer visual delight onscreen, Hrithik bowled away the audience with his crisp moves and entertaining act. On work front, the actor is currently gearing up to promote his upcoming film 'Mohenjo Daro.' He is working for 'Kaabil' opposite Yami Gautam. When tension with the US law enforcement is soaring, rapper Ice Cube called for a bold disobedience by co-writing a song against police brutality. At Quebec City's summer music festival, the 47-year-old rapper said, "The police tried to tell us what we can't play!," likely referring to past rather than present bids to muzzle him, reports Channel24. Making a vehement verbal attack on the police, Ice Cube said, "F*** the Police" and asked the fans to raise their middle fingers as images of armed officers flashed on a screen. The 'Ride Along' star also kept his focus on police brutality over social media by sharing stories of abuses and urging President Barack Obama to rein in police, declaring his campaign with the hashtag #Theorisation. Corporate Social Responsibility (CSR) refers to the responsibility companies are expected to assume for people, society and the environment that are affected by their activities. The extensive engagement in CSR at many different levels sometimes makes it difficult for individual companies to keep track. A seminar was recently organised to make Norwegian companies in India find their way around in this landscape. Innovation Norway in India in collaboration with the Embassy of Norway in New Delhi, the Consulate General in Mumbai and the Norwegian Business Association, India (NBAI) recently organised a half-day-long Corporate Social Responsibility (CSR) workshop alongside NBAI's Annual General Meeting in Mumbai. The main agenda of the workshop was to make Norwegian companies familiar with the new Indian legislation on CSR and to encourage them to not only abide by the local laws but also aim to achieve the Norwegian CSR standards. One of the main points of discussion was on pooling the resources of the Norwegian companies and forming a foundation, which can then invest in CSR activities. This could potentially have a greater effect compared to companies investing individually, especially in case of small and medium enterprises. The idea was well received by the Norwegian companies present, and the NBAI Chairman announced that this could be further explored. The UN Guiding Principles on Business and Human Rights have, in the space of a short period of time, become an important international standard for how companies should respect human rights throughout their operations. The Government of Norway has launched a action plan to follow up the UN Guiding Principles, as part of its work to intensify Norway's efforts to promote human rights. Consul General Torbjrn Holthe introduced The Action Plan on Business and Human Rights at the seminar. The plan will make it easier for the business sector to use the UN Guiding Principles. It sets out the Norwegian authorities' expectations of Norwegian companies, and makes clear what support and advice companies are entitled to from the Norwegian authorities. According to Norway's Foreign Minister Brende, "the Government and the business sector have a common interest in ensuring that Norwegian companies are able to carry out and further develop their international operations in accordance with recognised international norms." Innovation Norway and the Embassy of Norway look forward to continue the collaboration on CSR with Norwegian companies in India. A healthcare summit organized recently in Guwahati, Assam, has informed that the northeast region requires over eight lakh additional hospital beds. Participants said the North-East region has potential to be the South-East Asia's healthcare hub. Taking a serious note on that, Federation of Indian Chambers of Commerce and Industry (FICCI) and Public Health Foundation of India (PHFI) had recently organized a two-day summit called "The North-East Healthcare Summit" in Guwahati. Over 200 delegates, including doctors from north-eastern states, national and regional speakers and stakeholders representing hospitals, pharmaceutical companies, Medical devices companies and others participated in the deliberations. The summit was inaugurated by Minister of Health and Family Welfare, Mizoram, Lal Thanzara, in presence of Chairman of FICCI NE Advisory Council, Ranjit Barthakur, President PHFI, Dr K. Srinath Reddy, Vice president PHFI, Jayanto Narayan Choudhury, and State Health Ministers from Mizoram, Meghalaya, Sikkim and Members of Parliaments from north-east states. Laying major emphasises on making affordable and accessible world class health care available across the region, the summit also highlighted the need for taking a holistic approach to health care, giving equal importance to different alternate and complimentary systems of medicine, the statement said. During his address Lal Thanzara, hailed organizers for the summit that highlighted key issues in evolving healthcare scenario pertinent to NE India. However, he also lamented that due to lack of financial resources, skilled manpower in health, tough terrains and quality medical colleges, healthcare has taken a back seat in the NE region. "Poor healthcare services in the north-east and the need to enhance manpower, including setting up of more medical colleges in the region the requirement of the day. There is an urgent need to review the progress of schemes sanctioned by centre or the North-East Council towards the improvement of healthcare services in the region, especially infrastructure, in addition to finding out better ways and means to remove health problems and inaccessibility to quality healthcare especially in rural areas of the region," Lal said. "At present, only Assam, Tripura and Manipur have been able to set up their own medical colleges, while states like Mizoram, which grapple with cancer, are yet to get any college," Lal added. The minister also appealed to the attended delegates and organizations in related fields to put aside monetary benefits and work towards the improvement of healthcare services in the region. Dr K Srinath Reddy, President of PHFI, in his video address said, "North-East is one of the most vibrant regions in India, but its health indicators have unfortunately lagged behind rest of India on many counts. With so much technological and infrastructure advancements, it is time to bring about a rapid improvement in the public health indicators, especially in light of the renewed commitment by the central government towards speedy achievement of universal health coverage in the entire north-east region." Further, he also appealed to all the stakeholders like policymakers, industrialists, health practitioners to come with a forward looking plan to improve the health scenario in the region, and become a major healthcare hub for entire South-East Asia. Heighted in the statement, the two-day summit discussed on various problems in the health sector in the north-eastern States such as shortage of trained medical manpower, providing access to sparsely populated, remote, far flung areas, improvement of Governance in the Health sector, need for improved quality of health services and making effective and full utilization of existing resources. The summit also highlighted the emerging opportunities for health care in areas like medical value tourism and alternative & complimentary medicine, which aimed at mainstreaming of AYUSH (Ayurveda, Yoga, Unani, Siddha, and Homeopathy) systems and the revitalization of Local Health Traditions within the policy on medical pluralism currently being implemented in the entire country. The sister of Paralympics runner Oscar Pistorius, who is serving a six-year sentence for killing his model girlfriend Reeva Steenkamp in 2013, has said she is' grateful' to the South African judge for giving a lesser sentence to his brother. While delivering her decision in a story that has attracted intense worldwide attention, Judge Thokozile Masipa said she had considered both aggravating and mitigating factors, such as rehabilitation and murder, and concluded that the latter outweighed the former. Judge Masipa cited this factor as main reason for deviating from the prescribed 15-year sentence for murder. She also observed that a court should not be swayed by public opinion but added that punishment must also reflect the seriousness of the offence. However, the ruling invited a flurry of criticism from women's and other rights groups for accepting the defence argument to grant him a lesser sentence than the 15-year minimum term sought by prosecutors, the Guardian reported. Appreciating the judge's decision, Aimee Pistorius said she is happy to see the emphasis Masipa had made to distinguish a difference between the facts and the truth. Aimee reiterated that Oscar had no intention of shooting Reeva and described the incident as terrible. Pistorius, famously known as the Blade Runner, was released on parole in October 2015 and has been placed under house arrest since then at his uncle's house after serving almost a year in prison of his five-year sentence. But the double-amputee athlete was found guilty of murder after the Supreme Court overturned his previous culpable homicide conviction in December following the state's successful attempt in challenging the verdict. Pistorius has always denied deliberately killing Reeva in 2013 and claimed that he mistook her for an intruder before shooting her dead with four bullets fired through a closed toilet door. Following the ruling, the 29-year-old was taken immediately to jail. Both the prosecution and defence can appeal. Manipuri woman Monika Khangembam, who was allegedly subjected to racial treatment at Indira Gandhi International Airport's immigration desk, has asked the authorities to take action and has been plans to write to the Ministry of External Affairs about the incident. "I would like the authorities to take necessary action. I am planning to write to the Ministry of External Affairs about this," said Khangembam. Khangembam's sister Mensy too said the immigration officer asking about her nationality was "unfortunate". "It's unfortunate that my sister who was carrying an Indian passport was asked by the immigration officer about her nationality that too very sarcastically, which is totally not related to her trip. Such type of treatment is unacceptable, and the authorities concerned must look into the matter very seriously so that such incidents don not occur in future." In a Facebook post on July 9, Monika Khangembam alleged that she was subjected to harassment by a "racist" immigration desk. "The bloody racist immigration desk at it again! Looks at my passport and says, 'Indian toh nahi lagti ho'. I get that all the time so don't react much to it but then he goes on, 'Pakka Indian ho?' with a smirk. I still don't react. What really got me was when he said, "See... You yourself need to know your Indianess. How many states are there in India?' The lady at the next counter is giggling. "I tell him I am really running late. He goes, 'nahi nahi bolo bolo'. I helplessly answer him as he is not proceeding with the process. And then he continues, 'kaha se ho;' I said Manipur and he is like, 'so tell me how many states Manipur shares borders with. Name them. I totally lose it and I look away and roll my eyes and don't answer. And he still insists that I answer. "This bloody moron has all the time to ask all this. I said I was really getting late and he goes like, 'aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do'. What a bully and never felt so humiliated. It was like it was his mission to make me realise my 'Indianness' and he thought he was being funny. I was running late and felt I was giving in to the bully. Spoiled my night. Is there a way to complain against these bullies?" However, it was not all, in another Facebook post on Sunday evening, Monika claimed of drawing flak from several quarters for terming it a "racist" treatment. "Last night, I was just venting out my frustration on FB about what happened last night (on Friday) at IGI Airport and I had no idea it will get viral and some news agencies will carry the news. "A lot of you came out to support me and thank you for that. But I also saw some comments in other pages saying, 'stupid woman, that's not racism. Immigration people ask all sorts of questions... don't play victim card bla bla' (liberal Manipuris please don't give lectures on reverse racism now. Some other time)." Clarifying her stand, Monika said, "Let me get it straight. I wouldn't have minded if he asked me TRIP RELATED QUESTIONS like what's the conference about, how long are you there, where do you work currently, who is funding, what's the purpose etc. It's even perfectly fine to ask where I am from but it's not fine bossing around asking with that sarcastic smile 'HOW MANY STATES ARE THERE IN INDIA?' 'NAME THE STATES BORDERING MANIPUR?' This is NOT RELATED TO THE TRIP nor I am a kid. And yes I regret not raising the issue then and there as there wasn't much time left for my departure and honestly I was worried he might not stamp my visa. (sic)" "I am right now in Seoul for my programme and I have a hectic schedule ahead so might not be able to do anything from here. I can only do anything after I am back after 15 days. I couldn't get the name of the officer but a friend working at the IGI airport told me they can find out from CCTV footage. "Me and a lot of people from North East have constantly faced subtle racist jibes whether in the form of a sarcastic remark, smile, or attitude. Sometimes you cannot define it but you just feel it so you never express. Maybe this time it was something tangible so I could express it. Sadly you have to be killed to prove there is racism. (Richard Loitam, Nido Taniam) "We constantly talk about auto walas and rickshaw walas harassing the NE people but what do you do when it's someone who deals with people from all over the world from different backgrounds and ethnicity daily? If they cannot be sensitive then how do we expect others to be respectful?" Meanwhile, External Affairs Minister Sushma Swaraj has tendered apology in her Tweeter posts. "Monika Khangembam - I am sorry to know this. Immigration is not with me. I will speak to my senior colleague Shri @rajnathsingh ji to sensitise Immigration officials at the airport. Expressing grief over the death of 18-year-old Rahul Kumar, who was killed after his bike was hit by on the vehicles escorting him to Patna, Union Minister Ram Kirpal Yadav on Monday said the loss is unfortunate as the deceased was like his own child. "I am in profound grief over the accident as he was our own child. He was very poor boy and I am feeling distressed at the loss. The incident was tragic and I have sympathy with his family," said Yadav. "No one was there to see him, but I helped him and met his family members. I stopped immediately, rescued them and found all six of them injured, we rushed them to Nalanda Medical College and Hospital and stayed there for two hours," he added. Yadav said the government didn't offer any help to the family, they were waiting whole night till he reached there early in the morning for the post mortem. He also clarified that the accident did not took place with his car, but one the vehicles in his convoy. On Saturday, Rahul's motorbike collided head on with a jeep in the cavalcade escorting Yadav in Patna, resulting in biker's death on the spot and injuring six security men. The minister was on his way to Patna after inaugurating 'Ujjwala' scheme at Masaurhi, the area which falls under his Lok Sabha constituency Patliputra. In strong bid to stop radical views and preaching that could threaten India's social harmony, Union Information and Broadcasting Minister M. Venkaiah Naidu on Monday appealed to both law enforcement agencies and citizens to remain vigilant and report about any such content to his ministry. Naidu's announcement came in the wake of a ban on 'Peace TV' in which Islamic preacher Zakir Naik's preaching used to be aired. Naik's provocative preaching are said to have inspired some of the militants who carried out the terror attack at a cafe in Bangladesh on July 1. Naidu said his ministry has written to all state governments, district magistrates and police stations to be alert and proactively curb the spread of extremism. "The department has now written to all states, district magistrates and superintendents of police that they should take a proactive role and take needed action against the unauthorized downloading and transmitting of unauthorized content," he said. "As the matter concerns security and social harmony, I appeal to all law enforcing agencies, please put a strict vigil. I also appeal to the general public, whenever you find something objectionable in a local channel, then SMS to Ministry of Information and Broadcasting. We will alert the relevant authorities," he added. He further said the banning of 'Peace TV' does not arise in India as the channel has not been not granted permission to telecast its content in India. "We have seen that in recent days this so called peace TV is affecting the peace. Some countries have banned the channel, but here the question does not arise because it is not functioning. If a channel is allowed then it can be banned, it is not permitted at all. They had applied for permission in 2008 and it was rejected," he said. Naidu also said a team of Home Ministry officials has been formed to look into the matter and the final decision in this regard would be taken after a thorough analysis of the content aired by the channel. The Bangladesh Government had yesterday decided to stop airing Peace TV along with other unauthorized channels. Industries Minister Amir Hossain Amu made the disclosure at a press briefing after a Cabinet committee meeting on law and order. The move came after it was found that some of the Dhaka cafe attackers, who killed 20 hostages, followed Naik and were inspired by his interpretation of Islam. The Islamic scholars in Bangladesh had last year demanded a ban on the channel, saying it was misleading Muslims across the world. Trinamool Congress (TMC) on Monday issued a clarification on party leader Idris Ali's statement against the ban on controversial Islamic preacher Zakir Naik, saying that Ali had made the remark without the party's consent. A statement released by TMC Spokesperson, Derek O'Brein said that, "My colleague, Idris Ali, MP, has been cautioned for making a statement without the consent of the party. The issue he commented on is a sensitive one and has not been discussed by us internally. The party will have a discussion on the subject and then form an opinion." Earlier today TMC leader and a Member of Parliament had said that banning Naik will be fruitless as his speeches' does not support terrorism. "This is my personal opinion, I have listened to Zakir Naik's speeches and I don't think his speeches ever supported terrorism. I don't think we should ban him. He will get more publicity if we ban him," Ali told ANI. The TMC leader's remark came post Bangladesh's move to ban the broadcasting of Peace TV following reports that one of the militants of Dhaka terror attack was inspired by Naik's misinterpretations of Islam. Zakir Abdul Karim Naik, 51, is an Islamic preacher, who founded the Islamic Research Foundation in 1991 when he started Dawah or religious preaching. Naik is banned in UK and Canada and is among 16 banned scholars in Malaysia. Senior US lawmakers have called for a joint committee hearing on Tuesday, titled "Pakistan: friend or foe in the fight against terrorism". The hearing held jointly by the House Subcommittees on Terrorism, Non-proliferation and Trade and Asia and the Pacific, will debate the alleged 'double game' of Pakistan and once again ask if it is Washington's friend or enemy. The meeting would determine how the United States further wants to deal with Pakistan, an old ally that many in the Congress no longer trust, reports the Dawn. Republican lawmaker and Congressman Ted Poe, who has brazenly displayed his dislike for Pakistan, is chairman of one of the subcommittees. "This hearing will give members the opportunity to learn more about Pakistan's longstanding ties to terrorist groups and allow for a more informed reassessment of US foreign policy priorities vis-a-vis Islamabad," said Poe while explaining why he had called the hearing. Former US ambassador to Afghanistan and the United Nations Zalmay Khalilzad, Senior Editor Bill Roggio of Long War Journal and Assistant Professor Tricia Bacon of American University are the three witnesses for the hearing, who have been asked to explain Pakistan and its policies to the lawmakers. In a recent statement, Khalilzad had urged the United States to adopt a policy of total isolation against Islamabad and turn it into a "second North Korea" to force it to cooperate for peace in Afghanistan. "The United States has spent tens of billions of taxpayer dollars in aid to Pakistan since 9/11. Now, 15 years later, Pakistan's military and intel services are still linked to terrorist organisations and little success has been made to stabilise the region," said Subcommittee Head on Asia and Pacific Matt Salmon. "We must take a closer look at US goals, expectations and our aid spending in the region. In this hearing, we will discuss the administration's failed policy towards Pakistan and debate the best way forward," he said. Congress veteran Mani Shankar Aiyar on Monday alleged that the BJP-led NDA regime has raised the Zakir Naik episode for mere publicity and dubbed the entire sequence of events to be shameful as the video in question is four years old. Aiyar asked as to why the government did not initiate any action against Naik when they have been receiving intelligence reports and information about the latter for the last 18 months. "This government seems to have forgotten the fact that they are in power for the last two-and-a-half years. They still are of the view that the 2014 Lok Sabha polls are going on.It is all over and you have won; now it's time to run the government," he told ANI. Aiyar said the government is only interested in media management and attaining political advantages. "Even on issues of security, the government tends to seek political advantages and glamor in media channels, which is a matter of shame," Aiyar said. Stating that Naik has been talking of peace and brotherhood, the Congress leader said the government shifted its focus towards him only when his name cropped up in Bangladesh. "The government has raised this issue for mere publicity. Instead of working towards resolving and economic matters, the government is more focused on hyping up this matter for political gains. And they are not serious about the security issues that pose a threat to the country," Aiyar said. "Until and unless they work peacefully and send a message of communal harmony, the matters of security and terrorism will not settle down," he added. Aiyar's remark came as several Muslim activists and the Indian Union Muslim League came out in Naik's support saying the "advocate of peace theory in Islam" is being harassed for no "valid reason". Former Mumbai Police commissioner and now Baghpat MP Satyapal Singh had said in a recent interview that there was an event of mass religious conversion by Naik in 2008 but the then Congress-led UPA regime didn't act against the hard-line preacher on the basis of his report. Meanwhile, the Bangladesh Government has banned the broadcasting of Naik's Peace TV channel following reports that some of the terrorists, who carried out the country's worst terror attack at a cafe in Dhaka, were inspired by his speeches. Naik earlier on Saturday sought support from the Muslim community against what he called was a "media trial" over allegedly provocative statements promoting militant views that have triggered calls for his arrest. Introducing a new Twitter handle -- @drzakirofficial - the Mumbai-based preacher also appealed to people around the world to "eliminate terrorism from our midst". Naik, a popular but controversial Islamic orator and founder of the Mumbai-based Islamic Research Foundation, is banned in UK and Canada for his hate speeches. He is among the 16 banned Islamic scholars in Malaysia. Key indices may open sharply higher on strong Asian stocks. Trading of Nifty 50 index futures on the Singapore stock exchange indicates that the Nifty could jump 101 points at the opening bell. In overseas stock markets, Japanese stocks led gains in Asian stocks as investors saw solid poll results for Japan's upper house as signaling new rounds of economic and political reforms by the coalition government led by Prime Minister Shinzo Abe. The Nikkei 225 was up 3.55% as exit pols showed the government increasing its majority in the upper house. US stocks rose on Friday, 8 July 2016 after robust job gains last months bolstered investor sentiment on the strength of the economy. In economic data, US employers in June added a seasonally adjusted 287,000 jobs, the strongest month of hiring since last October, according to the Labor Department report. That was a sharp rebound from May, when hiring sagged to 11,000, the weakest reading since the economy stopped shedding jobs in 2010. Another data showed that the unemployment rate, calculated from a separate survey of US households, rose to 4.9% in June from 4.7% in May, partly retracing its drop from 5% in April. Closer home, foreign portfolio investors (FPIs) bought shares worth a net Rs 330.62 crore on Friday, 8 July 2016, as per provisional data released by the stock exchanges. Domestic institutional investors (DIIs) sold shares worth a net Rs 512.67 crore on Friday, 8 July 2016, as per provisional data. Shares of Axis Bank, ITC and L&T will be in focus as media reports suggested that the central government has finally made up its mind to sell minority stakes held in many listed and unlisted companies through the Specified Undertaking of the Unit Trust of India (SUUTI), in a bid to meet its asset-sale target. The government invited bids from bankers for the mandate to manage the stake-sale process, reports suggested. SUUTI held 11.53% stake in Axis Bank, 11.14% in ITC and 8.15% stake in L&T as per the shareholding pattern as on 31 March 2016. Shares of IndusInd Bank will be in spotlight as the bank is scheduled to announce its Q1 June 2016 earnings today, 11 July 2016. Shares of Wipro turn ex-dividend today, 11 July 2016, for final dividend of Re 1 per share for the year ended 31 March 2016. Shares of engineering and construction major L&T will be in focus as the company's subsidiary and IT outsourcing services provider Larsen & Toubro Infotech known as L&T Infotech's initial public offer (IPO) commences today, 11 July 2016. L&T Infotech's promoter L&T is selling a part of its stake through the IPO of L&T Infotech. L&T Infotech will not get any funds from the IPO and the entire sale proceeds will go to parent L&T. L&T is selling 1.75 crore shares of L&T Infotech through the IPO. The price band for the IPO has been fixed at Rs 705-710 per share. The IPO opens for bidding today, 11 July 2016 and closes on Wednesday, 13 July 2016. Bharti Airtel yesterday, 10 July 2016 announced that the transaction for acquisition of rights to use 20 MHz 2300 Band 4G TD spectrum from Aircel was successfully concluded for 6 out of 8 circles barring Andhra Pradesh and Orissa, following the receipt of all necessary approvals and satisfying all the conditions. Bharti Airtel said it will update the exchanges when the transactions for the remaining circles of Andhra Pradesh and Orissa are completed. IT stocks may edge lower on media reports that a bipartisan group of two US lawmakers has introduced in the House of Representatives a legislation, which if passed by the Congress would prevent Indian companies from hiring IT professionals on H-1B and L1 work visas. US is the biggest outsourcing market for Indian IT firms. The revenue model of many large-cap Indian IT companies is heavily dependent on H-1B and L1 visas in the US. The H-1B is a non-immigrant visa in the United States which allows US employers to temporarily employ foreign workers in specialty occupations. The US L1 visa is a non-immigrant visa which allows companies operating both in the US and abroad to transfer certain classes of employee from its foreign operations to the US operations for up to seven years. Tata Steel after trading hours on Friday, 8 July 2016, announced that it has entered into discussions with strategic players in the steel industry, including Thyssenkrupp AG for a strategic collaboration for its European businesses. The decision has been taken as an alternative to the ongoing process for the divestment of loss making Tata Steel UK. Tata Steel said that the discussions for strategic collaboration are currently at preliminary stage and there is no certainty of a transaction as the outcome depends on consultation and negotiations with various stakeholders. Tata Steel also said it would begin separate processes for the sale of its South Yorkshire specialty steels business and the Hartlepool pipe mills, excluding the 20-inch tube mill, in the UK. Tata Steel has already received interest from several bidders for these two businesses and the formal process for the sale of the businesses will commence shortly. Shares of Punjab National Bank (PNB) will be in focus after the Reserve Bank of India (RBI) notified on Friday, 8 July 2016 that the aggregate foreign share holding by Foreign Institutional Investors (FIIs)/Registered Foreign Portfolios Investors (RFPIs)/Non Resident Indian (NRI)/Persons of Indian Origin (PIO)/Foreign Direct Investment (FDI)/American Depository Receipts (ADR)/Global Depository Receipts (GDR) under Portfolio Investment Scheme (PIS) in PNB has gone below the prescribed threshold caution limit stipulated under the extant FDI policy. Hence, the restrictions placed on the purchase of shares of PNB are withdrawn with immediate effect. Equity mutual fund schemes including tax saving equity schemes known as Equity Linked Saving Schemes (ELSS) received net inflow of Rs 320 crore in June 2016, as per the monthly data released by the Association of Mutual Funds in India (AMFI). The inflow would have been much higher if one excludes large redemption of arbitrage funds. Media reports suggest that there was redemption of Rs 3500 crore from arbitrage funds in June 2016. AMFI does not give separate data on arbitrage funds. It clubs arbitrage funds under the equity fund category. Meanwhile, balanced funds received net inflow of Rs 2402 crore in June 2016. Balanced funds typically have 65-70% of their portfolio invested in equities and 30-35% in debt. Key benchmark indices settled lower on Friday, 8 July 2016 with investors maintaining caution ahead of the US jobs data to get a clearer picture of the health of the world's biggest economy. The Sensex shed 74.59 points or 0.27% to settle at 27,126.90, its lowest closing level since 30 June 2016. Powered by Capital Market - Live News Dishman Pharmaceuticals and Chemicals rose 4.34% to Rs 142 at 11:10 IST on BSE after the company said that US drug regulator's inspection of the firm's Bavla facility in Gujarat was successfully completed on 8 July 2016. Meanwhile, the BSE Sensex was up 461.75 points, or 1.70%, to 27,588.65. On BSE, so far 2.36 lakh shares were traded in the counter, compared with average daily volume of 1.56 lakh shares in the past one quarter. The stock hit a high of Rs 149 and a low of Rs 141.55 so far during the day. The stock hit a 52-week high of Rs 209.85 on 4 November 2015. The stock hit a 52-week low of Rs 79.78 on 20 July 2015. The stock had underperformed the market over the past 30 days till 8 July 2016, falling 9.63% compared with 1.36% rise in the Sensex. The scrip had also underperformed the market in past one quarter, sliding 18.27% as against Sensex's 9.94% rise. The small-cap company has an equity capital of Rs 32.28 crore. Face value per share is Rs 2. Dishman Pharmaceuticals and Chemicals announced on Saturday, 9 July 2016, that renewal of US Food and Drug Administration (USFDA) inspection of the company's Bavla facility in Ahmedabad, Gujarat has been successfully completed on 8 July 2016. The Bavla manufacturing facility plant has a state-of-the-art research and development (R&D) centre with 200 scientists focused on process development and industrialisation, working in continuous three shift, 6-day R&D operations. On a consolidated basis, net profit of Dishman Pharmaceuticals and Chemicals rose 29.29% to Rs 49.92 crore on 3.55% decline in net sales to Rs 405.67 crore in Q4 March 2016 over Q4 March 2015. Dishman Pharmaceuticals and Chemicals is the global outsourcing partner for the pharmaceutical industry offering a portfolio of development, scale-up and manufacturing services. The products and services offered by the company spans from chemical development to commercial manufacture and supply of active pharmaceutical ingredients. Powered by Capital Market - Live News Shares of IndusInd Bank will be in spotlight as the bank is scheduled to announce its Q1 June 2016 earnings today, 11 July 2016. Shares of Punjab National Bank (PNB) will be in focus after the Reserve Bank of India (RBI) notified on Friday, 8 July 2016 that the aggregate foreign share holding by Foreign Institutional Investors (FIIs)/Registered Foreign Portfolios Investors (RFPIs)/Non Resident Indian (NRI)/Persons of Indian Origin (PIO)/Foreign Direct Investment (FDI)/American Depository Receipts (ADR)/Global Depository Receipts (GDR) under Portfolio Investment Scheme (PIS) in PNB has gone below the prescribed threshold caution limit stipulated under the extant FDI policy. Hence, the restrictions placed on the purchase of shares of PNB are withdrawn with immediate effect. IT stocks may edge lower on media reports that a bipartisan group of two US lawmakers has introduced in the House of Representatives a legislation, which if passed by the Congress would prevent Indian companies from hiring IT professionals on H-1B and L1 work visas. US is the biggest outsourcing market for Indian IT firms. The revenue model of many large-cap Indian IT companies is heavily dependent on H-1B and L1 visas in the US. The H-1B is a non-immigrant visa in the United States which allows US employers to temporarily employ foreign workers in specialty occupations. The US L1 visa is a non-immigrant visa which allows companies operating both in the US and abroad to transfer certain classes of employee from its foreign operations to the US operations for up to seven years. Bharti Airtel yesterday, 10 July 2016 announced that the transaction for acquisition of rights to use 20 MHz 2300 Band 4G TD spectrum from Aircel was successfully concluded for 6 out of 8 circles barring Andhra Pradesh and Orissa, following the receipt of all necessary approvals and satisfying all the conditions. Bharti Airtel said it will update the exchanges when the transactions for the remaining circles of Andhra Pradesh and Orissa are completed. Tata Steel after trading hours on Friday, 8 July 2016, announced that it has entered into discussions with strategic players in the steel industry, including Thyssenkrupp AG for a strategic collaboration for its European businesses. The decision has been taken as an alternative to the ongoing process for the divestment of loss making Tata Steel UK. Tata Steel said that the discussions for strategic collaboration are currently at preliminary stage and there is no certainty of a transaction as the outcome depends on consultation and negotiations with various stakeholders. Tata Steel also said it would begin separate processes for the sale of its South Yorkshire specialty steels business and the Hartlepool pipe mills, excluding the 20-inch tube mill, in the UK. Tata Steel has already received interest from several bidders for these two businesses and the formal process for the sale of the businesses will commence shortly. Shares of engineering and construction major L&T will be in focus as the company's subsidiary and IT outsourcing services provider Larsen & Toubro Infotech known as L&T Infotech's initial public offer (IPO) commences today, 11 July 2016. L&T Infotech's promoter L&T is selling a part of its stake through the IPO of L&T Infotech. L&T Infotech will not get any funds from the IPO and the entire sale proceeds will go to parent L&T. L&T is selling 1.75 crore shares of L&T Infotech through the IPO. The price band for the IPO has been fixed at Rs 705-710 per share. The IPO opens for bidding today, 11 July 2016 and closes on Wednesday, 13 July 2016. Shares of Axis Bank, ITC and L&T will be in focus as media reports suggested that the central government has finally made up its mind to sell minority stakes held in many listed and unlisted companies through the Specified Undertaking of the Unit Trust of India (SUUTI), in a bid to meet its asset-sale target. The government invited bids from bankers for the mandate to manage the stake-sale process, reports suggested. SUUTI held 11.53% stake in Axis Bank, 11.14% in ITC and 8.15% stake in L&T as per the shareholding pattern as on 31 March 2016. Shares of Wipro turn ex-dividend today, 11 July 2016, for final dividend of Rs 1 per share for the year ended 31 March 2016. Shares of JSW Energy turn ex-dividend today, 11 July 2016, dividend of Rs 2 per share for the year ended 31 March 2016. Shares of GHCL turn ex-dividend today, 11 July 2016, for dividend of Rs 3.50 per share for the year ended 31 March 2016. Shares of Exide Industries turn ex-dividend today, 11 July 2016, for final dividend of Rs 0.80 per share for the year ended 31 March 2016. Shares of Jammu & Kashmir Bank turn ex-dividend today, 11 July 2016, for dividend of Rs 1.75 per share for the year ended 31 March 2016. Shares of Onward Technologies turn ex-dividend today, 11 July 2016, for final dividend of Rs 1 per share for the year ended 31 March 2016. Powered by Capital Market - Live News IndusInd Bank was down 0.02% to Rs 1,126.50 at 14:44 IST on BSE after net profit rose 25.96% to Rs 661.38 crore on 22.36% growth in total income to Rs 4264.66 crore in Q1 June 2016 over Q1 June 2015. The result was announced during market hours today, 11 July 2016. Meanwhile, the S&P BSE Sensex was up 433.43 points or 1.6% at 27,560.33. On BSE, so far 2.57 lakh shares were traded in the counter as against average daily volume of 77,432 shares in the past one quarter. The stock was volatile. The stock rose as much as 1.84% at the day's high of Rs 1,147.50 so far during the day, which is a record high for the counter. The stock lost as much as 0.27% at the day's low of Rs 1,123.65 so far during the day. The stock had hit a 52-week low of Rs 799 on 11 February 2016. The stock had outperformed the market over the past one month till 8 July 2016, gaining 1.17% compared with Sensex's 0.39% rise. The scrip had also outperformed the market in past one quarter, advancing 20.17% as against Sensex's 9.94% rise. The large-cap bank has equity capital of Rs 595.87 crore. Face value per share is Rs 10. IndusInd Bank is a leading private sector bank in India. Powered by Capital Market - Live News Jyoti Structures hit an upper circuit limit of 20% at Rs 13.75 at 14:19 IST on BSE on reports that Amin group is likely to acquire the company. Meanwhile, the BSE Sensex was up 429.88 points, or 1.58%, to 27,556.78. On BSE, so far 20.70 lakh shares were traded in the counter, compared with average daily volume of 1.27 lakh shares in the past one quarter. The stock hit a low of Rs 12.70 in intraday trade. The stock hit a 52-week high of Rs 28.80 on 24 July 2015. The stock hit a 52-week low of Rs 8.30 on 24 May 2016. The stock had outperformed the market over the past 30 days till 8 July 2016, rising 25.80% compared with 1.36% rise in the Sensex. The scrip had, however, underperformed the market in past one quarter, rising 2.32% as against Sensex's 9.94% rise. The small-cap company has an equity capital of Rs 21.91 crore. Face value per share is Rs 2. According to reports, Amin group, which has business interests ranging from medical and health to power generation and transmission, will invest Rs 500 crore as equity for a majority stake in Jyoti Structures. This will be the first stake sale by the contractor of transmission projects since lenders took its control after a strategic debt restructuring (SDR). Post the transaction, promoter stake in the company is expected to halve from the current 23.5%, reports added. In August 2015, consortium of 21 banks led by State Bank of India had taken operational control of the company by invoking SDR. Jyoti Structures has debt of over Rs 3800 crore, including short term debt of Rs 3043 crore, reports added. Jyoti Structures reported net loss of Rs 52.31 crore in Q4 March 2016 as against net loss of Rs 90.95 crore in Q4 March 2015. Net sales declined 15.88% to Rs 740.02 crore in Q4 March 2016 over Q4 March 2015. Jyoti Structures is in turnkey/EPC projects in the field of power transmission. The company's main business focus areas are transmission lines, substations and distribution projects. The company undertakes turnkey projects on a global scale, offering a complete range of services in design, testing, manufacturing, sourcing, supply and construction with its in-house expertise. Powered by Capital Market - Live News L&T was up 2.17% at Rs 1,565 at 9:54 IST on BSE as the company's subsidiary and IT outsourcing services provider Larsen & Toubro Infotech's initial public offer (IPO) begins today, 11 July 2016. Meanwhile, the S&P BSE Sensex was up 450.19 points or 1.66% at 27,577.09. On BSE, so far 34,000 shares were traded in the counter as against average daily volume of 2.07 lakh shares in the past one quarter. The stock hit a high of Rs 1,570 and a low of Rs 1,552.30 so far during the day. The stock had hit a 52-week high of Rs 1,886.25 on 17 July 2015. The stock had hit a 52-week low of Rs 1,016.60 on 12 February 2016. The stock had outperformed the market over the past one month till 8 July 2016, gaining 1.4% compared with Sensex's 0.39% rise. The scrip had also outperformed the market in past one quarter, advancing 28.65% as against Sensex's 9.94% rise. The large-cap company has equity capital of Rs 186.40 crore. Face value per share is Rs 2. Larsen & Toubro Infotech's (L&T Infotech) promoter L&T is selling a part of its stake through the IPO of L&T Infotech. L&T Infotech will not get any funds from the IPO and the entire sale proceeds will go to parent L&T. L&T is selling 1.75 crore shares of L&T Infotech through the IPO. The price band for the IPO has been fixed at Rs 705-710 per share. The bidding for the IPO closes on Wednesday, 13 July 2016. L&T Infotech has raised Rs 372.75 crore from selling 52.5 lakh shares to a slew of anchor investors, including mutual funds, insurance companies, banks and foreign institutional investors (FIIs) ahead of the company's initial public offer (IPO). The issue of shares to anchor investors has been priced at Rs 710 per share -- the top end of the Rs 705-710 per share price band for the IPO. Foreign fund Auburn Limited is the biggest anchor investor in the L&T Infotech IPO. It has been allotted 22.53 lakh shares constituting almost 43% of the anchor investors' portion. HDFC Mutual Fund is the second biggest anchor investor in the IPO. It has been allotted 5.63 lakh shares. Among other major anchor investors, foreign fund FIL Investments (Mauritius) and New India Assurance Company have been allotted 2.81 lakh shares each. Prudential ICICI Technology Fund has been allotted 2.11 lakh shares. L&T Infotech has got a total of 22 anchor investors. L&T's consolidated net profit rose 18.6% to Rs 2453.64 crore on 18.51% rise in net sales to Rs 32812.24 crore in Q4 March 2016 over Q4 March 2015. L&T is a major Indian multinational engaged in technology, engineering, construction, manufacturing and financial services. It operates in more than 30 countries worldwide. Powered by Capital Market - Live News Tata Steel rose 0.85% to Rs 321.30 at 9:41 IST on BSE after the company said it entered into discussions with strategic players in the steel industry for its European businesses. The announcement was made after trading hours on Friday, 8 July 2016. Meanwhile, the BSE Sensex was up 450.31 points, or 1.66%, to 27,577.21. On BSE, so far 1.31 lakh shares were traded in the counter, compared with average daily volume of 9.35 lakh shares in the past one quarter. The stock hit a high of Rs 325 and a low of Rs 320.50 so far during the day. The stock hit a 52-week high of Rs 364.15 on 21 April 2016. The stock hit a 52-week low of Rs 200 on 29 September 2015. The stock had underperformed the market over the past 30 days till 8 July 2016, sliding 6.86% compared with 1.36% rise in the Sensex. The scrip had also underperformed the market in past one quarter, falling 1.13% as against Sensex's 9.94% rise. The large-cap company has an equity capital of Rs 971.22 crore. Face value per share is Rs 10. Tata Steel announced that it has entered into discussions with strategic players in the steel industry, including Thyssenkrupp AG for a strategic collaboration for its European businesses. The decision has been taken as an alternative to the ongoing process for the divestment of loss making Tata Steel UK. Tata Steel said that the discussions for strategic collaboration are currently at preliminary stage and there is no certainty of a transaction as the outcome depends on consultation and negotiations with various stakeholders. Tata Steel also said it would begin separate processes for the sale of its South Yorkshire specialty steels business and the Hartlepool pipe mills, excluding the 20-inch tube mill, in the UK. Tata Steel has already received interest from several bidders for these two businesses and the formal process for the sale of the businesses will commence shortly. Tata Steel reported consolidated net loss of Rs 3213.76 crore in Q4 March 2016, higher than net loss of Rs 5674.29 crore in Q4 March 2015. Tata Steel's total income fell 12.34% to Rs 29636.69 crore in Q4 March 2016 over Q4 March 2015. Tata Steel is Europe's second largest steel producer, with steelmaking in the UK and Netherlands, and manufacturing plants across Europe. The combined Tata Steel group is one of the world's largest steel producers, with a steel capacity of more than 28 million tonnes and 80,000 employees across five continents. Powered by Capital Market - Live News At least 13 (IS) militants were killed in Afghanistan's Nangarhar province in an airstrike, a defence official said on Monday. "Based on a confirmed tip-off, the Afghan Army warplanes struck an IS militants' hideout in Kot district on Sunday. The attack also injured five militants and destroyed several rounds of weapons and ammunition," Xinhua news agency quoted a defence official as saying. Union Minister Hansraj Gangaram Ahir on Monday took charge as Minister of State in the Home Ministry while Jaswantsinh Bhabhor assumed office as MoS in the Tribal Affairs Ministry. A Ministry of Home Affairs release said that Ahir is an agriculturist by profession and a four-time Member of Parliament. He represents Chandrapur constituency in Maharashtra and was MoS (Chemicals & Fertilisers) before being moved to the Home Ministry. Bhabhor, who was inducted into the government during the cabinet expansion and reshuffle last week, represents Dahod in Gujarat and was elected five times to the state Assembly before being elected to the Lok Sabha. Bhabhor told the media here that he would to fulfil the expectations of Prime Minister Narendra Modi. He said he will work for the development of the tribal community in the country, particularly for construction of roads, schools and other infrastructure and also work for the conservation of tribal arts and culture. --IANS mg/ps/ask/rn/bg Congress leader on Monday expressed grief and sorrow over the death of over 20 people in the Kashmir Valley and voiced "deep concern" over the situation in the state. Azad, who heads the opposition in the Rajya Sabha, said the law and order situation in Jammu and Kashmir should be restored as soon as possible. "The need of the hour is to ensure that the law and order is restored at the earliest without any further loss of lives," he said in a statement here. Azad wished speedy recovery to the more than 200 civilians and security personnel injured in the violence that erupted after a top militant, Burhan Wani, was killed on Friday. Earlier, Congress President Sonia Gandhi appealed to people to maintain peace in Jammu and Kashmir and said that the political gains made over the past two decades in the state must not get lost. The Shiv Sena on Monday asked the central government to abandon plans to catch mafia dons like Dawood Ibrahim and instead book controversial Mumbai-based Islamic preacher Zakir Naik. "Stop making announcements of dragging back Dawood or Tiger Memon from Pakistan... Now concentrate on Naik, this hidden enemy at home... Arrest him and throw him in the same cell as (hanged 26/11 Pakistani terrorist) Ajmal Kasab," the Sena said in its mouthpiece "Saamana". Naik is under the scanner following revelations that two of the militants who massacred 20 people, including an Indian, at a cafe in Dhaka on July 1 drew inspiration from his speeches. The Sena -- a Bharatiya Janata Party (BJP) ally both at the Centre and in Maharashtra -- said until now the National Investigation Agency, Central Bureau of Investigation and police in Maharashtra and Goa were "hounding" Sanatan Sanstha, a rightwing Hindu organisation. "What about the propaganda and literature of Naik -- it is directly fuelling separatist elements in the country. It seems he is trying to become a messiah of Muslims by pushing them into hell. A new type of unrest and 'Pakistan' is being created in India," the Sena said. It urged the BJP governments in New Delhi and Mumbai "to display guts" and ban Naik and his Peace TV and demolish his propaganda machinery. The Sena said an Islamic State den was uncovered in Hyderabad and its chief Ibrahim Yezda confessed to attending Naik's "peace camp" for 10 days. It accused the Indian agencies of developing cold feet while taking action against Naik. --IANS qn/ksk/mr Following the footsteps of three leaders that quit the Bahujan Samaj Party (BSP) ahead of the forthcoming state assembly elections, BSP's secretary also resigned on Sunday. After serving the party for 35 years, Paramdev said he felt suffocated in the Mayawati-led BSP as the interests of the Dalits were "being mortgaged to the people with money bags". Calling the BSP a "grocery store" where money could buy anything from tickets to party posts, he said people who have no background and no loyalty to the party were made MLCs while dedicated workers like him were being sidelined. While he did not disclose any details about his future political action, he dropped enough hints that he could join the Bharatiya Janata Party (BJP). He told the media "I am headed for Kashi (Varanasi)". Varanasi is the parliamentary constituency of Prime minister Narendra Modi. In the past one month, he is the fourth top BSP leader have left the party, levelling almost similar charges against Mayawati. Senior party leaders Swami Prasad Maurya left the BSP last month and leaders R.K.Chowdhary and Ravindra Nath Tripathi quit recently. The Chief Executive Engineer (CEE) of the Meerut Cantonment Board has been sent to a 14-day judicial custody over an incident where four people were killed during the demolition of a building, police said on Monday. The CEE Anuj Chowdhary however, pleaded through his lawyer that he was not present at the site when the incident took place last week. The counsel of the victims however, observed that he could not absolve himself from the ill-planned demolition after which a court sent him to judicial custody. He was arrested by the Meerut police late Saturday night. Meanwhile, the Union Minister of Defence Manohar Parrikar has also sought a detailed report on the incident, an official informed IANS. The Cantt Board has meanwhile announced a compensation of Rs 5 lakh each to the victims' families. The district administration has also announced an ex-gratia of Rs 2 lakh each. The families have alleged without any prior information, one of the pillars of the building was taken down by Cantt's demolition squad, bringing the whole building crumbling down where the victims were crushed to death. --IANS md/ksk A Chinese UN peacekeeper was among the persons killed during the the renewed fighting in South Sudan's capital Juba, a spokeswoman with the UN Mission in South Sudan said on Monday. "The casualties on Sunday involved one Chinese and Rwandese," Xinhua news agency quoted deputy spokesperson Shantel Persaud as saying. However, she did not reveal the name of the killed peacekeeper. The Chinese peacekeeper was killed on duty at the UNMISS Jebel base, while several other Rwandese peacekeepers were critically injured at the Tomping base near the airport, she said. Persaud described the fighting on Sunday as "intensive", and condemned the fresh violence in Juba that caused panic among civilians. The UNMISS reported some 1,000 internally displaced people fled from one of the UNMISS protection of civilians sites to its compounds in Jebel, which suffered small arms and heavy weapons fire. The UNMISS has run six such sites in various parts of Juba in the past two years, accommodating nearly 170,000 people seeking safety. --IANS py/vt Congo's Ambassador to India on Monday moved a city court seeking custody of seven-year-old Sania, whose mother, a Congolese national, was brutally murdered by her Indian father here last week. Ambassador Mossi Nyamale Rosette, on behalf of the victim's family, came to the court, which also took up hearing of petition by Sania's paternal grandmother for her custody. The court, however, directed police to hand over the girl to state-run Child Welfare Committee till it decides the matter. The ambassador and the girl's maternal uncle also sought permission to meet her. Cynthia Vechel was murdered by her Indian husband Rupesh Kumar Mohnani at their flat in Gachibowli here on July 4. Sania was locked in another room. Mohnani, a businessman, cut Cynthia's body into pieces, stuffed them into a suitcase and carried the same in his car to a village on city outskirts, where he was caught by people while burning the severed body parts. Meanwhile, the court also ordered DNA test on Sania to identify the charred remains of Cynthia. Mohnani is currently in jail. Police also moved a petition in the court, seeking his custody for further interrogation. Mohnani's mother Lalitha Devi filed a petition seeking the custody of Sania. Following Mohnani's arrest, the police had handed over Sania to his family members. However, they took her back after Congolese nationals settled here staged a protest. They demanded that Cynthia's brother, who lives here, be given the custody of the child. Police, however, refused to do so on the ground that Cynthia's brother was staying illegally. The victim's other family members have also arrived in the city and they, with the help of the ambassador, launched a legal battle to take Sania's custody. They argue that as Cynthia was killed by Rupesh, his family can't take good care of Sania. Having lost her mother and with her father in jail, the girl's future is in limbo. Mohnani, who had gone to Congo, met Cynthia, a club dancer, and they fell in love and married in 2008. They came to India in 2012 and was since living here. Police investigations revealed that the couple was having frequent fights over financial matters. Mohnani was also angry over Cynthia chatting with her friend over Facebook. --IANS ms/vd Amid recurring clashes in curfew-bound Kashmir Valley, three injured protesters died in hospitals here on Monday, taking the death toll to 32 in three days of bloodshed following the killing of a top militant that has sparked fresh India-Pakistan tensions. And for the first time, police opened fire hitting two civilians in embattled Chief Minister Mehbooba Mufti's hometown Bijbehara in southern Kashmir, which has accounted for all the deaths bar one since Hizbul Mujahideen commander Burhan Wani was killed by security forces on Friday. At least 31 civilians and a police driver have been killed in clashes between the security forces and angry young demonstrators across the Kashmir Valley since Saturday, various informed sources told IANS. But police put the death toll at 23. There were no fresh deaths on Monday. But the sources said nine more casualties were added to the toll after at least three people succumbed to injuries and five who had died earlier were counted on Friday. Clashes, however, raged on in many parts of the valley as young men armed with rocks defied prohibitory orders to hurl stones at police and paramilitary pickets. Bijbehara, Mehbooba Mufti's hometown, some 40 km from here towards the south of the valley, was the latest to be consumed by the clashes, police sources told IANS. Sources in Srinagar's S.M.H.S. Hospital said two civilians with bullet injuries were admitted on Monday afternoon and both were from Bijbehara. "One of them was hit in the stomach and other in his left thigh," a doctor told IANS requesting anonymity. Police sources said a mob set ablaze a police picket in Lassipora in Pulwama district in south Kashmir. Sopore, Handwara, Bandipora and Baramulla in north Kashmir also witnessed stone throwing incidents. Another police camp was set on fire in north Kashmir's Sopore town. Normal life across the valley was paralysed for the third day amid strict curfew and a separatist-called shutdown. Public transport went off the roads. Private cars plied at some places in areas where there were no restrictions. Shops and other businesses remained shut. Government offices and banks were also closed as cellphone internet services remained suspended. As the anger in Kashmir simmered, Pakistan Prime Minister Nawaz Sharif called for a plebiscite in the "occupied" Jammu and Kashmir. India asked Pakistan to worry more about human rights abuses in parts of Kashmir it controls. Radio Pakistan quoted Sharif as saying that the "massacre of citizens by Indian forces and use of brutal force against Kashmir is regrettable". In New Delhi, Minister of State for Home Affairs Kiren Rijiju said Pakistan should worry more about human rights violations in the "occupied Kashmir" -- Indian euphemism from Pakistani Kashmir than in the valley. Home Minister Rajnath Singh reached out to political leaders, including Congress chief Sonia Gandhi, and discussed the Kashmir situation. He also spoke to former Jammu and Kashmir Chief Minister Omar Abdullah. The Kashmir Valley has been on an edge since Friday evening when senior militant commander Wani was killed by security forces. Wani, 22, a new face of Kashmir's separatist war, was shot dead with two of his associates in a south Kashmir village. Four police stations, 36 civil administration offices and dozens of vehicles have been destroyed by unruly mobs in clashes over the weekend. Meanwhile, the government on Monday allowed the resumption of annual Amarnath pilgrimage which was suspended for three days. "The convoy of the yatris is protected by the security forces who are escorting the pilgrims to the valley," a police official told IANS. But the pilgrimage will be allowed only through the north Kashmir route as the southern track to the Hindu cave shrine remains blocked due to simmering tension. The shrine is located in the troubled south Kashmir. --IANS sar/mr/vd In a fillip to government's Skill India initiative, technology giant Google on Monday launched its "Android Skilling and Certification" programme to help make the country a global hub of high-quality mobile developers. The programme aims to train two million mobile developers by unveiling a slew of initiatives to skill up, educate and certify millions of talended students and developers in Android development. "With nearly four million developers, India is expected to have largest developer population globally by 2018. But today, only 25 per cent of them are building for mobile," Caesar Sengupta, Vice President (Product Management) at Google, told reporters here. India is uniquely placed to innovate and shape the internet experience of billions of users "who are and will come online on the mobile platform," he added. Google also launched an instructor-led training programme on Android fundamentals to provide an in-person training to students in universities. In addition to all public and private universities and training institutes of the National Skill Development Corporation of India, the course will be available free of charge on NTPEL (an initiative of IITs and IISc) as part of its online "Mobile Computing" course, starting from July 18. Google has tied up with training partners like Edureka, Koenig, Manipal Global, Simplilearn, Udacity and UpGrad who will operate as authorised Android training partners in India to help Google train the trainers and update Android courseware to prepare students for Android certification. "By building a world-class curriculum and making it easily accessible to the students, we want to contribute to the Skill India initiative and make India the global leader in mobile app development," Sengupta added. Google also announced the launch of its globally recognised job-oriented "Associate Android Developer Certification" exam that will help candidates get an entry-level Android developer job. After training, the aspiring candidates can log on to the Google Developer training website and take the certification exam priced at Rs 6,500. "We introduced the Android Nanodegree programme in India last year with Udacity and today, we have over 11,500 students in India enrolling every month in our courses," said Peter Lubbers, Head of Google Developer Training. Google will also open-source all Android Developer Fundamentals practicals and courseware and make these available to everyone for free. --IANS sku/na/vt The Gujarat High Court on Monday granted bail to Patidar reservation spearhead Hardik Patel in the last case against him, preparing the ground for his release after nearly 10 months in jail. Hardik Patel has got bail in two sedition cases and one on the attack on the office of Visnagar BJP MLA Hrishikesh Patel and other government properties during the reservation agitation. He had challenged in the high court the Visnagar court's refusal to give bail to him. The appeal was heard on Monday. The 23-year-old Patel leader has been bailed out on the condition that he stays away from Gujarat for six months. Sources in the Patidar Anamat Andolan Samiti (PAAS) claim that Patel was likely to spend the next six months in election-bound Uttar Pradesh. The Kurmis in the country's most populous state are equivalent to Patels in Gujarat and are considered electorally significant. The release of Hardik Patel was welcomed with firecrackers and shouting of slogans by his supporters and family members outside the high court, his hometown Viramgam in Ahmedabad district and at Visnagar town in north Gujarat and in Surat city. --IANS desai/mr India has the most transparent companies because of a strong regulation system firmly in place, a new report revealed on Monday, adding that China on the other hand has weak or non-existent anti-corruption policies and has the most opaque firms. The vast majority of the world's biggest emerging market companies have failed when it comes to transparency, creating an environment for corruption to thrive in their businesses and in the places they operate, said the report from Germany-based Transparency International. "Hundred of the fastest-growing companies based in 15 emerging market countries and operating in 185 countries around the world scored an average of 3.4 out of 10 where 0 is the least transparent and 10 is the most transparent," revealed the report titled "Transparency in Corporate Reporting: Assessing Emerging Market Multinationals". Seventy five companies from BRICS countries (Brazil, Russia, India, China and South Africa) failed to beat the average score as poorly performing Chinese companies dragged the whole group lower. Companies from BRICS countries produce about 30 per cent of global GDP, giving them a clear obligation to take responsibility for their actions. Indian companies have the highest average score of any country -- they all score 75 per cent or more -- in organisational transparency largely due to the Companies Act. Chinese companies, which account for a third of those assessed, had the weakest overall performance. This underscores the need for China and its business community to take immediate action to raise their standards. The average score fell slightly by 0.2 compared to the last time the survey was taken in 2013, the report noted. "Pathetic levels of transparency in big emerging market companies raises the question of just how much the private sector cares about stopping corruption, stopping poverty where they do business and reducing inequality," said Jose Ugaz, Chair of Transparency International, in a statement. "Time and again, we see huge corruption scandals involving multinationals, such as Odebrecht Group or China Communications Construction Company, doing immense damage to local economies," Ugaz added. The very weak Chinese results stem from weak or non-existent anti-corruption policies and procedures, or a clear failure to disclose them in line with international best practices. "Through adequate transparency and anti-corruption measures and will from the top this could have been prevented. Although many companies say they want to fight corruption, this is not enough. Action speaks louder than words," Ugaz noted. Across emerging markets, all companies need to do much more to pursue comprehensive public reporting to address corruption and provide the transparency that is the basis for robust and accountable governance, the report added. --IANS sku/na/bg India and Kenya on Monday signed seven agreements following delegation-level talks headed by Prime Minister Narendra Modi and Kenyan President Uhuru Kenyatta. The two sides signed a memorandum of understanding (MoU) in the area of defence cooperation. Another MoU calls for cooperation in the field of standardisation, expertise sharing and mutual trade between Bureau of Indian Standards and Bureau of Kenya Standards. A third MoU was signed for cooperation in the field of national housing policy development and management. According to another agreement, India will extend a line of credit of $15 million (first tranche of $30 million) to IDB Capital, Kenya, for development of various small and medium enterprises [SMEs] in the east African country. An agreement was also signed for extension of a line of credit of $29.95 million by India to the Kenyan government for upgrade of Rift Valley Textiles Factory (RIVATEX East Africa Limited), Kenya. Both sides signed a revised Double Taxation Avoidance Agreement. A bilateral agreement on exemption of visa for holders of diplomatic passports. Prime Minister Modi arrived in Kenya on Sunday from Tanzania on the fourth and final leg of his four-nation Africa tour. He will leave for India on Monday evening after the completion of his engagements in Kenya. --IANS ab/vt Prime Minister Narendra Modi on Monday offered floral tributes at a statue of Mahatma Gandhi at the University of Nairobi here. "Afternoon engagements begin at the Univ. of Nairobi, PM @narendramodi offers floral tributes at Gandhiji's statue," External Affairs Ministry spokesperson Vikas Swarup tweeted. The statue was unveiled by then Indian Vice President S. Radhakrishnan in 1956. The Prime Minister also planted the sapling of a tree next to the Mahatma Gandhi Wing of the university. Earlier on Monday, India and Kenya signed seven agreements following delegation-level talks headed by Modi and Kenyan President Uhuru Kenyatta. Modi arrived in Kenya from Tanzania on Sunday on the final leg of his four-nation Africa tour. He will leave for New Delhi later on Monday. --IANS ab/bg North Korea on Monday warned it would take "physical action" against South Korea and the US for the Terminal High Altitude Area Defence (THAAD) system which is expected to be deployed in Seoul by the end of 2017. "Our army is ready to attack mercilessly and reduce enemies into a sea of fire if it receives orders right now," the Korean Central News Agency (KCNA) reported in what was Pyongyang's first reaction to the measure announced on Friday. Pyongyang "will undertake physical actions as retaliation against THAAD, an instrument of aggression and US world domination, at the time its specific location is confirmed," warned North Korean military leaders quoted by KCNA. The threats were made after North Korea test-fired a new submarine-based ballistic missile on Saturday, which was seen as a direct response to new US sanctions on North Korean leaders for human rights abuses. According to authorities in Seoul, the launch, which took place in the East Sea near the northeastern city of Sinpo, failed during the flight phase. However, they still considered it an advancement in North Korean missile technology, EFE news reported. The new arms test came after the US imposed direct sanctions on Kim Jong-un and another ten North Korean leaders for the first time last week, which was described by Pyongyang as a "declaration of war". The agreement to deploy the THAAD anti-missile system was signed by Seoul and Washington shortly after Pyongyang conducted its fourth underground nuclear test earlier this year and launched a rocket with intercontinental ballistic missile technology. The THAAD project, a costly system designed to intercept missiles in their terminal phase of flight, has generated strong protests from both North Korea, who considers it as a direct threat to its security, and China as well as Russia. --IANS ksk Pakistan on Monday summoned Indian envoy Gautam Bambawale to convey "serious concern" over the violence and deaths in Jammu and Kashmir following the killing of Hizbul commander Burhan Wani. Pakistan Foreign Secretary Aizaz Ahmad Chaudhry summoned the Indian High Commissioner on Monday evening and conveyed Pakistan's concerns over the killing of Wani and other civilians, in the clashes that have erupted in Kashmir Valley. Chaudhry observed that the "use of excessive force against innocent civilians protesting peacefully over extrajudicial killings, is deplorable and a blatant violation of the Right to Life, Right to Freedom of Expression and Opinion, Right to Peaceful Protest, Right to Peaceful Assembly and other fundamental rights", said a Foreign Office statement. He highlighted that such brutal use of force is not acceptable under any circumstances. He called for fair and transparent inquiry against individuals responsible for these killings. Referring to the demand for plebiscite, the Foreign Secretary said that "oppressive measures" cannot deter the people of Jammu and Kashmir from exercising their right to self determination in accordance with the UN Security Council resolutions. He also reiterated Pakistan's call on the Indian government to fulfil its human rights obligations as well as its commitments under the United Nations Security Council Resolutions to resolve the Jammu and Kashmir dispute. The killing of Wani, a poster boy of militancy in Kashmir, on Friday has triggered large scale violence in Kashmir Valley, leading to over 20 deaths in the clashes with security forces. --IANS rn/vm An Israeli court on Monday sentenced a Palestinian man to life in prison over a bus attack in Jerusalem last October that killed three Israelis. The court gave Bilal Abu-Ghanem, 21, three life terms plus 60 years in prison, Xinhua news agency reported. Abu-Ghanem was convicted in June of three counts of murder, seven counts of attempted murder and of aiding the enemy during war. He will also have to pay $83,000 to the families of the murdered victims and $40,000 to the families of the injured. Abu-Ghanem was one of the two Palestinians who carried out a combined shooting and stabbing attack on a Jerusalem bus in October 2015. Other than the three Israelis killed, seven others were wounded. The other attacker was killed by Israeli police forces. Israelis and the Palestinians have been mired in a wave of violence since October that has claimed the lives of 34 Israelis and 215 Palestinians. --IANS ask/bg President Pranab Mukherjee will be on a four-day visit to Darjeeling in West Bengal from Tuesday, an official statement said on Monday. The West Bengal government will host a state reception in honour of the President on July 12, Tuesday. The President will preside over a function in Darjeeling on July 13, the birth anniversary of Nepali poet Bhanubhakta Acharya and address the annual general meeting of Darjeeling Tea Association on July 14, it said. --IANS rak/ask/rn/vt Union Home Minister Rajnath Singh on Monday reached out to various political leaders, including Congress chief Sonia Gandhi, and discussed the situation prevailing in Jammu and Kashmir after Hizb militant Burhan Wani's killing. "The home minister spoke to Congress President Sonia Gandhi, former Jammu and Kashmir Chief Minister Omar Abdullah and many others on the issue. It was like reaching out to all concerned to ensure peace in the valley," a Home Ministry source told IANS. The minister urged all political parties to "speak in one voice" over Kashmir as the militancy-hit state had witnessed heightened tension and violence following Wani's killing on Friday last. "The minister apprised them of the situation there and discussed ways and means to restore normalcy in Jammu and Kashmir," the source said, adding that Rajnath Singh was hopeful of normalcy returning to the state soon. The official said that the Amarnath Yatra was "never suspended officially" after violence erupted in the valley on Saturday and was continuing in a "controlled way". The yatra started on July 2. At least 23 people have been killed in clashes between protesters and security forces and curfew has been imposed in the valley since Saturday. --IANS sk/tsb/vt Home Minister Rajnath Singh on Monday held a high-level meeting with Defence Minister Manohar Parrikar, Finance Minister Arun Jaitley, National Security Adviser (NSA) Ajit Doval and others on the Kashmir situation, an official source said. Doval returned after cutting short his visit to Kenya to join in the efforts to defuse the volatile situation in the valley. Rajnath, along with his team of ministers and officials, also kept a "close watch" on the Kashmir situation during the day. Top officials of various security agencies were also present at the meeting, the source said. The Home Minister apprised them of the latest situation and steps taken by the government to contain further violence in Kashmir. Doval had accompanied Prime Minister Narendra Modi on his tour of Mozambique, South Africa, Tanzania and Kenya. The NSA was to return along with Modi on Tuesday morning but cut short his visit. "The minister kept a close watch on the developments in Kashmir," the source said. Earlier in the day, Rajnath Singh reached out to various political leaders, including Congress chief Sonia Gandhi, and discussed the prevailing situation in Kashmir. The minister expressed confidence that normalcy will soon return to the state. --IANS sk/tsb/vm The Supreme Court on Monday issued notice to the central government on a plea by the Indian Ex-Servicemen Movement (IESM) seeking implementation of 'one rank one pension' (OROP) with an automatic hike in pension of the past retirees in tune with any enhancement in pension of recent retirees. The bench of Justice Dipak Misra and Justice C.Nagappan issued notice to the central government and others as senior counsel Ram Jethmalani told the bench that OROP was a long standing demand of the army personnel and denial of the same was a great injustice to them. "This (OROP) is a long standing demand of the defence personnel and this is a great injustice," Jethmalani appearing for IESM and ten other ex-servicemen told the bench. The petition by the IESM has contended that "OROP is a uniform desire of the three defence services and ex-servicemen are presently drawing pension that is not consistent with their rank and length of service". In fact, the petition says "some ex-servicemen drawing lesser pension than the ex-servicemen who retired in subordinate ranks (or in the same rank) which is unjust and unconstitutional". It referred to an earlier apex court judgment which had said that "class within a class" is illegal, unconstitutional and violative of fundamental rights guaranteed under the constitution. IESM and other petitioner ex-servicemen have assailed the NDA government's policy of periodic review once in five years saying that such an approach was dilution of February 26, 2014 announcement by which the revision in the pension was to automatically pass on to the past pensioners on the annual basis. The petitioner have contended that automatic revision in the pension of past retirees to bring it at par with the pension of service personnel who havce retired recently is in tune with the policy announced. Referring to Koshyari Committee report and the apex court decision, the petition says that it is "most shocking" that the government has delayed the implementation of OPROP in "utter violation of the constitution and the rule of law". Seeking automatic revision of the pension for the past pensioners, the IESM in its petition referred to December 19, 2011, report of the Rajya Sabha's petition committee then headed by BJP's Bhagat Singh Koshyari which had rejected all reservation advanced by the government while "strongly recommending" OROP. "...for future, the pay, allowances, pension, family pension, etc. in respect of the defence personnel should be determined by a separate commission so that their peculiar terms and conditions of service, the nature of duties they are required to perform, etc., which are quite different from the civilian work force, are duly taken into account while taking decision on the same," the committee had said. Government is attempting to create "one rank, different pension" by proposing to pass any future enhancement in the rate of pension, to the past pensioners, on a periodic basis (as opposed to "automatic" and contemporaneously)", the petition said. --IANS pk/vd Without naming Pakistan, Prime Minister Narendra Modi on Monday said that all those who shelter terrorists should be condemned. "We live in a world where preachers of hate and violence are threatening the fabric of our society," Modi said while addressing the students of the University of Nairobi here. "As young dynamic citizens of Kenya and as members of the African society, you would need to be watchful of those who spread radical ideologies," he said. "And be equally condemning of those who give shelter to terrorists and use them as political instruments." Modi said the youth can also play an important role in building a counter-narrative to extremist ideologies. His comments come in the wake of the recent terror attacks in Bangladesh, including one at a cafe in Dhaka that claimed over 20 lives. Kenya too is a frequent target of terrorists, especially from Al Shabab militants from across the border in Somalia. In 2013, an attack on the Westgate Mall in Nairobi left at least 67 people dead, including Indians. Prior to his speech, the Prime Minister offered floral tributes at a statue of Mahatma Gandhi in the university. India and Kenya signed seven agreements on Monday following delegation-level talks headed by Modi and Kenyan President Uhuru Kenyatta. Modi arrived in Kenya on Saturday on the final leg of his four-nation African tour. He will leave for New Delhi later on Monday. --IANS ab/rn/bg My landlord in Patiala, Chief Manager with the State Bank of Patiala, a jovial Sikh once narrated the story of another Sardar who got so fed up with the cops stopping his scooter in Patiala all the time to check for weapons or explosives that he got the lid of his side dicky taken off completely to allow any and every cop a look-in. This was during the terrible days in the 1980s when the Khalistani movement was at its peak and Punjab police (predominantly Sikh) was one of the major targets. Hindu traders and businessmen (and many others no doubt) were also targeted, but they had the option of migrating out of Punjab and settling in Haryana or other states which many of them did, suffering big setbacks though. With reference to "The jihadi challenge" (July 11), there is no doubt that challenges in front of the central government are manifold and I agree with your suggestion that both jihadi threat and militancy need to be handled differently. The issue becomes all the more complex and disturbing when we learn that people like Burhan Muzaffar Wani and all those who killed innocents in the Dhaka cafe were educated and from well-to-do families. So, it is to do with the mindset which needs to be addressed accordingly. Normal life was paralysed in Odisha's Kandhamal district today due to a dawn-to-dusk bandh called by Congress and BJP in protest against the killing of tribals during Maoist-police crossfire near Kurtamgarh. Shops, markets, business establishments, educational institutions and banks remained closed in the tribal-dominated district during the 12-hour shut-down with bandh supporters holding picketing at different places. Vehicular movement also came to a halt as Congress and BJP workers staged road blockades by burning tyres and placing boulders at many places including Baliguda, Phulbani, Raikia, K Nuagaon and G Udayagiri, police said. As a precautionary measure, elaborate security arrangements were made with deployment of adequate forces in order to prevent any untoward incident and maintain law and order, a senior police official said. The bandh remained peaceful with no untoward incident reported from any place so far, he said. The bandh was called in protest against death of five civilians in the Maoist-police crossfire near Gumudumaha village of the district on Friday night. Both Congress and BJP have held the BJD government responsible for the incident and demanded strong action against erring officials. Stating that the bandh evoked good response and support from the people of Kandhamal, Congress leader and former MP Pradip Majhi said the agitation would be intensified and spread across the state if no action was taken against police officials responsible for the tragedy. Dubbing the BJD government as "anti-tribal", senior BJP leaders have demanded slapping of murder charge against police officials for the incident. A BJP team led by party's state unit president Basant Panda is scheduled to visit the area tomorrow. Condemning the incident, CPI-M leader Ali Kishore Patnaik has demanded Rs 20 lakh to the kin of those killed in the incident. A record number of 27,400 Indian tourists visited Australia in May, indicating the rising popularity of the continent country among domestic travellers as a leisure holiday destination. As compared to 27,400 tourists in May 2016, as many as 23,100 Indian travellers had visited the land of kangaroos in May 2015, registering a healthy growth of 18.61 per cent, Tourism Australia said in a statement here today. The figure also indicated highest-ever tourist visits from India (month-wise). Total arrivals for the year (ended May 2016) grew by 8 per cent to 2,38,000 from 2,19,400 in the last year, making India the ninth largest inbound market for arrivals to Australia, it said. Buoyed by the response, Australia is expecting India to be among its top five tourism markets in a decade. "It is a significant and momentous occasion for us to see such a great response from the Indian market. Our marketing activities in collaboration with our key distribution and airline partners, with an increased thrust on digital initiatives, improved air connectivity between the two countries, stability of the Australian dollar and introduction of pilot electronic lodgement of visa applications, have been key factors in boosting travel to Australia," said Nishant Kashikar, Tourism Australia Country Manager (India & Gulf). "By 2025, we expect India to be among the top five markets for Tourism Australia," he said. The Tourism Forecasting Committee (TFC) is estimating 2,45,000 visitors from India in July 2015-June 2016, a 12 per cent increase over 2014-15, the release said. Arrivals from India are expected to perform well with an average annual financial year growth rate of 7.2 per cent through to the fiscal 2021-22, Tourism Australia added. The continent country, surrounded by Indian Ocean and Pacific Ocean, is known for its Sydney Opera House, Great Barrier Reef, the vast Outback (interior desert wilderness) and unique animal species, including kangaroos. The geographically vast country provides sightseers with aquatic, coastal, nature and wildlife experiences, among other attractions. In a major reshuffle, altogether 45 senior officials were on Monday transferred to various positions in . Of them 41 were in the IPS cadre, one in the IAS cadre and the rest three in the WBPS cadre, government orders released here said. Mehmood Akhtar, SRP Howrah, has been transferred as SP, Counter-Insurgency Force, in the state police, while Niladri Chakraborty, Commandant of the State Armed Police, third Battalion, has been asked to take over as SRP Howrah. The SP Malda, Prasun Bandyopadhyay has been transferred as SP South Dinajpur replacing Arnab Ghosh, who will take over as SP Malda. The Deputy Commissioner of Police, Special Branch, Bidhannagar Police, Sukhendu Hira has been transferred as SP in Bankura district replacing Sudheer Kumar Neelakantam, who has been asked to take over as SP in Birbhum District. The SP in Birbhum district Mukesh has been transferred as SP in Murshidabad district replacing C Sudhakar, who will take over as DC, SB, Bidhannagar Police Commissionerate. In IAS cadre, Provat Mishra, who was under a training in the USA, has been asked to take over as Principal Secretary in the Ministry of Water Resources Investigation. Three officials in WBPS cadre were also transferred in the state, the order said. India, which has set an ambitious target of constructing 40kms of new road per day next year, offers "a great opportunity" to American investors in infrastructure sector, Union Minister for Road and Surface Transportation Nitin Gadkari said today. "Fast track decision making system is there. It is a great opportunity for the investor in the country," Gadkari said in his address to the Atlantic Council, a top US think tank. Listing out the key accomplishments of his ministry, the senior BJP leader said land acquisition and clearances are no longer a problem and all the contracts are allocated through e-tender system. Gadkari said he has set the ambitious target of constructing 40kms of new road per day next year. In response to a question, he acknowledged that his officials feel that this is not possible, but he exuded confidence that this is achievable. "I have got a political track record in my life, whatever I say, I accomplish. That's my past, I do not know about the future," he said during an interaction with Atlantic Council think-tank entitled 'A Window into India's Infrastructure Development: A Discussion with Minister Nitin Gadkari'. In his welcome address, Gen (rtd) James Jones said infrastructure development is a central part to the India-US trade relationship. The Minister is currently on a week-long visit to the US with the objective of attracting American investors to India's infrastructure sector and technology transfer and adoption of best practices from the US. He is scheduled to meet the American businesses and the US Transport Secretary later in the day. Gadkari, who would also visit New York, St Louis, San Francisco and Los Angeles during the visit, rued the large number of deaths in India due to road accidents and described this as his failure. This is one of his priority area and is seeking assistance from the US in this field. "One per cent of the cost of the road we are giving to plantation and one per cent to road safety... We are here to understand the intelligence traffic system for that," Gadkari said. "Attitude, approach and vision of the department has changed," he said. "In rural and tribal areas, we have decided to make more national highways," he said. By developing new waterways, highways with reducing logistic cost would be a game changer for the development of India, he said. Green technologies is another focus for his industry, he added. Delhi Water Minister Kapil Mishra today launched a website, containing a timer, that he said will keep ticking till BJP leader Vijender Gupta ensures the arrest of former Chief Minister Sheila Dikshit in the alleged water tanker scam. The portal 'nojailnomooch.Com', "prepared by volunteers", was launched at the Delhi Secretariat here. During an Assembly debate last month, Kejriwal had thrown the challenge to Gupta, after the government had recommended either a CBI or anti-corruption branch in the case. Mishra said he will "expose" the "conspiracy" that was allegedly afoot to save Dikshit in the next three days. The countdown will continue for the next 32 days, till August 13, when the deadline set by Kejriwal for Gupta will end, Mishra said. Gupta, the leader of the Opposition, termed it as "drama". "BJP kept saying that we are not sending the report of the fact-finding committee into the tanker scam to the ACB. Then when we finally did, he should also keep his commitment. Why is the LG and Gupta trying to save Sheilaji," Mishra asked. The website may have been launched from a government platform but it has been developed by volunteers and did not cost a penny, Mishra claimed. "Kejriwal now wants to dilute the impact of corruption charges in Rs 400 crore water scam so that the whole matter becomes a joke," Gupta said in a statement. Air India will offer last minute ticket prices equivalent to AC II tier fares of Rajdhani trains on seven additional routes including from the national capital to Ahmedabad, Goa and Hyderabad. These ticket prices, as low as Rs 2,240, would be available within four hours of the scheduled departure of the flights. The carrier is already offering these fares on four routes and with the new additions, the total number of such sectors would increase to 11. In a release today, Air India said it has decided to add seven more routes for the reduced rates "on account of popular response and with an aim to enhance growth". The routes are Delhi-Ranchi-Delhi, Delhi-Ahmedabad-Delhi, Delhi-Hyderabad-Delhi, Delhi-Bhubaneshwar-Delhi, Delhi-Goa- Delhi, Delhi-Patna-Delhi and Delhi-Raipur-Delhi. Fares on these routes would be "Delhi-Ranchi Rs 2,770, Delhi-Ahmedabad Rs 2,270, Delhi-Hyderabad Rs 3,275, Delhi- Bhubaneshwar Rs 3,475, Delhi-Goa Rs 3,665, Delhi-Patna Rs 2,315 and Delhi-Raipur Rs 2,240 respectively," Air India said. It is already available on four trunk routes -- Delhi-Mumbai-Delhi, Delhi-Kolkata-Delhi, Delhi-Bengaluru-Delhi and Delhi-Chennai-Delhi. "These fares would be available for sale within four hours of the scheduled departure of the flights... By introducing these fares we are not only able to generate additional revenue but also able to fill each and every seat till last minute," the release said. The 'Spot Fares' scheme -- where ticket price equivalent to Rajdhani Express AC I fares are also available -- is from June 27 to September 30. It is available for more than 100 flights across the country. Air India's move might pose challenges for private carriers, which are alleged to charging exorbitant fares for last minute bookings. On Sunday, Air India Chairman and Managing Director Ashwani Lohani said the move to offer tickets at the price of Rajdhani AC II fares was aimed at providing relief to the passengers from last minute sky-rocketing fares and also to fill the vacant seats. The carrier has an average load factor of 74 per cent across its domestic network while the seat occupancy on these trunk routes stands at around 80 per cent, he had said. All India Sikh Students Federation (AISSF) today decided to move court against Delhi Chief Minister Arvind Kejriwal for allegedly hurting religious sentiments after the AAP convener's photo appeared on the front page of a weekly showing him as 'Nihang'. AISSF had directed its Delhi unit to file a case against Kejriwal under IPC Section 295 A (deliberate and malicious acts, intended to outrage religious feelings), AISSF president Karnail Singh Peermohammed said here. "We are taking a serious note of it. The photo showing Kejriwal as 'Nihang' has hurt the sentiments of Sikhs," he said. AISSF asked Kejriwal to tender an apology or face action. Kejriwal is already a facing similar case of hurting religious sentiments of Sikhs after the Youth Manifesto of AAP showed the picture of Golden Temple with the party's symbol broom superimposed on it. The Nihang, belonging to a martial tradition begun by Sikh Gurus, are known for their bravery in the battlefield. Punjab Pradesh Congress Committee president on Monday promised to settle loans of debt-ridden farmers by enacting a law, to save their land and other property from being auctioned if the Congress is voted back to power. He expressed concern over an alarming rise of suicides by farmers. Amarinder also promised to set up a government degree college in Dirba besides elevating the place to the sub-divisional level as demanded by locals. He also announced to increase number of seats in all government colleges as these were falling too short of the demand. While interacting with the people of Dirba assembly segment during his seventh 'Halqe Vich Captain' programme in Dirba, Amarinder appealed farmers to bear with him and wait for six months more after which they will not face any harassment on account of their debts. About 3500 people attended the interactive session with 2100 submitting written petitions and complaints. He reminded people about the promise he made on December 15 during the 'Badlaav Rally' that no farmers' land or property will be allowed to be auctioned. Amarinder recalled that during his previous tenure as Chief Minister he had waived-off farmers loans from cooperative banks. He said, while he was negotiating the settlement with public sector banks, the government changed and nothing moved ahead. He said, this time he will ensure that loans get settled in a time bound manner. The Madras High Court today advised advocates to approach the Supreme Court if they have any grievances on the amendments to the Advocate's Act. The first bench comprising Chief Justice Sanjay Kishan Kaul and Justice R Mahadevan gave the advice when G Krishnamoorthy, an advocate, told the court that his juniors were allegedly prevented from attending the subordinate courts by the agitating lawyers. Krishnamoorthy also requested the Chief Justice to extend the present CISF security to the entire court complex, which is now restricted only to High Court area. The bench told the advocates who were in the court hall that the amendments were made as per the directions of the Supreme Court. If they have any grievances, they could approach the apex court, they said. Some senior advocates, who were in the court hall, then objected to the complaint by Krishnamoorthy and informed the bench that no advocate was prevented from attending the court and he was was trying to get popularity by raking some issue. They said Krishnamoorthy was in the habit of raking issues and trying to become popular and submitted that he had recently filed a bail plea on behalf of the accused in the Swathi murder case without getting his consent. Swathi, employed with IT major Infosys, was found murdered on a platform in Numgambakkam railway station here while she was waiting to board a train on her way to office around 6:30 AM on June 24. The accused Ramkumar was arrested in connection with the murder on July one. The High Court had in May issued a notification making amendments to existing rules under the Advocates Act with a view to ensure peaceful conduct of court proceedings and suggesting disciplinary action to be taken against erring advocates. A section of advocates were on strike since June 28 demanding unconditional withdrawal of the amended rules to the Advocates Act. The Chief Justice said already the state government had declared the High Court premises as high security zone and if the CISF security has to be extended to the entire premises, funding for it would be a problem. The Madras High Court was under the cover of Central Industrial Security Force from November last year. Odisha Human Rights Commission (OHRC) today served notices to senior state government and top police officers asking them to submit within two weeks their reports on the killing of five persons in Kandhamal during an anti-maoist operation. The notices were issued to the home secretary, revenue divisional commissioner of southern division, the director general of Odisha Police and IG of southern range. OHRC acting chairperson Justice B K Mishra gave the direction after taking into cognisance petitions filed by Manoj Jena, Chairman of Human Rights Front(HRF), Bhubaneswar and six others as well as press clippings. The petitioners have alleged that on the evening of July 8, 2016, when about 12 people were returning to village Gungudmaha in Parampanka gram panchayat under Baliguda sub-division in Kandhamal district in an auto-rickshaw from Baliguda after receiving their wages under MGNREGA, they were fired upon by police in Malapanga forest. The petitioners alleged that the killing of unarmed innocent persons in a fake encounter is a serious violation of their right to life as guaranteed under Article 21 of the Constitution of India. They have alleged that the security personnel have violated the basic standards of human rights as they failed to adhere to the standard operating procedures. The petitioners also contested the police version that the auto-rickshaw carrying the victims was caught in an exchange of fire between the Maoists and the security personnel on the ground that it was quite impossible for the vehicle to enter an area where an encounter was on and strangely not a single occupant of the vehicle was spared from bullets. Moreover, there was no recovery of any arms and ammunitions from those persons, the petitioners pointed out. The petitioners have demanded that apart from an inquiry into this incident being ordered by the Commission, a compensation of Rs 25 lakh and Rs 10 lakh be paid to the families of the deceased and the injured, respectively. They also demanded stringent action be taken against the perpetrators of such a heinous crime. Healthcare major Apollo Hospitals has signed a pact with Stanford University to gain insights into cardiovascular risks reduction in South Asians. Apollo Hospitals has "signed an MoU with Stanford University for the Stanford South Asian Translational Heart Initiative (SSATHI) related to South Asians and chronic disease," the healthcare provider said in a statement. Conceptualised with an overall objective to reduce cardiovascular mortality in South Asians, as per the MoU, both parties will attempt to delve deeper into causes associated with cardiovascular risk in diabetic and prediabetic South Asians, it added. "Both the organisations are driven by the same objective of strengthening and broadening research on Non Communicable Diseases (NCD's), while also promoting the need for healthy living," the statement said. While initiatives like the collaboration with Stanford are aimed at fighting the rising incidences of NCD's, Apollo Hospitals has also been emphasising on the need to control communicable diseases, which is also a growing concern, it added. Established in 1983 by Prathap Reddy, Apollo Hospitals Enterprise has 9,215 beds across 64 Hospitals, 2,200 pharmacies, over 90 primary care and diagnostic clinics, 110 plus telemedicine centres across India. (REOPENS DCM 44) "The expansion of the pan-India hospital network will cross a new frontier with the commissioning of the Navi Mumbai hospital. Even as we launch and add the 71st hospital to the network with bed capacity set to surpass 10,000 beds...", Apollo Hospitals Enterprise Chairman Prathap C Reddy said. The company continues to incorporate innovative technologies with an emphasis on patient centricity, he added. Apollo Hospitals has inked an MoU with Stanford University to conduct study on the causes associated with cardiovascular risk among diabetic and prediabetic people in South Asia region. Conceptualised with the overall objective to reduce cardiovascular mortality in the region, the study will capture data on prediabetes and insulin resistance, help physicians understand early patterns of glycemic imbalance and achieve targeted lifestyle and medical therapy, said a statement issued by Apollo Hospitals. "The collaboration will at a later stage look at moving towards a larger Framingham-type study that was developed with the objective of identifying common factors or characteristics contributing to chronic vascular diseases in Massachusetts," the statement said. Studies have indicated that people in South Asia region have four times higher rate of myocardial infraction (MI) and 40 per cent higher rate of mortality after first MI. A WHO report states that there could be over 100 million diabetics by 2030 and people in South Asia will have 60 per cent higher diabetes (DM) rates than in the US. Investigating a suspected terror module of banned ISIS here, the NIA today said the accused were tech-savvy and using a modern software to secure their email conversation with their handler in Syria. According to the NIA, alleged kingpin Mohammed Ibrahim Yazdani was using 'tutanota' software, a secure encryption mail to be in touch with his handler abroad. The coordinates of places where logistics like weapons were to be delivered, were sent to him by his handler through this email. Tutanota is a combination of Latin words "tuta" and "nota" meaning "secure message". It provides a platform for not having any personal data and also does not log Internet Protocol address to secure locations of sender or receiver. The platform encrypts data including its subject, content and attachments. Ilyas had also purchased a weighing machine from a shop at Biwi bazar for weighing explosive precursors and chemicals, the NIA alleged. Another accused Abdullah Bin Ahmed Al Amoodi alias 'Fahad' had procured nine Aircel preactivated SIMs from a promotional temporary stall at Charminar bus stop. Subsequently he had purchased five Chinese mobile phones from a mobile shop at the Charminar bus stop so that they can be used in their planning and operations. The NIA had on June 22 lodged a common FIR against IS for "criminal conspiracy to wage war against the government of India" by collecting weapons and explosive materials to target public places, religious sites and sensitive government buildings in various parts of country and arrested five persons in this connection. The agency has alleged that the five had acquired weapons and explosive materials to carry out terrorist attacks and were in touch with the banned Islamic State. They were getting directions for planning and executing terrorist activities from IS, which has captured various towns and cities in Iraq and Syria. According to the central agency, the gang was preparing improvised explosive devices for carrying out blasts, and was being guided by an online handler, suspected to be based in Iraq or Syria. Eye surgeon Dr Lobsang Tsetim of Ramakrishna Mission Hospital (RKMH) here has been nominated for the 'Eye Health Heroes' award for 2016. Tsetim along with two other Indian surgeons, will receive the award at the 10th general assembly meet of the International Agency for Prevention of Blindness (IAPB) at Durban in South Africa, in October, an official release said here today. During the past years, Dr Tsetim contributed extensively to ophthalmic department of the RKMH to carry out the Eye Care Situational Analysis and Final Action Plan for the prevention of avoidable blindness and visual impairment in Arunachal Pradesh, commissioned by VISION-2020 India , the release said. The 'Eye Health Heroes' award is an initiative of the IAPB in partnership with L'OCCITANE Foundation, and is designed to celebrate eye care practitioners and frontline staff whose everyday efforts behind the scenes are making a real difference towards universal eye health, the release added. As the alleged suicide of a DySp created a huge political storm in Congress-ruled Karnataka, an aggressive opposition today stalled proceedings in the Assembly demanding resignation of minister K J George named by the deceased along with two top police officers for his extreme step. Forcing three adjournments over the death of Mangaluru DySP M K Ganapathy, opposition BJP and JD(S) members alleged that government was trying to "cover up" the case by handing it over to CID and by raising questions about Ganapathy's mental health as a result of his alleged family dispute, even before the probe. Ganapathy (51) was found hanging from the ceiling fanin a room at a lodge in Madikeri on July seven, prior to which he gave an interview to a local TV channel, saying the minister and A M Prasad (IG-Intelligence) and PranabMohanty (IGP-Lokayukta) would be responsible "if anything happens to me." As the Opposition launched an all-out attack on George demanding his sacking, Chief Minister Siddaramaiah defended him seeing "anti-minority" angle in BJP's charge. "You BJP people always target George or Roshan Baig (Minister) or Qamar ul Islam (former minister), because you are anti-minority. You are playing politics out of it. Georgehas nothing to do with this," he said. The Chief Minister's remarks attracted strong criticism from the opposition benches with Opposition Leader JagadishShettar saying "you should be ashamed to make such remarks." As Shettar accused Siddaramaiah of indulging inminority appeasement and politics over death, a war of words broke out between both sides. Siddaramaih said he was speakingthe "truth". Both BJP and JD(S) also demanded withdrawal of a suomotu statement made by Home Minister G Parameshwara at the beginning of the discussion. Accusing the government of trying to spoil relationships within the family, they questioned "who are you to say that Ganapathy's relationship with his wife wastroubled? Who are you to comment on it? Take it back." The Home Minister's statement quoting DySP's father Kushalappa's police complaint said that Ganapathy was under depression as he had family issues especially with his wife. "When Ganapathy was working in Bengaluru, his wife and children were in Mangaluru. After he was promoted and transferred as DySP to Mangaluru, she continued ill treating him, because of this my son was under depression. Losing hopes in life, he has committed suicide," Parameshwara saidquoting from Kushalappa's complaint in his statement. Bangladesh today cancelled downlink permission for Indian Islamic preacher Zakir Naik's 'Peace TV', a day after it banned the channel over reports that his "provocative" speeches encouraged some of the militants to unleash the worst terror attack in the Muslim-majority nation. The Information Ministry issued the order cancelling the permission mandatory for television broadcast in the country. "Based on the Cabinet committee's decision, free-to-air TV channel Peace TV's downlink permission has been cancelled for violating downlink conditions," the ministry said. Its spokesman said copies of the circular have been sent to Home Ministry, press information department, state-run BTV and cable operators association KOAB. "Its downlink permission has been cancelled in line with a information ministry's decision," Bangladesh Telecommunication Commission spokesman said. Reports from Bangladesh said that cable operators have begun stopping broadcast of the channel throughout the country after the government order. The action came a day after the Cabinet Committee on Law and Order decided to ban the Mumbai-based preacher's channel. The doctor-turned televangelist is facing heat in India and Bangladesh after it emerged that Naik's speeches goaded some of the militants who stormed the upmarket cafe in Dhaka's diplomatic area on July 1, triggering to a hostage-situation. Twenty two people, including 9 Italians, 7 Japanese, and an Indian girl, were hacked to death in the brutal attack. The Indian government has said "appropriate" action will be taken against Naik, while the Maharashtra government has ordered a probe into his speeches that reportedly inspired the militants, and also into the sources of funding of his NGOs. There are allegations that Naik's NGO received funds from abroad but spent them on political activities and for radicalising people. 'Peace TV' was launched by Naik's Mumbai-based Islamic Research Foundation in 2006. An Urdu version was launched in 2009, followed by a Bangla version in 2011. The contents in English, Urdu and Bangla are telecast from Dubai. Last week, Bangladesh Home Minister Asaduzzaman Khan said intelligence agencies were investigating the 50-year-old Naik for his possible role in influencing the cafe attackers. "He is on our security scanner... Our intelligence agencies are investigating his activities as his lectures appeared provocative," Khan has said. Naik's financial transactions are also under the scanner. Britain and Canada have banned Naik from visiting the two countries several years ago while Malaysia banned his lectures fearing that they could instigate inter-racial tensions. Experts say Naik could not be accused of openly inciting terror but his preaching were a heady mix of ingredients which could abet radicalisation of the extreme kinds. Rohan Imtiaz, one of the young terrorists who carried out the attack on the cafe, had quoted Naik in a Facebook post in January where he urged "all Muslims to be terrorists". The government of the Bahamas has urged its citizens to be careful when traveling to the United States, citing tensions over the recent deaths of black men at the hands of police. Most people in the Caribbean nation are black. The foreign ministry said young Bahamian men in particular should exercise caution in their dealings with police in US cities. "Do not be confrontational and cooperate," the ministry said in a statement. Tomorrow is a holiday in the Bahamas, so many people here were expected to use the long weekend to visit the US. The travel warning is of the kind that the US State Department issues to Americans traveling to countries it deems dangerous. Racial tensions are running extremely high in the United States because of the death last week of two black men at the hands of police, the latest in a series of such incidents. Micah Johnson, the black gunman who killed five police and wounded seven others during a peaceful protest Thursday in Dallas, told police those earlier killings were the reason for his rampage. Police killed him by detonating a bomb carried by a robot. As part of programmes to implement the Roadmap for Disaster Risk Reduction in Bihar (2015-30), the state government today signed an MoU with Bangkok-based Asian Disaster Preparedness Centre (ADPC). Chief Minister Nitish Kumar said this MoU will help reduce disaster risk management in the state. Bihar often faces disasters like flood, drought and earthquake. As per provisions of the MoU, the ADPC will provide technical assistance to the state government to implement the Roadmap for Disaster Risk Reduction in Bihar (2015-30), which was approved by the Cabinet in March. One of the targets of the roadmap is cutting down the loss of human lives in natural disasters by 75 per cent within 2030 in comparison to the current data. The MoU was signed by the ADPC Executive Director Jingjai Hanchanlash and state Disaster Management Department Principal Secretary Vyasji. Speaking on the occasion, Kumar said the groundwater level has gone down drastically in the state as Bihar received normal rainfall in only two years since 2005 because of climate change. But, despite such irregular rainfall, Bihar has been afflicted by floods due to discharge of water from rivers in Nepal, he said and recalled the Koshi tragedy of 2008 which caused large scale loss of lives and damage to properties. He said the state also faced spectre of natural calamity like earthquake with the region falling under the seismic zone four and five. In addition, Bihar witnessed severe heat wave this summer with the maximum temperature rising to 44-45 degree Celsius in April due to which fire incidents increased and human lives and properties were lost, he said. The heat wave-led fire incidents became so frequent that the state government had to issue advisory to the people to cook meals at home and complete religious rituals like 'havan' by 9 AM before onset of heatwave later in the day, Kumar said adding the advisory also asked people to store buckets of water at home to douse fire. The Chief Minister also expressed concern at increased incidents of lightning strike, which claimed lives of 56 persons in different parts of the state on a single day in June. All these calamities prompted the state government to put in place a standard operating procedure so that impact of such disasters could be minimised, he said. Senior US diplomat Nisha Desai Biswal today met top Bangladeshi officials as well as Dhaka-based diplomats including the Indian High Commissioner and discussed the security situation in the country following two high- profile terror attacks in the Muslim-majority nation. Biswal, the US Assistant Secretary of State for South and Central Asian Affairs, also visited the Holey Artisan Bakery in Dhaka's diplomatic enclave where terrorists killed 22 people including nine Italians, seven Japanese, one Indian and an American citizen of Bangladesh origin on July 1. The US point person for South Asia, stayed there for around 20 minutes amid police barricade surrounding the area. The radical Islamic State (IS) has reportedly claimed responsibility for the attack on the popular eatery, which is frequented by foreigners. The second terror attack targeted the country's biggest Eid gathering at Sholakia in northern Kishoreganj district. Biswal is visiting Dhaka following the two high-profile attacks and has offered Washington's support to build Bangladesh's capabilities in countering terrorism. She is also scheduled to meet Prime Minister Sheikh Hasina and Home Minister Asaduzzaman Khan Kamal. Earlier, she met Foreign Minister AH Mahmood Ali, and Dhaka-based diplomats including the Indian High Commissioner Harsh Vardhan Shringla, among others, to discuss security issues, BDNews24.Com reported. US Secretary of State John Kerry had last week phoned Prime Minister Hasina and "encouraged" her government to conduct its investigation in accordance with the highest international standards and offered immediate assistance from US law enforcement, including the FBI. Italy and Japan have also decided to conduct coordinated diplomatic and intelligence operations in Bangladesh, the report said. The ISIS and al-Qaeda in Indian Peninsula have claimed responsibility for some of the other attacks on Hindu priests, Christians and secular activists. However, the government denies the presence of ISIS or al-Qaeda in Bangladesh. Police last month launched a nationwide anti-militancy week-long crackdown to halt these deadly attacks. REOPENS FGN 20 Meanwhile, Bangladesh today responded cautiously to the US proposal for providing security assistance to the country in combating Islamist militancy saying the government would assess areas of requirement for possible American support. "The United States has offered us expert assistance to enhance our capability to control terrorism," home minister Asaduzzaman Khan said after US assistant secretary of state Nisha Desai Biswal called on him here. He added "We will assess what help we need. Then we will let them (US) know". Biswal arrived yesterday as a special US envoy after the deadly terrorist attack on July 1 on a restaurant that killed 20 foreigners including an Indian and an American national. "I am here to offer US assistance and support for Bangladesh's own effort against terrorism and violent extremism," she said while lauding Bangladesh's efforts in tackling extremism. She underscored the US' "broad-based" understanding with Bangladesh and added that her country's support is an "extension of long and deep partnership based on shared values of democracy, tolerance and inclusion". Biswal also met Prime Minister Sheikh Hasina's security adviser Tarek Siddiqui. Senior US diplomat Nisha Desai Biswal today met top Bangladeshi officials as well as Dhaka-based diplomats including the Indian High Commissioner here and discussed the security situation in the country following two high-profile terror attacks in the Muslim-majority nation. Biswal, the US Assistant Secretary of State for South and Central Asian Affairs had an exclusive meeting with Indian High Commissioner in Dhaka Harsh Vardhan Shringla and discussed the security situation in Bangladesh. An Indian diplomat preferring anonymity said Biswal herself sought the meeting with Shringla. Biswal also met with the envoys of UK, Canada and Australia over the security situation in Bangladesh. Biswal also visited the Holey Artisan Bakery in Dhaka's diplomatic enclave where terrorists killed 22 people including nine Italians, seven Japanese, one Indian and an American citizen of Bangladeshi origin on July 1. The US point person for South Asia, stayed there for around 20 minutes amid police barricade surrounding the area. The radical Islamic State (IS) has reportedly claimed responsibility for the attack on the popular eatery, which is frequented by foreigners. The second terror attack targeted the country's biggest Eid gathering at Sholakia in northern Kishoreganj district. Biswal is visiting Dhaka following the two high-profile attacks and has offered Washington's support to build Bangladesh's capabilities in countering terrorism. She also met Prime Minister Sheikh Hasina's security adviser retired major general Tarek Siddiqui and Home Minister Asaduzzaman Khan Kamal. Earlier, she met Foreign Minister A H Mahmood Ali. US Secretary of State John Kerry had last week phoned Prime Minister Hasina and "encouraged" her government to conduct its investigation in accordance with the highest international standards and offered immediate assistance from US law enforcement, including the FBI. Meanwhile, Bangladesh today responded cautiously to the US proposal for providing security assistance to the country in combating Islamist militancy saying the government would assess areas of requirement for possible American support. "The United States has offered us expert assistance to enhance our capability to control terrorism," home minister Khan said after Biswal called on him here. He added "We will assess what help we need. Then we will let them (US) know". "I am here to offer US assistance and support for Bangladesh's own effort against terrorism and violent extremism," Biswal said while lauding Bangladesh's efforts in tackling extremism. She underscored the US' "broad-based" understanding with Bangladesh and added that her country's support is an "extension of long and deep partnership based on shared values of democracy, tolerance and inclusion". The IS and al-Qaeda in Indian Peninsula have claimed responsibility for some of the other attacks on Hindu priests, Christians and secular activists in Bangladesh however, the government denies the presence of IS or al-Qaeda in the country. Police last month launched a nationwide anti-militancy week-long crackdown to halt these deadly attacks. BJP today appealed for peace in the violence-hit valley and asked political parties to not politicise the situation there, saying it was a matter of national security. It also asserted that its government was working with a policy of "zero tolerance to terrorism" and said people across the country are one in the fight against terrorism. "We appeal for peace in Kashmir. Terrorism is enemy of humanity and we have seen its ugly face recently during the Eid festival. The whole country is united in finishing it off. We will also appeal to political parties to not politicise the situation as it is a matter of national security," BJP national secretary Shrikant Sharma said. His comments came on a day when Congress leaders took potshots at Prime Minister Narendra Modi over the violence in Kashmir. "The whole world is united against terrorism and so are people of India," he said. Leading stock exchange BSE announced the launch of voice-based search in the new version of BSEIndia mobile app for android phones. The voice-based search is the latest version of BSEIndia mobile app for android phones. "With this users can search for their index and stocks using simple voice commands, taking away the need to type scrip codes or names," BSE said in a release today. "The app uses Google voice search technology to provide this feature. Currently, the feature is available in the android app only and will soon be launched on Apple platform," it added. ********* Exide Life launches 'Smart Term Plan' * Exide Life Insurance today announced the launch of Smart Term Plan that offers a comprehensive life cover and also returns the premiums paid on completion of policy term. "Our new offering Smart Term Plan caters to the requirement of our customers - protection and money back by offering not one but three smart ways to build a solid financial foundation. The choice of paying the premium as regular, for a limited period or as one time also lies with the customer," Exide Life Insurance Executive VP, Product Management, Sanjay Tiwari said in a release. ********* Samsung partners Flipkart to sell TVs * Samsung India Electronics today announced its partnership with e-commerce marketplace, Flipkart to sell its 40-inch Full HD and Smart Curved TV. The tie-up will further help Samsung to meet the growing demands of the online customers with Flipkart's huge presence in the online marketplace, Flipkart said. "The strength of Samsung in the television category and specifically smart televisions, is going to significantly bolster our existing 20 per cent smart television portfolio," Flipkart Head Large Appliance, Sandeep Karwa said. The Samsung 40 inch (102 cm) Full HD (1920 x 1080) Smart, Curved LED television is exclusively available on Flipkart, the release said. ********* Merck supports Sri Shankara Cancer Foundation * Merck, a leading healthcare and life science company, is assisting Sri Shankara Cancer Foundation in equipping an operation theatre (OT) at its under-construction hospital in Bengaluru with cutting edge tools. "We are assisting Sri Shankara Cancer Foundation in equipping an operation theatre (OT) with cutting edge equipment in the 250-bed cancer hospital being constructed by the Foundation in Bengaluru," a company statement said today. "Access to health programmes are an integral part of the corporate responsibility of Merck. We believe in extending our expertise through collaborations with responsible organisations and jointly developing need-based and locally relevant solutions," Merck Managing Director Anand Nambiar said. LeEco India ropes in former Qualcomm exec Jaiteerth Patwari * Chinese handset maker LeEco today said it has roped in former Qualcomm executive Jaiteerth Patwari to set up and head the Development Centre at LeMall India, its eCommerce platform. "Jaiteerth is a well-known and respected name in the industry and will bring with him a wealth of experience, which we're sure will be fruitful for us in our India journey," LeEco India Chief Operating Officer Smart Electronics Business Atul Jain said. Patwari joins LeEco after 14 years at Qualcomm. At LeEco, his responsibility will be to innovate, develop and support the e-commerce business of LeEco. In future, LeMall R&D is looking to hire 50 more engineers to scale its eCommerce platform. ******** Ola launches e-rickshaws service in Lucknow * After Delhi-NCR, Ola has now extended its erickshaws booking service through its app in Lucknow. The company plans to onboard more than 300 e-rickshaws over the next three months, Ola said in a statement. It added that over 100 erickshaws have already associated with Ola. Using the app, users will be able to track their ride live, make cashless payments and receive ride receipts. E-rickshaw drivers can access the Ola app in eight different languages, including Hindi and English, it added. The service will be available in Lucknow at Rs 20 for the first kilometre and Rs 8 per km thereafter. ******** AGC Networks appoints Angshu Sengupta as CFO * AGC Networks today said its board has approved the appointment of Angshu Sengupta as its Chief Financial Officer with immediate effect. "The Board considered and noted retirement of Amal Thakore, CFO from the services of the company with effect from July 10, 2016 on reaching his retirement age," it said in a filing to BSE. The company has approved appointment of Angshu Sengupta as its CFO with immediate effect, it added. HDFC Red launches 'priority search' platform * HDFC's online property portal HDFC Red has launched a new platform to guide home seekers to prioritise their preferences and find their choice of house. The platform 'priority search', available on both desktop and smartphones - compels home seekers to prioritise their preferences. This action leads the users to view properties in an order indicating the extent of relevance, with results personalised to each user, the company said today. ********* L'Oreal launches make-up artistry brand NYX * French beauty major L'Oreal has launched make-up artistry brand NYX Professional Makeup in India. NYX will become part of the Consumer Products division of L'Oreal, which includes make-up brands L'Oreal Paris, Maybelline NY and Lancome. ********* Equirus Capital appoints Gautam Kothari as Associate Director * Equirus Capital, a full-service investment bank, has appointed Gautam Kothari as Associate Director, healthcare and pharma, a company statement said. He previously worked with JM Financial Group. Kothari brings on board more than a decade of critical experience in i-banking at different levels in the healthcare vertical, it said. "Teams in Equirus are modelled around specific verticals and Gautam's addition will strengthen the life-sciences and healthcare vertical," Equirus Capital, Head-investment banking and MD, Ajit Deshmukh said. South Sudan's president ordered a ceasefire today after a new day of heavy fighting in the capital Juba that sent thousands of people fleeing and threatened a return to civil war. President Salva Kiir's ceasefire order Monday evening raised hopes of an end to four days of deadly fighting between the army and ex-rebels. "The president has reiterated his commitment to the continued implementation of the [peace] agreement in letter and spirit, and thus issues an order of cessation of hostilities with immediate effect," Information Minister Michael Makuei said on state television at 6:00pm local time. There was no immediate response from rebel leader turned Vice President Riek Machar whose forces have been battling Kiir's soldiers on and off since Friday evening. The United Nations had expressed deep alarm over days of violence between the army and ex-rebels, which has left several hundred people dead and threatens the young nation's shaky peace. The UN Mission in South Sudan (UNMISS) said "more than 7,000 people" had sought shelter at two compounds in Juba while fighting was also reported in the south-eastern town of Torit where thousands fled to a UN base. Eight people have been killed and 67 injured at the UN's so-called "Protection of Civilian" sites in Juba since Sunday. "UNMISS compounds are caught directly between the fighting and continue to sustain impacts from small arms and heavy weapons fire," UNMISS said in a statement. Intense battles were fought throughout Monday with tanks and helicopter gunships deployed and artillery and mortar fire heard in parts of the city. Witnesses reported "very, very heavy fighting" in Juba with residents barricading themselves inside houses and aid workers holed up in bunkers while the US embassy warned of "serious fighting between government and opposition forces". The only civilians on the streets scurried for shelter during lulls in fighting. The current fighting between soldiers loyal to Kiir, a member of the Dinka tribe, and former rebels backing Machar, a Nuer, was triggered by a deadly altercation at a checkpoint on Thursday night. That was followed by hours of violent confrontations on Friday evening that left "over 300 soldiers" dead, according to Makuei. After a pause on Saturday -- South Sudan's fifth anniversary of independence -- battles began in earnest on Sunday morning, continuing throughout the day in several parts of the city before subsiding overnight and resuming today. It is unclear how many have been killed in the fighting since Sunday that has focussed on the Jebel and Tongping areas of the city. Civil Aviation Minister Ashok Gajapathi Raju on Monday reviewed the overall performance of and discussed ways to increase the market share as well as to reduce its losses. In the review meeting, the minister also took note of the airline's performance in comparison with other local carriers and the industry as a whole. While assuring all possible support to the national carrier, which is implementing its turnaround plan, Raju urged officials to use the best IT practices to bring in efficiency. CMD Ashwini Lohani and other senior airline officials participated in the meeting. An official release said the minister reviewed Air India's strategy to increase its share in the domestic and international markets apart from ways to improve its financial performance by reducing losses. In a presentation, Lohani explained the initiatives taken recently and the various challenges that the airline was facing in the domestic and international market. "Aspects about the performance of Air India in comparison with other domestic airlines and the industry as a whole were analysed. Strategies for improvement of various parameters were also looked at," the release said. According to the release, Raju promised that the Ministry on its part would look into the Air India's demands and provide all possible support. Raju reviewed the status of monetisation of assets by Air India and also sought information on operationalisation of MRO (Maintenance, Repair and Overhaul) for advanced aircrafts at Nagpur and Hyderabad facilities, it added. "Reviewed Air India operational and financial performance; conveyed several useful suggestions received from public," the Minister said in a tweet. The airline is estimated to have posted an operating profit of Rs 8 crore in 2015-16. Air India ran up losses to the tune of Rs 5,859.91 crore in 2014-15. The improvement is anticipated mainly on account of a steep fall in the jet fuel price, which accounts for 40 per cent of an airline's operating expenses. In 2012, the government had extended a Rs 30,231-crore lifeline to the national carrier under a turnaround plan stretching over a period of nine years to keep it afloat. Activists of Congress and Jammu and Panthers Party (JKNPP) held separate protests here against the ongoing violence in Valley which led to the suspension of Amarnath Yatra from Jammu. The Congress activists said the state government has failed to provide security to the Amarnath pilgrims. "The state government has failed to provide security to the Amaranth pilgrims who are left stranded in the base camp in Jammu for the past three days," Congress leader Anil Chopra said. The activists also burnt the effigy of the state government and raised anti-government slogans. The activists of JKNPP led by party president Balwant Singh Mankotia held a protest and blocked the Jammu-Srinagar highway causing inconvenience to the commuters for several hours. "The BJP-PDP government has failed to provide security to the Amarnath pilgrims which resulted in injuries to several pilgrims and damage to hundred of vehicles that were attacked by the stone pelters in Kashmir," he said. Mankotia said the state government had released 634 stone pelters three days ago in the Valley which is root cause of stone pelting on Amarnath Yatris. Meanwhile, in a statement issued here, senior Congress leaders accused the state government and district administration for the alleged neglect and failure to address the grievances of stranded Amarnath pilgrims. Mercom Capital Group today said corporate funding into the solar sector in April-June quarter fell to USD 1.7 billion, a 41 per cent drop compared to the USD 2.8 billion raised in Jan-March period of 2016. Mercom Capital Group, LLC, a global clean energy communications and consulting firm, released its report on funding and merger and acquisition (M&A) activity for the solar sector in the second quarter of 2016. Total corporate funding, including venture capital funding, public market and debt financing into the solar sector in Q2 2016 fell to USD 1.7 billion this quarter, a 41 per cent drop compared to the USD 2.8 billion raised in Q1 2016, it said. Mercom Capital further said that the year-over-year total corporate funding was down significantly compared to USD 5.9 billion in the second quarter (April-June) of last year. "The solar industry continues to experience weakness in terms of financing activity, and corporate funding in Q2 2016 was at its lowest level in three years," CEO and Co-Founder of Mercom Capital Group Raj Prabhu said in a statement. Global solar VC funding (including private equity) saw a large decline this quarter with USD 174 million in 16 deals compared to USD 406 million in 23 deals in Q1 2016. Year-over-year (YoY) VC numbers were slightly better compared to Q2 2015 with USD 142 million in 24 deals. Solar downstream companies raised the most (64 per cent) VC funding in Q2 2016 with USD 112 million in seven deals. A large part of the total came from the USD 100 million raised by Silicon Ranch from private equity firm Partners Group. Other VC deals this quarter included the USD 20 million raised by Tigo Energy, 1366 Technologies' USD 15 million raise, and the USD 12.5 million raised by Sol Voltaics. A total of 21 VC investors participated in funding deals. Solar public market financing in Q2 2016 came to USD 179 million in four deals compared to USD 94 million in four deals in the first quarter of 2016 and USD 2.3 billion in 12 deals in Q2 2015. According to the report, Tata Power Renewable Energy (TPREL), a Tata Power subsidiary and a renewable energy project developer, acquired Welspun Renewables Energy's (WREPL) 1,140 MW renewable energy project pipeline for USD 1.38 billion. CLP India, a subsidiary of Hong Kong based CLP Group, bought a 49 per cent stake in Suzlon Energy's 100 MW solar project in Veltoor, Telangana, with an option of acquiring the 51 per cent stake balance in the future. Amplus Energy Solutions (Amplus Solar), an Indian solar installer and a portfolio company of I Squared Capital, acquired SunEdison's 7 MW rooftop solar project portfolio in India. The projects are spread across the states of Maharashtra, Karnataka, Tamil Nadu and Delhi. A Delhi court today sent Chief Minister Arvind Kejriwal's former Principal Secretary Rajendra Kumar and six others, arrested in an alleged corruption case, to three-day CBI custody after the agency said they were needed to be confronted with each other. Special CBI Judge Arvind Kumar sent Rajendra Kumar, a 1989 batch IAS officer of UT cadre, Deputy Secretary in Kejriwal's office Tarun Sharma, Kumar's close aide Ashok Kumar and owners of a private firm, Sandeep Kumar and Dinesh Kumar Gupta, to the agency's custody after they were produced before it on expiry of their one-day judicial remand. The court also sent R S Kaushik and his predecessor G K Nanda, present and the former Managing Directors of a PSU respectively, to three-day CBI custody on expiry of a day's judicial custody. While Kumar, Tarun, Ashok, Sandeep and Dinesh were earlier in CBI custody for five days, the other two accused who were arrested on Saturday, were sent to the agency's custody today. All the seven accused were yesterday produced before a magisterial court which had sent them to a day's judicial custody while asking them to be produced before the special CBI court today. During the hearing today, the CBI told the court that it needed their custody as it wanted to confront the accused arrested earlier with those nabbed on Saturday. The agency submitted that six more addresses were revealed during the interrogation of the five accused from where several more incriminating materials were recovered. It claimed that during the search, a diary was recovered from which it came to the light that Rs 60 lakh cash was paid by one of the accused to acquire a flat for another accused. "Fresh discoveries are likely to be made in the case... Huge illegal gratification was given to the accused company (Endeavour Systems Pvt Ltd). We have the audio clips which connect of all the accused with each other," the CBI claimed. It also told the court that some of the accused persons were threatening the witnesses and asking them not to cooperate in the investigation. Regarding Nanda, the agency alleged that he had entered into a conspiracy with other accused dishonest intentions. The CBI further claimed that Kaushik had also helped Kumar in a dishonest manner. Earlier, the CBI had alleged that Kumar was intimidating witnesses. According to CBI, the five accused arrested earlier were showing undue favours to Endeavour Systems Pvt Ltd (ESPL), a private firm which the agency alleged was floated by Kumar, in the award of government contracts worth over Rs 50 crore. CBI had registered a case against Kumar and others in December last year alleging that the officer had abused his official position by "favouring a particular firm in the last few years in getting tenders of Delhi government departments". Kaushik and Nanda, present and former Managing Directors of Intelligent Communication Systems India Ltd (ICSIL), a Delhi government undertaking which is a joint venture of Telecommunication Consultants India Ltd (TCIL) and Delhi State Industrial and Infrastructure Development Corporation, were arrested as they were allegedly evasive during questioning. The accused were charged under section 120-B of IPC (criminal conspiracy) and provisions of the Prevention of Corruption Act relating to criminal misconduct for allegedly favouring ESPL in bagging of five contracts. CBI has alleged that the accused persons had entered into a criminal conspiracy and caused a loss of Rs 12 crore to the Delhi government in award of contracts between 2007 and 2015, and claimed that the officials had taken "undue benefit" of over Rs three crore while awarding the contracts. This is the same case in which the agency had come under scathing criticism from court which had directed it to return the documents sought by the Delhi government seized during December 15, 2015 search of Kejriwal's office. The arrest of the top state government official had triggered a political storm with the Delhi government accusing the Centre of indulging in "political vendetta" and "paralysing" governance. Agrochemical firm Crystal Crop Protection today said it has acquired a fungicide brand 'Bavistin' from Germany-based BASF that would help raise the company's revenue by 7-8 per cent. Delhi-Based Crystal Crop Protection Pvt Ltd, which posted a turnover of around Rs 1,200 crore in the previous fiscal, did not disclose the acquisition value. BASF is the world's largest chemical producer. "We have signed a memorandum of understanding (MoU) with BASF SE, Germany and BASF India to acquire Bavistin," Crystal Group Managing Director Ankur Aggarwal told reporters here. The market of Bavistin brand in India is more than Rs 100 crore (at farmers' price) with application of around 70 lakh acre. It is used in fruits, vegetables, cereals, oilseeds and pulses, he said. The size of the domestic agrochemical market is about Rs 16,000 crore, of which around Rs 3,000 crore is fungicides. "With the addition of Bavistin, our topline is expected to increase by 7-8 per cent," he said. Aggarwal said the company's turnover could rise by about 20 per cent this fiscal on the back of good monsoon and acquisition of Bavistin brand. Bavistin would be formulated and produced in the company's manufacturing facilities at Jammu. Crystal group has three manufacturing facilities -- two in Jammu and one in Haryana. The company is into agrochemical, agro-equipment and seed business. Private equity firm Everstone Capital had invested about USD 30 million in the company in 2012 and has about 9 per cent stake. Asked about any plans to launch Initial Public Offer (IPO), Aggarwal said: "There is no immediate plan. We are exploring various options". With the introduction of Bavistin in the product portfolio, the company aims to focus more on speciality products and brands, Crystal Sr Vice President Marketing C S Shukla said. Defence Secretary G Mohan Kumar today reviewed the progress of ongoing defence infrastructure projects and security at the Southern Air Command headquarters here. Kumar, who arrived at the state capital on a one-day visit, also interacted with the senior officers of the Air Command, a defence release said. He later visited Sainik School at nearby Kazhakoottam. During his interaction with the cadets and staff at the school, the official said, being a cadet of Sainik School is a great honour and each one of them should have an aim to become an Armed Forces Officer. "No other profession can give you dignity, challenge and satisfaction simultaneously other than the Armed Forces," he said. He laid a wreath at the 'Stupa of Remembrance' at the school premises and paid homage to the war heroes. The Defence Secretary, who was on his maiden visit to a Sainik School, also promised to extend his maximum support to solve the long term issues related to Sainik Schools, the release added. Social activist Teesta Setalvad and her husband Javed Anand were today asked to wait for the response of Gujarat Government on their plea seeking defreezing of their personal bank accounts, with Supreme Court expressing reservation on passing an order pending the probe. "The whole problem is that investigation must be complete. They must wait till investigation is over. There are allegations of violation of FEMA," a bench comprising Justices Dipak Misra and C Nagappan said when the matter came up for hearing. The bench said that before passing any order, it would like to have the assistance of state government's counsel Hemantika Wahi and Additional Solicitor General Tushar Mehta who appeared before it. "We would like to respond to the petition," Mehta told the bench, which agreed to his request to allow Gujarat Government to file a response without issuing it a notice. As soon as senior advocate Kapil Sibal, appearing for Teesta, told the court that even personal accounts of the couple have been frozen, the bench spoke about the pending probe and asked the social activists to wait for its conclusion. However, Sibal said, "this is not the FCRA (Foreign Contribution (regulation) Act) matter. This has nothing to do with the FCRA issue." "Even the accounts of Citizens for Justice and Peace which has nothing to do with the matter have been frozen. There are no allegations against it. Even funds given by Ford Foundation and HRD Ministry are frozen," he said, adding that "the money came through cheque." To this, the bench said, "we can grant you the liberty to come to the court after investigation is over." "We are not issuing any notice," the bench said, adding "a copy of the petition be served to the counsel for Gujarat. Let the matter be listed on August 17." Teesta, her husband and the two NGOs -- Sabrang Trust and Citizens for Justice and Peace -- have approached the apex court challenging the October 7 verdict of the Gujarat High Court which had rejected their pleas for defreezing their personal bank accounts. The accounts were frozen by the Crime Branch of Ahmedabad Police. The action of the Ahmedabad police had come soon after the Crime Branch had started probing a case in which Setalvad and others are accused of embezzling Rs 1.51 crore collected to convert Gulberg Society -- where 69 people were killed during the post-Godhra riots -- into a 2002 riots museum. The high court had upheld the verdict of a lower court in this regard observing that the probe was at a serious point in the alleged case of Gulberg society fund embezzlement. In their plea filed before the apex court, the petitioners have alleged that their accounts have been "illegally freezed" without following the due process of law. "The accounts of the petitioner have been frozen by the respondents without any prior notice and this act of freezing her personal accounts is not pursuant to the discovery of any offence but is in terms of a fishing expedition as an attempt to find out if any offence has been committed," the plea said. It claimed there was no nexus between the alleged offence and their accounts which have been frozen. "The freezing of the accounts of the petitioner has led to personal hardship and humiliation. It is also a violation of the fundamental rights of life, association and assembly. "The aim of the respondents was and is, to humiliate and defame the Petitioner in every way possible as also to financially cripple the Trusts and even block her personal finances so that legitimate activities come to a standstill," it alleged. One of the residents of Gulberg Society, Firoz Khan Pathan, had filed a complaint against Setalvad and others alleging that money was raised to make a museum at Gulberg Society in the memory of those who were killed during the 2002 Gujarat riots, but it had not been utilised for the purpose. In the fund embezzlement case lodged by the Gujarat Police, the couple challenged the cancellation of bail in the apex court, while in the alleged FCRA violation case, CBI has challenged the anticipatory bail granted to them by the Bombay High Court. Gujarat Police has filed an affidavit in the apex court alleging that the funds collected by them for setting up of museum in memory of the riots victims of Gulberg Society was spent on personal use. DMK today urged the Tamil Nadu government to take all steps to ensure the safe return of about 5,000 Amarnath pilgrims from the state, reportedly stranded in Kashmir. Party President M Karunanidhi said 5,000 pilgrims from Tamil Nadu were reportedly stranded in Kashmir, which is facing violent protests over the killing of Hizbul commander Burhan Wani by security forces on Friday. He said they were accommodated in camps where there was a shortage of basic necessities and medicines. "The Tamil Nadu government should take all steps to safeguard the 5,000 Tamils and ensure their return to the state," he said in a statement. The alleged suicideby Mangaluru DySP M K Ganapathy today rocked the KarnatakaLegislative Assembly, with the Opposition demanding resignation of minister K J George and action against two senior police officers named by the officer in his suicide note. Launching an all out attack against government, BJP and JD(S) accused it of trying to "cover up" the case by handing over the investigation to state CID and questioning Ganapathy's mental health even before the probe. Ganapathy (51) was found hanging from the ceiling fan in a room at a lodge in Madikeri on July seven. As the House met, Opposition BJP Leader Jagadish Shettar demanded that the issue be discussed first and Minister for Bengaluru Development George should resign. Chief Minister Siddaramaiah said the issue was serious and "every one's life is important, it is unfortunate that this incident has happened. This is a serious issue; Home Minister will make suo motu statement", he said. Objecting to this, Opposition members said they be allowed to raise the issue first and George must resign. Shettar said, "By making a statement even before the discussion government is showing how much serious it is about the issue. It is an attempt to cover up the issue." Intervening, Speaker K B Koliwad said the issuewill be taken up for discussion, but he will allow HomeMinister to make the statement first. This angered the opposition members with BJP and JD(S) MLAs entering the well of the House and shouting slogans against the government calling it "a murderer". Amidst pandemonium, Home Minister G Parameshwara read out a statement saying Ganapathy's father Kushalappa in his complaint had said his son was under depression as he had family issues especially with his wife. "When Ganapathy was working in Bengaluru his wife and children were in Mangaluru. After he was promoted and transferred as DySP to Mangaluru she continued ill treating him, because of this my son was under depression. Losing hopes in life, he has committed suicide," Parameshwarasaid quoting from Kushalappa's complaint in his statement. Noting that Ganapathy's brothers M K Thammaiah, also aDySP, and M K Machaiah have said that their brother wasunder depression due to family issues, Parmeshwara said, the case has been registered under 174 of CrPC and investigation handed over to CID. He said CID will also probe the allegations made by Ganapathy before committing suicide and action will be taken in accordance with law after the investigation. Parameshwara said that a separate complaint has been filed by Ganapathy's wife and son yesterday, and it has also been forwarded to CID. After the Home Minister's statement, the Speaker adjournedthe House for 30 minutes, even as opposition shouted slogans against the government. As the House resumed, opposition again came to thewell and started shouting slogans. Intervening, the Speakerasked the opposition to participate in the discussion. After repeated attempts by the Speaker to pacify the opposition, Shettar initiated the discussion on the issue. Pointing out various incidents of officials being hounded by the government, he questioned its intention of raising doubts about Ganapathy's mental status even before the investigation. Questioning not registering the case under Sec 306 of the IPC (abetment of suicide), he asked how could one expect a fair inquiry by CID which comes undergovernment. He also pointing out loopholes like taking into account the version given by Thammaiah, who did not enjoy good relationship withGanapathy. Deputy Leader of Opposition R Ashoka objected to questions being raised over Ganapathy's mentalhealth. Pointing at Ganapathy's annual performance report by Commissioner's office, he said he has been marked good for hisconduct, health and other criteria. Hours before taking the extreme step by hanging from a ceiling fan in a hotel room in Madikeri in Kodagu district, Ganapathy had said in an interview to a local T V channel, "If anything happens to me hereafter, they are responsible. "Who? (police officials) A M Prasad (IG-Intelligence) and Pranab Mohanty (IGP-Lokayukta) and also former Home Minister George. Scientists have used a radio telescope network the size of the Earth to zoom in on a unique phenomenon in a distant galaxy - a jet activated by a star being consumed by a supermassive black hole. The record-sharp observations show a compact and slow-moving source of radio waves, researchers said. Researchers, led by Jun Yang from Onsala Space Observatory at Chalmers University of Technology in Sweden, studied the new-born jet in a source known as Swift J1644+57 with the European VLBI Network (EVN), an Earth-size radio telescope array. When a star moves close to a supermassive black hole it can be disrupted violently. About half of the gas in the star is drawn towards the black hole and forms a disc around it. During this process, large amounts of gravitational energy are converted into electromagnetic radiation, creating a bright source visible at many different wavelengths. One dramatic consequence is that some of the star's material, stripped from the star and collected around the black hole, can be ejected in extremely narrow beams of particles at speeds approaching the speed of light. These so-called relativistic jets produce strong emission at radio wavelengths. The first known tidal disruption event that formed a relativistic jet was discovered in 2011 by the NASA satellite Swift. Initially identified by a bright flare in X-rays, the event was given the name Swift J1644+57. The source was traced to a distant galaxy, so far away that its light took around 3.9 billion years to reach Earth. Researchers used the technique of very long baseline interferometry (VLBI), where a network of detectors separated by thousands of kilometres are combined into a single observatory, to make extremely high-precision measurements of the jet from Swift J1644+57. "Using the EVN telescope network we were able to measure the jet's position to a precision of 10 microarcseconds. That corresponds to the angular extent of a 2-Euro coin on the Moon as seen from Earth. These are some of the sharpest measurements ever made by radio telescopes," said Yang. "We looked for motion close to the light speed in the jet, so-called superluminal motion. But our images reveal instead very compact and steady emission - there is no apparent motion," said Yang. The results give important insights into what happens when a star is destroyed by a supermassive black hole, but also how newly launched jets behave in a pristine environment. The study was published in the journal Monthly Notices of the Royal Astronomical Society. Eight militants from Bangladesh's terror group Jamaatul Mujahideen (JMB) have been charged with the murder of a Japanese farmer who was shot dead in the country last year. Hosi Koniyo, 66, who was headed to an agriculture farm on the outskirts of northern Rangpur city in a rickshaw, was shot thrice in the chest, shoulder and hand on October 3 last year. He died on the spot. Though terror outfit Islamic State was quick to claim responsibility, the government had refuted it. A frequent visitor to Bangladesh, Koniyo had been in Rangpur for the past six months and was familiar in the neighbourhood because of his philanthropic work. He had set up the farm, where he visited daily by a rickshaw, with help of a local businessman to grow grass for cattle. Following the incident, police had arrested five people including Hoshi's business partner Humayun Kabir Hira. Multiple interrogations of these five people showed JMB's involvement, BDnews quoted police as saying. These five have are now lodged in Rangpur Central Jail. Three are absconding. The militants were charged as the country reels under the killing of 20 people, most of them foreigners from Italy, Japan, India and the US. The country has blamed homegrown terror group JMB for the cafe siege. Jharkhand Urja Vikash Nigam Limited (JUVNL) chairman cum managing director (CMD) R K Srivastava today said electricity tariff will be hiked to meet required resources for maintaining power supply in state. During his maiden visit to Dhanbad, Srivastava said the power system was reeling under a pool of problems. "...To remove the hurdles and to ensure 24x7 power supply in the state, there is need of resources to improve infrastructure, which can be generated only through enhancing power tariff," he said. Srivastava said JUVNL purchases power at a high rate of Rs five per unit and supplies it at Rs 2.20 per unit. "Without plugging the gap of purchase and sale, improvement of power infrastructure is not possible. Power is costlier in most neighbouring states. In Bengal, the power tariff is Rs eight per unit," the CMD said. "We will file application to the Electricity Regulatory Commission next week for enhancing power tariff to plug the gaps in rate of purchase and sell," he said after meeting officials of Damodar Valley Corporation (DVC) and JUVNL. The CMD, however, refused to disclose the rate of tariff hike. The JUVNL CMD had rushed to Dhanbad along with state energy secretary of Jharkhand Rahul Purwar for an emergency meeting in wake of a black out like situation in DVC dependent six districts of the state - Hazaribagh, Koderma, Ramgarh, Bokaro, Dhanbad and Giridih for the last one month. Srivastava said DVC and JUVNL officials have been asked to submit reports behind power tripping by July 30. On load shedding in six districts where DVC supplies power, Srivastava said Jharkhand needs to pay around Rs 600 crore to DVC as power dues and he would talk to DVC chairman to chalk out a middle path for avoiding the load shedding due to non-payment. DVC deputy chief engineer S K Bose, who was also at the meeting, however, said until Jharkhand pays Rs 210 crore per month, load shedding in six districts would continue. "JUVNL needs Rs 5,000 crore immediately to avoid power cuts, smooth 24x7 supply and quality power in the state," Srivastava said. For developing transmission system and alternative line, a DPR of worth Rs 3,200 crore has been prepared to ensure power in each house by 2019, he said. Transmission line project worth Rs 900 crore has already been started and the World Bank has sanctioned a loan of Rs 2,500 crore for it, the JUVNL CMD said adding, if needed they would also explore developing transmission lines on PPP model. Known for using Bollywood celebrities to promote its products, homegrown Fast-moving consumer goods major spent Rs 531 crore last financial year on branding. "This investment accounted for nearly 24% of the total costs incurred by the company," said in its annual reports for FY 2015-16. In FY 2014-15, the company had spent around Rs 392 crore, which was 17.7% of revenue and 15.2% of revenue in FY 2013-14 at Rs 277 crore. had a consolidated net sales of Rs 2,624 crore past financial year, which was up 18.3%. "We have been one of the pioneers when it comes to leveraging the power of celebrity appeal to bring in more customers. It has allowed us to reinforce positive recall for our brands while raising aspirational levels among our customers," Emami Director Aditya V Agarwal said. Commenting on the company's strategy of utilising brand ambassadors, Emami Director Harsha V Agarwal said, "One of the priorities during FY 2016 was to figure out what was the most effective means of widening consumer base. This increased our advertising and promotion (A&P) cost by 35% with new brands accounting for nearly 28% of our overall A&P spends." Among other products, Emami banked on Amitabh Bachchan, Shahruk Khan, Shruti Hassan and Shilpa Shetty for its Navratna brand, while it roped in Hritik Roshan for Fair & Lovely range of face wash and HE range of deodorants. In 80s it had even initiated the in-film branding in Rajesh Khanna starrer 'Agar Tum Na Hote' in which the actor played the role of Chief of Emami. "When people were exploring the idea of celebrity endorsers, Emami was already a few steps ahead. The company has an army of celebrities from different fields that have vouched for its products for decades," the company said. Apart from celebrity endorsements, Emami is also title sponsor of above 10 national TV programes and was sponsor of Pro-Kabbadi league. "Besides, with HD channels gaining prominence, Emami is betting big on the IPL to promote its brand," the company said. India is putting in place an evacuation plan for its nationals from South Sudan, which is witnessing escalated violence due to clashes between anti and pro government forces, and has advised Indians not to travel to the war-torn country. In a series of tweets, External Affairs Minister Sushma Swaraj today said, "South Sudan - I am aware of the developments in South Sudan. We are planning evacuation of Indian nationals. "Please register yourself with Indian Embassy and do not panic. Indian nationals are advised not to travel to South Sudan." In its latest advisory, MEA said Indian nationals desirous of departing for South Sudan may kindly register themselves at the email ID controlroomjuba@gmail.Com. "It is important to have exact number of Indian nationals seeking facility of evacuation to make arrangements. Please be brief," the advisory on assistance for Indian nationals in South Sudan said. In case of absence of Internet, please text to +211955589611, +211925502025, +211956942720, +211955318587, it added. "The security situation in Juba, the capital city of South Sudan, has deteriorated since July 7, 2016. We have reports of gun fire and fighting on the streets of Juba making any movement virtually impossible. "Ministry of External Affairs advises all Indian nationals against all travel to South Sudan till situation improves," the MEA advisory said. Yesterday, Indian embassy in South Sudan had asked Indians stranded there to stay calm, assuring them that they are in constant touch with authorities in India and all options are being considered to provide relief to them amid heavy fighting between South Sudan's army and former rebels. "The Indian embassy is in regular touch with concerned authorities in India and all options are being considered for providing relief to Indians stranded here in Juba. All are requested to keep calm and await further development and further inputs from the embassy," the advisory said. According to official data, there are a few hundred Indians in South Sudan. Some of them have set up businesses in Juba and others are working for various companies. A small number of Indian nationals also work in Christian missionary organisations in that country. South Sudan's capital is witnessing heavy fighting due to clashes between former rebels and government soldiers in several parts of the city. Claiming that Executive- Judiciary relationship has been in "tatters as never before", Congress today accused government of hitting back at judiciary by "delaying" appointment of judges for striking down a law on judges appointments. "Never before in recent history has the Executive-Judiciary relationship been in tatters as now. "Congress places this blame on the Government of India. Modi Government has unleashed several... Innuendos, sarcasm, open criticism of court judgements", party spokesman Abhishek Singhvi told reporters. Himself a senior advocate of the Supreme Court, Singhvi deplored the attempts by Government "to obstruct judicial appointments by the back door." He claimed that this was being done by "stealth and covert obstruction" and by "using and abusing" the Memorandum of Procedure to send the message that "government and not the courts have right over judicial appointments". "Whether you agree or disagree with National Judicial Appointments Commission Act, once the Supreme Court has come out with a judgement overruling the Government, there has to be a quietus", he said. Lamenting that this has not happened, he said the most recent example of the obstruction is the "supposed blocking or delay in appointment of 44 recommendees of the Allahabad High Court". He alleged that the same story is being repeated for several other recommendees in different High Courts in India. The matters assumes serious concern, given the fact that the all India High Court strength is 1100 judges of which 400 posts are vacant. Singhvi also alleged that the decision to impose President's rule in Uttarkhand earlier this year was taken the by the government at the behest of local and national BJP leaders. "BJP persisted with this in Court. Ultimately a virtue was made out of necessity by withdrawing it when no other alternative was possible", he said. He said that it has been reported that certain judicial transfers have been preceded by use of unauthorised telephone tapping tactics. "If true, this is direct, serious and unprecedented assault on the independence of the Judiciary", he added. The ABVP unit of JNU today alleged that the organisers of the event on Parliament attack convict Afzal Guru on February 9 are spreading "hate" at the campus and urged Vice Chancellor Jagadesh Kumar to take action. In a letter to Kumar, Saurabh Sharma, the lone ABVP member in JNU students' union said, despite the incidents related to the event being sub-judice, "accused students" have not been refraining from making illegal, anti-national and insensitive remarks. "Such hatred being propagated by the accused students, will be detrimental to the campus environment keeping in mind the new academic session and the students who will be joining as freshers," Sharma wrote. His comments came a day after Umar Khalid, one of the students charged with sedition, faced flak on social media for a post on militant commander Burhan Wani, who was gunned down by security forces in Kashmir last week. Actor Matt Damon says he is completely fine with a younger actor taking over the role of Jason Bourne in the hit movie franchise. The 45-year-old "The Martian" star, who is back in the fifth installment of the Bourne franchise, said he knew he would be replaced someday, said the Hollywood Reporter. "(I am) definitely going to be replaced some day by some new young Jason Bourne. That happens to everybody and they reboot these things, and that's totally fine," he said. Speaking on a promotional tour in Seoul, South Korea, Damon, who returns for the fifth installment titled "Jason Bourne", said, "The only control I can exercise is over the ones that I'm part of." Damon said it was a bit difficult to pull off the action sequences in the movie but he had fun doing that. "It's difficult when you're 45 compared to when you're 29, but you still have to run as fast as you can. That part was a challenge but to get to revisit the people was wonderful. "You'll see what you've seen before (in the upcoming film) and will recognize it as a Jason Bourne movie but it feels new and of the world we're living in today," he added. Directed by Paul Greengrass, "Jason Bourne 5" is set to release on July 29. The movie also stars Julia Stiles. Collectors with a penchant for old Soviet cars will head to the Arctic this weekend where the Finnish customs will auction dozens of cars abandoned by migrants crossing over from Russia. The 128 cars, mostly Soviet-era Ladas or Volgas in poor condition, will be auctioned on Friday and Saturday in Salla, about 900 kilometres (560 miles) north of Helsinki. Between December and March, more than 1,700 asylum seekers, mainly from Afghanistan and Syria, crossed the border in clunkers they had bought in Russia to get into Europe's passport-free Schengen zone through two of Finland's northern entry points in the Arctic. Despite the winter temperatures plunging as low as -30 Celsius (-22 Fahrenheit) in the region, some migrants considered the Arctic route to Europe safer than the more perilous Mediterranean crossings in risky boats. "These are abandoned vehicles that were handed over to the state, 128 pieces. Asylum seekers who arrived via Russia abandoned or handed them over," chief inspector Kari Hannu told AFP today. Hannu said the auction had already attracted "considerable attention". Migrants were crossing the Finnish-Russian border on bicycles until December, because foot crossings were prohibited and being transported by someone else in a vehicle constituted trafficking. But when Finnish authorities barred cycle crossings for safety reasons, migrants had no choice but to shell out over 1,000 euros (USD 1,105) for old rusty cars they abandoned in Finland. Eventually in March the Arctic route was blocked when Finland and Russia announced an agreement to close two of their northern border crossings to migrants. French President Francois Hollande today handed his South African counterpart Jacob Zuma the digitised recordings of the Rivonia Trial in which Nelson Mandela was sentenced to life in jail. The deteriorating audio recordings of the 1963-1964 court case -- one of the most significant political trials in South African history -- were restored by France's National Audiovisual Institute (INA). "I would like to thank President Hollande for the digitisation of Rivonia Trial, which will safeguard an invaluable part of South African history for generations to come," said Zuma, who is on a state visit to France. The Rivonia Trial saw Mandela, who died in 2013, sent to Robben Island where he spent 18 of his 27 years in prison. During his defence in the trial, Mandela made a speech that electrified the world and became the manifesto of the anti-apartheid movement. It ended with the words: "I have fought against white domination, and I have fought against black domination. I have cherished the ideal of a democratic and free society in which all persons live together in harmony and with equal opportunities. "It is an ideal which I hope to live for and to achieve. But, my lord, if it needs be, it is an ideal for which I am prepared to die." On February 11, 1990, Mandela walked free from prison to lead political negotiations that paved the way for the country's first democratic elections in 1994 and his election as the country's first black president. Hollande said France and South Africa both wanted to "defend democracy". However Zuma's trip to France -- accompanied by seven cabinet ministers -- comes as he and his government are embroiled in a raft of scandals and critics warn that Mandela's legacy is in danger of fading away. A court recently ruled Zuma should face almost 800 corruption charges, while another ordered him to pay back USD 500,000 (452,000 euros) of public funds used to upgrade his private residence. Violent anti-government protests in the run-up to local elections and accusations of censorship by the state-run broadcaster SABC have further stoked divisions and acrimonious public debate in the country. The SABC -- the main source of for the majority of the country -- has been accused of banning footage of anti-government protests and criticism of Zuma and blocked opposition campaign adverts. While in France Zuma will also oversee the commemoration of the World War I Battle of Delville Wood in which thousands of South Africans died one hundred years ago. In a major breakthrough in the murder case of two engineers in Bihar's Darbhanga district last year, the Special Task Force (STF) arrested prime accused Mukesh Pathak from Jharkhand earlier in the day, a senior police officer said. Pathak, who was in hiding for months ever since his involvement in the murder case came to light, was nabbed from Ramgarh district of Jharkhand, Additional Director General of Police (ADG), Headquarters, Sunil Kumar told reporters. The gangster has 16 cases lodged against him in East Champaran, Sitamarhi, Sheohar and Gopalganj districts for crimes like murder, extortion, atrocities against women etc, he said, adding, Pathak had escaped from judicial custody on July 22 last. Kumar said the STF had sent teams to Odisha, Gujarat and Andhra Pradesh to arrest the gangster who was living in disguise to dodge the sleuths. Engineers duo Brajesh Kumar and Mukesh Kumar were shot dead near Kusheshwarsthan on December 26 last over non-payment of levy by a private road construction company engaged in construction of state highway between Varnapur in Samastipur district to Kusheshwarsthan in Darbhanga district. The assailants had left behind a pamphlet at the spot bearing the name of a proscribed outfit Bihar People's Liberation Army. During probe and interrogation of those arrested in connection with the case, the name of Mukesh Pathak had emerged as the prime culprit in the case and a manhunt was launched against him. The ADG (Headquarters) said the STF team was bringing the accused to Patna under tight security cover. Pathak would be interrogated by the cops to find out details of his involvement in the murder case before being produced in the court, Kumar added. Goa MP Shantaram Naik today said the Union Home Ministry should immediately file an FIR against controversial Islamic preacher Zakir Naik as his speeches "incite communal violence". "Zakir Naik should be booked immediately. The process to ban his television channel and organisation can take time. But action against him should be immediate," Naik told reporters here during a press conference. "The Union government has been delaying filing an FIR against Naik," the Rajya Sabha MP said. "I don't know if the Home Ministry has conducted any study of Naik's speeches. If the investigating agencies examine his speeches, they will realise what he has said about a particular community. His speeches incite communal violence," the Congress leader said. "I heard him saying that there should be only one religion and the rest should come under its wing," he said. Haryana and Punjab Governor Kaptan Singh Solanki underwent a cardiac health check up at PGIMER here today and doctors found him to be "fit and fine". Solanki, who is also Administrator of UT Chandigarh, was examined at PGIMER's Advanced Cardiac Centre by Manoj Kumar Rohit, Professor at the cardiac department. "The Governor spent a few hours at the PGI. He had come for cardiac investigation and was allowed to leave after the doctors found him fit," PGIMER's official spokesperson Manju Wadwalkar said. "Prof Kaptan Singh Solanki visited the Advanced Cardiac Centre, PGIMER here for his routine cardiac health check up. The cardiologist, Rohit Manoj examined him and found him fit and fine," a Haryana government release said here this evening. Arunachal Pradesh Governor Tathagata Roy today visited the Ramakrishna Mission Hospital here and distributed fruits to indoor patients and interacted with doctors of the hospital and monks. The Secondary Care referral 260 bedded Hospital caters to over 650 patients per day in its Out Patient Department. Secretary of the hospital Swami Vishweshananda accompanied the Governor and his wife who visited the children ward, various laboratories, dialysis ward, and neonatal unit and the monks' quarter. Later, Roy who was sworn in as the state governor on Sunday, also visited the state emporium, here, a Raj Bhawan communique informed. Roy has been given the additional charge of during the absence on leave of J P Rajkhowa. Impressed by the briefings by joint director Haj Dodung and other officers of the department of textiles, handloom and handicrafts, the Governor purchased a wraparound and suggested them to have more stock of traditionally made attires and other materials. Interacting with media persons during the visit, Roy expressed hope that the state would progress with time. He had earlier travelled extensively in the State and is impressed by the rural Arunachal Pradesh and wished that Itanagar improves. With high courts facing a shortage of 470 judges, the Centre has forwarded names of 78 persons to the Supreme Court (SC) Collegium from state judicial services and the bar recommended by various state collegiums for appointment as judges. Sources in the government said recommendations made by various high court collegiums have been forwarded to the in two sets of 57 and 21. According to the established practice, high courts send their recommendations to the Centre, which in turn processes files such as seeking Intelligence Bureau reports on candidates and forwards them to the for a decision. The then recommends names for appointment or elevation. The government, based on its feedback, either accept recommendations or returns it to the SC Collegium with a request to reconsider. The 24 high courts in the country are short of 470 judges, up from 443 in January this year, latest data show. The rise in vacancies comes at a time when the executive and the judiciary continue to have differences over key clauses of a document, which will guide future appointments to the higher judiciary. At the beginning of the year, the shortage was of 443 judges, while in the later part of 2015 it was 392, data available with the Law Ministry state. As on July 1, high courts were facing a shortage of 470 judges, with the Allahabad High Court having the maximum vacancies at 82. The Punjab and Haryana High Court is short of 39 judges, followed by Madras at 37, Andhra Pradesh/Telangana at 36 and Karnataka at 35. The high courts of Allahabad, Andhra Pradesh/Telangana, Kerala, Madhya Pradesh, Manipur, Patna, Punjab and Haryana and Rajasthan are also functioning with acting chief justices. Joining those sceptical of India's high growth rate figures, former Finance Minister Yashwant Sinha today demanded that the government issue a clarification following the US State Department's observation that they may be overstated. He also noted there has been domestic criticism of the growth figures as well. "The government should clarify the situation not because I care for what the US has said but because there has been domestic criticism of it from certain quarters too," the senior BJP leader, who has often faulted the Modi government over its economic and foreign policies, told PTI. Even if domestic criticism is ignored, the government should now come out with a clarification after India's "best friend" - the US - has also sounded unconvinced, he said in an apparent jibe at the Modi government. He said the discrepancy figure of a whopping Rs 1,40,000 crore in 2015-16 may have inflated the growth rate, noting that it stood at only Rs 30,000 crore a year ago. If the discrepancies are removed, then the growth rate falls down drastically, he said. The NDA government has changed the criteria by which growth figures are evaluated and it has resulted in sharp upward revision of previous growth rates. He also wondered if the Department of Statistics, which puts out the figures, was fully equipped to deal with the changes. Sinha said when he chaired the standing committee on finance during the previous Lok Sabha, he found the department making many "bloopers" in its report. The former finance minister questioned whether the statistics put by it can be trusted. A report produced by the Bureau of Economic and Business Affairs of the State Department said that India's 7.5 per cent growth rate may be "overstated" and the Narendra Modi government has been "slow" to match its "rhetoric" in economic reforms. "Ostensibly, India is one of the fastest growing countries in the world, but this depressed investor sentiment suggests the approximately 7.5 per cent growth rate may be overstated," the report titled "Investment Climate Statements for 2016" said. The Gujarat High Court today granted bail to Patel quota agitation leader Hardik Patel in a case related to violence at the office of Visnagar MLA, paving the way for his release after being in jail for around nine months. On Friday, the high court had granted bail to Hardik in two sedition cases with a condition that he will have to stay outside Gujarat for the next six months. He could not be released from jail on Friday as hearing on the Visnagar case bail application was scheduled to take place today. Justice P P Bhatt today granted bail to Hardik after the state government did not oppose his application and he will now be able to walk out of jail. However, the court has imposed a restriction that Hardik cannot enter Mehsana district, in which Visnagar town is located, till the trial of this case is over. The court also added that Hardik can seek modification to this condition after nine months and the court at that time can pass an appropriate order based on his conduct. The 22-year-old Patidar Anamat Andolan Samiti (PAAS) leader, who had spearheaded agitation for seeking quota for his Patidar community, is now lodged at Surat's Lajpore jail. He was arrested in October 2015. Hardik was accused of inciting violence to put pressure on the government to accept the demand of OBC reservation for Patels. Hardik's mega rally on reservation held in Ahmedabad on August 25 last year had sparked violence, in which, ten people, including one policeman, were killed and public properties and vehicles worth crores of rupees were damaged across Gujarat. During the hearing today, government pleader Mitesh Amin told the court that as 17 other accused in this case have secured bail, he has nothing to say against Hardik's bail application. However, he demanded that Hardik should be barred from entering Mehsana district. The case against Hardik and 17 others was filed by Visnagar town police for ransacking the office of Visnagar MLA Rishikesh Patel during one of the Patidar community's reservation rallies. The lower court had refused bail to him, after which Hardik had approached the high court. After nine months of incarceration, 22-year-old Hardik Patel is set to walk out of jail as the Gujarat High Court today removed the last hurdle by granting him bail in a case related to violence at Visnagar MLA's office. However, Hardik will have to stay outside Gujarat for the next six months as directed by the high court on Friday when it granted him bail in two sedition cases. Hardik's lawyer Zubin Bharda said that he is likely to get out of jail a couple of days after completion of all the legal procedures. As to where Hardik will stay during the next six months could not be ascertained. Justice P P Bhatt today granted bail to Hardik in the Visnagar mob violence case after the state government did not oppose his bail plea. However, the court imposed a restriction on Hardik that he cannot enter Mehsana district, in which Visnagar town is located, till the trial of this case is over. The of Hardik getting bail was welcomed by members of the Patidar community who broke into jubilation bursting crackers and distributing sweets. The Patidar Anamat Andolan Samiti (PAAS) led by Hardik now hopes that his release will revive the quota agitation movement. Congress termed his impending release as "good for democracy" while ruling BJP said that it was due to their decision of not opposing his bail that he is set to walk out of jail and they expect an amicable solution to the agitation. While granting bail to Hardik today, the court also added that he can seek modification to the condition (that he cannot enter Mehsana district) after nine months and the court at that time can pass an appropriate order based on his conduct. Gujarat Congress used the Hardik issue to target BJP with state party president Bharat Solanki saying that "the government tried to shake the will power of this youth by applying dirty tricks and even offering him enticement. But against all this, the young man remained adamant and continued with the struggle. The fact that he has been granted bail is good for democracy." State BJP president and MLA Vijay Rupani said that Hardik got bail as the government did not oppose his bail plea. "Chirag Patel and other friends of Hardik, who were jailed in quota agitation, were released due to government's lenient approach. Hardik also got bail due to government's efforts. We are committed to resolving the matter amicably," he said. During the hearing today, government pleader Mitesh Amin told the court that as 17 other accused in this case have secured bail, he has nothing to say against Hardik's bail application. However, he demanded that Hardik should be barred from entering Mehsana district. Hardik's lawyer Zubin Bharda submitted that since Hardik was given two days' time by before leaving Gujarat by the court that granted him bail in sedition cases, he would like to visit Mehsana to offer prayers to his family deity at a temple located there, if the present court agrees to it. The court said since the matter was sensitive, Hardik could again lead a mob and "walk like a hero" if he is allowed to go to Mehsana, but asked his lawyer to seek permission from the court that granted him bail in sedition matters. The case against Hardik and 17 others was filed by Visnagar town police for ransacking the office of Visnagar MLA Rishikesh Patel during one of the Patidar community's reservation rallies. The lower court had refused bail to him, after which Hardik had approached the high court. Ahmedabad city convenor of PAAS Atul Patel said they now expect that Hardik will lead the agitation forward. "In this age of technology, he may be sitting anywhere (outside Gujarat), we can take his guidance to run the agitation". Nalin Kotadiya, an MLA who was ousted by BJP for supporting the Patidar agitation and who met Delhi Chief Minister Arvind Kejriwal during his recent Gujarat visit, said Hardik will continue to give impetus to the quota stir. Hardik, who had spearheaded agitation for quota for Patidar community, is lodged at Surat's Lajpore jail. He was arrested in October 2015. Hardik was accused of inciting violence to put pressure on the government to accept the demand of OBC reservation for Patels. Hardik's mega rally on reservation held in Ahmedabad on August 25 last year had sparked violence, in which, ten people, including one policeman, were killed and public properties and vehicles were damaged across Gujarat. The Madras High Court today closed a PIL seeking an NIA probe into the twin blasts on a train at the Central Railway Station here on May 1, 2014, which killed a woman passenger, after the CB-CID police said that it was the handiwork of SIMI activists and the culprits had been identified. The first bench, comprising Chief Justice Sanjay Kishan Kaul and Justice R Mahadevan, observed in their order that the PIL was solely based on newspaper reports about the blast that had occurred on the Bangalore-Guwahati Express train. It had been stated that there were three accused and they were SIMI activists, two of them are apprehended, while one of them died in an encounter, the bench said closing the PIL by one M Durai Selvan seeking transfer of the probe in the case to National Investigation Agency (NIA). Earlier, in an additional affidavit, Superintendent of Police, Special Investigation Division, CB-CID, B Vijayakumari stated that the twin blasts was the handiwork of SIMI activists and three persons had been identified in connection with the crime. One of the accused Aijajudeen was killed in an 'encounter' with police on April 04, 2015 in Andhra Pradesh, two others identified as Zakir Hussain and Mehaboob are in the custody of Madhya Pradesh police in connection with a murder case following their arrest in Odissa on February 17 last. Prisoner transit warrant had been obtained from a city court for bringing them here. Referring to the plea of the petitioner for compensation to the family of the victim, a woman software engineer from Guntur in Andhra Pradesh, the bench recorded the police submission that Rs 1 lakh had already been paid. Swathi Parachuri, a TCS employee, died in the blasts which had occurred when the train in which she travelled arrived at the Central Railway station, two hours behind schedule. The Bombay High Court today rejected the bail plea of Samir Gaikwad, held in connection with the murder of veteran communist leader and rationalist Govind Pansare, saying there was prima facie ample evidence to link him with the crime. The bail plea of Gaikwad, a member of right wing group "Sanathan Sanstha", was rejected by Justice C V Bhadang, who said there was direct evidence of an eye witness and corroborative circumstantial evidence to show alleged involvement of the accused in Pansare's murder. The high court referred to a purported telephonic conversation Gaikwad had with a woman in which he had confessed to have killed Pansare. The prosecution cited this to suggest involvement of Gaikwad in the crime. The Judge said that Gaikwad had no personal enmity with Pansare but certainly had ideological differences with the rationalist and that explains why he was killed. The material collected by police and produced before the court clearly shows that it was a pre-planned conspiracy to kill the communist leader, said the Judge in his order while rejecting Gaikwad's bail plea. Gaikwad sought bail saying there was no evidence to nail him in the crime. He sought bail on the ground that his liberty was being encroached upon in the absence of evidence. However, special public prosecutor Harshad Nimbalkar opposed Gaikwad's bail plea, saying there was evidence to link him with the murder and that he would marshal evidence before the trial court. The prosecution further said that if Gaikwad was released on bail, he is likely to abscond and impede trial. A sessions court in Kolhapur has twice earlier rejected Gaikwad's bail plea. Being aggrieved, he moved the High Court with a fresh bail plea. Gaikwad is the only suspect arrested by Kolhapur police in connection with this case. He was arrested on September 16 last year from his residence in Sangli in Western Maharashtra. Pansare and his wife were shot by unidentified persons in Kolhapur during their morning walk on February 16 last year. While his wife survived, the CPI leader died at a Mumbai hospital four days later. Heavy rains in and around Pune over the last few days, especially over the weekend, have raised the water level in the four dams that provide water to the city. The collective water level in these four dams -- Temghar, Varasgaon, Panshet and Khadakwasla -- has gone up to 10.05 TMC on July 11 against 7.19 TMC, recorded in the corresponding period last year, an Irrigation Department official said. "Moderate to heavy rainfall in the catchment areas of all four dam over the last few days and the weekend has increased the water level in the reservoirs," the official said. "The current water stock in all four dams till Monday morning is 34.48 per cent. On the same day last year, it was 24.66 per cent," he added. Meanwhile, Pune has gauged 44.09 mm of rains since yesterday and the total rainfall received so far this season in the district is 4516.4 mm. The 25 reservoirs in the district, have also been receiving good rainfall. IMD has predicted intermittent rains in and around the city over the next six days. Pune Mayor Prashant Jagtap said that although the water level in all the four dams is satisfactory, the decision to lift the water cuts will be taken next month. "If the spell of rains continues, I expect that all four dams will fill to their capacity by the end of this month and citizens, who are getting water on alternate day, will not have to face further water cuts," he said. "Although there is a satisfactory stock in the dams, we will take the decision on water cuts in next month," he said. In May, the district administration and political parties barring BJP, had locked horns after the former took the decision to release water from Khadakwasla reservoir to neighbouring Indapur and Daund talukas, which were reeling under acute water crisis. The MNS workers had ransacked Sinchan Bhavan, an office of the state water resources department to oppose the move. Observing that "high-handed" behaviour of school management needs to be stopped, the Bombay High Court today asked Maharashtra government if it has any mechanism or regulatory authority in place to look into and help resolve disputes between parents and school management. A division bench of Justices V M Kanade and M S Sonak had last week taken cognisance of a letter written to the high court by the father of a 12-year-old boy against HVB Global Academy School in Marine Lines in south Mumbai. According to Santosh Mehta, his son was expelled from the school as he had questioned and objected to paying Rs 50,000 in cash to the school for purchase of uniform and other stationery items. "We want to know from the government if it has any regulatory authority or any mechanism to address such issues or resolve the dispute between parents and school management. There are several such cases coming before the high court these days," the HC said. The HC also sought to know if its order in 2015 directing government to frame rules and regulations to address such issues was implemented. The HC today noted that "high-handed" behaviour of school management needs to be stopped and posted the petition for hearing on July 14. Mehta claimed in the letter that he had approached the school for admitting his son in class VII. "The school initially quoted a fee of Rs 1,09,500 which I paid and have a receipt of. However, later the school demanded Rs 50,000 more in cash for uniform, school books, bags and other stationery items. When I objected to pay the extra sum, the school authorities started threatening me and my family," the letter alleged. He further claimed that the school authorities fraudulently threatened his wife into signing a blank paper based on which the school issued a transfer certificate and expelled his son from the school. "I approached the office of the Commissioner of Child Right Protection of Maharashtra and also the Deputy Director of Education. The Deputy Director of Education in February this year directed the school principal to reinstate my child. But when I sent my son to school, the security guards stopped him and refused to send him in," the letter alleged. In line with firms like Accenture, Deutsche Bank and Tata Group, (HCSSI) will now offer six months of paid maternity leave to its employees. Besides the leave extension from 3 months previously, the company will also include the new born baby into its medical insurance policy right from birth, HCSSI said in a statement. The company has also put together a host of post maternity policies including flexible work hours with exclusive shift allowances and work-from-home options to support women employees, it added. HCSSI has about 1,700 employees in India, of which about 500 are women. While the legal requirement on maternity leave is three months, firms like Hindustan Unilever, Accenture, Microsoft and Flipkart have proactively enhanced it to benefit their women employees. The step is also aimed at retaining women at the workplace as many women employees find it difficult to return to work after three months and usually go on unpaid leave or quit working. "As an organisation, we treasure our diverse workforce. We recognised the need to further improve our existing policies, which would enable women establish a better work- life balance during life events such as maternity," HCSSI Executive Vice President Feroze Mohammed said. This is yet another step in the commitment towards building a culture that offers women employees the opportunities to continue to build their career and address their personal priorities as well, he added. HCSSI Vice President Vikas Kaul said approximately 35%of the company's last year hires were women. "To support women employees, one of the key policies needing focused attention was the maternity leave policy. The extended maternity leave, flexible work hours, work from home options and our in house new mother support facilities are ways of showing we care and value our women employees," he said. The company hired about 400 people last year, of which 130 were female employees. Amidst concerns that hospitals, including the country's premiere institute AIIMS in the national capital, are "breeding ground" for dengue mosquitoes, Union Health Minister JP Nadda today directed heads of all hospitals to ensure that their premises are "mosquito-free". The Health Minister gave these instructions at a review meeting held on the preparedness to deal with dengue. The meeting was attended by Delhi's Health Minister Satyendra Jain, mayors of local civic bodies and the heads of central government hospitals in the national capital. Medical Officer of Health, NDMC, PK Sharma said, "The first dengue case this year was of a staff member at AIIMS who lives in the nearby Kidwai Nagar, which is also infested with mosquitoes. Very often, we find, the hostel inside AIIMS is a breeding ground for mosquitoes." "Many times in the past too we have seen that dengue victims are from AIIMS. We have also issued notices to AIIMS in the past," he said. He said AIIMS has formed an internal committee of members from the Public Health Department, which works along with NDMC, to check mosquitoes within the premises of the hospital. The Medical Superintendents of central hospitals have been instructed to use insecticide-treated mosquito nets at hospitals in order to prevent spread of the virus. The Medical Superintendent of Safdarjung Hospital, however, said at the meeting that when there aren't sufficient beds provision of mosquito nets can be a difficult task. "We get so many patients that a lot of them are forced to sleep on the floor. A ward of 50 patients has to accommodate 150 patients. It will be difficult to provide all of them with mosquito nets," the Medical Superintendent said. Distribution of tablets by the state government to check breeding of mosquitoes in coolers, et al, was also mooted during the discussion. The Health Minister also said, "In addition to 33 surveillance labs, two apex labs with advanced diagnostic facilities are adequately equipped to undertake dengue tests." He further said that all state governments have been advised to ensure price capping on dengue testing. Asking all departments concerned to be on alert for the next one-and-a-half months, Nadda appealed to local bodies to reach out to school students for creating awareness around dengue. "MCD should prepare a programme chart on the activities carried out by them. They must inspect different places, schools and ensure participation of students. When students participate both schools and homes will be secured (from dengue)," Nadda said. Delhi High Court today asked aviation regulator DGCA to explain how it had arrived at the figure of Rs 100 per kilogramme for checked-in baggage weighing between 15 kg to 20 kg. "Has the government carried out any exercise to determine how much it would cost the airlines due to excess baggage? How have you arrived at this figure of Rs 100," Justice Sanjeev Sachdeva asked while hearing a plea by Federation of Indian Airlines (FIA) which has challenged DGCA's circular fixing the charge for excess baggage between 15-20 kgs. As per the new regulation effective from July 1, airlines have been asked to charge Rs 100 per extra kg till 20 kg as against their current rates, ranging from Rs 220 to Rs 350. Currently, all domestic airlines allow free checked-in baggage up to 15 kgs. Only Air India allows free baggage up to 23 kg. Additional Solicitor General P S Patwalia, appearing for the Ministry of Civil Aviation and Directorate General of Civil Aviation (DGCA) told the court that the government had the interests of consumers in mind and the decision was taken as numerous complaints were received from passengers about high excess baggage fees. Senior advocate A S Chandhiok, appearing for FIA, opposed the contention saying it was not informed about any complaints received from passengers. FIA also said that DGCA did not have the jurisdiction to fix tariff as this power lay with the airlines and added that fares were determined by market forces. It said that DGCA can interfere only when there are specific instances of discriminatory practice and such circulars cannot be issued in a general manner. FIA also told the court that three of its member airlines -- Jet Airways, SpiceJet and IndiGo, had communicated to DGCA their policy regarding excess baggage charges. After substantial arguments were made on behalf of FIA, the court listed the matter for further hearing on July 13. During the hearing, DGCA said it was not fixing tariff, but was rather regulating it. It said that its circular of June 10 was to come into effect from June 15. However, some airlines had sought an extension on the ground that they needed to modify their ticketing software. It claimed that the FIA had sent it a representation and also sought further extension as they wanted time to file this petition. This contention was denied by the FIA. On the last date of hearing, the court had refused to stay the circular or defer the date of its implementation. It had said the amounts charged by the airlines would be restored, if the FIA succeeded. As per the DGCA, airlines will be free to charge any fee on baggage beyond 20 kg. Against the backdrop of concerns in certain quarters about carriers charging high for extra baggage, DGCA had decided to steeply slash the additional charge levied from passengers in this regard. Describing himself as the law and order candidate, Presumptive Republican presidential nominee Donald Trump said that if elected he would protect everyone and treat them justly without prejudice. "Not only am I the law and order candidate, but I am also the candidate of compassion, believe it. The candidate of compassion. But you can't have true compassion without providing safety for the citizens of our country," Trump told his supporters at an event in Virginia. "Every kid in America should be able to securely walk the streets in their own neighbourhood without harm. Everyone will be protected equally and treated justly without prejudice. We will be tough. We will be smart. We will be fair and we will protect all Americans," he said amidst big applause from the audience. "Without safety, we have nothing. It is the job of the next president to make America safe again for everyone," he said in his first public event after last week's shooting incidents in Dallas that killed five policemen and the killing of two other African Americans in different parts of the country at the hands of police. "The attack on our Dallas police is an attack on our country. Our whole nation is in mourning, and will be for a very long time. Yet we have also seen increasing threats against our police and a substantial rise in the number of officers killed in the line of duty very big rise," he said. Observing that America's police and law enforcement personnel are what separates civilisation from total chaos and the destruction of the country, Trump said it's time to "immediately end" the hostility against police and against all members of law enforcement. Terming AAP as "totally ignorant" about issues of Punjabis, Chief Minister Parkash Singh Badal today cautioned the people that if voted to power AAP would "ruin" the state like Congress. On the sidelines of Sangat Darshan programme in Lambi assembly segment, he said that the performance of any party was judged by its working and AAP has proved it was following the Congress by adopting "anti-Punjab" and "anti-Sikh stance". "AAP leaders have no respect for any religion and by equating their manifesto with holy scriptures of all religions, the AAP leadership has bruised the psyche of people," Badal said. The chief minister claimed AAP has hurt religious sentiments of Sikhs by pasting the picture of their symbol 'broom' on Sri Darbar Sahib. He claimed the Aam Aadmi Party government in Delhi had also discontinued the holiday to observe the festival of 'Baisakhi'. The chief minister alleged while Congress had signed various water agreements to deprive the state of its waters, the AAP was now trying its best to ensure that these agreements were implemented at the earliest. Badal alleged the two parties were trying to ruin the state. Regarding the possibility of declaration of early polls in state by Election Commission of India, he said the SAD-BJP alliance was fully prepared for assembly polls at any point of time. He said the alliance parties would contest the elections on the plank of its pro-people and growth oriented policies. The chief minister also mentioned about the free treatment to patients suffering from Hepatitis- C for which the state government has started 'Chief Minister Hepatitis- C Relief fund'. He said as per this scheme the state government would bear the entire expenditure of patients suffering from this fatal disease. A diplomatic spat erupted between India and Pakistan today over the unrest in Jammu and Kashmir after the killing of Hizbul commander Burhan Wani with New Delhi dismissing Islamabad's strong attack, saying it reflected its "continued attachment" to terrorism. India also asked Pakistan to refrain from interfering in its internal affairs, in comments that came after Prime Minister Nawaz Sharif issued a statement expressing "shock" at Wani's killing. Yesterday, Pakistan Foreign Office had issued a statement condemning continued "killing of innocent Kashmiris". Pakistan also summoned Indian High Commissioner Gautam Bambawale and conveyed Pakistan's "serious concerns over the recent killings of Kashmiri leader Burhan Wani and many other civilians in Kashmir by the Indian military and paramilitary forces." An official statement in Islamabad said Bambawale was called in by the Foreign Secretary in Islamabad. "We have seen statements from Pakistan on the situation in the Indian state of Jammu and Kashmir. They reflect Pakistan's continued attachment to terrorism and its usage as an instrument of State policy. "Pakistan is advised to refrain from interfering in the internal affairs of its neighbours," External Affairs Ministry Spokesperson Vikas Swarup said in New Delhi. Sharif's office in a statement earlier said, "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces." Sharif said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani on Friday. "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he added. Pakistan's Foreign Secretary while summoning the Indian High Commissioner said the use of "excessive force" against innocent civilians protesting peacefully over extrajudicial killings, is deplorable and a blatant violation of the Right to Life, Right to Freedom of Expression and Opinion, Right to Peaceful Protest, Right to Peaceful Assembly and other fundamental rights. The Foreign Secretary also deplored the firing by Indian security forces on peaceful protesters, an official statement said in Islamabad. Mumbai attack mastermind Hafiz Saeed also waded into the diplomatic spat, and welcomed the statements of Pakistan's foreign ministry and the Prime Minister and said the Pakistan government should raise the issue at the international level at a juncture when the "movement" for right to self- determination has gained momentum. The Indian Embassy today said it is following up with Chinese officials about the detention of two Indian men who were held for allegedly attempting to molest a Taiwanese woman in a hotel here. "The matter is still being followed up with authorities. We are not in a position to share further details at this stage," a senior Indian diplomat here told PTI. The two men, aged 50 and 28 hailing from Haryana, were held in detention till July 14. It is not clear yet whether they would be released and allowed to go home. The Chinese government was expected to provide consular access to Indian embassy officials to meet them. The men were on a visit to China along with a group of traders brought in by a tea company from India. They were taken into custody on July 7 by the local police following an alleged attempt to molest the Taiwanese woman tourist in the lift of a hotel here. Much of the woman's ordeal was reportedly caught on CCTV. In the footage, they were purportedly seen first taking photographs with her and later pulling her inside the lift as she was getting out after the lift reached the lobby, officials said. While the older man pulled her into the lift, his younger friend closed the lift's door and took it to the 10th floor where they were staying. During this time, the older man was purportedly seen trying to molest her while the woman made desperate attempts to resist. As the lift came down she was seen rushing out and the two men also went to lobby. Seeking to bolster their ties, India and Kenya today decided to deepen and expand cooperation in a wide range of areas as they signed seven pacts, including in the field of defence and security and avoidance of double taxation. Prime Minister Narendra Modi, after his talks with Kenyan President Uhuru Kenyatta here, also announced extension of concessional Line of Credit (LOC) of $44.95 million to the African nation to help it in development of small and medium enterprises and textiles. India will also build a cancer hospital in Kenya to provide quality and affordable healthcare. The multifaceted development partnership is a key pillar of our bilateral relationship, Modi said at a joint media interaction with Kenyatta after the talks. He said Kenyatta and he had "agreed that terrorism and radicalisation is a common challenge for our two countries, the region and the whole world. We have agreed to deepen our security partnership including in fields of cyber security, combating drugs & narcotics and human trafficking. The MoU on Defence Cooperation signed will entail staff exchanges, expertise sharing, training, cooperation in hydrography and equipment supply. At another event, Addressing the students at the University of Nairobi, Modi pitched for a world free from terror and hate, saying safety and security of people and societies is essential for realising the benefits of the economic progress. Preachers of hate and violence are threatening the fabric of our society, he said. Underlining the need to counter radicalisation, he said Youth can play an important role in building a counter narrative to extremist ideologies." Addressing the event with Kenyatta, Modi said the two countries have shared common interest in the security, including in maritime security, since they are connected by the Indian Ocean. Closer cooperation in field of maritime security occupies an important place in our defence and security engagement, he said. Commerce and Industry Minister Nirmala Sitharaman met her Russian counterpart Denis Manturov and discussed ways to enhance bilateral trade ties in various sectors, including high-end engineering. "There is a strong potential for growth in India-Russia bilateral trade. Be it high-end engineering, or manufacturing, India is moving towards being synonymous to quality, reliability and durability," Sitharaman said. In her meeting with Russian Minister of Trade and Industry Denis Manturov, Sitharaman discussed various specifics to further strengthen industrial and trading ties, a statement said. Sitharaman is leading a business delegation to Russia. Indian businesses are participating in the annual international industrial trade fair - INNOPROM 2016. "We are proud to be the partner country at INNOPROM 2016. The Indian companies participating will highlight the strengths in the area of engineering and innovation," she said. Sitharaman also participated in the India-Russia business forum where she stressed on strong business to business ties between the countries. The India- Russia business forum is expected to form business associations between various Indian and global firms. Noting that India has relaxed FDI norms in segments like defence, civil aviation, pharmaceuticals and food products, Sitharaman invited Russian entities to partner with the country so that the two nations "can play a major role in reviving global growth", Engineering Exports Promotion Council (EEPC) quoted the minister in a statement. As many as 110 companies are taking part in the engineering trade fair, including the Department of Heavy Industries, Department of Electronics and IT, Ministry of New and Renewable Energy, Bharat Forge, Sun Group, NTPC and NHPC. EEPC is also participating in 'INNOPROM 2016'. EEPC Chairman T S Bhasin said the engineering manufacturers would exploit all possibilities to attract leading buyers from across the globe. The bilateral trade between the countries stood at USD 6.18 billion in 2015-16. India and Russia have set the goal of boosting bilateral trade to USD 30 billion and mutual investment to USD 15 billion by 2025. Seeking to address Kenya's concerns, Prime Minister Narendra Modi today said India will work to reduce the trade imbalance with it and assured the African nation that the effort would be to strengthen its processes and enrich its resources. Addressing the joint meeting of Indian and Kenyan business leaders here just before winding up his two-day visit here, he also pitched for enhanced cooperation in the field of solar energy, which he described as the best way to fight global warming. Emphasising that enhanced bilateral economic ties would be a "win-win" situation, he said India was now the "most preferred destination" for investments as he highlighted the changes in the business environment effected in the country over the last two years since his government took office. "India is the largest trading partner of Kenya and second largest investor. We will be happy to reduce the trade imbalance and also enhance the level of business," Modi told the India-Kenya Business Forum meeting in presence of Kenyan President Uhuru Kenyatta. "We have initiated the programme 'Make in India' to make India the global hub of manufacturing. You too have 'Make in Kenya'... It does not matter if you make in Kenya or make in India," he said. His comments assume significance as Kenya has been concerned about the huge imbalance of trade in favour of India. The bilateral trade stood at USD 4.2 billion in 2014-15. The major items of exports from India to Kenya are pharmaceuticals, machinery, steel products, power transmission equipment, yarn, and automobiles while Kenya exports tea, vegetables, leather, soda ash and scrap metal to India. Kenya's State House spokesperson Manoah Esipisu had said yesterday that Kenya will urge that its goods be granted preferential status by the Indian authorities to reduce the trade imbalance. "I assure Kenya that India will always work to strengthen your processes and enrich your resources," Modi said. Referring to rich mineral reserves in Kenya, he said there should be joint exploration of these. Talking about enhancing and expanding trade, the Prime Minister said India looks forward to working with Kenya in the field of solar energy under the ambit of International Solar Alliance. "Mr. President, I am aware that your government has laid great emphasis on the use of solar energy in daily life. We are also working on an ambitious renewable energy programme. India and Kenya are blessed by sufficient solar radiation. Solar energy is a real solution for global warming. It is also a good strategy for providing electricity to remote villages and hamlets. We look forward to work together on this front under the International Solar Alliance," he said. Similarly, India would like to work with Kenya in the field of healthcare by providing its expertise in the sector. "I understand that Kenya would like to replicate the Indian experience in medicare and become a medical hub in the region. We would be happy to support you in this process," he said. India is today the fastest growing large economy globally and is improving its rank in various global indicators, said Modi who noted that his visit here was the first by an Indian Prime Minister in 35 years. He said India has jumped 12 points on the 'ease of doing business' and 16 points on the World Economic Forum's competitive index. "Kenya's economy and its infrastructure make it an influential player in the region. You are the only developing country which hosts the headquarters of UN agencies," he said. Observing that India and Kenya have had a very special relationship, he said Mahatma Gandhi has been a common inspiration for the struggle against colonialism. "Both countries have had very old maritime contacts over the Indian Ocean and many Indian companies have made Kenya their base to operate in the entire East Africa," he said. "Our predicament of the past is same, our problems of the present are same. We have had common enemies. Earlier it was colonialism, now it is poverty. So, as we worked together in the past, we have to work together even now," he said. With 13 children under the age of five succumbing to diarrhoea every hour in India, the Health Ministry today launched intensified efforts to check such deaths, a move which comes with the onset of monsoon during which a spurt in such cases have been noticed. To be observed from July 11-23, the Health Ministry today launched the nationwide 'Intensified Diarrhoea Control Fortnight' (IDCF) under which various activities has been planned including visits by ASHA workers to all such households which have children under the age of five. The children will be administered ORS by the ASHA workers while they will also be counselled about the benefits and the process of preparing it. According to figures of the Health Ministry, in India, about 1.2 lakh children under the age of five succumb to diarrhoea every year. This translates to 328 diarrhoeal deaths every day and 13 every hour. "ASHA workers will visit all households with children below five years of age for pre-positioning the ORS. They will explain its benefits. The importance of this activity is that ORS will be available in the household when needed at the time of diarrhea. Secondly, all health facilities shall have ORS corners which shall continuously demonstrate the way to prepare the ORS mixture. "These corners will also administer ORS and Zinc to children who are in need of these during diarrhoea. With a sharpened focus on demand generation, an important component of the IDCF is IEC activities that shall not only create awareness but also generate demand," Health Ministry Additional secretary C K Mishra told reporters. He said that the intensified community awareness campaigns on hygiene and promotion of ORS and Zinc therapy will be conducted at the state, district and village levels. According to the Health Ministry, although various virus, bacteria and protozoa like rotavirus, E.Coli amongst others cause diarrhoea, the main reasons for diarrhoeal attacks amongst children are contaminated water, malnutrition, inadequate sanitation, poor hygiene and lack of immunisation. The Ministry said that there are around 10 crore children below five years of age across the country and last year, due to the interventions carried out as part of IDCF, about 6.3 crore children were reached. This year in order to expand the cover of this fortnight, the target is to cover all the under-5 children, officials said. During last year's fortnight, 21 lakh children could be prevented from hospitalisation and death due to Diarrhoea, Mishra said. He said that more than five lakh schools participated in the IDCF campaign while more than 3.5 lakh ORS corners were set up in the country. In order to ensure a sturdy mechanism for monitoring, this year, 23 national monitors shall assess the efficacy of the activities and they will be complemented by monitors from the state governments and development partners. "The combination of ORS and Zinc has been found to be the most cost-effective intervention to prevent deaths due to diarrhoea and has been recognised as one of the best practices globally," Mishra said. Health Ministry officials said that on directions of Health Minister J P Nadda to reach out to the under-five children, the timing of the fortnight is "very critical" as this is just the onset of monsoon and diarrhoea is beginning to show up. Indian Potash Ltd (IPL) is in talks with Israel Chemicals (ICL) to contract import of over 5 lakh tonnes of muriate of potash (MoP). Last month, IPL had signed an agreement with Belarusian Potash Company, owned by the Belarus government, for import of 7 lakh tonnes of MoP at USD 227 per tonne. "We import about 20-21 lakh tonnes of MoP every fiscal. We are negotiating with ICL for imports of 5.25 lakh tonnes at a price of USD 227 per tonne," IPL Managing Director P S Gehlaut told PTI. The agreement will be signed soon, he added. IPL had recently cut MoP prices by Rs 200 to Rs 550 per bag of 50 kg with an immediate effect. Potash is one of the essential nutrients for soil and helps in enhancing crop resistance towards heat, drought and at the same time it also makes plants strong. IPL expects the substantially reduced price of MoP will be affordable to the farmers battling drought and this will give a big fillip to the balanced use of fertilisers in the interest of the agriculture, Gehlaut had said. Amid protests over steep hike in hostel fees for girl students in Hindu College, senior BJP leader Subramanian Swamy today asked if the institution is turning into a "madrassa" and said he has written to HRD Minister Prakash Javadekar in this regard. "I have written a letter to HRD (Human Resources Development) Minister objecting to Hindu College DU charging as Hostel fees triple from girl students! Become a Madrassa?" Swamy tweeted. The bone of contention with the college affiliated to the Delhi University has been providing on-campus hostel facilities to male students for decades. However, the girls' hostel, which has been constructed, was supposed to be functional from the 2016-17 session. The prospectus for admissions to the hostel triggered an outrage among the girl students who alleged that the rules laid down were "discriminatory" and amounted to "moral policing". The prescribed fee also irked the girl students as the male students of Hindu College pay Rs 47,000 as hostel charges while the girls were asked to pay more than Rs 82,000. Students have been protesting against the alleged discrimination between girls and boys while deciding the rules and the fee for the hostel. The National Commission for Women and the Delhi Commission of Women also took a note of the issue. Amid reports that a group of Keralites had joined terror outfit Islamic State, Kerala Chief Minister Pinarayi Vijayan today informed the Assembly that a total of 21 persons have been missing from the state. Among them, 17 were from Kasaragod and four from Palakkad as per the preliminary information available, Vijayan told the Assembly while replying to a submission raised by opposition leader Ramesh Chennithala. The Chief Minister made it clear that extremism and has no religion and the government would not allow any move to trigger anti-Muslim sentiments in society over the issue. "The missing persons from Kasaragod included four women and three children. Two women were among those missing from Palakkad," he said. These people had left their homes stating different reasons, he said. As per the media reports, these people had gone to Syria and Afghanistan and they were in ISIS camps, he said. Quoting media reports, Vijayan said one Firoz from Kasaragod has been taken into custody from Mumbai airport yesterday in connection with the development. The government was viewing the matter very seriously, he said, adding it would take necessary steps with the support of the central agencies, to tackle the issue. "The state government is committed to take stern action against any kind of terror activities," he said, adding the government, at the same time, would not allow any vested interest to exploit the situation. There was a move to trigger anti-Muslim sentiments in society using the present situation and this would not be allowed. "There is also an attempt to put the entire Muslim community under the shadow of doubt. But it is well-known that majority of people in Kerala are against any kind of and extremism. Only a very few people are showing tendencies to become part of this," he said, adding, that this was also a serious matter. Noting that only the government steps were not enough to overcome the problem, Vijayan said a joint effort was necessary to bring all sections of people together and line up to isolate these elements. Taking up the issue, Chennithala said Kerala is in the grip of fear in the wake of the media reports about the ISIS link with some state youths. However, he said no official confirmation had been received so far in this regard. So, it is the responsibility of the state government to make clarifications on the reality and alley the apprehensions of the people. The opposition also wanted the Chief Minister to share with the people whatever information he could on the development. BJP MLA O Rajagopal brought to the notice of the House that a final year woman dental student from his constituency in the city was missing. There were reports that she had converted to Islam and moved to Palakkad after her marriage. She was one among the women missing from Palakkad. However, every citizen has the right to choose any religion he or she likes or marry anybody but stern steps should be taken to prevent the people joining outfits like ISIS, he siad. CPI(M) MLA M Rajagopal, who along with Kasaragod MP P Karunakaran brought the matter to the notice of the Chief Minister two days ago, said majority of the persons missing from his constituency were well-educated and technocrats. He said their family members were ready to pass on any information they have to the state and central investigation agencies. The MLA also wanted a comprehensive probe into the matter and said any move to create communal tension over the issue should be checked by the state government effectively. Terrorist group (IS) is trying to expand its foothold in Southeast Asia by launching a Malay-language newspaper to woo its supporters in the region, according to a report on Monday. The newspaper named Al-Fatihin - meaning 'The Conqueror' in Arabic - was launched in southern Philippines on June 20 and is being distributed in Malaysia, Indonesia, Brunei, Singapore, southern Thailand, as well as southern Philippines where Muslims speak the Malay language. The move can be seen as an "unofficial warning" that the Malay archipelago is in ISIS's sights, an unnamed security expert was quoted as saying in the report by Malay daily Berita Harian (BH). "This psychological campaign means that the terrorists have a big objective, which is to expand their influence among people who understand the Malay language," said the expert. "Based on the way the language is used, we believe the writer or editor of the paper may be from this country," the expert added. A paper by the Centre for Political Violence and Terrorism Research at S Rajaratnam School of Studies, Nanyang Technological University, Singapore, last month provided some details on the newspaper's first issue. The 20-page edition focussed on the month of Ramzan and the act of jihad or "holy struggle," with a three-page message from Egyptian ideologue Abu Hamzah al-Muhajir, also known as Abu Ayyub al-Masri, calling on IS fighters to "continue their jihadist activities, search for martyrdom and kill and crucify the polytheists, disbelievers, oppressors and transgressors". According to BH, its editor invited all militant groups in Indonesia and the Philippines to unite and pledge allegiance to IS leader and self-styled caliph Abu Bakr Al-Baghdadi. Malaysia's Special Branch Counter-Terrorism Division senior assistant director Ayob Khan Mydin Pitchay refused comment on the matter but said the IS is believed to be expanding its media arm, in the fields of information technology, audio visual, processing and publishing to maintain its global communications. Pradesh Congress Committee in Jammu and Kashmir today expressed concern over the ongoing uncertainties in the Valley and alleged that the PDP-BJP coalition government has "failed" to control the protests. Castigating the state government for "failing to ensure safety of the people," Jammu and Kashmir Pradesh Congress Committee (JKPCC) termed the present situation as "an outcome of utter failure of the government on all fronts". Congress also sought clarifications from the government and administration as to why they "could not control the outburst". A statement was issued after a meeting of party leaders chaired by PCC president G A Mir here. The party appealed the people especially youth to shun violence and maintain calm, as the violence would not serve any purpose. "Rather it will have serious implications on the safety of people, besides damaging the atmosphere of peace," the PCC said. Congress also asked its cadres in every district to donate blood for injured people and extend all possible help to them in Hospitals. Mir accused the ruling dispensation of "mishandling the situation resulting in killing of dozens of innocent youth and injuring more than 200 people, besides causing severe damage to the properties". Earlier, AICC president Sonia Gandhi spoke to Mir over phone and expressed her concern over the killings and loss of properties. "AICC President termed the loss of precious lives as very unfortunate. She conveyed her sympathies," the PCC statement said. The Goa unit of Congress today criticised Defence Minister Manohar Parrikar for attending programs in his native state when Jammu and Kashmir was on the boil. "When Kashmir was burning, India's Defence Minister was busy distributing bulbs to the people in Goa. This is shameful," Congress spokesperson Sunil Kawathankar said here. Parrikar should have been at the command centre monitoring the action in Kashmir, he said. Kashmir remained on the boil and normal life paralysed due to curfew-like restrictions and separatists-sponsored strike over the killing of young Hizbul commander Burhan Wani on Friday. A total of 23 people have been killed in the violence. The Defence Minister had yesterday distributed free LED bulbs under the Ujala Scheme at a program here. Goa government has tweaked the scheme, renaming it 'Jyotirmay Goa', under which three bulbs are provided free to every consumer. Kawathankar also said that Parrikar was busy inaugurating fish stalls in his home state and Prime Minister Narendra Modi was signing Rafale deal in France. "This gives a bleak picture of BJP government's failure with regard to the defence ministry," he added. Jet Airways today announced introduction of a new flight on Bengaluru-Mangaluru sector with effect from August 1. Flight No 9W2404 ATR-72 would depart from Mangaluru at 5.15 pm and arrive at Bengaluru at 6.25 pm. In return direction, flight no 9W2782ATR-72 would depart from Bengaluru at 3.40 pm and arrive at Mangaluru at 4.50 pm, the airline said in a release here. It also announced change in the schedule of dailyflights to Mumbai from August 7. The details are as follows: Boeing 737 flight no 9W307 would depart from Mangaluru at 8.50 am and arrive at Mumbai at 10.20 am. Boeing 737 flight no 9W438 would depart from Mangaluru at 7 pm and arrive at Mumbai at 8.35 pm. Boeing 737 flight no 9W437 would depart from Mumbai at 5.30 pm and arrive at Mangaluru at 7 pm. Boeing 737 flight no 9W334 would depart from Mumbai at 9 pm and arrive at Mangaluru at 10.25 pm, the release added. "Kashmir is burning and our Prime Minister would rather tweet about his travels and play the drums", Congress said on Monday taking potshots at Prime Minister who is on a tour of African countries. The jibe was taken by the opposition party in a tweet posted on INC India. Randeep Surjewala, Chief spokesman of the party, was equally caustic, who took to Twitter to advice the Prime Minister to cut short his tour and return home with Kashmir on the boil. Surjewala wanted the Prime Minister to follow the US President Barack Obama in the wake of the Dallas shootings of policemen. "Kashmir is burning. 21 people have died. Security forces are being attacked daily. Amarnath Yatra suspended and Modiji is playing the drums. At least wake up now", he said in another tweet in Hindi. He also reminded the PM that the PDP-BJP government in the state is unable to handle the situation and he should not throw Kashmir in the "shadow of the gun" to ensure that the peace process remained unaffected. At the Congress briefing, party spokesman Abhishek Singhvi attacked Pakistan Prime Minister Nawaz Sharif for deploring India for "excessive" force against civilians in the Valley while expressing "deep shock" over the killing of Hizbul Mujahideen commander Burhan Wani. He reminded the Pakistani leader that his country is known in the world over as "largest exporter of terrorism" and a nation which is "imploding at seams." In a jibe at the Prime Minister, he said Nawaz Sharif's statement showed how Indian foreign policy is going in "reverse gear". In reply to a question, he said that it would be good if the government convened an all party meeting. He said that the initiative has to be taken by the government. "Please do not make a martyr of a declared terrorist," he said emphasising the need to handle the situation with tact and tolerance to wean away misguided youth. "We would urge the government to show maturity in handling the situation. The government, the NDA Government in the centre and the BJP-PDP alliance Government in the State, have to remember that they inherited a peaceful valley from the UPA Government. It was their duty to take this process forward", the party said in a commentary on its website. File Photo of most wanted Hizbul Mujahideen militant commander Burhan Wani, who was killed during an encounter at Kokarnag area of Anantnag District of South Kashmir on Friday. Photo: PTI Vishva Hindu Parishad (VHP) on Monday said the situation in Kashmir was similar to that of the 1990s when militancy was at its peak and appealed to the government to take strictest actions, including issuing shoot-at-sight order, against "Pakistan- backed terrorists". "Whatever is happening in Kashmir is very unfortunate. The reaction to the action taken against (Hizbul Mujahideen commander Burhan) Wani by police was unfortunate. The current situation seems like the 90s era is returning to the Valley. This is not a time to say these are 'misguided' people. The people backed by Pakistan should be isolated and strictest action should be taken against them, even if it is shooting at sight," VHP joint general secretary Surendra Jain said. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of Wani's killing in an encounter on Friday. Alleging attacks on Amarnath pilgrims, the VHP said it was "unacceptable" and that targeting Hindus will have "serious repercussions". Jain alleged Amarnath pilgrims had been attacked by members of a particular community in the state on Sunday when they tried to organise a 'bhandara' there. "They should realise the ghati (Valley) doesn't belong to a particular community only. It belongs to Baba Amarnath too. Any attempt to target the pilgrims will prove to be a costly affair for the attackers," he said. The VHP leader also hit out at JNU student Umar Khalid for comparing Wani to a revolutionary. "This is so unfortunate that a terrorist who was wanted for years is being compared to a revolutionary," he said. Jain also asked Kashmiris to denounce the terror activities. Hitting back, BJP today said AAP chief Arvind Kejriwal has the habit of levelling baseless allegations and then running away after he took potshots at its top brass over reports that Amit Shah and Asaduddin Owaisi had allegedly struck a deal during the Bihar polls. A former BJP MLA from Gujarat has alleged that the BJP chief and AIMIM president had met to clinch a deal to polarise voters in parts of Bihar during the state assembly polls, prompting Kejriwal to tweet that if true, it "revealed real face of BJP-Modi-Shah". "BJP condemns Kejriwal for his remarks. He is notorious for practising petty politics based on lies and unfounded charges. It is his habit to level baseless allegations and run away when confronted with facts. He is spreading false and factually incorrect claims," BJP national secretary Shrikant Sharma said, attacking him and his associates. Sharma cited the Delhi Chief Minister's strong defence of the then Delhi Law Minister Jitender Singh Tomar, arrested in a fake degree case, and his then Principal Secretary Rajendra Kumar, arrested in a corruption case, to make his point. "He has been unmasked. From fighting against corruption, he has come to protect it," he said. Chief Minister Arvind Kejriwal has written to the Prime Minister pressing for deputing Sanjiv Chaturvedi as his OSD in "larger public interest" and said he was "surprised" by the rejection of his request by the Centre which "never properly utilised" the IFS officer's services. The Appointments Committee of Cabinet (ACC) had recently declined the proposal for inter-cadre deputation of Chaturvedi from Uttarakhand to the Government of National Capital Territory of Delhi, saying he had not completed the mandatory cooling-off period of three years. Strongly pitching for Chaturvedi's induction as his OSD for "welfare of Delhi", Kejriwal contended, in his letter to Prime Minister Narendra Modi, that as per DoPT instructions, the criteria of cooling-off period was to be exempted for an official who is to be appointed as "personal staff". The Delhi Chief Minister had first made the request for posting the Indian Forest Service (IFS) officer as his OSD to the Centre in February last year. "It has been a settled practice of our democratic polity that whenever an officer is required as personal staff by any CM or Minister, of any government, the same is deputed accordingly, irrespective of political differences. "However, I am surprised to know that after an inordinate delay of 16 months, which included four directions of Honourable Court, the request has been finally rejected by ACC," Kejriwal wrote in the letter, dated July 3. After his induction into the service, Chaturvedi was allocated Haryana cadre. However, he sought change in the cadre citing "extreme personal hardship". The ACC had approved the inter-cadre transfer of Chaturvedi from Haryana to Uttarakhand in August 2015. However, in February this year, Uttarakhand government rejected a representation of Chaturvedi seeking a No-Objection Certificate for inter-cadre deputation and stated "if government of India grants waiver of cooling off condition, Uttarakhand has no-objection for inter-cadre deputation". The Ministry of Environment, Forest and Climate Change (MoEFCC) had informed ACC that Chaturvedi was yet to serve in his new parent cadre i.E. Uttarakhand. In the letter, Kejriwal lamented that services of Chaturvedi, a former AIIMS CVO, were never utilised properly by the central government or the state government despite his reputation of "integrity and efficiency" which brought him laurels, including the prestigious Ramon Magsaysay Award. "Regarding the so called criteria of cooling off, it has been clearly mentioned in DoPT instructions, dated 26.05.14, that this criteria will be exempted for the officer appointed as personal staff. "In view of facts mentioned above, I request you to kindly once again consider for inter-cadre deputation of Chaturvedi, in a more sympathetic manner, in the larger public interest, for the welfare of people of Delhi," Kejriwal said. As per rules, an officer can get central deputation or inter-cadre deputation only after the concurrence from both the state government concerned (the cadre state of the officer) and the Centre. Further, an officer must serve three years cooling off period between the two central deputation tenure. Expressing concern over their safety, Kashmiri Pandits today demonstrated here demanding security for their residential areas following an attack on a colony of the migrant employees in south Kashmir during the violence in the Valley. The protesters said the "stone pelting" attack has created fear among migrant employees living in areas like Haal in Pulwama, Vessu and Mattan in Anantnag and other places in north and central Kashmir. "The KP employees, who volunteered to serve in the Valley, feel scared due the present happenings there as their lives are in danger. We urge the government to ensure their security," President of Youth All India Kashmiri Samaj (YAIKS), R K Bhat said. Maintaining that the present scenario in Kashmir Valley poses a "new challenge" for the minority community, including the employees of Prime Minister's package, the protesters said it is the responsibility of the majority community to protect the rights of the minority community. Meanwhile, expressing concern about the safety and security of Hindus living in Kashmir, Panun Kashmir today urged the state and the Centre to shun their "indecisiveness" and perform there constitutional duty of protecting the life and property of the minority community. "The fundamentalist upsurge being witnessed in Kashmir following the killing of dreaded terrorist Burhan is fast taking the form of a genocidal assault on the Hindus living in the Valley," Panun Kashmir Convenor Dr Agnishakher said. Panun Kashmir Chairman Dr Ajay Chrungoo claimed that Hindu transition camps in Hall, Vessu, Mattan, Sheikhpora and other places have been the target of stone pelting by the communal mobs. "The frenzy of these mobs have brazen genocidal intentions. It is shocking that the government has been lethargic to respond to such a situation," Chrungoo said. "We are witnessing one more cycle of religious cleansing unleashed on Hindus of Kashmir," he claimed. Jagti Tenement Committee (JTC) and Soan Kashmir Front (SKF) also expressed their concerns over the deteriorating law and order situation in Kashmir valley following Wani's killing. "The migrant community and employees putting in transit camps were subjected to stone pelting, thereby damaging quarters and vehicles of the community members. It has created a sense of fear and insecurity among them," President of JTC, S L Pandita said. He alleged that PDP-BJP government has failed to curb militancy and provide necessary security to the migrants putting up in Kashmir. "Various minority places and temples in Kashmir valley and also Amarnath yatris have been targeted by the militants and the anti-social elements. The government is a mere spectator to all the happenings," Pandita said. He said separatists should desist from actions which may put to Kashmiri Pandits to any sufferings. "I warn communal forces in Kashmir to mend their ways, otherwise face consequences," he added. After the Bombay High Court pulled up Maharashtra government for not identifying the wetlands in the state, the government today withdrew its plea seeking modification of a 2013 order banning construction in these areas. A division bench of Justices A S Oka and A A Sayyed was hearing an application filed by the state government seeking modification of the order passed by another bench of the High Court on March 19, 2013, banning construction on wetlands. The government lawyer today said it wanted to withdraw the application for modification and the court allowed it. The 2013 order directed the government to identify the wetlands in the state so that they could be marked on the map. At the hearing last month, the HC had castigated the state for not carrying out this task and instead seeking modification of the order banning the construction. "You have not complied with the High Court order and the rules formulated thereafter by the central government," the court had said, warning of contempt action. Wetlands in the state primarily along the coast are home to varieties of mangroves. West Bengal Chief Minister Mamata Banerjee today arrived in Darjeeling hills ahead of President Pranab Mukherjee's scheduled visit here tomorrow. Banerjee, who arrived in Richmond Hills area of Darjeeling was greeted by state Tourism Minister Goutam Deb and senior bureaucrats. She came by road from Rohini, near Siliguri and was whisked away to the high-security bungalow, officials said. Gorkha National Liberation Front activists felicitated the Chief Minister when her convoy reached Rohini en-route the hills. Banerjee will be on the side of the President during his stay in Darjeeling and the state government will felicitate him, officials said. Mukherjee will arrive here on July 12 along with West Bengal Governor Keshari Nath Tripathi. Both the President and the Chief Minister will be present in Darjeeling town on July 13 to attend the famous Nepalese poet Bhanubhakta Acharya's birth anniversary. They are also expected to attend the Tea Trader association AGM the next day. Ignoring his party's appeal to step down from the post of chairman of Public Accounts Committee (PAC) of West Bengal Assembly, senior Congress leader Manas Bhunia today said he has accepted the post and has convened its meeting tomorrow. "I have accepted the post of PAC chairman of the Assembly and have convened a meeting of the committee tomorrow," Bhunia told reporters here. He said, "Let me work for a year. I am not ready to accept that I am academically weak. I have served almost in all assembly committees. I was also a member of PAC twice". On whether PAC members belonging to Congress and Left will attend tomorrow's meeting, he replied "I can't say now who will come and who will not. Tomorrow there will be a courtsey meeting". Hinting at a tacit understanding between Bhunia and TMC, state Congress President Adhir Chowdhury had on Saturday said the party MLAs have taken a resolution to urge him to resign from the post. After the extended state committee meeting of Congress on Saturday, Chowdhury had said, "In the meeting out of the 44 MLAs, 39 MLAs were present. Some of them were absent for personal reasons. During the meeting it has been unanimously decided that the MLAs will adopt a resolution and it will appeal to Bhunia to step down from the post of PAC chairman. "We will ask him not to fall in the trap of TMC. We will inform the AICC about the resolution adopted by our MLAs. We hope that Bhunia who claims to be a loyal soldier of Congress, will abide by decision of the party," he had said. The WBPCC chief also stated, "We will wait and see what happens and we have again decided to call a meeting of our party on July 15 again to decide on this matter". Congress wanted to nominate Sujan Chakrabarty of CPI(M) with which it had contested the last assembly polls, for the PAC chairman's post. Chowdhury said CPI(M) had asked for the PAC chairman's post and "We had informed the AICC to take the call. AICC had decided to support Sujan Chakraborty and it was communicated to Bhunia." Leader of opposition Abdul Mannan who was present in the meeting along with Chowdhury had said he never had intentions of insulting or humiliating Bhunia. Bhunia had, however, said Mannan had misled the party on the issue. The Supreme Court on Monday granted four weeks to the (AMU) to file its response on Centre's affidavit seeking withdrawal of appeal filed by the erstwhile United Progressive Alliance (UPA) government, challenging Allahabad High Court's verdict holding that the varsity was not a minority institution. A bench headed by the Justice J S Khehar permitted the university to file its reply to the Centre's affidavit after senior advocate P P Rao, appearing for the varsity, sought some time to file the response. "Counsel for University seeks time to file its reply to the affidavit filed by Union of India. Time granted. Reply to be filed within four weeks," the bench said. The Centre had last week told the apex court that it will withdraw its appeal challenging the Allahabad High Court verdict. Besides the Centre, the varsity administration had also filed a separate plea against the High Court verdict on the issue. Attorney General Mukul Rohatgi had said that AMU is not a minority institution and referred to an apex court verdict of 1967 saying that it was not a minority institution as it was set up by the government and not by Muslims. Earlier too, the top law officer had told the apex court that the AMU was set up by a central act and moreover, the five-judge Constitution bench in 1967 in the Aziz Basha case had held that it was a central university and not a minority institution. Rohatgi had said that to circumvent the effect of the judgement, an amendment was brought in 1981 in the central act to accord minority status to the university, which has recently been held as unconstitutional by the High Court. "You cannot override the Aziz Basha judgement. Union of India's stand is that according minority status to the AMU would be contrary to the Aziz Basha judgement and it still holds good," the top law officer had submitted before the bench in April. The Allahabad High Court had in January 2006 struck down the provision of the AMU (Amendment) Act, 1981 by which the University was accorded minority status. Even as the Maharashtra and the Central governments are yet to arrive at a consensus over handing over land for the proposed bullet train project, the Mumbai Metropolitan Region Development Authority (MMRDA) today said it has received three bids for preparing a master plan for an International Financial Services Centre (IFSC) in the tony Bandra-Kurla Complex (BKC) area here. The has received bids from a consortium of Tata Consulting Engineers and Townland Consultants of Hong Kong, INI Design Studio of Ahmedabad, and Ramboll and Henning Larsen Architects of Denmark, the state-run planning agency said. The Devendra Fadnavis government in Maharashtra is pushing for an IFSC at the BKC to make the State a global financial hub. The bidders will prepare a master plan for the project, said. The IFSC project came under controversy over the location of originating station of the proposed Mumbai-Ahmedabad bullet train that will cost nearly Rs 1 trillion, most of which will be funded by the Japan International Cooperation Agency. Officials from the Railways, Maharashtra and the have held meetings to break the stalemate but there has been no solution. It is expected that the consultants will sit together and work out a solution. The Railways has proposed to construct an underground station for the showpiece bullet train at the spot in BKC where the state has identified 50 acres for the IFSC. The bullet train project needs only under 20 acres of this for its underground station. The State Government, however, has been reluctant to provide the land, having planned the IFSC on the vacant land in the business district located in suburbs. A mob in south Kashmir's Kulgam district took a police officer hostage, demanding the release of youth arrested on charges of stone pelting following the killing of the top Hizbul Mujahideen commander Burhan Wani. An assistant sub inspector of police was abducted by the mob at Behibagh in Kulgam and has been held hostage, a police official said. He said the mob was demanding release of three youth who are in police custody on charges of stone pelting. "Efforts are on to secure the release of the police officer," he added. Kashmir Valley witnessed clashes between protestors and security personnel after the killing of the 22-year old militant Wani. Centre today rushed additional 800 paramilitary forces to Jammu and Kashmir in the wake of continued violent protests across the Valley. The reinforcements will be in addition to 1,200 personnel which were sent to aid the state police on Saturday. About 60 battalions (about 1,000 personnel each) are already stationed in the state as part of counter insurgency grid. A police station in Sopore was torched by a mob today while an air force airport in Pulwama and other security installations in Kashmir have also came under attack as ongoing clashes between protesters and security personnel continue. Violence and arson continued unabated in Kashmir Valley for the third day today even as National Security Adviser Ajit Doval rushed home from Kenya to join in efforts to defuse the turmoil that has claimed the lives of 23 people. As Kashmir remained on the boil and normal life paralysed due to curfew-like restrictions and separatists-sponsored strike over the killing of young Hizbul commander Burhan Wani on Friday, mobs torched a police station in Sopore and targeted the air force airport in Pulwama along with other security installations in Kashmir. There was also no let up in stone pelting incidents. A total of 800 additional personnel from the central paramilitary forces are also being rushed to Jammu and Kashmir. The reinforcements will be in addition to 1,200 personnel sent to assist the state police on Saturday. "Two persons have died in an incident of violence in Kulgam district yesterday and have been identified as Feroze Ahmad Mir (22) and Khursheed Ahmad Mir (38)," a police official said. With this, the death toll in the violence has gone up to 23, including a policeman. Around 250 people were also injured. A group of protesters pelted stones towards the air force airport at Koil in Pulawama this morning, a police official said. They also set afire the dry grass inside the airport complex. Security forces tried to chase away the mob but the attackers were regrouping and intermittently hurling stones, he said. Stone pelting incidents were reported from Sopore, Handwara, Bandipora and Baramulla in north Kashmir, the official said. Protesters torched a police station at fruit market in Sopore, police said, adding the men, arms and ammunitions and records were safe. Doval, who was rushed home 24 hours early by Prime Minister Narendra Modi in view of the tense situation in Kashmir, is regularly taking stock of the developments in the Valley with officials. Doval was accompanying the Prime Minister on his four- nation tour of African countries -- Mozambique, South Africa, Tanzania and Kenya. They were due to return home tomorrow. "If there are problems, there are solutions. We are quite confident & competent of finding solutions," he told PTI when asked to comment on the situation in Kashmir. The NSA did not elaborate but official sources expressed confidence the situation would be under control in 72 hours. "People of Kashmir are law-abiding and solidly against terrorism. They believe in peace, prosperity and development," said a government official. At the same time, government sources asserted that those holding the gun and targeting civilians or security forces would be dealt with sternly. Union Home Minister Rajnath Singh reached out to opposition leaders including Congress chief Sonia Gandhi and former Chief Minister Omar Abdullah, and discussed the prevailing situation there. Omar later said he has told the Centre that violence in the Valley will not end until the security forces exercise maximum restraint and stop killing protesters. Fierce clashes and air raids today across northern Syria, mainly in the contested city of Aleppo, killed at least 26 people and left scores wounded, according to reports. Syrian state media and opposition monitoring groups said insurgents bombarded government-held neighborhoods in Aleppo, killing eight people there and wounding dozens. Later in the day, activists said a government airstrike on the rebel-held neighborhood of Bab al-Maqam killed 10 people. Meanwhile, air raids on a fuel market in the northern Idlib province killed at least eight people, including a media activist who used to report for the Qatar-based Al-Jazeera TV network. In Aleppo, rebels fired hundreds of shells and rockets, with the clashes being the most intense near the old quarter and the city's famed citadel. Syria's largest city and once its commercial center, Aleppo has been bitterly contested between the warring sides in Syria's civil war since the summer of 2012. Government forces repelled an attack near the citadel, according to Syrian state TV and Al-Manar Television of Lebanon's Hezbollah group, which has fighters in Syria fighting alongside President Bashar Assad's forces. State TV said insurgents shelled government-held neighborhoods of Aleppo, killing at least eight and wounding about 80. The Britain-based Syrian Observatory for Human Rights said opposition fighters fired 300 shells on government-held neighborhoods today alone. The Observatory later reported that a government air raid on the rebel-held neighborhood of Bab al-Maqam killed 10. The city and its suburbs have witnessed intense shelling and fighting over the past few days during which government forces were able to effectively cut the main route into rebel-held neighborhoods. The passageway, known as the Castello road, has been a lifeline to about 300,000 people in the rebel-held part of the city. The fighting came despite an extended cease-fire, declared by the Syrian military and due to expire at midnight today. And in Idlib province, government air raids hit a diesel fuel market in the northwestern village of Termanin, killing at least eight people, including Al-Jazeera's media activist, Ibrahim Omar, and setting several tanker trucks on fire, said the Local Coordination Committees. The Observatory also reported on Omar's death but gave a higher death toll, saying the raids killed 14 and wounded dozens. Conflicting reports of death tolls are common in the aftermath of attacks in Syria. Lack of trust in the police means Muslim parents in the UK are reluctant to report any signs of radicalisation among their children, researchers, including one of Indian-origin, have found. Researchers Dr Imran Awan from Birmingham City University and Dr Surinder Guru from the University of Birmingham conducted focus groups with Muslim parents in Birmingham (UK), exploring how they view the current counter-terrorism policing strategy employed by West Midlands Police in relation to the crisis in Syria. "The data from the research study has found that Muslim community members are increasingly finding the partnership with the police service problematic," said Dr Awan, Associate Professor of Criminology. "The parents we spoke to were worried about the lack of support for Muslim families and they feared that anyone who had gone to Syria would be arrested and have their citizenship removed if they spoke out." The study established that many parents also found it difficult handling personal moral dilemmas which could see them alienating their own children by giving the police information about them. "I don't trust the police so I would not tell them"; "I would not call them because the police might just come knocking on my door and arrest my other children"; and "I would not report them to the police, because that's not what parents do." "We need to educate them not to travel there in the first place. If I told the police they would then arrest me and my children." Participant responses also indicated influence by levels of historical mistrust between Muslim communities and the police. "The central contradiction appears to be that parents are implicitly held to be responsible for the actions of their children by the police, yet the parents are adamant that the responsibility is not theirs and that they are relatively powerless," said Dr Guru, Lecturer in Social Work. "In circumstances where the community lacks trust and confidence in the police, community policing is likely to be ineffective because it is viewed with suspicion." As many as 800 people from the UK have travelled to support or fight for jihadist groups in Syria and Iraq, according to police. Around 300 have since returned. North Korea threatened today to take "physical action" after Washington and Seoul announced they would deploy a sophisticated US anti-missile defence system to counter the growing menace from Pyongyang. Seoul and Washington on Friday revealed their decision to deploy the Terminal High Altitude Area Defense (THAAD) system in the South following recent North Korean missile and nuclear tests. The two allies have not yet revealed exactly when and where the system, which fires projectiles to smash into enemy missiles, would be deployed but said they were in the final stage of selecting a potential venue. "The DPRK will take a physical counter-action to thoroughly control THAAD... From the moment its location and place have been confirmed in South Korea," the artillery bureau of the North's military said in a statement, according to the official Korean Central Agency (KCNA). North Korea's military, which has "sufficient latest offensive strike means", will take "more merciless and powerful successive corresponding measures against the US keen to ignite a war by deploying THAAD", it said. It also warned the South of "miserable self-destruction" as a consequence of deployment of the THAAD system. "We once again warn the enemies that it is the steadfast will of the KPA to make merciless retaliatory strikes to reduce south Korea to a sea in flames, debris once an order is issued," the statement said. Seoul denounced the "ridiculous threats" by the North, which had staged serious provocations including a nuclear test in January and a long-range rocket launch in February. "North Korea must recognise who is threatening peace and stability on the Korean Peninsula and first apologise for its provocations," defence ministry spokesman Moon Sang-Gyun told reporters. Pyongyang also test-fired what appeared to be a submarine-launched ballistic missile a day after the announcement by Seoul and Washington, sparking swift international condemnation. Saturday's launch followed Pyongyang's back-to-back tests of a powerful new medium-range Musudan missiles on June 22 -- theoretically capable of reaching US bases as far away as Guam. Tensions are high high since Pyongyang carried out its fourth nuclear test in January, followed by a series of missile launches that analysts said showed the North was making progress toward being able to strike the US mainland. The planned deployment of the powerful anti-missile system has angered the South's neighbours including China, which said Friday the move would "seriously damage" regional security in northeast Asia. Nagaland today observed World Population Day by holding advocacy workshop, launching a Family Planning Health Mela and Intensified Diarrhea Control fortnight at Tiyi Town Hall in Wokha district. State home minister Y Patton addressing a gathering said the alarming growth of population in India has become a concern for citizens of the country, particularly for the creamy layers, including government agencies. This calls for concerted effort from all sections of the society and the responsibility for all development departments, ministries, NGOs and civil societies and not only the Health and Family Welfare department alone, to actively partake in playing a vital role in containing the abnormal growth of population, he said. Population stabilisation campaign programme should be made peoples programme by involving local leaders, NGOs and civil society leaders, religious leaders, teachers through the media and all other means of advertisements and communication through various creative and innovative methods, Patton added. Top Information and Broadcasting ministry officials were in for a surprise today as Union Minister M Venkaiah Naidu, who took charge last week, made an unannounced punctuality and cleanliness check early this morning. Explanations have been sought from officials who were found missing as to why they were not in their workplace on time after Naidu along with Minister of State Rajyavardhan Rathore made the surprise check at 9.30 AM. "The Minister personally checked the rooms of several officers and their supporting staff. He also looked into the cleanliness of washrooms in the offices. He also inspected the condition of electrical fittings in the various rooms and corridors in the building," a senior I&B ministry official told PTI. Naidu, who is also the Urban Development minister, later tweeted about his visit. "Made a surprise check of attendance and cleanliness at Shastri Bhawan today at sharp 9:30 am....Directed concerned Joint Secretaries to review issues related to punctuality and cleanliness in the offices on daily basis," he said on twitter. The minister also added that "explanation sought from those who were not present at the time of inspection." Officials said that Naidu also emphasised that open cables should be avoided as it did not reflect a presentable and efficient working environment besides being a fire hazard. A fire had destroyed several flies in a I&B ministry office in Shastri Bhawan in 2014. Rajya Sabha member and Congress leader Shantaram Naik today blamed Jammu and Kashmir Chief Minister Mehbooba Mufti and her PDP-BJP coalition government for the deteriorating situation in the state. "J&K Chief Minister Mehbooba Mufti does not understand the administration. She cannot rule the state. What she knows is only how to blame others," he said here. Mufti was soft on the terrorists due to which they were running amok, he alleged. "She has no control over the administration," he said, adding that peace and normalcy was required for the prosperity of the state. At least 23 people have died in the violence in Kashmir since the death of Hizbul Mujahideen commander Burhan Wani in an encounter on Friday. Controversial Islamic preacher Zakir Naik, who was expected to return from Saudi Arabia today, said he would be abroad for another 2-3 weeks and was ready to cooperate with any Indian agency investigating allegations against him. Naik, facing allegations of inspiring terrorism through his provocative speeches, also cancelled his scheduled press conference via Skype tomorrow and suggested that he has become a victim of media trial. In a statement released from abroad this evening, he said no Indian government agency has so far contacted him over the allegations against him. "So far, not a single official Indian government agency has contacted me for any clarification regarding this issue. It would be my pleasure to cooperate with any official Indian government investigation agency for any information they might require from me," he said. Naik, however, accused the media of "twisting and not publishing unedited statements and mutilating and misutilising statements to serve their vested agenda". "If time permits, in the next few days I will give replies to some of the major allegations on video and give it to the media and put it up on social media as well and other public platforms so that the original answers are also available if the media misutilises them," he said. He reiterated that he "does not support terrorism or violence and neither does he support any terrorist organisation." An aide of Naik said, "His (Naik's) travel schedule had been made long back. After performing Umrah, he is scheduled to travel to Jeddah from where he will visit Africa for his public talks scheduled there. He is therefore not expected in the country for another 2-3 weeks at least." "Zakir Naik was never supposed to be physically present for the media briefing that was to take place on Tuesday. He had decided that he would address the media through Skype and answer all queries that media persons may have had," he said. Apart from coming under scanner in India, Bangladesh has also banned the broadcast of Naik's Peace TV, cracking down on the channel and radical sermons by the 'televangelist'. Shiv Sena has demanded arrest of Naik on his landing in India and dismantling of his TV network. Meanwhile, Samajwadi Party's Maharashtra unit president Abu Azmi came in support of Naik and sought to know why action has not been taken for the last 25 years if his speeches were inspiring terrorists, and called for a "fair inquiry" into charges against him. "Zakir Naik has been a preacher for around 25 years now. If he has been inspiring terrorists, why was no action taken against him from so long? There should be an inquiry conducted by a retired Supreme Court judge... But, this media trial against him should stop," Azmi told reporters here today. "While there should be a thorough investigation into Naik's speeches, fundings of his Islamic Research Foundation, the Centre should first ban Sanathan Sanstha because its members have been named by CBI for being involved in the killing of those who opposed their way of Hinduism," he said. "We want justice for him (Naik). Let the inquiry be conducted by a non-Muslim judge like Justice Srikrishna, Rajindar Sachar or another retired judge of the Supreme Court," Azmi added. (REOPENS BOM20) Meanwhile, a former staffer of Peace TV, run by the controversial Islamic preacher, today alleged violation of foreign funding norms by the channel. Zubair Khan, who claimed to have worked with Peace TV for almost two years in separate stints, alleged the firm was involved in a "huge money fraud" linked to many countries and sought detailed investigation into the "money trail". "Right from India to US and London, Naik's TV channel received huge amount of money in the name of propagating Islam and he stashed all the unaccounted money by violating rules... Peace TV's auditing firm and its chartered accountants must be held, questioned and then all the truth will come to the fore," he claimed. Peace TV, a private satellite television channel, is not permitted by the Information and Broadcasting Ministry for downlinking into the country. Last week, Maharashtra Chief Minister Devendra Fadnavis had ordered a probe by police into speeches of Naik. The CM also stated that Naik's social media accounts and sources of funding of a foundation run by him in Mumbai will also be scrutinised. Khan, who claimed to have worked as Executive Producer with Peace TV, also alleged that Naik is a "threat" to Islam as he "lacks exact knowledge of Quran and other holy books". Khan, who runs his own production house, said he was ready to share all inputs with Mumbai Police. Indore police's crime branch has arrested another person in the Navy fake bills scam from Gujarat. "We arrested Ambaram Choudhary (47) who was absconding for the last 75 days from Surat yesterday," additional superintendent of police Vinayprakash Paul today said here. Ambaram is the brother-in-law of the retired Navy storekeeper Rajkumar Choudhary, arrested earlier. Before Ambaram, 11 persons have been arrested in the case. They include Rajkumar, four officers of the Navy's Account Department at Karwar in Karnataka, and the Indore-based chartered Accountant Ramratan Singhal. According to the ASP, Rajkumar had transferred Rs 4.82 lakh in Ambaram's bank account, proceeds of the crime, in October 2014. Police recovered this money from Ambaram's possession yesterday. Some officials of the Karnataka-based Principal Controllers of Defence Accounts (PCDA) are accused of clearing the fake bills related to electrical purchases of Navy, and depositing the money -- over Rs six crore -- in two bank accounts here between July and October 2014. The money was later withdrawn by the accused. After its first female President and Parliament speaker, Nepal will now have its first woman Supreme Court Chief Justice after Sushila Karki today formally assumed office. The Parliamentary Hearing Special Committee has yesterday unanimously approved the name of Karki, recommended by the Constitutional Council on April 10, for her appointment to the post, creating a new benchmark in the 64-year-long judicial history of the country. Her appointment means Nepal will now have women as its President (Bidya Devi Bhandari), Speaker of Parliament (Onsari Gharti) and as the chief justice of the Supreme Court. Karki, 64, who is the 25th Chief Justice, took oath of office and secrecy from President Bhandari. She had been working as acting Chief Justice for nearly three months following the delay in the Parliamentary hearing, which is a must in approving the appointment of any chief of the constitutional body in Nepal. Karki took charge of the Supreme Court as the Acting Chief Justice since her predecessor Kalyan Shrestha retired on April 14. She was appointed the Ad-Hoc Justice at the Supreme Court in January 2009 and was promoted as Permanent Justice in November 2010. She will head the judiciary till June 6, 2017. Karki, who has done her Masters in Political Science from Banaras Hindu University, is known for her 'zero-tolerance' for corruption and for her judgements like allowing women to pass their citizenship to their children, previously open only to men. President Mahamadou Issoufou of Niger today proposed setting up a multinational west African force to fight terrorism. "We must work to strengthen the mandate and troop strength of MINUSMA to allow it to mount offensives against all kinds of terrorist forces in northern Mali," Issoufou said, referring to the United Nations Multidimensional Integrated Stabilization Mission in Mali. He was speaking at a summit of the Conseil de l'Entente, a regional five-member cooperation forum set up in 1959 and currently chaired by Niger. "Failing that, to effectively fight terrorism in this brother country, we should set up a mixed multinational force modelled on the force operating in the Lake Chad region," he said. Established a year ago, that force comprises 8,500 troops from Nigeria, Niger, Chad, Benin and Cameroon. "It's now operational and for several weeks has been mounting effective operations against Boko Haram," said Issoufou. "In the face of terrorism we have no choice but to combine our forces as well as our defence and intelligence capacities," he said. Attacks such as that on Grand Bassam, a coastal town near Ivory Coast's economic capital Abidjan which left 19 dead in March "show that the theatre of (terrorist) operations know no bounds," he said. Presidents Alassane Ouattara of Ivory Coast, Roch Marc Christian Kabore of Burkina Faso, Patrice Talon of Benin, and Faure Gnassingne of Togo attended the summit. Malian President Ibrahim Boubacar Keita was there as an observer, as Mali is not a member of the grouping. Boko Haram launched its insurgency aimed at setting up an Islamic state in Nigeria seven years ago and has since become active in several other west African states. US President today attended a White House meeting of law enforcement officials in the aftermath of the tragic shooting incident in which five police officials were killed. "This was a meeting that the vice-president convened with leaders and law-enforcement to talk about of course the tragedy that occurred just a few days ago in Dallas, but to talk about the challenging job that police officers across the country have," White House Press Secretary Josh Earnest told reporters at his daily news conference. During the meeting, Obama had opportunity to meet with law enforcement leaders on a number of occasions, and he's quite interested in making sure that, that dialogue continues, Earnest asserted. On Tuesday, Obama is travelling to Dallas to attend and speak at a memorial service for the five police officers who were killed there last week. "President Obama will speak there. He will be joined on the trip by the first lady, Mrs Obama, and Vice-President Biden will also be attending the service, and he will be joined by his wife Dr Jill Biden," he said. The former president George W Bush will also be there. After coming back from Dallas, on Wednesday Obama will convene another meeting here at the White House. That will include not only law enforcement officials, but also include activists, academics, civil rights leaders, local political leaders from across the country to further the dialogue and the identification of specific solutions to repairing the bonds of trust that have frayed in so many communities between law enforcement officials and the citizens that they have sworn to serve and protect, Earnest said. President Barack Obama will travel to Dallas tomorrow where he will address an interfaith service in memory of five police officers shot dead in a sniper attack during a protest decrying police shootings of black men. "At the invitation of Dallas Mayor, Obama will deliver remarks at an interfaith memorial service with the families of the fallen police officers and members of the Dallas community whose unity is reflective of who we are as Americans," the White House has said yesterday. Obama will also meet privately with the families of the five slain police officers and those wounded to express the nation's support and gratitude for their service and sacrifice, it said. The memorial service would also be attended by Vice President Joe Biden and former President George W Bush and his wife Laura. Bush will deliver brief remarks, the White House said. Obama earlier condemned the sniper-style shootings of Dallas police officers, calling the assault a "vicious, calculated and despicable attack on law enforcement. One person died of gunshot injury and two others were injured in separate incidents in Malda town, the police said today. Unidentified men came and shot at Mintu Sheikh, 34, at a liquor den at Malda town last night. He was rushed to Malda Medical College and Hospital where he succumbed to his injury, the police said. Business rivalry was suspected to the motive behind the incident, the police said adding that one person was today detained in this connection. In the second incident, a 16-year-old youth was injured in a cross fire when two rival gangs hurled bombs and exchanged fire between themselves at Chotokamar area under Baishanabnagar police station yesterday, the police said. The youth who sustained bullet wounds on his leg was taken to Malda Medical College and Hospital from where he was referred to Kolkata. In another incident, a farmer was fired upon yesterday when he was returning home from his field last evening. Previous enmity was suspected to be the motive, the police said. He was admitted to a local nursing home. More than 200 passengers of a London-Mumbai Air India flight who were stranded at Baku in Azerbaijan after their aircraft was diverted yesterday night for "operational reasons" returned to Mumbai today in a special aircraft sent for them. An aircraft sent by Air India to Baku reached Mumbai with the stranded passengers late in the evening, a source said. There were more than 200 passengers in the Dreamliner aircraft which was diverted to Baku due to operational reasons on Sunday night while on its way from London. "#AI130 LHR-BOM diverted to Baku due (to) operational reasons. All pax are taken care of," Air India had said in a tweet earlier in the day. A source said that due to technical issues, it was decided to divert the flight, which had landed at Baku around 2345 hours (IST) on Sunday. There were also some tweets suggesting that passengers had been stranded for long. To decongest traffic in Delhi, Union Minister Venkaiah Naidu today announced sanctioning of over Rs 650 crore for five projects, including construction of flyover and underpasses connecting northern Mahipalpur, Aerocity, airport and National Highway 8. Rs 658 has been approved under the Urban Development Fund (UDF), he said while announcing the projects. UDF, which is sourced from one-time charges for conversion of properties leased by DDA from leasehold to freehold, is utilised for building infrastructures in Delhi with priority for measures to decongest the national capital. Flyover and underpass will be constructed between northern parts of Mahipalpur Bypass Road and Airport Road near Hanuman Road, a release said. "This will provide direct access to the airport for those coming from south Delhi, Faridabad and Gurgaon side and vice versa. This will ease the frequent jams on NH-8 and provide hassle-free access to the airport via Aerocity by crossing NH-8," it said. The cost of this project is around Rs 188 crore, out of which Rs 150.40 crore has been approved under UDF. Construction of flyover-cum-road over-bridge near Narela for providing direct access from NH-1 to Bawana Industrial Complex has also been approved. The cost of this project is Rs 437.21 core out of which Rs 349.77 crore would come from UDF. Construction of skywalk and foot-overbridge near ITO has been approved and Rs 43.47 crore, out of the total cost of Rs 54.34 crore, will come from UDF. Widening of road, construction of up-ramp and improvement of ring road from ISBT Kashmiri Gate and Salimgarh Bypass has also been sanctioned with Rs 14.94 crore to be given from UDF. The total cost of this project is Rs 18.68 crore. "These will reduce congestion near Kashmiri Gate and Nigam Bodh Ghat," the release said. Also, construction of 1.6 km long grade separator at Rani Jhansi Road has been sanctioned with Rs 85 crore been allotted from UDF. North MCD has already incurred expenditure of Rs 115 crore for this project. Pakistan's remarks in support of Hizbul Mujahideen commander Burhan Wani highlight its support for terrorist groups throughout the region, a US website said today. "The government of Pakistan called the killing of Hizb-ul-Mujahideen commander Burhan Wani by Indian troops 'deplorable and condemnable'. This condemnation highlights Pakistan's support for terrorist groups throughout the region," The Long War Journal (TLWJ) said in a report. The Long War Journal said the Inter-Services Intelligence Directorate (ISI), the powerful military intelligence wing that secretly directs Pakistan's foreign policy, has created and supported numerous jihadist groups in Jammu and Kashmir in an effort to separate the state from India. Hizb-ul-Mujahideen, it said, is one of the more powerful jihadist insurgent groups operating in Jammu and Kashmir. "It has close ties to other Pakistani terror groups that focuses on fighting in Jammu and Kashmir, but also supports al Qaeda and other jihadist groups in Pakistan and Afghanistan. "Its leader, Syed Salahuddin, operates unfettered inside Pakistan and he and his group are directly supported by the state" said the op-ed authored by Bill Roggio, a Senior Fellow at the Foundation for Defence of Democracies and the Editor of The Long War Journal. Salahuddin, he wrote, is the chairman of the United Jihad Council, which is supported by the Pakistani military and the ISI as well. The Lashkar-e-Taiba (LeT) and Jaish-e-Mohammed, two groups that are on the US and UN lists of terror organisations, are similarly part of the United Jihad Council. Salahuddin has close ties to the LeT and its charitable front, the Jamaat-ud-Dawa. Lashkar-e-Taiba, Jamaat-ud-Dawa, and Jaish-e-Mohammed are listed by the US government as terrorist organisations for their involvement in terrorist activities in India and Afghanistan, he said. Union Minister Jitendra Singh today said the role of Pakistan in the violent protests in Kashmir after killing of militant Burhan Wani there was more than evident now. "As far as Pakistan's involvement is concerned it is more than evident now. From time to time India has also been providing evidence and proof of that. "There has been involvement and there has been perpetration of terrorism on the India's soil from Islamabad," he told reporters here. He said the available inputs also hints at the Pakistan's involvement. "As far as the foreign hand is concerned, it has been the experience in last 20-25 years of terrorism in Jammu and Kashmir that from time to time there has been evidence forthcoming of Pakistan's involvement. "Therefore, there is a reason to believe, on the inputs which are available today, Pakistan's involvement in the recent episode," said Singh, Minister of State in Prime Minister's Office. The Minister said any disruptions in Amarnath yatra would not augur well for the society. "Amarnath yatra is symbolic of composite unity in the Kashmir society. This is an yatra in which people people from all sections of society, with all religious beliefs tend to cooperate in the term of making arrangements and providing facility to the yatra. "Any disruption in yatra like Amarnath yatra would not augur well for society," said Singh, a Lok Sabha member from Jammu and Kashmir's Udhampur constituency. Over 1.18 lakh pilgrims have so far paid obeisance at the cave shrine in the Kashmir Himalayas. Yatra has been affected due to the violent protests in parts of Kashmir over the killing of terrorist Wani on Friday. (REOPENS DES 21) Singh claimed that for the first time, the overall average construction of roads had increased to over 113 kms per day and out of a total Central funds of Rs 3,600 crore sanctioned for road projects under PMGSY in Jammu and Kashmir, over half of the amount was earmarked only for the Lok Sabha constituency of Kathua-Udhampur-Doda. Referring to some of the mega projects brought in the constituency, he claimed that most of the major promises made by the BJP in the run-up to the 2014 Parliamentary election were being honoured with the "landmark" Keedhiyan-Gadhiyal bridge and north India's "first ever" bio-tech park in Kathua, the river Devika cleansing project, the radio station for Udhampur and the initiation of the proposal for the Chandragala tunnel on the Basohli-Bhaderwah road stretch. In the last two-and-a-half years, a record amount of over Rs 850 crore had been sanctioned by the Centre for construction of roads and bridges in Kathua district, Singh said, adding that this was not only possibly the "record" amount sanctioned for Kathua roads in several decades, but was also possibly the highest amount for any single district in Jammu and Kashmir. He added that under PMGSY, Kathua district received Rs 405.66 crore, Udhampur Rs 350 crore, the erstwhile Doda district Rs 600 crore and Reasi district Rs 160 crore. In addition, he said Kathua got Rs 150 crore for the Keedhiyan-Gadhiyal bridge and under the CRF, Rs 45.54 crore was sanctioned for the Juthana bridge, Rs 40.52 crore for the Halti road upgradation and Rs 43.30 crore for the Lakhanpur-Thien road. Reacting sharply to Pakistan's strong comments on Kashmir situation in the wake of killing of militant Burhan Wani, India today said it reflect its continued "attachment to terrorism and its usage as an instrument of State policy." India also asked Pakistan to refrain from interfering in the internal affairs of its neighbours. "We have seen statements from Pakistan on the situation in the Indian state of Jammu and Kashmir. They reflect Pakistan's continued attachment to terrorism and its usage as an instrument of State policy. "Pakistan is advised to refrain from interfering in the internal affairs of its neighbours," External Affairs Ministry Spokesperson Vikas Swarup said. India's reaction came after Prime Minister Nawaz Sharif, who has been under attack from the opposition for remaining "silent" over the killing of protesters in Kashmir, issued a statement expressing "shock" at Wani's killing. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement. Sharif said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani. "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he added. Yesterday, Pakistan Foreign Office had issued a statement condemning continued "killing of innocent Kashmiris". "The extra-judicial killing of Kashmiri leader Wani and scores of other innocent Kashmiris is deplorable and condemnable. Such acts are a violation of fundamental human rights of Kashmiris and cannot deter the people of Jammu and Kashmir from their demand for the realisation of the right to self determination," it had said. Wani, who was killed in an encounter with the security forces last week, was the commander of Kashmiri militant group Hizbul Mujahideen. An Israeli court sentenced a Palestinian to life in prison today for a shooting and stabbing attack on a Jerusalem bus that was one of the bloodiest incidents in a wave of violence that began in October. Bilal Abu Ghanem was one of two Palestinians who carried out the October 13 attack that killed two Israelis and a US-Israeli dual national. The second attacker, Bahaa Allyan, was shot dead during the attack. According to the court, Abu Ghanem, a resident of the east Jerusalem Jabel Mukaber neighbourhood, opened fire on the bus with a pistol, shooting 14 rounds, while Allyan stabbed passengers. The Jerusalem district court sentenced Abu Ghanem, 22, to three life sentences plus 60 years for murder and attempted murder, among other charges. He was also ordered to pay 1.45 million shekels (USD 373,000/338,000 euros) in compensation to victims' families, including four people wounded and the bus driver. When leaving the court, Abu Ghanem, who was imprisoned in 2013 for membership in the militant Hamas movement, lashed out and said the attack was in part meant as revenge for what he and other Palestinians see as violations of the Al-Aqsa mosque compound in Jerusalem. The attack followed a series of clashes in September between Palestinian youths and Israeli police at the flashpoint holy site. "There are aggressions on our women and on our Al-Aqsa mosque," he said as guards sought to hurry him past journalists. "Retaliation should be like that." The bus attack was part of a wave of violence that began in October and which has killed at least 214 Palestinians, 34 Israelis, two Americans, an Eritrean and a Sudanese. Most of the Palestinians killed were carrying out knife, gun or car-ramming attacks, according to Israeli authorities. Others were shot dead during protests and clashes, while some were killed by Israeli air strikes in the Gaza Strip. The violence has steadily declined in recent months, though attacks continue to occur, including the fatal stabbing of a 13-year-old girl by a Palestinian in her home in a settlement in the occupied West Bank on June 30. A sentencing hearing in another high-profile case was postponed until September 22 today. The Centre's green panel has deferred the decision on environment clearance (EC) to Central Coalfield Ltd's (CCL) Rs 1,537-crore expansion project in Bokaro district, Jharkhand for want of more inputs. CCL, a subsidiary of Coal India, had proposed expansion of Konar OCP to 8 million tonnes per annum (MTPA) from the existing 4.10 MTPA and also set up integrated Konar non-coking coal washery with a capacity of 7 MTPA. The company estimated Rs 1,286.54 crore as expansion cost for Konar OCP mine, while Rs 251.48 crore for washery. CCL's proposal was discussed in last month's meeting of the Expert Appraisal Committee (EAC) of the Union Environment Ministry. "The EAC deliberated CCL's proposal in detail. It deferred the proposal till the company submits desired clarifications and inputs," a source said. The panel observed that CCL's proposal envisages amalgamation of Konar OCP and the Khasmahal OCP (sanctioned/ granted individually earlier) followed by integration with the proposed washery of 7 MTPA. "That would involve correction in area from the present 620.08 ha to 547.38 ha. The documentation needs to be revised accordingly," it said. Also, the washery proposal has been tendered and the technology will be finalised as per the tender received. "The committee desired for submission of approval for the technology to be submitted for perusal of Committee," the source added. The Committee also asked the company to ensure railway siding within three years matching with the production of non-coking coal for transportation. "CCL has also been asked to submit an action plan along with the proposed budgetary allocation implementing the issues agreed in the public hearing," according to the source. The proposed expansion project would have coal linkages to steel, power and other sectors. Peace TV, which airs speeches of controversial preacher Zakir Naik, was refused permission to telecast in India for "security reasons", I&B Minister M Venkaiah Naidu today said. "We have seen in recent days that the so-called Peace TV is affecting peace and then several countries have also banned that channel. But here the question of banning does not arise as it is not permitted at all," Naidu told reporters here. He said the channel had applied for permission in 2008 which was rejected. They had made a fresh appeal in 2009, which was also rejected for "security reasons." "There is a huge amount of money... That is a separate part that is being looked into by the Home Ministry. Foreign Contribution Regulation Act violations and also objectionable content etc," he said. Naidu said he had earlier held a meeting with officials of Home and other Ministries and different teams have been formed to study the content already telecast by the channel. Whatever action is required will be taken by the Home Ministry, the Information and Broadcasting Ministry, the IT Ministry, and the Intelligence Bureau etc. States will also take the required action, he said. Emphasising that the issue relates to national security and social harmony, Naidu asked law enforcement agencies to keep a strict vigil and appealed to the public to provide information so that no such thing is telecast by local cable operators. He said Minister of State for I&B Rajyavardhan Rathore would monitor the feedback of the people on social media. Rathore later told reporters that it is the people watching the channels who are the real eyes and ears as there are 60,000 cable operators in the country and to monitor them all is not easy. The I&B Ministry, he said, is using social media and whoever notices a violation can bring it to notice through the Twitter handle of the ministry which will inform the local authorities for suitable action. Advisories have already been issued in this regard, Rathore said. The Philippines' embassy here has warned Filipinos living in China against discussing the verdict of the arbitral tribunal on the disputed South China Sea which will be delivered tomorrow. The embassy in Beijing advised Filipinos living in China to take precautions under the current situation and to avoid discussing political matters in public, especially on social media networks, state-run Global Times which received an email message from the embassy reported on its website. The embassy also said in the email that Filipinos should carry their passports or residence permits with them at all times and report any untoward incidents to the embassy's hotline or Chinese police. The arbitration court in The Hague announced that it will deliver a final decision on July 12 over the South China Sea (SCS) disputes filed by the Philippines against China. China, which claims almost all of the SCS boycotted the tribunal questioning it legality. The Philippines along with Vietnam, Malaysia, Brunei and Taiwan contest China's claims over the area. Prime Minister Narendra Modi today warned that "preachers of hate and violence" are threatening the fabric of the society, in comments that assume significance amid the controverssy surrounding Islamic preacher Zakir Naik. He also appeared to be hitting out at Pakistan as he emphasised that those giving shelter to terrorists and using them as political instruments must be condemned. Addressing the students at the University of Nairobi here, Modi pitched for a world free from terror and hate, saying safety and security of people and societies is essential for realising the benefits of the economic progress. "Preachers of hate and violence are threatening the fabric of our society," he said without taking any names. Underlining the need to counter radicalization, he said "Youth can play an important role in building a counter narrative to extremist ideologies." His comments assume significance in view of the expanding footprint of ISIS terror group, which is particularly attracting the youth through a campaign of radicalisation. A recent case in point was the attack in Bangladesh some days back at a cafe by a group of six educated youth in which 22 people, mostly foreigners, were killed. Some of these six attackers were allegedly influenced by the controversial Indian Muslim preacher Zakir Naik, who gives sermons on a TV channel, which is under scrutiny of the Indian government now. Apprehensions of some Indian youth getting attracted towards ISIS is also gaining ground with 15 youngsters from Kerala going missing mysteriously. The Prime Minister, while speaking about developmental strides, said, "A steady march towards our economic goals is indeed a priority. But, we also cannot ignore the safety of our people. The Delhi Police has been directed to carry out a preliminary enquiry into the allegation by a lawyer against corporate major Essar that it tapped phones of high-profile people and some union ministers, the Centre told the Delhi High Court today. In view of the submission made by Additional Solicitor General (ASG) Sanjay Jain, a bench of Chief Justice G Rohini and Justice Sangita Dhingra Sehgal reserved its verdict on the lawyer's PIL seeking a court-monitored probe by an SIT into the allegation of phone tapping. The bench said it will also pass orders regarding the issue of safety and security of the petitioner, Suren Uppal, and the sensitive material or data with him. During the brief hearing, ASG Jain suggested that the sensitive material with Uppal can be kept in the custody of the court. However, the bench did not agree with that. The court also noted that the promoters of Essar, in their response to the PIL, have denied the allegations against the company. Meanwhile, Uppal told the court that he had addressed a letter to the Home Secretary on June 22 regarding his and the materiel's safety and security, but has not yet received any response. After this the court said it will pass orders on this issue. During the hearing, the court as well as the ASG questioned why Albasit Khan, allegedly a former employee of Essar who gave the material to Uppal, contacted the petitioner and not anyone else. The matter was taken up today after two benches had recused from hearing the matter, during the holidays, due to personal reasons. Pope Francis today named a former Fox correspondent to head up the Vatican press office and appointed the tiny state's first-ever female deputy spokesperson. American Greg Burke, 56, brought in by the Vatican in 2013 to overhaul its public-relations operation, will take up the post on August 1, when outgoing chief spokesman Federico Lombardi steps down. His deputy will be Spanish journalist Paloma Garcia Overo, 40, previously the Rome and Vatican correspondent for the Spanish broadcaster COPE. Burke, who also worked as correspondent in Rome for the Catholic weekly National Catholic Reporter and Time magazine, is a "numerary" member of the influential conservative Catholic group Opus Dei, meaning he is a lay person but is celibate. Vatican watcher John Allen, writing for the Cruxnow website, said the appointments showed the pope's wisdom and strength. "He's debunked impressions of being anti-American, he's shown that competence matters, and he's signalled openness to groups seen as conservative," he wrote. "For a bonus, Francis tapped a lay woman as Burke's number two... A veteran journalist who's well-liked and well-respected in the Vatican press corps, and who brings enormous good will to the post". Jesuit Father Lombardi, who turns 74 next month, steps down after heading up the press office for 10 years, through much of Benedict XVI's papacy -- and his shock resignation -- as well as the first three years of Francis's. Burke's appointment may rile the Vatican's old guard, the Italians, but it will likely soothe those worried Francis is overly liberal. "At a time when some see Pope Francis as a liberal stacking the deck with like-minded progressives, this appointment runs counter to the stereotypes," Allen wrote. President Pranab Mukherjee today emphasised on taking Indian language literature to the world audience as he batted for encouraging indigenous languages. He said it's been a century that any Indian received a Nobel Prize for literature. However, he noted that during this time the Indian literature was not less rich. "Gurudev Rabindranath Tagore won the Noble Prize over a century ago. In the period after that Indian literature has not been less rich. However, there is need to propagate the literature in India and take the creative genius of Indian languages to the world audience," Mukherjee said. "There are some international languages, its literature is widely publicised Russian, French, Spanish, Chinese, Japanese, Arabic because of various factors. But apart from this internationally recognised languages, there are so many beautiful indigenous languages throughout the world and the challenge which exists in expressing themselves should also be encouraged," Mukherjee added. He was speaking at a ceremony organised to confer the 51st Bhartiya Jnanpith Award, 2015 to noted Gujarati writer and poet Raghuveer Chaudhari. The President stated that it is through translation of literature in various languages that makes it possible for a wider section of people to have access to it. Mukherjee, however, said he prefers reading translations in Indian languages than of foreign. He said that an eminent scholar and a Gandhian in spirit and behaviour, Chaudhari represents the fluid brilliance that characterises India's pluralistic literary genius. "It is indeed an example of his brilliant fluidity that an author, who strove to master Hindi academically, expressed himself in Gujarati in his literary pursuit. "Dr Chaudhari has been an ardent writer of Hindi columns as well, and his literary work has explored varied genre of poetry, prose, novels, and fictions as also commentary on contemporary issues," Mukherjee said. He added that as a writer, who has explored existentialism in his works, analysed the functional aspect of human life and profoundly expressed his thoughts in his poetry through images and symbols, Chaudhari has rightfully joined the stellar constellation of his predecessor awardees. "Mortal beings do not remain but their creations remain for a long time and therein lies the success of a master creator. He said that Dr Chaudhari was one such creator," Mukherjee said. He said the authors in Jnanpith awardees list have each, excelled in celebrating linguistic diversity of the country. President Pranab Mukherjee will be on three-day visit to Darjeeling beginning tomorrow where he will attend various functions. The government of West Bengal will host a state reception in honour of the President at Chowrasta (The Mall), tomorrow, a release issued today by Rashtrapati Bhavan said. On Wednesday, Mukherjee will attend the birth anniversary celebrations of 'Shri Bhanubhakta Acharya', which will also be held at the Chowrasta. On the same day, the government of West Bengal will host a dinner in his honour, it said. The President will address the annual general meeting of Darjeeling Tea Association on July 14, the release said. To help stop misguided Muslim youths from joining terror groups like Islamic State (IS), RSS-linked organisation Muslim Rashtriya Manch will soon float a new anti-terrorist body. "The Muslim Rashtriya Manch (MRM) will soon form a new anti-terrorist front that will help guide Muslim youths and channelise them into national work," Manch president Mohammad Afzal told PTI. Asked when the new body would come into being, he said, "very soon". "The new body will be formed within the next two months," he added. The move is seen as an attempt by the pro-RSS organisation to stop the exodus of youths from the country to join terror organisations, after being misguided by radical Islamist groups. It also assumes importance in the wake of reports that many Indian youths have been inspired by Islamic preacher Zakir Naik and joined the militant ranks. Naik, who also runs Peace TV which has been banned by Bangladesh, is under the government's scanner and his preachings are being monitored by various agencies. There have also been reports of some Kerala youth going missing and joining the IS ranks for accomplishing jihad. Reserve Bank today said Rs 10 lakh penalty has been imposed on Prathamik Shikshak Sahakari Bank, Kolhapur for violation in regards to dividend for 2013-14. Earlier, RBI had issued a show cause notice to the lender. After considering the facts of the case and bank's reply in the matter, Reserve Bank said it has "come to the conclusion" that the violation(s) was/ were substantial and warranted imposition of the penalty. A "monetary penalty of Rs 10 lakh" has been imposed on the Prathamik Shikshak Sahakari Bank, Kolhapur (Salary Earners' Bank) for violation of operational instructions relating to declaration and payment of dividend for 2013-14 without prior permission of the central bank. Meanwhile, an article on International Banking Statistics of India-2015 in RBI's monthly bulletin said international liabilities (based on Locational Banking Statistics) of banks in India recorded a gradual deceleration in annual growth rate. Further, annual growth rate of international claims of the Indian banking system recorded an increase since June 2015. Share of Non-Resident (External) Rupee Account [NRE] and Foreign Currency Non Resident (Bank) Account [FCNR(B)] in total international liabilities remained more or less the same during 2015 as in 2014. "The share of foreign currency loans to residents in total international claims declined during 2015," the article said. Tamil Nadu Congress spokesperson Khushboo today met AICC vice-president Rahul Gandhi and discussed the party affairs in the state in the wake of the recent electoral drubbing. "The new TNCC president will be announced soon," she told reporters after the meeting, adding that she was ready to take charge. But there are many senior leaders eligible for the post, she said. The 45-year-old Congress leader was all praise for former Tamil Nadu Congress Committee (TNCC) president EVKS Elangovan and said that even though the party had not performed well in the state Assembly polls, he did a good job during his two-year stint as TNCC chief. "We emerged as the third largest party in the state election under his leadership but the result was not as good as we expected and that's why he quit," said the Congress spokesperson from Tamil Nadu after her first meeting with Rahul Gandhi post elections. The Congress is yet to announce a new president for TNCC after Elangovan submitted his resignation to party chief Sonia Gandhi in Delhi on June 15. Congress which was part of the DMK-led alliance had contested in 41 seats but could manage to send only eight to the state Assembly. The party's poor performance was attributed to Elangovan by his rivals before the AICC. They blamed his unilateral decision making and improper candidate selection. The seat-sharing talks were anchored by Elangovan in the presence of senior leaders, including Mukul Wasnik who was in-charge of party affairs in Tamil Nadu. Appointing Elangovan as the party's chief in Tamil Nadu was considered a smart move by AICC as he had his own set of followers in the party and his political integrity was revered. He also took up the role at a time when all senior leaders had abandoned the party, including Jayanti Natarajan, following the defeat of Congress in the 2014 elections. A cinematic tribute to versatile art legend K G Subramanyan, who passed away almost a fortnight ago by acclaimed filmmaker Goutam Ghose was screened at the Indira Gandhi National Centre for Arts here recently. Through his 103-minute film 'The Magic of Making' Ghosh explores the life and works of the 92-year-old artist fondly known as Manida, whose multi-faceted creative journey as painter, muralist, sculptor, printmaker, illustrator, and writer made him a front-ranking artist. The documentary was first made in 2014 under the "Great Masters" series of IGNCA. The artist passed away in Vadodara on June 29. The artist worked with an incredible range of material and mediums; he painted on paper, canvas, board, acrylic, and iron sheets; used water colours, gouache, oil, acrylic, and enamel; he made woven tapestries and designed toys and textiles. Terracotta murals and glass painting found a new lease of life in his works. The film shows all these facets of his personality and his ideology in an anecdotal manner. The slick documentary was largely shot at the Faculty of Fine Arts, M S University, Baroda; at Manida's home; and also at Santiniketan, Lucknow and Kutch, a village in Gujarat. The film takes off with the artist interacting with a crowd at 'Mythologies', an exhibition which was hosted at Galerie 88 in Kolkata in 2013. The narrator describes Subramanyan as the one who inspired three generations of artists. It vividly depicts the artist's experience of being in different parts of India and its cultural diversities impacting his art. "A person's growth is conditioned by the environment that surrounds him and the fight that he has with it. There are things you accept and there are things you revolt. What we call as culture is a world that we build for ourselves which is in contradiction to what the world really is," he says. He also talks about an occasion where he had an opportunity to handle ancient terracotta artefacts at the Baroda Art Fair, which he found better in terms of build and quality as compared to modern-day studio artworks. "I found that clay has a language of its own. And if one has the ability, he can bring out that language," he says. In particular, Subramanyan talks about one of his famous terracotta murals that was inspired by the scattered human bodies and devastation he observed during the floods in Baroda. The film shows the artist visibly at ease in the premises of Santiniketan, which happened to him as "a matter of luck". He greatly admired the experiment of Tagore and wanted to be in an institute like Santiniketan. As a student-activist in Chennai, Subramanyan was imprisoned for six months for picketing outside a government building during the Quit India Movement. In prison, he met people who discussed either politics or about accumulating money or power. During this time, Subramanyan's brother who could not see his sibling's art suffer, wrote a letter in confidence to Nandlal Bose who was then the principal of Kala Bhavan, Santiniketan. It was a moment of joyous surprise for the artist when the request for admission was accepted in 1944. "It was a new place for me. Even the animals looked smaller than the ones I was used to in Kerala. The trees had a lesser girth and goats were smaller. You come to a place of monastic simplicity. Tagore always spoke about tapovan. Maybe this was tapovan. There was always quietude. The interactions did not happen in studios and classrooms but in tea shops," the artist reminisces. In the film, Subramanyan talks about Santiniketan teaching him the importance of contributing to the environment and society. The walls of Kala Bhavana were installed with Nandlal Bose's murals which were being sold off to villagers at a rock-bottom price. It happened because of the efforts of Abanindranath Tagore, Bose's teacher. These paintings and murals became daily referrals and inspiration for other artists to contribute. Subramanyan then points out at a building and narrates about a large black and white mural on its wall, which was his own contribution to Santiniketan. The artist also explains how he managed to revamp Bose's studio after his death. The slick documentary also shows Subramanyan's stay in M S University, Baroda. He says he always found a connect between Bengal and Baroda. The artist contributed to the university's painting studio wall by creating a mural "which was inspired by a Rabindranath Tagore quote which says that no amount of coaxing will open up the bud. What will is the first ray of sun". Though he never had a problem with commercialisation of artwork, he did not create to sell. The artist talks about how he conceptualized 'Nandan Mela', an annual art fair at Santiniketan, to promote the local art with the participation of local community. On his practice methods, Subramanyan says he is 'a compulsive doodler' and does not practice anything. He just sits in his studio daily and either scribbles or paints something. "I must be aging. But there is a profound sense of aliveness in me," he says. During the film-making, Ghose had said, "I have made documentaries on some legends and I found a lot of similarities between him and Ustad Bismillah Khan. Both of them, in spite of being such legends, have lived very simple lives and their humility is inspirational. Authorities in El Salvador say that Romania's honorary consul in the country has been killed in his home. National Civil Police Commissioner Howard Cotto said today that Salvadoran businessman Ricardo Enmanuel Salume Barake appears to have been strangled. Salume Barake was a moneylender and authorities say it could have been a robbery. There were no signs of a forced entry and Cotto says the perpetrators took the time to remove footage from the security cameras. Police deputy director Cesar Baldemar Flores Murillo says they believe multiple people participated and had copies of keys to the home in the exclusive Escalon neighborhood. Salume Barake is the second honorary consul killed in the Salvadoran capital this year. Panama's honorary consul was found shot dead in his vehicle in May. Describing RTI Act as a powerful tool that strengthens democracy, Vice President Hamid Ansari today said it promotes good governance by enhancing the citizen's ability to participate in the process. The legislative intent of the Right to Information Act was to empower citizens to promote transparency and accountability in the working of every Public Authority, reduce the gap between the information provider and the information seeker, enhance efficiency in administration of public authorities, mitigate corruption and promote good governance, he said. Ansari was addressing the inaugural ceremony of the New Building of Uttar Pradesh Information Commission here. The Vice President said there can be little doubt that this Act is one of the most empowering and progressive legislations passed in the post-Independent India. "This Act provides for better governance, and impacts on the very nature of governance itself," he said. Ansari said the basic tenets of the Act have been implemented, the institutional mechanisms are in place, and are being used by citizens as a tool to bring in transparency and accountability at all levels of Governance. He said that while the Civil Society Organizations and Media can act as pressure points, most of the correctives need to be carried out by the Government and Information Commissions. "There is a need for a sustained mass awareness campaign, need for greater voluntary disclosure of information held with public Authorities, need to rid ourselves of the old mindset regarding secrecy of government processes and transactions and the need for person demanding information under the RTI to be emboldened and secure," he added. Governor Ram Naik, Chief Minister Akhilesh Yadav, senior Judge, Lucknow Bench of Allahabad High Court, Justice AP Sahi and the Chief Information Commissioner of UP Jawed Usmari were present on the occasion. Al-Jazeera channel said one of its freelance reporters in northern Syria was killed today in an air strike by Russian forces supporting President Bashar al-Assad's regime. Ibrahim al-Omar, who reported for the Al-Jazeera Mubasher live channel, was killed "in Russian air strikes on the town of Termanin" in northern Idlib, the Doha-based channel said. The Britain-based Syrian Observatory for Human rights said five people were killed Monday in air strikes on Idlib province, in northwestern Syria, including three slain in Termanin. The other two were killed in strikes on the town of Ihsem, south of Idlib city. Al-Jazeera has seen at least six members of its staff killed while covering the Syria conflict, which broke out in 2011. The latest was in December when cameraman Zakaria Ibrahim was shot dead by a sniper in Homs province, the channel said. Statistics provided by Paris-based Reporters Without Borders says seven journalists were killed in Syria last year, while 15 were killed in 2014. Two South African brothers arrested on charges were plotting to blow up the US embassy in Pretoria and Jewish institutions, and planned to join the so-called Islamic State, police said today. The 23-year-old twins, Brandon-Lee and Tony-Lee Thulsie, appeared briefly in a Johannesburg magistrate court after being arrested at the weekend. "It is alleged that they wanted to bomb the US embassy and Jewish facilities in the country," Hangwani Mulaudzi, spokesman for South Africa's elite police, the Hawks, told AFP. The United States and Britain warned last month of possible imminent "terrorist" attacks by Islamic extremists in South Africa's major cities. The two men, who are accused of planning to join the Islamic State (IS) group in Syria, will remain in custody and return to court on July 19 to allow for further investigation. "They had been on our radar since 2015. They tried to leave the country twice, through OR Tambo airport and through Mozambique (to join IS)," Mulaudzi said. According to court papers, the brothers "conspired to commit the crime of by planning to cause explosions at a mission of the United States of America and Jewish institutions." The suspects were also accused of inciting other people to "aid and abet them" in the attack, according to the provisional charge sheet. "The incitement was to further the political, religious or ideological motives and objectives of... The Islamic State in Iraq and the Levant (ISIL)," it said. With Kashmir on the boil over terrorist Burhan Wani's death, VHP today demanded immediate dismissal of Mehbooba Mufti government in Jammu and Kashmir and imposition of President's Rule, besides arresting her for her alleged "pro-militant" stance. Terming BJP's "experiment" of forming a government with PDP as having "failed", VHP joint general secretary Surendra Jain accused chief minister Mehbooba Mufti of "acting as a spokesperson of terrorists and separatists" and said both she and former chief minister Omar Adbullah be arrested for their pro-terrorists speeches. "BJP's experiment of forming a government with PDP has totally failed as they could not change Mehbooba Mufti. "That is why this goverment should be dismissed immediately and President's Rule imposed. Both Mehbooba and Omar Abdullah should also be arrested for thei pro-terrorist speeches," he said. Comparing the situation to that in 1990s when militancy in Kashmir was at its peak, the VHP leader said there should be shoot-at-sight orders against terrorists. Alleging attacks on Amarnath pilgrims, Vishva Hindu Parishad (VHP) said it was "unacceptable" and that targeting Hindus will have "serious repercussions". The VHP leader also hit out at JNU student Umar Khalid for comparing Wani to a revolutionary, saying that "this is so unfortunate that a terrorist who was wanted for years is being compared to a revolutionary. Bernie Sanders would join presumptive Democratic presidential nominee Hillary Clinton at an election rally in New Hampshire tomorrow, the two campaigns said today even though the Vermont senator has yet to endorse his former rival for the party nomination. This would be for the first time that Sanders would campaign with party rival Clinton, giving rise to expectations that the the Vermont senator would finally endorse the former Secretary of State. But Sanders' campaign, who lost the primary race to Clinton, did not mention this in the statement issued today. "US senator Bernie Sanders on Tuesday will join Hillary Clinton for a campaign event at Portsmouth High School to discuss their commitment to building an America that is stronger together and an economy that works for everyone, not just those at the top," the brief statement said. The two coming together is seen as Sanders' endorsement for Clinton. Over the weekend Sanders praised Clinton. The Supreme Court today extended till August 3 the parole granted to Sahara chief Subrata Roy on humantarian grounds following the death of his mother, with a strict condition that he will have to deposit the balance of Rs 300 crore, out of the Rs 500 crore promised by him. "Anyway you have to deposit balance Rs 300 crore. Either you pay or go back to jail," a bench headed by Chief Justice T S Thakur said while reminding him of the May 11 order when he was given a breather for two months to enable him deposit Rs 200 crore with market regulator Securities and Exchange Board of India (SEBI). Senior advocate Kapil Sibal, appearing for Roy, was seeking time till the year end for depositing Rs 300 crore but the bench, which also comprised Justices A R Dave and A K Sikri, said "we have already given you a long rope". It also drew his attention to the history of the case which led to the incarceration of Sahara chief from March 4, 2014 to May 6, 2016. While Sibal was citing difficulties in selling and alienating the properties, the bench said "why don't we appoint a receiver and entrust him all properties". Besides extension of Roy's parole, Sahara Group also got other much-needed relief with the apex court lifting its embargo of permitting it to sell only 19 properties which included mortgaging the 600 acre Aamby Valley in Mumbai and three overseas hotels -- Grosvenor House Hotel in London, the New York Plaza and Dream New York hotels. The bench allowed the group to go ahead with sale and alienation of their other properties to raise an amount of Rs 5,000 crore as a bank guarantee they have to deposit in addition to Rs 5,000 crore to get bail for Roy. It also allowed the group to sell the properties at 90 per cent of the circle rate. The apex court had passed an order on March 29 stating that SEBI would also not sell any property owned by the beleaguered group for a price less than 90 per cent of the circle rates for the area in question without the permission of the court. To raise the money, the bench also allowed the group to encash money invested in mutual funds, gold deposits and shares in Natioal Stock Exchange. Further, the bench accepted Sibal's submission that the group be allowed to transfer the loan of 24 million pounds equivalent to Rs 200 crore it got from overseas investment bankers Reuben Brothers to the SEBI-Sahara account, opened at the apex court's direction for refunding money to investors. The Sahara group had earlier informed the court that the loan on overseas hotels that was given by Bank of China has been taken over by billionaire brothers David and Simon Reuben of United Kingdom, whose main activities are in real estate, private equity and venture capitalism. Meanwhile, the bench also granted parole to another Director of the Group, Ravi Shanker Dubey, who was jailed along with Roy and other director Ashok Roy Choudhary. The day's hearing commenced with Sibal submitting that the May 11 order of depositing Rs 200 crore with the SEBI has been complied with and sought further relief for Roy and his group. At one point, the bench also suggested that it will appoint a Receiver for selling and alienating all properties, saying "only solution appears to us is to appoint Receiver." "According to you, your business is already destroyed. We cannot go like this for ever. Don't test our patience. How much time we will spend," the bench observed while reminding the senior advocate about the history of litigation in which Sahara was directed to refund Rs 36,000 crore to investors. The bench also said "we would not allow our process to be misused" and cautioned that failure to comply with its order would require Roy and other two Directors to surrender and go back to Tihar Jail. (Reopens LGD24) While granting them parole, the apex court had said they were free to meet prospective buyers of properties and move within the country in police escort as per May 6 order. It had also said that SEBI would meanwhile continue with the auction of properties of Sahara. The apex court had earlier asked SEBI to initiate the process of selling "unencumbered" properties of Sahara group, whose title deeds are with the market regulator, to generate the bail money for release of the group chief. SEBI was asked to devise a suitable mechanism for the sale in consultation and under the supervision of Justice B N Agrawal, former Supreme Court judge, and seek help of experts or expert agencies, if required in the process. The regulator was also asked to keep the Sahara group "duly informed about the steps taken by it in which event Sahara shall be free to provide such inputs as may be considered necessary so that the properties fetch a fair price towards sale consideration". For the interim bail of 67-year-old Roy, the court had put conditions like depositing Rs 5,000 crore in cash and a bank guarantee of equal amount and tough terms including payment of the entire Rs 36,000 crore, which includes interest. The money will be paid back to the investors of Sahara. Activists of Shiv Sena Punjab today demanding resumption of Amarnath Yatra from Jammu blocked traffic on NH1 here, prompting authorities to divert two Delhi-Lahore buses. Led by Sena's state senior Vice-President Rajesh Palta, the protesters demanded immediate resumption of the Amarnath Yatra, which had been suspended due to "chaotic conditions" in Jammu and Kashmir, police said. The protesters started from Hanumangarhi Temple and converged on National Highway 1, blocking its two sides, leading to long queues of vehicles towards Jalandhar and Ludhiana, they said. Raising slogans against Narendra Modi government and PDP government, they demanded dismissal of J&K government and imposition of President's Rule there. They also burnt a poster of Chief Minister Mehbooba Mufti, police said. In view of the protest, the Delhi-bound 'Sada-e-Sarhad' bus from Lahore was diverted via Kartarpur, Kapurthala, Nakodar, Noormahal, Phillaur onto the NH 1. Lahore-bound bus coming from New Delhi was also diverted from Phillaur, Noormahal, Nakodar, Kartarpur onto the NH 1. As Kashmir Valley remains on the edge following the killing of militant commander Burhan Wani, separatists today extended the shutdown call till July 13. The separatists termed the state government's appeal for help to restore normalcy as "childish and illogical". Hardline Hurriyat Conference led by Syed Ali Shah Geelani in a statement issued here on behalf of the separatist groups said the strike has been extended by two more days. "July 13 would be observed as the Reaffirmation Day and all three separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umar Farooq and Yasin Malik, would march from Hyderpora, Jamia Masjid and Maisuma towards Mazar-e-Shuhda (martyrs graveyard) in Naqshband Sahab, respectively," the statement said. Every year, separatists, including mainstream parties, observe July 13 as the death anniversary of 21 protestors killed by Dogra army outside Srinagar's Central Jail in 1931. The separatists had earlier called a three-day strike following the killing of the militant commander. The separatists asked the people to "raise and repeat the slogan of right to self-determination". They asked the government to put a stop on the killing spree of "innocent and unarmed people of Kashmir during peaceful protests." "The situation, otherwise, would take a serious turn. New Delhi, along with the state government, would be held responsible for it," they warned. Violent protests erupted in Kashmir in the wake of the killing of Hizbul Mujahideen poster boy Wani on Friday in a joint operation by army and Jammu and Kashmir police. So far, 23 civilians have been killed and more than 250 injured in the firing by security forces. Former BJP MLA Yatin Oza, who is planning to join AAP, today claimed that BJP President Amit Shah and AIMIM chief Asaduddin Owaisi had "struck a deal" to "polarise" voters in the Muslim-dominated northern belt ahead of Bihar polls, a charge that triggered a slugfest between AAP and BJP. While the media head of BJP's Gujarat unit rejected the charge terming it as an "attempt to get attention of media", AAP leader and Delhi Chief Minister Arvind Kejriwal tweeted "If it is true, it reveals real face of BJP-Modi-Shah". In a letter to Kejriwal on July 4, Oza claimed that he was also present at the meeting which was held "in the wee hours at Shah's residence on September 15, 2015" and it was decided that "Owaisi will spew poisonous communal speech, the script of which shall be written by Amit Shah." However, he did not clarify whether the meeting was at Shah's Gujarat residence or in Delhi. He further alleged that the recent statement by Owaisi to extend legal aid to suspected IS operatives held in Hyderabad also "appears to be part of the aforesaid strategy." Reading out his letter to mediapersons, Oza, a lawyer at the Gujarat High Court, said "I was present in the said meeting. A detailed discussion took place and it was agreed that Owaisi shall field his candidates in Bihar, particularly in Muslim belt that was to go to polls on November 5 (last phase). "It was decided in the meeting that Owaisi will vomit poisonous communal speech, the script of which shall be written by Amit Shah, which may have the potentiality to create communal divide in the society." Dismissing Oza's claim as nothing but "an exercise to seek media attention", BJP's media convenor Harshad Patel said "Just like their leader Kejriwal, people who are either associated with AAP or wanting to join that party are known to do such drama. Allegations by Oza are nothing but an attempt to get attention of the media. Owaisi has already refuted Oza's claims." Criticising Kejriwal, BJP national secretary Shrikant Sharma in New Delhi said the Delhi Chief Minister was "notorious for practising petty politics based on lies and unfounded charges. It is his habit to level baseless allegations and run away when confronted with facts. He is spreading false and factually incorrect claims." He also asked Kejriwal to give evidence of the purported meeting. However, senior AAP leader Sanjay Singh said Oza's allegations have "established the popular belief that BJP and AIMIM are in cahoots, indulging in politics of hate to sow seeds of disharmony in society, creating rifts between communities to ensure political polarisation. These revelations are very serious in nature. "The Aam Aadmi Party demands an immediate clarification from PM Narendra Modi, BJP and Amit Shah on this matter. BJP owes an honest explanation to the people of the country about these shocking charges," Singh said. In the high-stake Bihar election, JD(U)-RJD-Congress alliance secured 178 seats in the 243-member state Assembly whereas BJP-led NDA could bag only 58 seats. AIMIM, which had contested in Seemanchal region in four districts of Araria, Purnea, Kishanganj, Katihar, drew a blank. Former BJP MLA Yatin Oza, who is planning to join AAP, on Monday claimed that BJP President Amit Shah and AIMIM chief Asaduddin Owaisi had "struck a deal" at a meeting ahead of Bihar Assembly polls to "polarise" votes in the Muslim-dominated northern belt. The allegations were denied by the BJP state unit. Seizing on Oza's claims, AAP leader and Delhi Chief Minister Arvind Kejriwal tweeted, "If it is true, it reveals real face of BJP-Modi-Shah". In a letter to Kejriwal on July 4, Oza claimed that he was also present at the meeting which he said was held "in the wee hours at Shah's residence on September 15, 2015." However, he did not clarify the place where the purported meeting took place. Oza claimed that it was decided in the meeting that "Owaisi will spew poisonous communal speech, the script of which shall be written by Amit Shah." He further alleged that the recent statement by Owaisi to extend legal aid to suspected IS operatives held in Hyderabad also "appears to be part of the aforesaid strategy." Speaking to media persons, Oza, a lawyer at Gujarat High Court, said, "I was present in the said meeting. A detailed discussion took place and it was agreed that Owaisi shall field his candidates in Bihar, particularly in Muslim belt that was to go to polls on November 5 (last phase). "It was decided in the meeting that Owaisi will vomit poisonous communal speech, the script of which shall be written by Amit Shah, which may have the potentiality to create communal divide in the society," the letter said. "It was decided in the meeting that BJP and Owaisi would stand as strong opponents of each other before the people, but Owaisi would only speak on dotted lines and his speech would create an atmosphere of hatred resulting into communal tension," it said. The BJP dismissed Oza's claim as nothing but "an exercise to seek media attention." "Just like their leader Kejriwal, people who are either associated with AAP or wanting to join that party are known to do such drama. Allegations by Oza are nothing but an attempt to get attention of media. Owaisi has already refuted Oza's claims," said Gujarat BJP's media convener Harshad Patel. In the high-stake Bihar election, the JD(U)-RJD-Congress alliance secured 178 seats in the 243-member state Assembly whereas the BJP-led NDA could bag only 58 seats. AIMIM, which had contested in Seemanchal region in four districts of Araria, Purnea, Kishanganj, Katihar, drew a blank. (Reopens BOM 10) Oza also claimed that newly-elected Gujarat BJP president Vijay Rupani is an "old friend" of Owaisi. Oza claimed he was with Rupani when the latter met Owaisi "with great warmth" at Delhi Airport on March 11, as they were supposed to board the same flight. "Both of them did not even look at each other but proceeded towards VIP lounge. I was also requested by Rupani to accompany him, which I did. To the utter shock of mine, I saw both of them shaking hands with each other and conversation between them was such that even a layman would believe beyond doubts that they are old buddies," Oza alleged. Through the letter, he also indirectly expressed his desire to join AAP. "Many reputed and good people from different walks of life want to join AAP, but are waiting for a proper opportunity," said Oza who held a meeting with Kejriwal in Rajkot on Saturday and discussed his plans of joining AAP. Under attack for remaining "silent" over violence in Kashmir, Pakistan Prime Minister Nawaz Sharif has deplored "excessive" force against civilians in the latest wave of violence in the Valley while expressing "deep shock" over the killing of Hizbul Mujahideen commander Burhan Wani. Sharif, who recently returned from London after an open-heart surgery, came out with a late night statement condemning the action of Indian security forces in Kashmir. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement. The Prime Minister said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani. "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he said. Expressing concern over the detention of Kashmiri separatist leaders, Sharif said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of the killing of Wani in an encounter on Friday. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Pakistan People's Party (PPP) chairman Bilawal Bhutto Zardari has alleged that "Sharif-Modi friendship" was causing "irreparable damage" to the Kashmir issue. "While Muslims celebrated Eid-ul Fitr across the world, our Kashmiri brethren marked the day of festivities amid violence carried out by the Indian army," Bilawal said in a statement yesterday. He accused Sharif of damaging the foreign policy by giving his Indian counterpart Narendra Modi a "certificate of friendship". "Sharif is jeopardising the Kashmir issue by building his association with the Indian prime minister," he said. Wading into the situation in Jammu and Kashmir, Pakistan Prime Minister Nawaz Sharif and Mumbai attack mastermind Hafiz Saeed have attacked the killing of Hizbul commander Burhan Wani and made some strong comments against the action of the security forces in the valley. Exploiting the violence that has led to 23 deaths in the wake of killing of the poster boy of militancy on Friday, Sharif, who returned from London after an open heart surgery, expressed "deep shock" over the killing of Wani and civilians by the forces. Deploring the "excessive" force, Sharif said, "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions." Joining forces against India, Saeed welcomed the statements of Pakistan's foreign ministry and the Prime Minister and said the Pakistan government should raise the issue at the international level at a juncture when the "movement"has gained momentum. Saeed, who plans to hold funeral prayers in absentia for Wani and others on Friday in Lahore, said issues in Kashmir should be resolved as per the wish of Kashmiri people. "I urge Pakistan government to avail this opportunity and announce its open support for those who seek freedom," Saeed, the Jamaat-ud Dawah chief, said in a recorded message. In another statement, Saeed said killing of the Kashmiris and firing at their funeral is the "worst form of terrorism". "Kashmiris cannot be made slaves through use of force any more. We will continue to support the Kashmiris," he said. Saeed, the founder of Lashkar-e-Taiba who carries a bounty of USD 10 million, lamented that the international community was not condemning the killings in Kashmir. To a question whether Kashmiri leader Syed Salahuddin would also join Saeed in the funeral prayers, a JuD leader said, "It is not confirmed as yet". Expressing concern over the detention of Kashmiri separatist leaders, Sharif said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. Pakistan People's Party (PPP) chairman Bilawal Bhutto Zardari has alleged that "Sharif-Modi friendship" was causing "irreparable damage" to the Kashmir issue. "While Muslims celebrated Eid-ul Fitr across the world, our Kashmiri brethren marked the day of festivities amid violence carried out by the Indian army," Bilawal said in a statement yesterday. He accused Sharif of damaging the foreign policy by giving his Indian counterpart Narendra Modi a "certificate of friendship". "Sharif is jeopardising the Kashmir issue by building his association with the Indian prime minister," he said. Smarting under a string of probes, Deputy Chief Minister Manish Sisodia today took a swipe at the Modi government, daring it to get a newly built government school in East Delhi's Khichripur area probed by CBI. "The Delhi government has built a new swanky school in Khichripur. Get it probed by CBI, ACB and CAG. Also, before the classes are started, send notices to the Education Secretary and all officials concerned," Sisodia tweeted after he inaugurated the school building. The Centre and the AAP government have been embroiled in a tug of war over a number of issues ever since the latter came to power last year. Sisodia had yesterday alleged that CBI, Delhi Police and the Anti-Corruption Branch are only left with one task of "hatching" conspiracies against the AAP leaders and arresting them. The investigation agency had recently arrested Chief Minister Arvind Kejriwal's Principal Secretary Rajendra Kumar and four others in connection with an alleged graft case. Also, BJP's Delhi unit had in May sought a CBI inquiry into the price variance of water meters published by the AAP government. Pakistan today violated the ceasefire along the Line of Control, killing a solider in Keran sector of North Kashmir. Pakistani forces opened fire this morning, an Army official said. A soldier was killed in the ceasefire violation, the official said. The BJP today said some politicians are now "playing politics" in Burhan's name and "using terrorism as a clutch to revive their sinking political future in the valley". "As per available information, Burhan Wani became a terrorist in 2010 (following violent agitations) when Omar Abdullah was heading a coalition government. Hence, it is his government that is responsible for creating Wani," said BJP state spokesperson Brigadier Anil Gupta. "It is unfortunate that the same politicians are now playing politics in the name of Burhan Wani and using terrorism as a clutch to revive their sinking political future in the valley," he said. Gupta accused National Conference of encouraging "divisive and discriminatory" politics and neglecting the other regions of the state when they were in power. "Now that they are out of power, their anti-Jammu and pro-separatist rhetoric has become more pronounced," he said, adding that it was "the same Omar Abdullah, who in 2010, had blamed PDP for indulging in 'Lashon Ki Siyasat' (Politics over dead bodies)", who is now doing the same thing. Commenting on a tweet of the NC leader where he had claimed that Burhan's ability to recruit into militancy from the grave would far outstrip anything he could have done on the social media, Gupta alleged with such comments, Omar was "trying to project a terrorist as a martyr". Blaming the previous Congress-NC rule in the state for spurt in violence in south Kashmir, he claimed the "faulty" Rehabilitation Policy launched in November, 2010 by Omar's government was one of the major contributory factors to it apart from the rise of Burhan Wani. "Recycled ex-militants are the main reason for the increase in the number of local militants and attack on police personnel," he said, claiming that even in the ongoing violence in the aftermath of Burhan's killing on Friday, "the recycled militants are the main instigators among the mobs". "BJP once again asks Jammu-based leaders of these parties to clarify their loyalty and support for terrorism. By keeping mum on the tweets of their leader, they have given a tacit approval to his thinking," said Gupta. Sun Pharmaceutical Industries announced rollout of Gemcitabine InfuSMART, on Monday, used in treatment of cancer, in Europe. "As part of its business strategy to build a meaningful and differentiating presence in global oncology therapy market, today announced rollout of Gemcitabine InfuSMART in Europe. InfuSMART is a technology in which oncology products are developed in a ready-to-administer (RTA) bag," the company said in a BSE filing. "Until now, compounding of oncology products was done at compounding centres or compounded in hospital pharmacies, an extra step before the medicine can be administered to patients. Over the next few months, will launch Gemcitabine InfuSMART across the Netherlands, the UK, Spain, Germany, Italy and France. Business Head Western Europe & ANZ Hellen de Kloet said: "Sun Pharma's Gemcitabine InfuSMART ready-to-administer infusion products provide the combined advantage of long stable compounded medicine along with safety... Traditionally, such medicines are compounded at hospitals (in-house) or outsourced to compounding pharmacies, making it a time-consuming and potentially hazardous process." Kloet added: "Launch of InfuSMART will help us remain a meaningful player in the global oncology therapy market by offering differentiating cancer treatment solutions. We believe there are opportunities for us to expand our portfolio of ready-to-administer products across multiple therapies where time and safety are an important element of treatment." Sun Pharma has received regulatory approval to produce Gemcitabine InfuSMART in eight key SKUs (stock keeping units). "More InfuSMART oncology products are currently in Sun Pharma's pipeline to be rolled out in future," it added. With the rollout of Gemcitabine InfuSMART, Sun Pharma said it has become "the world's first pharmaceutical company to manufacture and launch a licensed RTA oncology product". The Telangana government today undertook plantation of several lakh saplings in and around here as part of the mega 'Haritha Haram' programme which was launched three days ago. Chief Minister K Chandrasekhar Rao planted saplings in Nizam's Institute of Medical Sciences (NIMS). His Cabinet colleagues including his Minister son K T Rama Rao (KTR) also planted saplings at other places, an official release said. As a part of the programme, KTR along with senior executives and employees of various IT companies and senior government officials planted saplings at the IT Corridor in Gachibowli. According to an official release issued yesterday, the state government intended to plant as many as 25 lakh saplings in and around the city today. The 'Haritha Haram' programme which was launched in Nalgonda district on Friday by KCR aims at planting 46 crore saplings across the state this monsoon. Over 105 government and private organisations participated in programme with nearly 4,000 sites across the city witnessed the event. Officials of the IT department, members of Hyderabad Software Enterprises Association, Society for Cyberabad Security Council, IT professionals, students and personalities from Tollywood also took part in the drive. Collectors and Superintendents of Police of all the districts and their officials have been instructed to participate in the programme, it said. "This two-week programme would be the performance indicator for employees, MPs, MLAs and Ministers. Take this initiative seriously as we are obligated to give green and clean environment to our future generations," KCR said. The Chief Minister requested people to take the programme with a positive sense and warned serious action on those who do not comply with. Shares of Tata Motors today rose by over 4 per cent after the company reported a 20.8 per cent increase in global sales, including that of Jaguar Land Rover (JLR) vehicles, in June. The stock rose by 4.15 per cent to settle at Rs 484.50 on BSE. During the day, it went up by 4.55 per cent to Rs 486.40. On NSE, shares of the company gained 3.92 per cent to end at Rs 484.60. In terms of volume, 15.14 lakh shares of the company were traded on BSE and over one crore shares changed hands on NSE during the day. Tata Motors on Friday reported a 20.8 per cent increase in global sales at 92,551 units, including that of Jaguar Land Rover (JLR) vehicles in June. The company had sold 76,602 units in June 2015, Tata Motors had said in a statement. In the passenger vehicles category, global sales stood at 59,831 units last month as against 45,519 units in the same month a year ago, up 31.44 per cent. Sales of luxury brand Jaguar Land Rover grew 35.2 per cent to 47,197 units in June compared with 34,908 units in the same month last year. Stating that tuberculosis was not just a medical problem but also a social issue, as those suffering from the disease have to face social stigma, Maharashtra Minister Rajkumar Badole today called for raising awareness on the issue. The Central TB Division (CTD) of the Union Health Ministry and North Maharashtra University (NMU) today held a conclave here to involve more students to actively pursue scientific research in the quest for innovative and more effective tuberculosis interventions. The International Union against Tuberculosis and Lung Disease (The Union), along with the United States Agency for International Development (USAID) were also a part of the conclave. Badole, the state Social Justice minister, who was a part of the conclave, said that social science research is required to be able to understand the challenges and find solutions. "TB is not just a medical problem, but it has several social ramifications. Families fall apart due to this disease. Several children are forced into labour and TB patients, especially women and girls, face stigma. Awareness is absolutely crucial," he said. Professor Sudhir Meshram, Vice Chancellor of NMU, said, "This partnership is an excellent opportunity for us to strengthen our efforts in TB research, across various study fields such as social sciences, economics, humanities and engineering." He also said that the NMU has already launched a programme in five adopted villages for TB awareness and screening in partnership with CTD, The Union and USAID. According to a recently released WHO report, 2 Indians die of TB every minute. "TB now ranks alongside HIV as the leading infectious killer. India recorded the largest number of drug-resistant TB cases in the world this year," the report states. "TB kills two Indians every five minutes. India accounts for almost one quarter of the global TB burden, with 2.3 million new cases annually and more than 1 million multidrug-resistant TB cases go undetected or unreported globally," it states and adds that India has 71000 notified MDR-TB (multi drug resistant-TB) cases. Union Minister M Venkaiah Naidu today said that terrorists were getting support from the "neighbourhood", apparently referring to Pakistan, even as he welcomed the statements by Congress leaders on the killing of top Hizbul Mujahideen commander Burhan Wani. "I heartily welcome the statement by senior Congress leader and leader of opposition in Rajya Sabha Ghulam Nabi Azad and former minister Salman Khurshid on Burhan Wani being a terrorist," he said while lauding the statement made by Congress President Sonia Gandhi, in this regard. These statements indicated a "collective stand" on terrorism, he said. Naidu was asked about reports that Pakistan based Hafiz Saeed and Syed Salahuddin had a meeting today and also Pakistan's stance on Wani's death. "Pakistan should take note of what is happening in their land. Today these two people coming together and they are openly saying that they would spread terrorism. That has to be taken note of by the Pakistani government and they have to take appropriate action," the Union minister said. Naidu said that as far as India is concerned, it will crush any sort of terrorism with all the force that is required. "It is a long issue because they are getting support from the neighbourhood. Aiding, abetting, funding, training terrorism has been going on" he said apparently referring to Pakistan. We have to take it seriously and the government has taken it seriously, he added. The Union Information and Broadcasting minister appealed to media agencies to "exercise restraint," and ensure that while reporting in such matters "one should not create sympathy for terrorists." "This man who is responsible for killing of many innocent people, do not deserve any sympathy at all," Naidu said adding that those "giving sermons should understand that Indian Army and forces are working day and night to protect the unity and integrity of the country." There are "safeguards" in India if there is any violation of human rights, he emphasised. "The issue is concerning national security, religion does not come in to the picture at all. Anybody with common sense would know who are these Hizbul Muzahiddin commanders? Who are these terrorists?," Naidu said. (REOPENS DEL 65) Earlier in a statement today, Congress President Sonia Gandhi had maintained that there can be "no compromise" on matters relating to national security and that terrorism must be dealt with firmly. In an appeal to people of the Valley, she asked them to allow political parties find "durable and enduring ways of fulfilling their aspirations meaningfully in a peaceful and democratic manner." Leader of Opposition in Rajya Sabha Ghulam Nabi Azad also voiced concern over the deteriorating law and order situation in the Valley where, he said, "irreparable collateral damage" has taken place. A former J and K Chief Minister, Azad said the need of the hour is to ensure that law and order is restored at the earliest without any further loss of lives. Speaking to reporters here, Naidu also expressed concern over the situation of "stranded" Amarnath yatra pilgrims. Naidu said that the he had taken up the issue of the stranded Amarnath Yatra pilgrims who belong to various states. All steps are being taken to assist them. A local official in central was killed in an attack that left his body "riddled" with bullets, security sources said. Deputy mayor of the Wouro-Mody commune Kola H. Dia became the latest victim of jihadist and criminal groups which take advantage of Mali's dire security situation by frequently targeting symbols, of state power including government officials and soldiers. A Malian security source told AFP under condition of anonymity that "terrorists slaughtered the deputy mayor of the commune" on Tuesday morning. He said it was a "targeted attack." A resident of Wouro-Mody who saw Dia's body said the gunmen left him "riddled" with bullets before making off with his mobile phone. Dia was killed in the same area of the Mopti region where five Togolese UN peacekeepers were fatally ambushed on May 29. There was no claim of responsibility but the UN at the time called it a "terrorist" attack. Northern has seen repeated violence since it fell under the control of Tuareg-led rebels who allied with jihadist groups linked to Al-Qaeda in 2012. Attacks are now becoming more frequent further south, in the country's centre, close to its borders with Burkina Faso and Niger. Although Islamists were largely ousted by an ongoing French-led military operation launched in January 2013, sporadic attacks from desert hideouts are common. A Thai journalist and four activists were charged today under a draconian law banning criticism of the junta's new constitution which will be voted on in a referendum next month. Taweesak Kerdpoka, a reporter with the outlet Prachatai, was arrested yesterday morning alongside four members of the New Democracy Movement, one of the few activist groups that dare to challenge the military since generals seized power two years ago. "Their actions were violating the referendum bill article 61 clause 2," police colonel Amnuay Pongsawat, from Ban Pong district in central Ratchaburi province, told AFP. He did not elaborate on how their actions had broken the law, but the men face up to ten years in prison if convicted. The clause outlaws critical discussion of the junta's new draft constitution, which if passed will become Thailand's 20th in less than a century. Prachatai editor Chiranuch Premchaiporn said Taweesak was travelling in the same car as the activists to report on their activities. Police stopped the car and found documents that they deemed were in breach the referendum law. "He is a reporter that covers human and environmental rights," she told AFP. "He was just doing his job." Thais will vote on the the new charter on August 7, the first return to the ballot box since the 2014 coup. The junta says the document is the antidote to Thailand's caustic political divide. But politicians on both sides of the divide have dismissed it as an attempt to further entrench the military's hold on power through an appointed senate. Video posted online showed the five shackled men making their way to court today morning as supporters handed them roses. The activists could be heard shouting: "Voting 'no' is our right, it is not against the law". A message from Taweesak on his Facebook page read: "Being arrested for referendum campaigning is bad, but what should we call being arrested for reporting on the referendum campaign?" Prachatai has a history of investigative journalism that frequently riles Bangkok's ultra-nationalist establishment. Last year its editor Chiranuch lost an appeal against a conviction under the country's notorious royal defamation law for failing to speedily remove reader comments deemed critical of the monarchy. Since the military's takeover Thailand has undergone a major rights crackdown, with scores of activists jailed and skyrocketing lese majeste convictions. The kingdom has been handicapped by more than a decade of political deadlock and violence including two military coups. A Thai journalist and three other activists were today arrested and charged under a draconian law for campaigning against a junta-backed draft constitution, the latest in the lead-up to a referendum on the charter next month. Taweesak Kerdpoka, a reporter with an online agency, was arrested in Ratchaburi province along with three activists belonging to anti-junta New Democracy Movement. Two media organisations called on concerned authorities to drop the draconian charges banning criticism of the charter and release the reporter who has been accused of violating the ban on campaigning against the draft charter. The offence carries a penalty of 10 years' imprisonment. The Thai Journalists Association [TJA] and Thai Broadcast Journalists Association [TBJA] said in a joint statement that the the reporter was only performing his duty and believed he has done nothing wrong. The police arrested the reporter who was in a pick up truck with the three activists. The police found some leaflets containing message against the draft. Taweesak was in the vehicle with the activists only for a ride back to Bangkok, said the agency's director Chiranuch Premchaiporn. The latest arrests followed the release last week by a military court of seven activists detained in June for campaigning against the charter. The charter has been criticized by party leaders on both sides of the political spectrum, as well as by academics and media as it allows for a senate fully appointed by the junta and for a non-elected "outsider" to become prime minister. The August 7 referendum will be the first time Thais go to the polls since the military coup in May 2014. The junta has said the referendum will pave the way for an election next year. Critics, including major political parties, say the constitution will enshrine a political role for the military and weaken civilian governments, worsening the turmoil that has hit Thai politics over the last decade. Since the 2014 coup, Thailand has witnessed major rights crackdown, with scores of activists jailed. Authorities in Thailand are inspecting private zoos in the country suspected to be part of a criminal gang smuggling tiger meat and carcasses to China after over 30 tiger cubs were recovered last month from the now infamous Tiger Temple. Several Thai zoos raising Bengal tigers were found to be involved in the illegal tiger trade ring, Crime Suppression Division (CSD) deputy chief Montri Paencharoen said. "Chinese people believe tiger meat is a source of prowess. That's why tiger meat is popular in China and that's why a number of Thais with licences to operate a zoo are (unlawfully) supplying the tiger meat to the Chinese market," Montri was quoted as saying by the local media. He added that his department had already secured information on gangs smuggling tiger meats. The privately-run zoos normally avoid reporting the actual number of tigers born in captivity so they can sell the cubs illegally, he said. Earlier last month, a team of wildlife and forest protection officials had found 30 preserved Bengal tiger cubs, two pieces of tiger skin and several items made from tiger and other wildlife species from the now infamous Tiger Temple or Wat Pa Luang Ta Maha Bua in Kanchanaburi province. The items were removed from the living quarters of the temple abbot, following which the authorities relocated 147 live tigers from the premises. Female tigers, over a two year period, can give birth to about a dozen cubs, each worth five lakh rupees on the black market, Montri said. A healthy two-year-old tiger normally weighs 200 kg and its meat can fetch up to 50,000 rupees a kg, he said. Tiger bones are also sold as a key ingredient in some Chinese traditional medicines. Theresa May will take over as new British Prime Minister on Wednesday to become the country's first woman leader in more than a quarter of a century since Margaret Thatcher after her only rival in the race to become Conservative Party's leader pulled out unexpectedly. Outgoing Prime Minister David Cameron said he will chair his last Cabinet meeting tomorrow and attend House of Commons for his last Prime Minister's Questions on Wednesday before heading to Buckingham Palace to offer his official resignation to Queen Elizabeth II. "We will have a new Prime Minister in that building behind me by Wednesday evening," Cameron told reporters outside 10 Downing Street. "I am delighted Theresa May will be Prime Minister. She has the overwhelming support of the Conservative parliamentary party...She is strong, she is competent, she is more than able to provide the leadership that our country is going to need in the years ahead," said Cameron, who had announced he would be stepping down for a new prime minister to take the Brexit negotiations forward with the European Union a day after the June 23 vote in favour of Britain leaving the economic bloc. 59-year-old May, who would become Britain's second female Prime Minister after Margaret Thatcher, made a statement outside the Houses of Parliament here soon after saying she is "honoured and humbled" to be chosen as leader of the Tory. She paid tribute to Cameron for his "leadership" and other Tory party leadership contenders. "Brexit means Brexit and we are going to make a success of it. We need to unite our country... We are going to give people more control over their lives and that is how we will build a better Britain," she, who is currently the home secretary said in her first speech. The Prime Minister designate said she wants "best deal for Britain" with EU. Earlier today, May's only rival Andrea Leadsom pulled out from the two-way contest for the Conservative party leadership in a dramatic move, leaving May sole contender. The Oxford graduate is among longest-serving home secretaries in British history and has long been regarded as a potential future leader of the party. Her political stock rose when, in 2013, she succeeded where many other home secretaries before her had failed and successfully deported radical Islamic cleric Abu Qatada to Jordan. Leadsom, who was energy minister in the Cameron-led Cabinet, threw her support behind May as "ideally placed" to enforce the vote for Brexit in last month's referendum on Britain's membership of the European Union (EU). "The interests of our country are best served from the immediate appointment of a strong and well-supported Prime Minister. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success.I assure her of my full support," Leadsom told reporters. "The best interests of our country inspired me to stand for our leadership. I believe in leaving the EU a bright future awaits. The referendum result represented a clear desire for change," the 53-year-old senior Tory MP said. Coalition partner in the Jammu and Kashmir government BJP today said those trying to exploit the situation in the Kashmir Valley would be strictly dealt with and asked the Opposition "not to fish in troubled waters". "Glorifying a terrorist who took up guns against India and its people is in no way acceptable. Those trying to exploit people's sentiments would be severely dealt with," BJP chief spokesman for the state Sunil Sethi told reporters here. Clashes between security forces and civilians in the aftermath of the killing of Hizbul Mujahideen commander Burhan Wani on Friday have left 23 people dead in the Valley. Sethi said Opposition parties should cooperate with the government instead of fishing in "troubled waters", claiming that people were being instigated by separatists and those with vested interests who want to disrupt the ongoing Amarnath Yatra and the tourist season. "People are being instigated and forced to take to streets and target securitymen. Political parties with some clout in Kashmir should play a constructive role and should not instigate the people. Instead, they should play a role in calming down tempers," he said, appealing to parents not to allow their wards to join protests. While welcoming the statement of Chief Minister Mehbooba Mufti appealing to mainstream as well as separatist political parties to help diffuse the situation, the BJP spokesman said his party would not make such an appeal to separatists. "Separatists are trying to exploit the situation and forcing the people to come out. The BJP will not make any appeal to them but as they claim to be well wishers of the people of Kashmir, their actions are provoking and killing them," said Sethi. He appealed to the mainstream political parties to stand with the government in diffusing the situation. Claiming a conspiracy to disrupt the Amarnath Yatra, he said pilgrims from various parts of the country were unable to proceed towards the cave shrine. "BJP will not let anybody disrupt the Amaranth Yatra at any cost. It is a religious ritual as people from various parts of the country have come to Jammu for darshan of the cave shrine in Kashmir. "We have launched a helpline at the BJP office to help the stranded pilgrims," said Sethi. Punjab Pradesh Congress Committee president Capt Amarinder Singh today clarified that the allotment of party tickets for contesting elections is the sole prerogative of Congress President Sonia Gandhi. Setting aside speculations raised in a section of media suggesting the IPAC will be involved with the screening and selection process of the party candidates, the PCC president made it categorically clear that the IPAC has no role in the process and it has only an advisory role limited to strategy. "Party administration, management and implementation of the party programme and strategy has been and will always remain the sole prerogative of the Punjab Pradesh Congress Committee," he clarified in a statement here. Referring to the application form issued by the party for the ticket aspirants, he said, "it is not for the first time that party has asked for applications and the practise has been going on for a long time." Singh said this time there were some changes and additions made in the form, which is a normal routine as circumstances change from time to time. Elaborating on the ticket distribution, Singh said, the PCC will screen the candidates and submit the list to AICC, which will refer it to the Central Election Committee (CEC), headed by Congress President Sonia Gandhi, which is the final authority. The PCC president also clarified that neither he nor the AICC has authorised any other agency for any process of screening or selecting the candidates. In a strong disapproval of party MP Idris Ali's stand on controversial Islamic preacher Zakir Naik, Trinamool Congress today cautioned him and indicated that he might be served a showcause notice. Ali had recently stated that Naik, whose alleged "provocative" speeches encouraged some of the militants behind the July 1 terrorist attack in Bangladesh, did not support terrorism and opposed the banning of the preacher's Peace TV. "My colleague, Idris Ali, MP, has been cautioned for making a statement without the consent of the party. The issue he commented on is a sensitive one and has not been discussed by us internally," TMC Chief National Spokesperson Derek O'Brien said in a statement. "The party will have a discussion on the subject and then form an opinion," he said. Indicating that Ali might be served with a showcause, a top TMC leader told PTI, "Time and again he (Ali) has made controversial remarks which have embarrassed the party. He has made out of turn comments on various occasions, which the party doesn't approve. "He may be show-caused for making the statement without the consent of the party. He may also be be suspended if his reply is not satisfactory," he said. When contacted, Ali said, "If my party has cautioned me regarding something, I will not speak on that matter. Whatever I have said, I have said in individual capacity. Two pilgrims died of cardiac arrest during the Amarnath Yatra at Baltal base camp of Jammu and Kashmir's Ganderbal district, a police official said today. Abhishek Chaurasia (30), a resident of Lucknow, died at the base camp after suffering a massive cardiac arrest, the official said adding that the body has been handed over to his family. Another pilgrim, Narmad Asnakar, a resident of Gujarat, also suffered heart attack at the base camp in the wee hours today, official said. The 58-year-old was taken to a medical camp, where he was declared dead by doctors. So far eight pilrims have died due to various reasons since the Amarnath Yatra commenced on July 1. Meanwhile, a woman pilgrim from Delhi was injured after she slipped during trekking and was taken to the Baltal hospital, official said. Packaging solution provider Uflex and US-based WaveFront Technology Inc have announced extension of their existing alliance for manufacturing of Fresnel lens packaging films for the European markets. The Film manufacturing is scheduled to commence in last quarter of 2016 at Flex Films Europa Sp. Z O.O's facility in Wrzesnia, Poland with WaveFront Technology. Flex Films Europa Sp. Z.O.O is indirectly wholly owned subsidiary of Uflex Limited. Commenting on the development, Uflex CMD Ashok Chaturvedi said: "This is an important geographical extension of our existing relationship with WaveFront Technology. We have built up an excellent working relationship in India and we anticipate working closely with the WaveFront Europe team to increase market penetration and new product development in the years ahead." WaveFront Technology Inc President & Founder Joel Petersen said: "We are very pleased to be associated with Uflex Group in Europe, a territory that has become the most important region for stimulating new Fresnel lens designs and process developments. We are excited with the synergies this extended alliance will offer." Uflex had expanded its film manufacturing footprints into Poland back in 2012 after venturing out in UAE, Mexico,Egypt and subsequently in Kentucky, USA. - The aluminum foil layer is a strong barrier for O2 and light; - The innermost layer made of polyethylene makes it possible to seal- through the pack; - The paper layer provides stiffness, making it possible for the cartons to assume a brick shape thereby enabling maximum utilization of the available storage and transportation space. Printing, creasing and punching of paperboard is done on the printing machine. This is followed by the lamination of paperboard on the extrusion machine with aluminium film and a different type of Polyethylene. The rolls from the lamination machine are converted to rolls of smaller width as per the required size of packages i.E. 200 ml, 100 ml, 1000 ml, etc. The finished rolls are sent to the customer where these rolls are run on the forming and filling line and the desired products (juices, milk, other dairy and distillery products) are filled and packed in the packages. Good surface material coupled up with superior graphics enhances the brand equity on retail shelf. The packaging increases shelf life of the product also saving from the dependency on cold chain besides enunciating ease of handling for the marketers and consumers alike. Launching ASEPTOTM, Mr. Ashwani Sharma, President & CEO, New Business Initiatives, Uflex Limited said, "Aseptic Packaging market in India is majorly classified into 3 segments i.E. Juices, Dairy Products and Liquor. Packaging of flavoured milk, other dairy items and liquor in Aseptic packaging material will play a key role in propelling the growth of aseptic packaging in the coming years both in India and overseas. Our total manufacturing capacity of 7 billion packs per annum will cater to 90% of the domestic market demand. In APAC, the market growth is around 7% and in India the growth has been in double digits for the last 3-4 years. The United Nations (UN) plans to issue stamps next year in commemoration of the International Yoga Day, celebrated annually on June 21, after the world body adopted an India-led resolution in 2014. "UN celebrates International Yoga Day, plans to issue stamps on this topic in 2017," UN Postal Administration (UNPA) tweeted. UN stamps are issued simultaneously at the world body's offices in New York, Geneva and Vienna. Each issue carries a related design theme, with different denominations for each office. Usually six new commemorative issues are released each year and remain on sale for 12 months only. Participants from a record 135 nationalities had gathered in front of the iconic UN Secretariat building here to commemorate the second last month, with General Assembly President Mogens Lykketoft underlining the importance of Yoga in achieving the Sustainable Development Goals. Renowned spiritual leader Sadhguru had led a yoga session during the hour-long commemoration, organised by India's Permanent Mission to the UN and attended by top UN officials, ambassadors, diplomats and yogapractitioners. The first International Yoga Day was commemorated at the UN with aplomb last year, with External Affairs Minister Sushma Swaraj leading the celebrations along with UN Secretary General Ban Ki-moon. Ban and his wife had joined hundreds of students and yoga practitioners to perform asanas and mediate under the guidance of Shankar during the yoga day celebrations. In December 2014, the UN General Assembly had adopted a resolution introduced by India's Ambassador to UN Asoke Mukerji with a record number of 177 co-sponsoring member states to establish the to be observed every year on June 21. UN stamps have illustrated the aims and achievements of the United Nations and its family of organisations, the UNPA website said. The United Nations Security Council pressed South Sudan's neighbours to help end renewed fighting in the capital, asking for additional peacekeepers. In a unanimous declaration yesterday, the council's 15 member countries also demanded that President Salva Kiir and his Vice-President Riek Machar "do their utmost to control their respective forces, urgently end the fighting and prevent the spread of violence, and genuinely commit themselves to the full and immediate implementation of the peace agreement, including the permanent ceasefire and redeployment of military forces from Juba". The US has authorised voluntary departure of family members of its staff posted at the American embassy in Dhaka in the wake of the recent terror attacks in Bangladesh. "Effective July 10, 2016, the Department of State authorised the voluntary departure of family members of US government personnel posted to the US Embassy in Dhaka," an official statement said today. At the same time, it said the US Embassy in Dhaka remains open and will provide all routine consular services. "The US government assesses that the terrorist threat is real and credible," the State Department said. In a travel warning, the State Department warned US citizens to consider carefully whether they need to travel to Bangladesh, in light of the latest attacks. On July 1, 2016, attackers killed 22 people in a restaurant frequented by foreigners in Dhaka's diplomatic enclave, including one US citizen. Other attacks continue to be carried out against religious minorities, bloggers, publishers, and security forces throughout the country. Daesh (also referred to as ISIL, or ISIS) and Al Qaeda in the Indian Subcontinent (AQIS) have publicly claimed credit for various attacks since September 2015, the Department said. The Dallas gunman was plotting a major bomb attack, authorities said, urging calm as hundreds of people were arrested in weekend protests in US cities over police violence against African-Americans. Demonstrators marched demanding justice for two black men shot dead by cops in Minnesota and Louisiana, their dying moments captured in video that went viral online. Peaceful marches attracted large crowds in major US cities, but became especially unruly in St. Paul, Minnesota and Baton Rouge, Louisiana, where police killed the two men. Scores of people were arrested in both cities on Saturday and Sunday. The demos come days after black army Afghan war veteran Micah Johnson, 25, used a high-powered rifle to kill five police officers and wound seven in a sniper attack at a protest in Dallas, Texas late Thursday. Johnson said before he was killed that he wanted to murder white cops in revenge for the black deaths. Seeking to restore calm, President Barack Obama, scheduled to speak in Dallas at an inter-faith memorial service Tuesday, cautioned protesters against casting all police as racially biased. The Dallas community's "unity is reflective of who we are as Americans" during these trying times, said Obama, speaking yesterday in Madrid. The president, who cut short his European visit, will meet privately in Texas with the families of the five fallen police officers and those wounded. Vice President Joe Biden will also be at the Dallas memorial, along with former president and ex-Texas governor George W. Bush. Large crowds gathered to march peacefully Sunday in Atlanta, Washington DC and New York. In Baton Rouge, at least 48 people were arrested Sunday, local media said, hours after Sheriff Sid Gautreaux said that 102 protesters had been arrested in late Saturday demonstrations. Among them was Black Lives Matters activist leader DeRay McKesson, who livestreamed the incident. He was released on bond yesterday. "The only people that were violent last night were the Baton Rouge police department," McKesson told reporters outside the jailhouse. Gautreaux however said that one officer was injured late Saturday. Protesters will not be "allowed to incite hate and violence, to engage in unlawful activities," said Louisiana Governor John Bel Edwards. Yesterday police in armored cars and clad in riot gear confronted a crowd in what began as a peaceful march, the local The Advocate newspaper reported. Pentagon chief Ashton Carter said today that Washington will deploy 560 additional troops to aid Iraq's fight to retake Mosul from jihadists, deepening US military involvement in the country. The announcement, which will bring the total authorised number of American military personnel in Iraq to more than 4,600, came two days after Baghdad said it had recaptured a base south of Mosul that is seen as an important step toward the eventual battle for the city. Iraq's second city Mosul has been under Islamic State group control since June 2014, when the jihadists overran large parts of Iraq, carrying out atrocities including execution-style killings, mass kidnappings and rape. IS also holds territory in neighbouring Syria, but has lost significant ground in both countries, and Carter wanted to highlight successes, even as the jihadists have struck back with devastating attacks in Iraq and abroad. "I am pleased to report today that... We agreed for the United States to bolster Iraqi efforts to isolate and pressure Mosul by deploying 560 additional troops," Carter said at the Baghdad airport following meetings with the Iraqi premier and defence minister. "With these additional US forces we are describing today, we will bring unique capabilities to the campaign... At a key moment," Carter said. President Barack Obama made ending the US's nearly nine-year war in Iraq a centrepiece of his presidency, but Washington has been drawn ever deeper back into the country by the war against IS. And while most of the US forces in Iraq are in non-combat roles, others have directly battled IS, and three American military personnel have been killed by the jihadists. "The additional troops will provide a range of support for Iraqi security forces, including infrastructure and logistical capabilities at the airfield near Qayyarah," the Pentagon said in a statement. Prime Minister Haider al-Abadi announced on Saturday that Iraqi forces had recaptured the Qayyarah airbase, some 60 kilometres (35 miles) south of Mosul, which IS seized in June 2014. The base "will become a vital springboard for the (Iraqi forces') offensive into Mosul", the Pentagon said. Lieutenant General Sean MacFarland, the commander of the US-led operations against IS, said that the "preponderance" of the 560 additional troops will be based at Qayyarah, and would start being deployed "relatively soon." Earlier in the day, Carter held meetings with Abadi as well as Defence Minister Khalid al-Obeidi, offering his condolences for recent IS attacks and congratulations on Iraqi advances. Anguished over growing attacks on RTI activists, Vice President Hamid Ansari today said the person demanding information under the RTI "should be secure" and advocated exemplary punishment to those assaulting information seekers. "The person demanding information under the RTI should be emboldened and secure. Of late, there have been reports of attack and assault on information seekers. This is disquieting and needs to be taken seriously and prevented," he said. Ansari was addressing the inaugural ceremony of the New Building of Uttar Pradesh Information Commission here. The Vice President said, "Even if the number of such reported cases is small, this shows the vulnerability of information seekers. He asked police authorities in states to be sensitive to this and take effective steps to prevent the occurrence of such incidents. "Exemplary punishment of the perpetrators would send a strong message around," he said. Ansari said there was need for a sustained mass awareness campaign both at central and state levels to increase public awareness about the RTI and its operation, encourage citizen involvement, and increase transparency within the government. The Information Commissions can play an important role in this regard, he said, noting that there was a need for greater voluntary disclosure of information held with public authorities. "We have to rid ourselves of the old mindset regarding secrecy of government processes and transactions. Concerns have been expressed in some quarters that the disclosure of information inhibits free and open expression of opinion by government officials during the decision-making process. If the officials have approached the issues with honesty and in accordance with the rules, then this argument does not seem justified," he said. Ansari said the benefits of disclosure of information far outweighed the inconveniences experienced by decision-makers in the government. "There is a need to sensitise public functionaries about the Right to Information and incorporate training module on RTI in all government training programmes," he said. (Reopens DEL 51) Ansari said while a total of 7.55 lakh RTI applications were received by the reporting public authorities in 2014-15, almost 90,000 RTI applications remained pending. "The proportion of rejection of RTI, at 8.40 per cent of the total, also saw an increase in 2014-15 over the previous year's rejection. This is a cause for worry and must be examined," he said. Describing RTI as a powerful tool that strengthens democracy, Ansari said it promotes good governance by enhancing the citizen's ability to participate in the process. The legislative intent of the Right to Information was to empower citizens to promote transparency and accountability in the working of every Public Authority, reduce the gap between the information provider and the information seeker, enhance efficiency in administration of public authorities, mitigate corruption and promote good governance, he said. The Vice President said there can be little doubt that this Act is one of the most empowering and progressive legislations passed in the post-Independent India. "This Act provides for better governance, and impacts on the very nature of governance itself," he said. Ansari said the basic tenets of the Act have been implemented, the institutional mechanisms are in place, and are being used by citizens as a tool to bring in transparency and accountability at all levels of Governance. He said that while the Civil Society Organisations and Media can act as pressure points, most of the correctives need to be carried out by the Government and Information Commissions. The Vice President said that while the civil society organisations and media can act as pressure points, most of the correctives need to be carried out by the government and Information Commissions. "I understand that on average about 3,000 new appeals and complaints are filed before the UP Information Commission every month. This is a large figure even for a large state like UP and perhaps, indicative of the need for improving the quality of voluntary disclosure," he said. The government of Uttar Pradesh deserves commendation for making budgetary provision to enable expeditious construction of the RTI building, he added. Union minister Anupriya Patel, who had recently found herself in the centre of a controversy after communal tweets were sent out from a "fake" handle in her name, today said she would now be "more careful" so that such things do not recur. "A fake Twitter account was opened in my name from which some malicious comments were made. When I got to know of it, I lodged an FIR. I spoke to the (Delhi) Police Commissioner and urged him to take action against the culprits. "We cannot keep tabs and control how many such accounts are created by whom in my name. But if something wrong has happened, we can take quick action which I have already taken. We will be more careful in the future so that it cannot be misused like this," the Union Minister of State for Health told reporters on the sidelines of an event here. Patel, who was inducted into the Modi government in last week's reshuffle, had lodged a complaint with Delhi Police, hours after a tweet by a purported fake handle in her name targeting people from a community was trolled on the micro-blogging site. She had also written a letter to Delhi Police Commissioner Alok Kumar Verma, mentioning about controversial Twitter handle, @Anupriya_Patel. In her complaint, 36-year-old Patel, a first-time MP from Mirzapur in Uttar Pradesh, had told the Delhi Police chief, "My official Twitter handle is @AnupriyaSPatel. A handle named @Anupriya_Patel has posted an insulting tweet... Kindly investigate the matter at the earliest. In the latest case of honour killing in Pakistan, a 36-year-old woman was today shot dead by her brother and his son, police said. Nasreen was shot dead by Ilyas Khan and his son Qasim in Karachi's Orangi town area as he suspected she was having an affair with a man, while her husband was working in Saudi Arabia. A senior police official said that Ilyas and his son Qasim were arrested for the honour killing. "Illyas with the help of his son shot dead his sister-in- law, Nasreen, who he suspected was having an affair with a man as her husband was working in Saudi Arabia," Senior Superintendent Police Akhter Farooq said. He said that Illyas claimed that he and his son saw a man leaving the house in Swat colony in Orangi town today. "Moments after seeing him, they fired at him. He is under treatment at the Abbasi Shaheed hospital," he said. Killing of women relatives in the name of honour is a menace still prevalent in many parts of Pakistan. The menace of honour killing has been a major problem in the low lying slum areas of Karachi particularly Orangi town where a large population of Bengalis, Pushtuns, Urdu speaking Muhajirs and Punjabis reside. In April, police arrested a young man in Orangi Town for slitting his teenage sister's throat and watching her bleed to death. Hayat Khan, used a kitchen knife to murder his 17-year-old sister Sumaira after he found her talking to a man on her mobile phone at their home. In March this year a man shot dead both his sisters in Sahiwal district in Punjab after suspecting them of having loose character. The two sisters were killed just a few days after Pakistani documentary filmmaker, Sharmeen Obaid Chinoy, won an Oscar for her film, "A Girl in the River: The Price of Forgiveness", which revolved around honour killings. Pakistan amended its criminal code in 2005 to prevent men who kill female relatives escaping punishment by pardoning themselves as an "heir" of the victim. Controversial Islamic preacher Zakir Naik, who was expected back here on Monday from Saudi Arabia, is unlikely to return to the country for another two to three weeks as he is planning to visit some African countries for public speeches. Naik also cancelled his press conference scheduled here Tuesday, but, will address media through skype on the allegations of inspiring militants through his speeches, an aide of the preacher said on Monday. "Dr was never supposed to be physically present for the media briefing that was to take place on Tuesday. He had decided that he will address the media through Skype and answer all queries that media persons may have had," he said. "His (Naik's) travel schedule had been made long back. After performing Umrah, he is scheduled to travel to Jeddah from where he will visit Africa for his public talks scheduled there. He is therefore not expected in the country for another 2-3 weeks at least," he added. He, however, said that Naik is not running away from any inquiry and that he is only sticking to his travel schedule worked out in advance. Apart from coming under scanner in India, Bangladesh has also banned the broadcast of Naik's Peace TV,cracking down on the channel and radical sermons by the 'teleevnagelist.' Shiv Sena has demanded arrest of Naik on his landing in India and dismantling of his TV network. Meanwhile,Samajwadi Party Maharashtra unit President Abu Azmi came in support of Naik and sought to know why action has not been taken for the last 25 years if his speeches are inspiring terrorists, and called for a fair inquiry into charges against him. " has been a preacher for around 25 years now. If he has been inspiring terrorists, why was no action taken against him from so long? There should be an inquiry, conducted by a retired Supreme Court judge to prove that he can never do anything of this sort. But, this media trial against him should stop," Azmi told reporters here. "While there should be a thorough investigation into Zakir Naik's speeches, fundings of his Islamic Research Foundation, the Centre should first ban Sanathan Sanstha because their members have been named by the CBI for being involved in the killing of those who opposed their way of Hinduism," he said. "We want justice for him (Naik). Let the inquiry be conducted by a non-muslim judge like Justice Srikrishna, Rajindar Sachar or another retired judge of the Supreme Court," Azmi added. By Ahmad Ghaddar LONDON (Reuters) - Oil fell on Monday over signs that U.S. shale drillers have adapted to lower prices and on renewed indications of economic weakness in Asia where refiners are already trimming crude runs. Brent crude was trading at $46.43 per barrel at 1205 GMT, down 33 cents from its last settlement. U.S. West Texas Intermediate (WTI) crude was down 30 cents at $45.11 a barrel. Physical markets were also under pressure. Rising Canadian oil flows are having difficulty finding space in pipelines, weighing on Canadian prices. Iran set the official selling price of its light grade for Asia at $0.45 above the Oman/Dubai average for August, down 40 cents on the month. Traders said the lower prices were a result of Asian refiners beginning to cut crude orders, and also due to the region's economic slowdown. "Economic run cuts are finally starting in a few markets, but more may be needed," Morgan Stanley said. Several Asian refiners are maintaining or reducing crude throughput in July and August after refineries around the region in the first quarter binged on the cheapest crude in over a decade. China's economic growth likely cooled to a fresh seven-year low of 6.6 percent in the second quarter, according to a poll of 61 economists, its weakest in seven years. An oil pipeline leak at Iraq's southern port of Basra has been repaired and pumping has resumed without affecting exports, the Iraqi oil ministry said on Monday. Basra Light crude oil loading had been suspended at two export terminals in Iraq's main oil export port, shipping and trade sources said earlier in the day. Crude exports in August from Iraq's southern ports are set to fall to 2.79 million barrels per day (bpd) from 2.99 million bpd planned for July, according to a preliminary loading programme. U.S. investment bank Jefferies sees the oil market establishing a solid base for higher prices ahead and forecasts prices at $70 a barrel by late 2017/early 2018. "We believe that the oil market is in the early stages of a sustainable but protracted recovery." "Supply/demand balances will transition to balance and then under-supply in the back half of 2016," the bank said, but warned that prices may not fully react to under-supply until inventories draw to more "normal levels". Evidence that U.S. producers can live with crude of $45 or higher came as drillers added rigs for the fifth week in six, U.S. oil bankruptcies became sparse in June, and bullish U.S. oil bets dropped to near four-month lows. (Additional reporting by Henning Gloystein in Singapore and Osamu Tsukimori in Tokyo, editing by William Hardy and Adrian Croft) Commercial Feature is a Business Standard Digital Marketing Initiative. The Editorial/Content team at Business Standard has not contributed to writing or editing these articles. For further information, please write to assist@bsmail.in The best way to make your employees feel important and valued is to listen to them. Incorporating an employee listening strategy is a great first step to making your employees feel important. Once you incorporate this strategy, you have to make real changes to the culture of the company. If you want your workers to take a more active role in your business, you need to listen to what they say, a new study shows. The No. 1 reason employees dont take more initiative at work, the study shows, is that their leaders fail to get their input before making decisions. The study, conducted by John Izzo, author of the new book, Stepping Up: How Taking Responsibility Changes Everything (Berrett-Koehler, 2012), defined stepping up as taking initiative to make the company better, including bringing up new ideas, suggesting better ways of doing business and taking high levels of effort to improve the organizations services. Sixty-four percent of the 675 professional workers in the U.S. and Canada who were polled said that leaders making decisions without seeking input was the biggest problem. The bottom line is that people want to be heard and feel valued, Izzo said. When decisions are made without getting input from people, they tend to hold back their ideas and take less initiative to make improvement. Another big factor in keeping people from stepping up is how leaders react to employee ideas and input. Thirty-eight percent of respondents said that leaders dismissing ideas without exploring these ideas is the second biggest reason why people wont take initiative. Another 26 percent cited people not getting rewarded or recognized for playing outside the lines as a critical factor keeping people from stepping up. Companies that want more initiative and for employees to bring more of their ideas to work need to regularly recognize people who are constructive irritants or who take initiative even if they break the rules a little, Izzo said. Having a company culture where people will bring their ideas to work has been associated with many important outcomes in such areas as productivity, employee engagement, retention and innovation. One of the best examples Izzo cites is the development of the Starbucks frappuccino. The idea for the drink was first suggested by some frontline employees and a store manager in Southern California. When the idea made its way to the corporate offices at Starbucks, it was rejected. Yet one manager encouraged the store to experiment anyway, and the result was a billion-dollar product for Starbucks. This is something we see time and time again, he said. When leaders involve people in decisions and value peoples ideas, resistance turns into productive energy. Employee listening strategy An employee listening strategy is understanding the experience of employees through an integrated approach. This approach includes involving employees, requesting feedback from them and improving the organization by incorporating their ideas. The goal is to do this while maintaining the objectives and mission of the company. It is more than just sending out surveys. It involves a change to the culture of the company, by behaving in such a way that employees feel heard and valued. An employee listening strategy must start at the top with an implementation of top level management and HR. It does require work from everyone in the agency to implement these strategies. It is a top down type of implementation. How do you develop a strategy? When you are developing an employment listening strategy, you must look at your company as a whole, including your goals and objectives. This can help you determine questions you should ask and the type of feedback you are most likely to receive. It is most helpful when the feedback you receive is relevant to your company. When considering which techniques are best for your company, you need to look at all potential strategies and make sure whichever ones you choose work well for your organization across the board. Implementing these strategies may not take more than a few weeks, but it is a constant process. You cannot just say you are going to ask questions one time and call it a day. It is a constant process of asking for feedback, reviewing the feedback and making the changes. Then you begin the entire cycle again. It is important how you start your strategy and implementation. You must create a plan of action and mapping for the surveys. Then you must gather the data that you capture and determine how to move forward. The key is to make real changes, or you will lose your employees entirely. Strategies that you can implement include: Surveys of those whom who are interviewing for a position in your company. Surveys of those as they are starting a new job for your company. Surveys of those who are leaving your company. Pulse surveys that are short and at regular intervals so managers can gauge employees feelings and changes. Focus groups to allow employees to add value and meaning, by digging deeply into certain subjects. One-on-one discussions with management to give employees a chance to talk directly to a manager and openly discuss any topic. Feedback that includes everything that is happening within the company to bring self-awareness to everyone. How do you get employees to give feedback? You cannot guarantee that employees will respond to your questions and give meaningful feedback. If you explain to your employees that their feedback is important and encourage their feedback, you need to listen to them to show that you are sincere. Once you begin adjusting based off their concerns, they will see that you are committed to listening and making changes. Shares of Bharti Airtel settled the day 1.39 per cent up on Monday trade after the Telecom Ministry cleared the Rs 3,500-crore 4G spectrum trading deal between service providers Bharti Airtel and Aircel. The Stock added as much as 3.47 per cent to Rs 367.35 but settled the day 1.39 per cent up on BSE. The largest domestic telecomn operator has entered into an agreement with Aircel to acquire rights to use 4G spectrum of Aircel in eight telecom circles for Rs 3,500 crore. These circles included Tamil Nadu including Chennai, Bihar, Jammu and Kashmir, West Bengal, Assam, the North-East, Andhra Pradesh and Odisha. "The spectrum trading deal between Bharti Airtel and Aircel was cleared by the telecom minister on July 4," an official source told PTI. "Airtel was asked to surrender 1.2 Mhz spectrum in Odisha circle for approval as it breached the spectrum cap limit (after taking into account Aircel's spectrum). The company has surrendered 1.2 Mhz spectrum in 1800 Mhz before the deal was cleared," the Source told PTI. The S&P BSE Sensex on Monday settled the day 500 points higher, while the broader Nifty50 jumped above its key resistance level of 8,450-mark for first time in 10 months. The headline indices rallied tracking positive trend seen in global markets as upbeat US jobs data lessened immediate concerns about the health of the world's largest economy. Key Stocks that buzzed in today's trade: 1)Tata Motors: Shares of Tata Motors settled the day 4.15 per cent up on BSE after the company reported 20.8 per cent increase in global sales at 92,551 units, including that of Jaguar Land Rover (JLR) vehicles, in June. 2)Larsen & Toubro: Shares of L&T closed the day 1.80 per cent up on BSE after the company aims to expand revenue share of services businesses to 15%, while bringing down the projects portfolio to 70 per cent. 3)Lupin: Shares of Lupin rallied 1.93 per cent on BSE after the drug firm reported that US health regulator has voiced minor concerns after completing the inspection of its Dabhasa facility in Gujarat. 4)Cipla: Shares of Cipla closed the day 0.31 per cent up on BSE after the drug maker invested 1.3 billion South African Rand (about Rs.6 billion) in a biotech plant in South Africa as it aims to make cancer drugs affordable and grow its presence in the market. 5)Bharti Airtel: Shares of Bharti Airtel settled the day 1.39 per cent up on BSE after the company announced acquisition of rights to use 20 MHz 2300 Band 4G TD spectrum from Aircel. In 2014, GSM Association, the London-based global mobile operators' lobby group, launched Mobile Connect that allows anybody with a mobile number to log-in to websites and applications without the need to remember passwords and usernames. The service is offered by telecom operators. The user will be able to access apps and websites - such as bank accounts, travel and retail sites - on their desktop or mobile without any passwords. The programme is now spread to some 22 countries, including India where the service will be launched shortly. Marie Austenaa, VP and head of Personal Data at GSMA is heading the Mobile Connect project globally. At the two-day Mobile World Congress Shanghai conference, she spoke to Business Today's Manu Kaushik on the future of this new technology that will take away the pain of remembering passwords across apps and websites. Edited Excerpts: Tell us about the progress of Mobile Connect project in India. We are working with six major operators in India. They have set up services, and they are focusing to launch Mobile Connect. India is technically ready but operators are not commercially offering it to everybody. The India rollout will happen quite soon. What's the current status of project in other parts of the world? Currently, there are 2.8 billion people that can use this service in various degrees across 41 operators in 22 markets. We have done the first deployment last year in Sri Lanka with Dialog Axiata and Mobitel launching a beta trial. GSMA is facilitating the programme. We have defined common standards, framework and technologies, and the common set of APIs (application program interfaces). We have defined key components of the service to make sure that it's fully interoperable between all operators. It takes time to deploy. How is Mobile Connect useful? There are a number of passwords that we have to remember. If we don't remember, it's painful for users, and for companies because they have to reissue passwords. People tend to have half a dozen passwords, and that's not secure. We are seeing more and more security breaches and frauds happening. It will replace passwords. Will it take away the pain of remembering passwords across different accounts? Yes. It's a federated login mechanism. The mobile number is now the key. You don't have to remember your passwords. Your mobile number now becomes your new digital identity. There's a change going on in the market. Service providers are now moving towards identifying their users based on their mobile numbers, not email or username. The internet is consumed over mobile number. People can know my mobile number but the user has to login through mobile phone [to enter websites or apps]. But isn't it tedious? It's a little cumbersome if I am using just one service but if I am using 100 accounts, [then it is useful]. Suppose I have Gmail in my mobile, and I use it frequently. Do I have to login every time? No, they typically cache it. Is it a free service? There are different levels of services. At the basic level, my personal opinion is that it's going to be difficult to operators to charge because it is just replacing passwords. The operators can set any price they want. When you add a pin to it, and you make it a [more] secured authentication, there are costs [involved]. That would be a business decision by the operators whether they want to charge for it. What will happen to the existing password? You might forget about it. Aiming to attract more fliers and achieve higher seat occupancy in its flights on trunk routes, Air India has decided to lower its last minute ticket fares to the level of 2-tier AC of Rajdhani trains. As part of the pricing strategy, Air India will drop fares on four key routes--Delhi-Mumbai, Delhi-Chennai, Delhi-Kolkata and Delhi-Bengaluru--four hours before the departure of flights to these destinations. Air India Chairman and Managing Director Ashwani Lohani said the move is aimed at providing relief to the passengers from last minute sky-rocketing fares and also to fill the vacant seats. A Delhi-Mumbai Rajdhani AC II-Tier ticket costs Rs 2,870, while Delhi-Chennai Rs 3,905. The fare of second AC Rajdhani ticket for Delhi-Kolkata is Rs 2,890 and Rs 4,095 for Delhi-Bengaluru Rajdhani. Air India has an average load factor of 74 per cent across its domestic network while the seat occupancy on these trunk routes stands at around 80 per cent, he said. "Our objective is to fill these remaining seats as well. This can be achieved with such affordable fares. In addition, this would also help us increase our passengers' revenue," Lohani added. Air India's decision to lower fares is likely to give jitters to the private carriers who are accused of jacking up fares to 2-3 times of the normal fares for the last-minute bookings. Last month, Air India had floated a limited period scheme to fly unconfirmed passengers of Rajdhani trains at the fares matching with the AC first class ticket prices. Under the 'Super Saver' scheme, which started from June 26 and end on September 30, passengers of Rajdhani Express trains who have wait-listed ticket could book four hours prior to the flight departure at a fare which match with the ticket price of Ist AC Rajdhani trains. LOGAN A recent large-scale Utah State University experiment tested whether stream restorations work, and it was done using a unique method. Instead of bringing in heavy machinery to restore the ruined streams, the scientists used a less-expensive approach. Wooden posts were erected in the stream beds along Oregons John Day River Basin which encouraged beavers to build dams. USU professor Nicolaas Bouwes said it produced positive results. Streams were restored and fish populations returned. Bouwes said there are many large areas with streams that need restoration. In a lot of cases, beavers could provide dramatic, inexpensive effects. He said beavers are removed from streams because in a lot of places they are considered a nuisance. He believes it may be wiser to leave them where they are. There are ways to minimize that negative impact they have such as plugging culverts and chopping down ornamental trees, Bouwes said. So you can fence the tree, you can put these cages around culverts that keep them from plugging up. They also make pond levelers to prevent flooding when they build dams. Another reason beavers are removed is the belief they hurt fish populations. Beaver and trout and salmon can coexist, Bouwes said. They used to coexist at far greater densities than they do now. There are still a lot of people that believe those two are incompatible. The study, according to a USU press release, was the first to show that using beavers to restore streams can be an efficient method. There is a big message there with this paper that beavers are good for systems, Bouwes said. And they are still at lower populations than they were historically, so there is still room for a lot more beavers in a lot of other places. Honey, it's over between us: Article 50, explained Published on July 11, 2016 en fr pl it de es If the weeks after Brexit have taught us anything, it's that the divorce between the United Kingdom and the European Union is going to be painful. Ironically, that's what both parties were hoping to avoid at the summit meeting on the 28th and 29th of June. There's a long way to go until they can officially part ways using Article 50 of the Lisbon Treaty. The process won't be straightforward. The divorce couldn't immediately be granted in the aftermath of the Brexit result because the EU referendum wasn't formally recognised in European law. In other words, it isn't legally binding. It's almost as if, following a particularly aggressive marital shouting match, the United Kingdom screamed at the European Union: "It's over, I'm leaving you... and what's more, you're ugly!" Harsh words, but perhaps not enough to immediately sever all ties and surrender the keys to the apartment. Breaking the marriage vows The good news is that the Treaty on the European Union (TEU) describes the procedure to follow in the case of such a divorce. These details are found within the now notorious Article 50. First and foremost, the divorce proceedings must be formally activated. The United Kingdom must notify the European Union of its wish to part ways as well as the conditions by which they intend to do so. Thus, over the past week, European government leaders have urged the British government to make the decision. But before both sets of lawyers can start negotiating who'll get the car, the dog, the house and the family jewellery, both parties must fully understand what the other demands, and the sort of relationship they're looking for following the separation. Great Britain must "break up" with the European Union before the tribunal can commence and not just with a half-arsed text message. Angela Merkel clarified at the press conference at the summit that there will be no negotiation, "neither formal, nor informal," until Article 50 has been invoked. The 27 member states are refusing to comply with the wishes of their sulking partner, who hopes to start splitting up the CD collection before even filing for divorce. The word '"steadfast" perfectly describes the EU's uncompromising attitude to its new relationship with Britain. There will be no budging. Xavier Bettel, Prime Minister of Luxembourg, has reinforced this view by stating that this isn't like setting your Facebook status to "it's complicated". In this case, you're either married, or you're not. Forced to resign, David Cameron was quick to announce that he will be leaving the difficult task of officially withdrawing from the European Union to his successor, confirmed today to be the former Home Secretary, Theresa May. It remains to be seen when May will decide to notify the European Council of the United Kingdom's exit strategy. Political pressure is mounting, calling for a decision to be made as soon as possible. The negotiating period lasts a maximum of two years and can only be extended if all 27 member states are in agreement. Presently there are no guidelines to follow in the event that a request is made to extend the negotiating period, but it is clear that those involved will have a colossal amount of work. The German Chancellor has stated that "the 27 member states expect the UK to let us know what expectations they have of the EU and whether these are consistent with our own expectations and interests." Even after 43 years of marriage, not everything is fair game The 28th of June was a day of emotional farewells for Cameron, as he waved goodbye to his European colleagues at his last ever summit meeting. The next one isn't planned until at least September. This is part of the reason why the next day's headlines were, for the most part reporting on a non-event: "The prime minister of the United Kingdom has informed the European Council of the result of his country's referendum." Hardly a major story when you put it like that. However, his ministers and his successor will have to continue to work hard during renegotiations, taking the advice of the European Council who, together with the European Parliament, constitute the EU's legislative power. Indeed, the treaties state that before and during the negotiation period, which could last at least two years, the United Kingdom will maintain the same rights and responsibilities within the EU it always had until the terms of the separation are negotiated and enacted. In fact, in the coming years, the UK will effectively remain a member of the EU and participate in the decision-making process. Both sides of the couple will have to keep living in the same flat until the contract has been signed. The negotiations are laid out in Article 218 of the Treaty of Rome. This is the article that the Union uses when it negotiates agreements with third party countries, (those that aren't member states). The Union is, therefore refusing to grant the UK any special privilege and will instead treat them just like everyone else. As Francois Hollande explained: "The UK won't be able to get anything outside of the Union that it didn't have inside of it. If they want the benefits of free movement of goods, capital and services, they must also accept the free movement of people." Being married for 43 years doesn't give you the right to break all the rules. Better think twice... What would happen in the event that the UK decides to rejoin the EU in a few years time? They'd have to join the queue, just like everyone else, while following the procedures set out in Article 49 of the TUE, the article that candidate countries such as Turkey are all too familiar with. It would be necessary to regain the trust and affection of old Europe. No mean feat. --- This article was published by our local team at cafebabel Brussels. --- The British public has had enough of experts. Having voted 52% in favour of leaving theEuropean Union, the (Not So) United Kingdom will now start on its merry way down the road leading out of the EU. Whats next for the cake-filled, misery laden grey old island? Is this really "Independence Day"? Will the pound ever recover? Frankly, we havent got a clue.But a few Babelians might be able to help Translated from Cheri, cest fini entre nous, ou larticle 50 explique Black Knight Financial Services (BKFS) released their Mortgage Monitor report for May today. According to BKFS, 4.25% of mortgages were delinquent in May, down from 4.91% in May 2015. BKFS also reported that 1.13% of mortgages were in the foreclosure process, down from 1.59% a year ago. This gives a total of 5.38% delinquent or in foreclosure. Press Release: Black Knights Mortgage Monitor: Tappable Equity Rose by $260 Billion in Q1 2016, 425,000 Borrowers Out from Underwater on Mortgages Today, the Data & Analytics division of Black Knight Financial Services, Inc. released its latest Mortgage Monitor Report, based on data as of the end of May 2016. This month, the Mortgage Monitor leveraged data from the Black Knight Home Price Index to revisit the U.S. equity landscape in light of 48 consecutive months of annual home price appreciation (HPA). As Black Knight Data & Analytics Executive Vice President Ben Graboske explained, the impact can be observed in terms of both levels of tappable equity available to borrowers as well as the continuing reduction in the number of borrowers who owe more than their homes are worth. As we approach the 10-year anniversary of the pre-crisis peak in U.S. housing prices, were just under 3 percent off that June 2006 peak nationally, and 23 states have already passed their 2006 peaks, said Graboske. The result is that equity levels are rising nationwide for the most part. In Q1 2016, 425,000 borrowers who had been underwater on their mortgages regained equity, bringing the national negative equity rate down to just 5.6 percent. Thats a far cry from the nearly 29 percent of borrowers who were underwater at the end of 2012, but still about five times as many as in 2004. The first quarter also saw tappable equity grow by $260 billion a six percent increase in just the first three months of the year. There are now 38 million borrowers who have at least 20 percent equity in their homes, with an average of $116,000 in tappable equity per borrower. It seems borrowers are still being prudent when it comes to drawing upon that equity, though. Just $20 billion in equity was tapped via cash-out refinances in Q1 2016 -- roughly one-half of one percent of total available equity. Even so, cash-outs still accounted for some 42 percent of all refinance activity in Q1 2016. emphasis added Click on graph for larger image. Home prices rose by two percent in Q1 2016, helping 425K borrowers to regain equity; 2.8 million borrowers remain underwater, nearly 5x as many as in 2004 The national negative equity rate now stands at 5.6 percent, a 13 percent decrease from last year and down from a high of nearly 29 percent of all borrowers at the end of 2010 Nevada has the highest negative equity rate at 12 percent, followed by Missouri and Rhode Island at 11 percent each, while Colorado and Texas have the lowest negative equity rates in the country at less than one percent Based on research published in the February 2016 Mortgage Monitor MM, at the current rate of recovery, negative equity will return to 2005 levels (~750K borrowers) in late 2018, though it will take over two years longer for the low end of markets across the country to recover than high end homes This from Black Knight shows the number, and percent of borrowers, with negative equity since 2005.From Black Knight:There is much more in the mortgage monitor. This is a key housing market to follow since Phoenix saw a large bubble / bust followed by strong investor buying. Inventory was up 6.5% year-over-year in June. This is the fourth consecutive months with a YoY increase in inventory, following fifteen consecutive months of YoY declines in Phoenix. This could be a significant change. The Arizona Regional Multiple Listing Service (ARMLS) reports (table below): 1) Overall sales in June were up 8.6% year-over-year. 2) Cash Sales (frequently investors) were down to 20.9% of total sales. 3) Active inventory is now up 6.5% year-over-year. More inventory (a theme in 2014) - and less investor buying - suggested price increases would slow sharply in 2014. And prices increases did slow in 2014, only increasing 2.4% according to Case-Shiller. In 2015, with falling inventory, prices increased a little faster - Prices were up 6.3% in 2015 according to Case-Shiller. Now inventory is increasing a little again, and - if this trend continues in Phoenix - price increases will probably slow. June Residential Sales and Inventory, Greater Phoenix Area, ARMLS Sales YoY Change Sales Cash Sales Percent Cash Active Inventory YoY Change Inventory June 2008 5,748 --- 1,093 19.0% 53,8262 --- June 2009 9,325 62.2% 3,443 36.9% 38,358 ---2 June 2010 9,278 -0.5% 3,498 37.7% 41,869 9.2% June 2011 11,134 20.0% 5,001 44.9% 29,203 -30.3% June 2012 9,133 -18.0% 4,272 46.8% 19,857 -32.0% June 2013 8,150 -10.8% 3,055 37.5% 19,541 -1.6% June 2014 7,239 -11.2% 1,854 25.6% 27,954 43.1% June 2015 8,273 20.5% 2,005 23.0% 23,377 -16.4% June 2016 8,986 8.6% 1,875 20.9% 24,898 6.5% 1 June 2008 does not include manufactured homes, ~100 more 2 June 2008 Inventory includes pending Contributed photo Hurricane Alley Waterpark, 702 E. Port Ave., will screen The Hunger Games as part of its Dive-in Movies on Tuesday. SHARE MonDAY ART: Port Aransas Art Center will host its Youth Art Camp Island Style workshop for students from fourth to sixth grade. The workshop will be from 1-3 p.m. July 11-15 at 323 N. Alister, Port Aransas. Cost: $50. Information: 361-749-7334. TUESDAY MOVIES: Hurricane Alley Waterpark, 702 E. Port Ave., will screen The Hunger Games as part of its Dive-in Movies on Tuesday. Movie schedule subject to change without notice. Cost: $10 after 3 p.m. Information: www.hurricanealleycc.com/movies.html. OUTDOORS: The Oso Bay Wetlands Preserve will host its Texas Tortoise Tiptoe guided nature walk from 8-9 a.m. at 2446 N. Oso Parkway. Cost: Free. Information: 361-826-7529. Beatriz Alvarado/Caller-Times La Hacienda Clinic and Nursing Home may house a ICE detention center in the future. SHARE By Beatriz Alvarado of the Caller-Times When Jim Wells County commissioners were approached by Serco Inc. last month about partnering to operate a family detention center in San Diego, officials asked residents' opinion. They abandoned plans to negotiate with the British company after facing public opposition. Similar community backlash stopped plans in Dimmit County weeks later for another center. The backlash may have spooked Duval County commissioners into a hasty move Monday, immigration organizations said. "The voices of Duval County weren't actually heard," said Alejandro Caceres, an organizer with Grassroots Leadership, an Austin-based immigrant advocacy group. About 10 attended Monday morning's commissioners meeting in Duval County, during which commissioners voted to begin contract negotiations with Serco and to submit an application by Friday to the U.S. Immigration and Customs Enforcement for a site in San Diego to be considered to house undocumented immigrant families. The one person who made a public comment was in favor of the facility and Grassroots Leadership launched a petition asking officials to halt negotiations with Serco until a public hearing "with adequate advanced notice" is provided. Duval County Judge Ricardo O. Carrillo said the contract, an intergovernmental service agreement, between Serco and the county won't be finalized until the application is approved by ICE, Carrillo told the Caller-Times. Carrillo said there was no public hearing set on the matter because "the time line didn't allow it," adding the deadline to submit the application to ICE is Friday. It was a 3-0 vote, with Precinct 3 Commissioner David Garza and Precinct 2 Rene Perez absent. Precinct 1 Commissioner Alejo Garcia made the motion, which was seconded by Precinct 4 Commissioner Gilberto Uribe Jr. If approved, the facility would operate in San Diego at 4410 E. Highway 44, the location of the now shuttered La Hacienda Nursing Home. The facility sits within Jim Wells County lines, about 2 miles from Duval County lines. San Diego sits within both counties. Carrillo explained the intergovernmental service agreement doesn't require the facility to be within county lines. Last month, Jim Wells officials hosted a public hearing after being approached by Serco. About 50 people attended the hearing. The backlash included comments against the planned facility by a bishop, local immigration organizations and others. Attorney Marisa Bono, who is the southwest regional counsel for the Mexican American Legal Defense and Educational Fund, said the public hearing in Jim Wells helped commissioners make an educated decision on the matter. For example, the implications of pending state and federal litigation that may condemn the existence of such detention centers should be considered, she said. "We hope the county does the right thing and gives the public the opportunity to provide its feedback," Bono said. As a result the public hearing, Jim Wells County Judge Pedro "Pete" Trevino Jr. said negotiations would not move forward unless a similar gathering was hosted in San Diego, where the facility was planned. San Diego city officials said at the time they learned about the plans through media reports. San Diego City Manager Mary Gilliam said Monday the city was again left out of the loop and hadn't been contacted about the latest plans for the facility, which would sit within city limits. "Not by the county, not by the company (Serco)," Gilliam said. "Nobody." She said Mayor Rupert Canales III, who was not available to comment Monday, reached out to Serco last month, but officials "never returned his call." Carrillo said San Diego officials "can be as involved as they want" if the application is approved by ICE. "If we get approval by ICE we will work hand in hand (with San Diego)," Carrillo said. "Right now it's just the application." The site of the former nursing home was licensed for 114 beds, according to the Texas Department of Aging and Disability Services. It closed in January 2014. It's priced at about $524,000 and owned by a San Antonio-based developer. Twitter: @CallerBetty Natalia Contreras/Caller-Times A man is dead after a shooting at a Northside bar in the 2400 block of Rand Morgan Road. SHARE GABE HERNANDEZ/CALLER-TIMES Rodriguez Joseph Deark Cancino By Natalia Contreras of the Caller-Times Corpus Christi police said a shooting that left one man dead outside a nightclub early Sunday was gang related. Joseph Deark Cancino, 27, was arrested on a murder warrant in Fort Bend County, about 170 miles north of Corpus Christi, after witnesses at the nightclub identified him from the club's video footage, according to an arrest affidavit. The witness identified Cancino, Cancino's girlfriend, and Cancino's Cadillac, the arrest affidavit states. The video footage shows Cancino shooting a gun at several people including Roddy Rodriguez, 37, who was shot about 1:40 a.m. near the front door of the bar in the 2400 block of Rand Morgan Road. While en route officers were told two men were outside the bar with a group of people surrounding them, the affidavit states. The officers heard four to five shots coming from the Leopard Street and Rand Morgan Road area. They found Rodriguez with a gunshot wound to his forehead, the affidavit states. He was pronounced dead at the scene. In a new release, police said Cancino's arrest was the result of detectives and the gang unit working with other law enforcement to track him down. "This was an excellent coordinated effort in getting a dangerous individual off the street," the news release states. Another witness who was at the bar that night also identified Cancino from that night's video footage, the affidavit states. "This is being investigated as a gang-related incident," Lt. Chris Hooper said. Hugo Stimmler, a forensic investigator with the Nueces County Medical Examiner's Office said Rodriguez had other gunshot wounds on his body but as of Monday it was unclear where else on his body he was shot. Cancino bail was set at $250,000. He was not booked in Nueces County Jail by Monday afternoon, jail officials said. Twitter: @CallerNatalia COURTNEY SACCO/CALLER-TIMES SHARE By Natalia Contreras of the Caller-Times Corpus Christi community and religious leaders will gather Wednesday at Cole Park to speak out against violence and pray for those who have been affected by it. A Service for Peace and Unity was ignited after the shooting at popular gay nightclub in Orlando in June, the fatal police shootings of black men in Minnesota and Louisiana and the shooting in Dallas that left five police officers dead this past week, said Rev. Sean Maloney of the St. Bartholomew's Episcopal Church. "This is not who we are called to be as a nation," Maloney said. "The level of violence has gotten epidemic and it just has to stop. This is not who God called us to be." Maloney said anyone in the community who has been affected by violence, including domestic violence, is encouraged to attend the service, which will begin at 7:30 p.m. Guest speakers include Mayor Nelda Martinez, Councilwoman Colleen McIntyre, Corpus Christi Police Chief Mike Markle, Rabbi Ilan Emanuel of Congregation Beth Israel and representatives from the Islamic Society of South Texas. "Our goal is to not make this about a particular incident but to speak out against violence," Maloney said. "We are people of faith and we are called to walk in love. We want to come together and give support to anyone who needs it." Information: 361-991-2954 or www.facebook.com/events/1184917938217476/ Twitter: @CallerNatalia COURTNEY SACCO/CALLER-TIMES Joe Sassman points to himself in a photo taken of his flight school class during World War II. SHARE COURTNEY SACCO/CALLER-TIMES Joe Sassman, who served aboard the USS Lexington during World War II, holds a pin box made from wood from the deck of the aircraft carrier. COURTNEY SACCO/CALLER-TIMES Joe Sassman holds a photo of himself and fellow Navy pilots taken during his time serving aboard the USS Lexington during World War II. COURTNEY SACCO/CALLER-TIMES Joe Sassman (right) describes a painting of a World War II air battle he fought in during his time serving aboard the USS Lexington. By Dayna Worchel of the Caller-Times Former World War II Navy fighter pilot Joe Sassman remembers a day in November 1944 very well. The 20 year-old had just finished lunch below the deck of the USS Lexington after a morning of patrolling the skies around the aircraft carrier for enemy planes, when a voice came over the loudspeaker, telling everyone to man their battle stations. The aircraft carrier was anchored close to the Philippines. "A swarm of Japanese planes came out we ran up to the deck and two of us sat on the catapults. We had our engines on, ready to go, hot to go," the now-94 year-old Sassman said enthusiastically. "We all wanted to be heroes and be a fighter ace," he said. Because there was a circle of destroyers and submarines surrounding the Lexington and shooting at the Japanese planes, the order was given for pilots to cut their engines and take cover, Sassman said. But one Japanese kamikaze airplane pilot made it through. "I had everything off and I jumped out of the seat and crawled over the side of the plane," he said. "I ran toward the catwalk and jumped down when the kamikaze hit the bridge structure." "There was a huge fireball and explosion. There were guys running from the fire and some were on fire," Sassman said. "One ran toward me and this poor sailor was cussing. He was hurt." The man who had caught fire was taken to a Navy hospital ship, where he survived. And trained firefighting crews were able to extinguish the blaze aboard the Lexington in just 20 minutes, Sassman said. Fifty men were lost in the kamikaze attack, he said. Sassman, who grew up on a farm outside of Austin, discussed his wartime service aboard the Lexington in the apartment he shares with his wife, retired schoolteacher Fay Sassman, at The Mirador in Corpus Christi. He joined the Navy in July 1942 and served aboard the Lexington from July to December 1944. He left the Navy in 1954 and worked as a meteorologist at the National Weather Service in Fort Worth until his retirement in 1978. The ship he served on was decommissioned in 1991. It is now permanently docked in the Corpus Christi Bay as a naval aviation museum. The day after the kamikaze hit the Lexington, Sassman and several of his fellow fighter pilots, who flew Grumman F6F Hellcats, were involved in a dogfight with Japanese fighter planes. He keeps a painting of the incident in his apartment. It was drawn by an artist from the details he and other pilots provided to officials after a post flight debriefing. "Two tried to escape and got away," Sassman said. "My division leader, I was on his wing, shot one (enemy) plane down. "The guy tried to bail out, but he was pretty low and hit a tree," Sassman said. Between all of the missions and nonstop action, he discussed his close friendships with other pilots. Sometimes the friendships ended with his friend dying in action. Sassman recalled the Lexington patrolling the area around the Philippines after dropping bombs on enemy troops in Guam. "We lost a pilot, my bunkmate, we called him 'Ruffy,' but his last name was Ruffcorn," said Sassman, who couldn't recall his friend's first name. The two were assigned to sleep in a large bunk room inside the bow of the ship because they were junior officers. The bunks were three across with thin mattresses. "At nighttime, we would discuss the day and talk about home and missions. Ruffcorn was newly married," Sassman said. Sassman's service and bravery earned him numerous medals, including two Distinguished Flying Crosses, which his wife had framed. They now hang on a wall in their apartment with a silk print of a map of the Philippines, where Sassman flew many missions. "After all our missions, they gave us a silk map of where we flew over," Sassman said. Lawyers for the former Director of Taxes made the request at the Special Criminal Court during the hearing of August 10, 2015. ADS Lawyers for Polycarpe Abah Abah, the former Director of Taxes and Finance Minister, on Monday August 10, 2015, succeeded in getting the trial team at the Yaounde Special Criminal Court, SCC, to request the Commercial Bank of Cameroon, CBC Bank to submit statements on four accounts opened between 1998 and 2005 by the accused and the Department of Taxes. Arguing on behalf of colleagues, Barrister Jean Marie Nouga said the presentation of the detailed bank statements would help to determine if the accused made any illegal withdrawals as alleged or not. He said the same request for the presentation of the documents was made on several occasions in the past by other SCC officials, but it was never respected. The accounts are the three the former Director of Taxes opened in the name of his department for Value Added Tax, VAT recovery and other purposes; plus one in his name. Barrister Nouga presented his arguments while questioning Ndifor Tikum Joseph, a prosecution witness under Cross Examination-in-Chief. Ndifor is an official with CBC Bank. In a bid to prove that the prosecution tampered with certain documents tendered as exhibits, Barrister Nouga presented copies of correspondence allegedly sent to CBC by Polycarpe Abah Abah, but which did not bear any sign of ever being received by the bank. Ndifor Tikum Joseph agreed that any incoming correspondence is stamped Received, Request Approved after processing by the bank, among other official details. Moreover, Jean Marie Nouga argued that some of the photocopies of correspondence sent by SCC to the bank for endorsement as having originated from them was disowned. Counsel for the civil claimants, Barrister Nchankou Njindam, while acknowledging that requesting bank statements was the right of customers, warned that asking for them now could unnecessarily prolong the trial. The leader of the trial team, Mr Justice Nyoh Matthias, after consulting with Mr Justice Tagiyin and Mr. Justice Adebada, announced that the Special Criminal Court will formally ask CBC Bank to submit statements on the four accounts. The matter was then adjourned to September 1, 2015. The Advocate General was Mr Justice Taguim. Polycarpe Abah Abah first appeared at the SCC on Wednesday, February 18, 2015, charged with fraudulently obtaining landed property belonging to a cooperative and the State of Cameroon worth FCFA 1.8 billion. The crime was allegedly committed between 1998 and 2005 when he was Director of Taxes. The charges were lodged by Batock Dikanda Daniel, a former journalist and now parliamentarian, William Mandio and the Ministry of Finance. Abah Abah is already serving time at the Yaounde Secondary Prison for attempted jail break and embezzlement of other public funds. ADS The embezzlement case against former SONARA GM, Charles Metouck and others at the Special Criminal Court in Yaounde, is drawing to a close. ADS According to the prosecution at the Yaounde-based Special Criminal Court, SCC, Charles Metouck, the former General Manager of the National Oil Refinery, SONARA in Limbe, and three co-accused, should be found guilty of embezzling FCFA 514 million. Advocate General, Tagiyin Jean Claude, spoke while presenting his final submissions during the hearing of August 26, 2015. In his lengthy presentation, which revisited highlights of the trial, including testimonies of witnesses, Mr. Justice Tagiyin said by endorsing a cheque for FCFA 108 million to Viking Ltd for a controversial oil deal, Metouck had caused the State to lose the amount. He averred that the former GM had no authority to pay the money. Similarly, Metouck caused the loss of FCFA 406 million by the State after he paid the amount to Ecobank Development Corporation with headquarters in Lome, Togo without deducting taxes at source. In his submission, Counsel for SONARA, Barrister Ndam Jean Bertrand, while supporting the position of the Legal Department, said the embezzlement of FCFA 406 million was only discovered after officials of the Supreme State Audit Office checked the accounts of SONARA in 2011. He noted that the task was hitherto rendered difficult given Ecobank Development Corporations base abroad. On his part, the lawyer for the State, Barrister Sama Francis Asanga, said Article 144 of the Criminal Procedure Code states that theft of money in a corporation where the State has majority of the shares is considered as embezzlement of public funds. He said this was the case in SONARA where the State has 84 per cent of shares. He added that the trial had respected all norms of equity and fairness by holding in public, with the accused given the opportunity to freely defend themselves. The trial of the former General Manager of the National Oil Refinery, Charles Metouck, began on Wednesday, February 18, 2015. Metouck and others are accused of embezzling over FCFA 514 million. The other accused are Ngalle Mouelle Noe, Edinguele Edinguele Jean Joule and Dikoume Albert Leonard. Meanwhile, charges against Tiako Etienne were later dropped after his reimbursement in January 2015 of FCFA 108 million he and others embezzled. The remaining defendants are charged with aiding and abetting the embezzlement of over FCFA 108 million. The amount was reportedly fraudulently retained from the signing by SONARA of an oil lifting contract with Viking Ltd. Meanwhile, over FCFA 406 million, in taxes due to the State, was allegedly fraudulently retained by Charles Metouck after paying the amount to Ecobank Development Corporation. ADS | BY | Another sad day for the ad industry, with news that legendary advertising creative Michael Robinson died yesterday of throat cancer. Only in his mid 60s, Michael was a real one off character with his trademark handlebar moustache and quick wit. A fine writer, he was loved by all of his generation, and was a stalwart of the Caxtons since it started in the mid 70s. He ran his own shop, Harris Robinson & Associates, later Harris Robinson Courtenay (with Bryce Courtenay, Phil Harris and Vincent Tesoriero) for many years, which eventually folded into Clemenger in the early 90s. Says friend Ray Black: These pages are from a book I illustrated for a radio network. My cartoon of Robbo reflects several things about his character: Slightly manic, a gifted writer, his Guards moustache and his love of motorcycles. He founded an exclusive motorcycle club with each member sporting a spiffy green blazer with a monogrammed pocket emblazoned with their crest, The Crash and Burners. His club nickname was Red Ott. Their motto, We eat our dead. Enough said. In his pre advertising life Robbo was in the Scots Guards, which encouraged his meticulous attention to detail. In later life he became a superb wood craftsman. Robbo could always be relied upon to be outrageous at Caxton. Who could forget him as the water spurting centrepiece of the Buzby Berkley water ballet in the pool at Noosa? A Talent Quest winner of course. A decade ago Robinson retired to Byron. | BY Lynchy | Dentsu Aegis Network has appointed Philip Zohrab in the newly created role of chief data officer for Australia and New Zealand. Zohrab joins from iProspect in Singapore, where he was regional managing director, Digital Technology Operations. Originally from New Zealand, he has been with the Dentsu Aegis Network since 2013, with nearly two decades experience in performance digital, data, operations and technology. Zohrab (above, middle) is tasked to help drive Dentsu Aegis Networks global data strategy in a local context with Australia and New Zealand. He will work closely with each Dentsu Aegis Network agency to build on existing capabilities and technology and develop new approaches to ensure the group is delivering a best in class proposition around data. Says Simon Ryan (above left), CEO of Dentsu Aegis Network ANZ: Data is the new currency of business, and is a key need across all our agencies and the services we offer to clients. Philip comes on board to steer our group locally through the transformation and continuous evolution required to deliver the market leading proposition on data. Philips experience in search, performance marketing, operations and data visualisation will be a great asset to Dentsu Aegis Network locally. He will work to mould a relevant data strategy, and to ensure we are at the forefront of the industry when it comes to data. Im very pleased to welcome Philip to our team. Says Zohrab: Im delighted to take up this opportunity to work with the Dentsu Aegis Network team in Australia and New Zealand, and to help shape the groups approach to the important areas of data and analytics. With significant support from our regional and global teams, were rolling out great new technology and data services. Dentsu Aegis Network is in an extremely strong position in this area and its exciting to be part of driving our data agenda forward. | BY Lynchy | Saatchi & Saatchi, Melbourne has appointed Rebecca Robertson as client service director, joining the Melbourne Leadership team (pictured) of Mark Cochrane managing director, Alex Speakman managing partner director of strategy and Leon Wilson group creative director. Having started her career at DDB Melbourne, Robertson moved to London to work both agency and client side on major brands including Glenfiddich, O2, Tesco, Callaway, RBS and NatWest. Robertson first joined the Saatchi & Saatchi family when she moved to New York, leading the General Mills Yoplait business for North America, and more recently the JP Morgan Chase Consumer Bank global account. Says Cochrane: We are so pleased to have Rebecca leading our growing client portfolio in Melbourne. We have gone from a start up a full service collective of thirty-two in little over a year, and with her progressive experience, passion and leadership it is incredibly exciting to have Rebecca on board for our next chapter. | BY Lynchy | The Royals, Melbourne has recruited Stuart Nightingale from London as a creative director. With over a decade of advertising experience within various London agencies, Nightingale brings with him a fully integrated approach to creativity, alongside a vast collection of records. Over the course of 11 years as part of the same creative team in London, Nightingale worked across some of the worlds biggest brands before moving to Melbourne. Says Nick Cummins, executive creative director at The Royals: Stuarts modern meets traditional approach makes for both interesting ideas as well as interesting outfit choices. "This is not enough for the 12,000 to 15,000 Hindus in Canberra, so for us to hold our traditional weddings and other ceremonies we need somewhere that can fit at least 500 people." As I was never ever going to vote for Pauline Hanson's version of One Nation, I didn't explicitly seek to read her website or her policies. Now Hanson will, along with other senators, make decisions which affect us all so to truly understand what will happen to our country, we need to understand those who will make decisions on our behalf. I felt it was important to see exactly what she said about one of the issues which divides our nation, immigration. The Department of Parliamentary Services would not say when or how the chemical poisoning had taken place, but it is understood tarpaulins have been in place for at least a week. "We know that this is a difficult policy area for the Labor Party," he said. "For the same reasons that the Coalition seems to have a trust deficit when it comes to public hospitals and Medicare, the Labor Party's got a trust deficit when it comes to private health. Our Promise: Welcome to Care2, the world's largest community for good. Here, you'll find over 45 million like-minded people working towards progress, kindness, and lasting impact. Care2 Stands Against: bigots, racists, bullies, science deniers, misogynists, gun lobbyists, xenophobes, the willfully ignorant, animal abusers, frackers, and other mean people. If you find yourself aligning with any of those folks, you can move along, nothing to see here. Care2 Stands With: humanitarians, animal lovers, feminists, rabble-rousers, nature-buffs, creatives, the naturally curious, and people who really love to do the right thing. You are our people. You Care. We Care2. Nissan and BMW are both in talks to join the thriving Formula E field. As reported Autosport, this news comes not long after Hondas interest in the series became known, with Nissan and BMW apparently in the midst of discussions to join forces with existing teams, rather than initially launching brand new squads. After Nissan cancelled its failed LMP1 program in 2015, the Japanese marque is looking elsewhere to expand its motorsport footprint. However, before anything becomes official, approval from the Renault-Nissan Alliance has to be given, but theres a chance this could come before season three starts in mid-October. As for BMW, it is already involved in the series, as the i8 is Formula Es official safety car while the smaller i3 is used throughout as a course car. While initially the German maker may partner with a current team, its also possible that for the 2018/2019 season, it could develop its own team, using powertrain technology conceived in house. Alejandro Agag, the chief executive of the series said I dont like to be too excessively positive, but I think weve created a cool place to be for OEMs. The ones that are in are really happy. The partners we have, they are all renewing and extending their contracts and nobody is leaving. PHOTO GALLERY Photo: Twitter - Solar Impulse An experimental solar-powered airplane has left Spain for Egypt in the penultimate leg of its globe-circling voyage. Organizers said the Solar Impulse 2 flew out of Seville airport Monday and was heading for Cairo. The flight, piloted by Swiss man Andre Borschberg, is expected to last 50 hours and 30 minutes. The around-the-world voyage began in March 2015 in Abu Dhabi in the United Arab Emirates and is due to finish there too. The plane arrived in Seville on June 23 after an unprecedented three-day flight across the Atlantic. The wings of Solar Impulse 2, which stretch wider than those of a Boeing 747, are equipped with 17,000 solar cells that power propellers and charge batteries. The plane runs on stored energy at night. Photo: Contributed RCMP have recovered a stolen vehicle that sustained heavy damage after it was used as a battering ram to break into a local liquor store. Const. Jesse ODonaghey said on July 9 at about 6 a.m. the Kelowna RCMP responded to a report of a break and enter to the Reid's Corner Liquor Store on Highway 97 North. Police have determined that at 5:30 a.m., a grey Volkswagen Jetta, believed to be stolen overnight from Ethel Street, had been used to smash into and gain entry inside the store, said ODonaghey. Once inside the suspects, with their faces obstructed, stole a wall safe which was later loaded into a late 1990s white Dodge Ram pickup truck. The first suspect is described as six feet tall with a thin build, wearing gloves, a light-coloured hoodie, jeans and running shoes. The second suspect is also 6 feet tall, wearing darker clothing with gloves and a hoodie. Police continue to investigate the incident and anyone with any information is asked to contact the Kelowna RCMP at 250-762-3300. To remain anonymous call Crime Stoppers at 1-800-222-8477, leave a tip online at crimestoppers.net or by text CRIMES (274637) ktown. Photo: Kate Bouey A rear end crash at 32nd Street and 28th Avenue slowed traffic heading north on Highway 97 through Vernon, just before noon on Monday. A Vernon Fire Rescue crew administered oxygen to an older woman on the passenger side of a blue car showing front end damage and a smashed windscreen before two ambulances arrived at the scene. A silver car with rear end bumper damage sat off the road. Two people suffered minor injuries and were expected to be transported to Vernon Jubilee Hospital for observation, said fire captain Chris Robinson. Traffic heading north on the highway was down to one lane. Photo: Facebook Sandra Penner says the next time she has to travel, she will drive. The Vernon resident was on a flight from Calgary to Kelowna yesterday that was struck by lightning, terrifying passengers and making headlines across the country. Penner was returning home from Calgary where she had celebrated her 94-year-old aunt's birthday when the Westjet plane she was on was slammed by a bolt of electricity. Penner said she questioned if the plane should have taken flight at all based on how stormy the skies were. She said before the lightning struck, the plane was rocking and that was scary in itself. I am not used to flying, so that was a bit of an adventure. It is a trip I won't forget. I'm glad to be alive. It was quite nerve wracking especially when these particles flew onto our laps. To me it looked like glass. So the thought goes through your mind the window is coming out. I thought 'We're doomed'. Penner was certain the material that landed on her and those seated nearby was glass. My finger was burning so I am sure it had to be glass. It must have shattered something in there. The windows are very thick, but if that window had of blown out, we wouldn't be here. Penner said the sound of the lightning striking the plane was horrifying. It was loud. I thought it was a bomb actually, she said. When it hit, there was a big bright light. It was so loud and everyone screamed all at once. The flight had been airborne for about 15 minutes when it was struck. It returned to Calgary and several hours later, Penner was on another plane bound for Kelowna. I was pretty nervous getting on that second plane, she said. I'm sure everyone else was as well. I think I'll drive next time. If you have just started your journey in an online casino or are looking for a new site to play,... Saudi Arabia: export ban lifted ICR Newsroom By 11 July 2016 The Saudi Arabian government has decided to lift the export ban on cement, which was imposed in 2008 to push down prices and accommodate the demand generated by government-funded infrastructure projects. Once the ban has been lifted potential export destinations will include Qatar, Yemen, Jordan, Bahrain, Egypt, Iraq, east Africa and the UAE. This decision to remove the export ban may help Qatar, a country with major construction projects planned in the run up to Fifa 2022, meet its growing demand for cement. Official data suggests that peak cement demand from Qatars construction and infrastructure industries will reach 57Mta by 2017. Qatar currently has two domestic cement producers, Qatar National Cement Company (QNCC) and Al Khalij. QNCC, which was expected to have reached a production capacity of 6.6Mta by the end of 2015, holds a market share of 70 per cent. Average cement demand in the country between 2013-2015 stood at around 5.5Mta, above government estimates of 2.5-4Mta. Published under Gabina VOA is designed to be an infotainment youth radio show broadcasting to Ethiopia and Eritrea in the Amharic language. The show brings varied perspectives on issues concerning young people in the Horn of Africa region. Gabina in the Amharic language is a front row taxi ridesymbolic of the shows content as a fun ride that takes audiences from point A to point B. Gabina VOAs main goal is Enlightening young people, introducing them to cutting-edge technological innovations, exposing them to new processes and ideas so they can be productive, informed and self-governing citizens. Judge Robert E. Cooper, a Chattanoogan who served a long tenure on the Tennessee Supreme Court, died Sunday at his home on Signal Mountain. He was 95. His son, Robert E. Cooper Jr., served as Tennessee attorney general from 2006 to 2014. A native of Chattanooga, he studied at the University of North Carolina and at Vanderbilt University. He was on the state Supreme Court from 1974 until he retired in 1990. Judge Cooper was a Circuit Court judge in Hamilton County from 1953 to 1960. He was on the Tennessee Court of Appeals from 1960 to 1974. Visitation will be Friday from 4-8 p.m. at Chattanooga Funeral Home East. The funeral will be Saturday at 11 a.m. at Second Presbyterian Church, 700 Pine St. Visitation will be one hour prior to the service. There will be private graveside services at a later time. All American Summer welcomes R&B sensation Kindora with guest musician Rock Floyd on Thursday, 6-7;30 p.m. Guests are invited to dance old school to this pop-centric sound on an outdoor stage overlooking the Tennessee River at the Hunter Museum. Admission is free with regular museum admission ($15 for adults, free for youth 17 and under with a paying adult), which includes access to the special exhibit Masters of the Golden Age: Harvey Dunn and His Students. Cash bar will be on hand at the concert. The Next Step: Saving Our Suns is a free empowerment conference for teens boys ages 13-19 and their single mothers. It will be held on Saturday at the Edney Innovation Center at 11th and Market Streets, from 10 a.m.-3:30 p.m. The program is designed to link teen boys ages 13-19 and their mothers to resources that will assist them with developing and maintaining positive life choices using exposure and by introducing them to mentoring relationships, officials said. Speakers at the event will be Hamilton County School Board District 4 Candidate Montrell Besley, Artist Rondel Crier of Studio Everything, Minister Brian Allen of New Season Christian Ministries,Terry Davis of UnaVerSoul, Patrick Jackson of LCGI Productions, local businessman Chris Ramsey, Comedian Tresha Rutledge,Knox County educator Chris Lewis, Angela Daniels of For The Love of Sisters, and Linda Murray Bullard of LSMB Business Solutions. Grab bags and door prizes are from donations received by area businesses. Father To The Fatherless is the fiscal sponsor. This is a community funded event.Supporters include the Christian Women's Job Corp, Southside/Dodson Avenue Health Centers, Boy Scouts of America, Erlanger Heath System, Chattanooga State's Reconnect Program, Grand Finale Events and Decor, Jane of Trade and Resale, Susan M. Freeman Enterprise, ACP Photography, 2 Wheels Towing--Tennessee, and many other area businesses.The remaining tickets available to the event are limited and can be obtained by teenage boys ages 13-19 and their single mothers on Eventbrite at www.SavingOurSunsEvent.eventbrite.com Monetary donations to support the event can be made at www.gofundme.com/SavingOurSuns Merchandise donations can be made by emailing lsmbbusiness@gmail.com. A team of University of Florida researchers has figured out how gold can be used in crystals grown by light to create nanoparticles, a discovery that has major implications for industry and cancer treatment and could improve the function of pharmaceuticals, medical equipment and solar panels. Nanoparticles can be "grown" in crystal formations with special use of light, in a process called plasmon-driven synthesis. However, scientists have had limited control unless they used silver, but silver limits the uses for medical technology. The team is the first to successfully use gold, which works well within the human body, with this process. "How does light actually play a role in the synthesis? [This knowledge] was not well developed," said David Wei, an associate professor of chemistry who led the research team. "Gold was the model system to demonstrate this." Gold is highly desired for nanotechnology because it is malleable, does not react with oxygen and conducts heat well. Those properties make gold an ideal material for nanoparticles, especially those that will be placed in the body. When polyvinylpyrrolidone, or PVP, a substance commonly found in pharmaceutical tablets, is used in the plasmon-driven synthesis, it enables scientists to better control the growth of crystals. In Wei's research, PVP surprised the team by showing its potential to relay light-generated "hot" electrons to a gold surface to grow the crystals. The research describes the first plasmonic synthesis strategy that can make high-yield gold nanoprisms. Even more exciting, the team has demonstrated that visible-range and low-power light can be used in the synthesis. Combined with nanoparticles being used in solar photovoltaic devices, this method can even harness solar energy for chemical synthesis, to make nanomaterials or for general applications in chemistry. Wei has spent the last decade working in nanotechnology. He is intrigued by its applications in photochemistry and biomedicine, especially in targeted drug delivery and photothermal therapeutics, which is crucial to cancer treatment. His team includes collaborators from Pacific Northwest National Laboratory, where he has worked as a visiting scholar, and Brookhaven National Laboratory. In addition, the project has provided an educational opportunity for chemistry students: one high school student (through UF's Student Science Training Program), two University scholars who also funded by the Howard Hughes Medical Institute, five graduate students and two postdocs. Grubhub has launched a new rating system that will harness user feedback to create more complete reviews of restaurants and food delivery. The new system, launched Monday, will factor in specific feedback on order accuracy, food quality and accuracy of delivery time, said Sudev Balakrishnan, Grubhub's senior vice president of product. Grubhub's existing star ratings will still be available. Advertisement The Chicago-based meal ordering and delivery platform is hoping the detailed reviews will help diners make ordering decisions based on factors they care most about, Balakrishnan said. In turn, Grubhub (NYSE: GRUB) expects the new system to help restaurant owners better understand what influences their rating. Advertisement "When someone gives us a rating with those facets, we can go back to the restaurant and give them the exact same information, which allows them to improve their workflows," Balakrishnan said. "There's a connection we're making in between diners and restaurants, and making it so both parties can act on it." The new ratings are generated from feedback Grubhub gathers from customers through short surveys after they use the service. The information is aggregated into an overall star rating and will be used to score each of the three new review categories as well. After beating analysts' expectations on revenue for the first quarter of 2016, Grubhub has recently rolled out other new products as well, including a platform that lets its restaurant partners easily update menus and daily specials. It also plans to acquire Los Angeles-based LABite, a restaurant delivery service for about $65 million in cash. amarotti@tribpub.com Twitter @allymarotti What's it really like to work at Chicago startups and tech companies? Blue Sky's Inside Job lets people on the ground tell us in their own words. Arnita Hayden, 30, Senior Product Manager of Publishing at Sprout Social Sprout Social is social media management. A lot of brands and businesses today, they have profiles for every social media network, and it becomes cumbersome, because they have to log in to all these places. With Sprout, they can just connect all their profiles through our platform and then manage everything from there. Advertisement Publishing is the area I'm over. It's essentially all outbound content: tweets, messages and things you want to send out. I define the strategy for the team, for our publishing suite and where we should be going. I work with the CEO (Justyn Howard ) really closely. He tells me our overall business objectives, and I take that and instill them into the strategy for my specific area. I was born in the south suburbs of Chicago, in Richton Park. My dad's an engineer, so when I was younger, you know, he used to have me sitting on his lap, coding with him. I didn't want to play with toys or anything like that. I wanted to fix our VCR or take apart the cable box. I would get in trouble all the time because my mom would come into the house, and our telephone would be apart. I wanted to see how it worked. Advertisement I took all of these technical classes in high school, at Rich South. I took Visual Basic and Cisco, like networking, routers and servers. I was in advanced calculus because I loved math. Basically, it was no question. I knew I was going to do computer engineering and computer science in undergrad. I went to Howard University in Washington, D.C. I knew I wanted to go to an HBCU (historically black colleges and universities) because it's really important as a people, especially being African-American, to really understand where you're from and your history, and there's no other better moment to do that in life than in undergrad. I always knew I wanted to end up doing product eventually, but I wanted to learn more about finance because that was my weak point. After Howard, I decided to go to Morgan Stanley. So I moved to New York, and I also lived in London for a while. I ended up going to MIT (Massachusetts Institute of Technology) for two years for my MBA and my master's in engineering, and then, I came back to Chicago because my fiance (now husband), had just gotten a job at a hedge fund here. Microsoft was having Techweek, and I ended up going there and meeting Molly, who's on our human resources team at Sprout. We ended up having coffee after that, and one thing just led to another, and I ended up at Sprout. We're a fun, close-knit team. We talk to each other a lot. We joke a lot. Once you get on this floor, the floor is where the fun is. We have Music Fridays. We have a speaker, and we put on a Spotify playlist and people can add their songs on it. Or we'll put on Spotify TGIF and rock out to that. We have a hack week at the end of every year where people can just build whatever they want. My team spent a week building out a product called Landscape, but we weren't quite finished at the end of the week. We decided we didn't want to stop. So I actually talked to the CEO, and we ended up getting an Airbnb to finish it there over a weekend. Most tech companies get snacks, but I love that we have healthy snacks like trail mix. We have fruits. And we get avocados. We get free lunch, and that's really convenient, especially for someone in my position who's in meetings nonstop, all day. Advertisement Almost everyone here is a "Game of Thrones" fan. That is absolutely my favorite show. I love that I get to talk about it all day with my co-workers. My co-workers gave me a nickname, "Mother of Dragons," a character on the show. My nameplate used to say "senior product manager." I come in one day, and it just says "Mother of Dragons." I still don't know, to this day, who put that there. Nobody will tell me. Some other people have joke names, too. I think mine's the coolest. As told to freelance reporter Erin Chan Ding. Stories are edited for length and clarity. Heavy truck traffic to and from the CenterPoint Intermodal Center has taxed area roads and rattled residents, but officials expect the new toll bridge project will provide relief. (E. Jason Wambsgans / Chicago Tribune) The state has given the green light to a new toll bridge linking Interstate 80 to the CenterPoint intermodal facility in Joliet and Elwood. The Houbolt Road bridge agreement, announced Monday, is designed to alleviate traffic congestion on local roads and fuel continued growth for the booming truck and train transportation hub, officials said. Advertisement The public-private project will cost $170 million to $190 million, with CenterPoint building and operating the toll bridge over the Des Plaines River. The Illinois Department of Transportation is covering $21 million of that cost to widen Houbolt Road and reconfigure the existing interchange with I-80 to handle increased traffic demand. Construction could begin next year, providing a direct route to the sprawling CenterPoint facility south of I-80 in late 2018 or early 2019, officials said. About 11,000 vehicles are expected to use the bridge initially, including more than 6,600 trucks. That traffic count is projected to essentially triple by 2040. Advertisement "CenterPoint is building it, CenterPoint is going to run it," Gov. Bruce Rauner said at a news conference announcing the bridge project. "We'll talk about what joint decision-making there might be, but this is their $170 million." Under the agreement, CenterPoint would be reimbursed for its investment through the toll proceeds. The toll bridge transponder will be compatible with the Illinois Tollway system, with rates to be determined, according to state Transportation Secretary Randy Blankenhorn. "Under existing state statute, Will County sets the toll rates, so they will negotiate that with CenterPoint," he said. Built on the site of the former Joliet Arsenal, the CenterPoint Intermodal Center opened in 2002 and has grown into one of the largest inland ports for trucks and trains in North America. The arsenal was decommissioned in 1976, giving rise to the Midewin National Tallgrass Prairie, Abraham Lincoln National Cemetery and the thriving transportation center, which has brought thousands of jobs and $75 billion in freight activity annually to the once-remote area. While a boon to the Will County economy, it has been a burden to local roads and communities, with thousands of trucks rumbling daily down two indirect routes from I-80. The primary route is along Interstate 55 south to Arsenal Road, but trucks coming from the east also use Illinois Highway 53, where the heavy volume of traffic has taxed roads and rattled residents, prompting stricter weight limit enforcement. "Right now you've got 10,000 acres of development that for all intents and purposes are being served by one access point," said John Greuling, president and CEO of the Will County Center for Economic Development. "This will provide tremendous relief for truck traffic on I-55, on Route 53 and on I-80 itself." Greuling said the transportation, warehousing and distribution sector represents about 10 percent of the county's employment base of 240,000 jobs. The CenterPoint facility itself is home to more than 10,000 jobs, Greuling said. Advertisement The toll bridge will help fuel additional logistics growth in and around CenterPoint, and across the county, Greuling said. "This is something we have been hoping for a number of years," he said. "With the growth of the intermodal sector, we don't see this development slowing down. It's going to put more and more trucks on local and interstate roads, and this provides another front door to the intermodal center." Oak Brook-based CenterPoint has developed intermodal centers in Virginia, Georgia, California and Missouri, in addition to Joliet. rchannick@tribpub.com Twitter @RobertChannick A Metromile employee holds the Tag, left, and Pulse devices. The San Francisco-based startup sells car insurance on a pay-per-mile basis, using a similar device to verify the miles driven. (Jeff Chiu / AP) Smartphone apps already allow consumers to summon cars and order food with a few swipes of a finger. Could insurance on demand be next? Policyholders pay premiums to get coverage that's often good for six months or a year, and, fingers crossed, give it little thought until the time comes to renew. Advertisement But a generation of consumers who are increasingly connected and accustomed to getting what they want and when they want it, particularly from their smartphones, have spurred several startups to develop apps or technology making it possible to turn protection on or off or to customize coverage to meet their needs. And at least one industry giant, Northbrook-based Allstate, is toying with a more flexible way of charging for coverage in the future. "We're in the era where we no longer need to buy an entire music album but instead we can purchase just one song, so why can't we just buy the types of coverage we want as consumers?" said Wayne Slavin, co-founder of Sure, whose products include what it calls "episodic" insurance around travel through its smartphone app. Advertisement Matthew Wong, senior research analyst for venture capital data gatherer CB Insights, says it's also called "just-in-time coverage" and the "unbundling" of policy terms and coverages. The sector is seen promising due to such technology as smartphones but is also driven partly by demographic changes, he said. Homeownership rates, for example, have been trending down. "Startups are seeing new opportunities to create brands that adjust to new lifestyle and locational trends, including those of millennials," Wong said. Funding for insurance-technology startups hit $2.65 billion in 2015, up from $740 million in 2014 and $223 million in 2013, Wong said earlier this year at the OnRamp Insurance Conference in Chicago. Here's what four companies are doing with on-demand or more customized insurance: Trov San Francisco-based Trov says its "smart insurance" app makes it possible to "protect just the things you want, exactly when you want, entirely from your phone," with no need for an insurance agent or a long-term contract. Users create an online inventory of what they consider valuable and then swipe on the items that they'd like to protect and choose a price and deductible that's right for them. Advertisement Examples: insuring a MacBook Pro or an iPhone 6 against loss, accidental damage or theft when leaving home; or protecting skis for the weekend, or a bike for the afternoon. Trov is now available in Australia but is coming to the United Kingdom in the second half of the year and plans to be in the United States in 2017. Claims are submitted through text messages. Trov recently raised $25.5 million from investors, bringing its total funding to $39 million. Trov's backers include Connecticut-based Oak HC/FT, whose holdings also include Insureon, Maestro Health and VillageMD, all based in Chicago. Trov both bills and collects premiums within the app, and shares a portion of those proceeds with its insurance underwriting partner, the company that's responsible for paying out any claims. In the United Kingdom, that's AXA. Trov hasn't yet announced who its insurance partner will be in the United States, founder Scott Walchek said. Metromile Metromile in 2014 brought its pay-as-you-drive coverage to Illinois, one of seven states that it's currently in. The San Francisco-based company measures mileage through a device that plugs into a car. Advertisement Customers pay a base rate say, $30 a month, because cars might need insurance even when they're sitting idle and, on top of that, say, 3.2 cents a mile, according to a hypothetical example on Metromile's website. "This is happening now because you have all of these devices, whether in your car or on your phone, that are allowing you to tailor more directly to customers' needs," Chief Executive Dan Preston said. Metromile is well suited to urban areas where residents both drive and take public transportation, he said. Metromile's insurance partner is National General. Veterans of Progressive Insurance and Esurance work for the company. Metromile investors include: New Enterprise Associates, whose other holdings include online merchant Jet; Index Ventures, which has had stakes in such companies as Chartbeat, Etsy and Flipboard; and FirstRound Capital, whose investments include Chicago-based GiveForward. Orland Park resident Brian Collins switched to Metromile more than a year ago. He and his wife drive a combined 200 to 330 miles a month. "I thought it was crazy we were paying the same as someone driving 1,500 miles a month," he said. They were paying $87 a month with a major carrier, but over the past year with Metromile have averaged less than $55 a month. Advertisement Allstate In 2015, Allstate filed for a patent called "Risk Unit Based Policies" and received it last month. The patent notes how insurance policies today generally provide coverage for a certain term, regardless of how, where or when the driver operates the vehicle. The idea for Allstate's new patent would be to allow the motorist to buy a certain number of "risk units" that would be consumed while driving. If someone was speeding, they'd use up more units than someone who wasn't. If the balance of units falls low, the policyholder might get an alert through a mobile app, tablet or on a vehicle display, or the headlights might flash or the horn blare. The app would have their payment information and could refill the risk units as needed, "akin to renewal of a conventional insurance policy." Or the account might include funds that might be reduced based on how the vehicle is being driven. Motorists would also receive tips on how they could reduce the rate of units that they eat up, such as reducing speed, keeping a safer distance between vehicles and taking a less-busy route. The system could determine the cost to insure a user for a period of time that includes a month, a week, a day or a year, the patent said. Advertisement "We're always exploring innovative ways to best serve our customers, and we have a long legacy of serving our customers' changing needs," Allstate spokesman Justin Herndon said. "We continue to embrace rapidly changing technology and prepare for future changes in the insurance market, which are likely to include ever more customized insurance solutions. "While not all patents we file will ultimately be used, we strive to protect our ideas for potential use in best serving our customers," Herndon said. Sure Sure's policies include life insurance for people who, at the last minute, decide they want protection during airplane travel, from departure to landing. Its products also include baggage protection for a trip. "They can be standing at the gate and download the app and get coverage instantly," Slavin said. Among the New York-based company's venture capital backers are ff Venture Capital, whose holdings include Chicago-based software company Elicit. ff Venture Capital refers to Sure as a provider of "micro-duration" policies. Advertisement Already there are coverages on the market for lost bags and for airline disasters. For example, one airline's website says its liability for loss, damage or delayed delivery of checked baggage is limited to the actual value of the baggage or $3,500, whichever is less. It doesn't assume liability, however, for checked baggage items that include antiques, artwork, books, computers, eyeglasses, medicines, video equipment and precious stones. If an item is eligible for coverage and is valued at more than $150, the flier must submit a receipt as proof. Slavin said airlines' claims procedures not only have significant exclusions but also generally require more documentation and take longer to process. Sure's baggage coverage starts at $5. "If we're not able to retrieve the bags for the customer within 96 hours, we provide them a no-questions-asked payment of $1,000 or $2,000 per bag, depending on the level of coverage, regardless of the contents of the bags," Slavin said. Nor does Sure ask for receipts for the contents of the luggage, he said. "If the bags are ever returned, the customer can keep both the payment and their baggage." byerak@tribpub.com Advertisement Twitter @beckyyerak Consolidation in the global pharmaceutical market has snared another Chicago-area company. Schaumburg-based Sagent Pharmaceuticals has agreed to be acquired by Nichi-Iko Pharmaceutical of Tokyo for about $736 million. Advertisement The deal unites two companies in the generic drug business, a huge global market that has high volumes but low profit margins. Foreign companies are on a shopping binge to win a bigger share of the U.S. market for generic drugs, which is the largest in the world. Generic drugs account for about 80 percent of prescriptions dispensed in the U.S. "The U.S. market is a top priority for Nichi-Iko, and we believe Sagent is an ideal partner to accelerate our international growth strategy," Yuichi Tamura, Nichi-Iko's president and CEO, said in a news release Monday. "We are looking forward to working with Sagent's talented management team and learning from what is clearly a highly skilled and productive workforce." Advertisement The U.S. generics market, which had $43.5 billion in sales in 2013, is forecast to grow at an average of 11 percent annually, according to consulting firm RNCOS. An aging population, demand for cheaper medications and expiring patents on blockbuster drugs are some of the factors driving growth. With 2014 sales of $1.2 billion, Nichi-Iko is the 20th largest generics company in the world, according to EvaluatePharma. It has products in development known as biosimilars that are copycat versions of biologic drugs. The acquisition of Sagent, with sales of $318.3 million last year, will lessen Nichi-Iko's reliance on Japan and advance the company's goal of becoming a top 10 global player in generics, according to the news release. Sagent, founded in 2006, is at least the third Chicago-area drugmaker to be swallowed up in the past year. Last month, Irish drugmaker Shire completed a $32 billion takeover of Baxalta, based in Bannockburn. In September, Pfizer purchased Lake Forest-based Hospira for $15 billion. Sagent focuses on injectable drugs that are sold to hospitals. Its portfolio includes blood thinners and medicines to treat infections and cancer. Sales grew steadily from 2011, when it went public, to 2015, but the company's stock price fell by more than 60 percent between October 2014 and May 2016. In March 2015, founder Jeffrey Yordon abruptly resigned as chairman and CEO. Nine months later Sagent started exploring a sale of the business, according to published reports. Sagent stockholders will receive $21.75 per share, a 40 percent premium to the company's Friday closing price of $15.50. Vivo Capital, a California venture capital firm that is Sagent's largest stockholder, has agreed to tender its shares, according to the news release. "After thoroughly evaluating our strategic options, our board of directors has unanimously decided that this all-cash transaction is in the best interest of our stockholders," Sagent CEO Allan Oberman said in the news release. "We are confident that Nichi-Iko is the ideal partner to help us push forward into our next stage of growth and solidify our position as a leading provider of affordable pharmaceuticals to the hospital and clinic market." Advertisement Sagent will become a subsidiary of Nichi-Iko when the deal closes, which is expected to happen by September. No changes to Sagent's current operations are currently anticipated, according to the news release, and Nichi-Iko expects that Sagent's current management team will continue to lead the business from its Schaumburg headquarters. At the end of 2015, Sagent had 440 full-time employees, of which 114 were in the U.S. asachdev@chicagotribune.com Twitter @ameetsachdev When Profiles Theatre announced its closure last month -- the theater shuttered amid the outcry over a widely shared story in the Chicago Reader wherein several women alleged unsafe and abusive rehearsal and performance practices -- many wondered what would happen to its very desirable spaces on the North Side. The Tribune has learned the answer: A company called Pride Films and Plays is taking over both of Profiles' theaters, effective immediately. Advertisement "We already have the keys," said David Zak, 58, currently the only full-time employee of the non-profit enterprise, founded in 2010 and specializing in works with LGBTQ themes. MOST READ ENTERTAINMENT NEWS THIS HOUR Advertisement Zak said his company had also entered into an agreement to acquire the physical remnants of the Profiles Theatre Company, including some scenery, costumes and props. Zak said he had negotiated with Joe Jahraus, the co-artistic director of the company, to take over the Profiles leases at 4135 N. Broadway, a space that seats about 90, and 4147 N. Broadway, which can house about 50 patrons. Jahraus, whom Zak described as very accommodating, could not be reached for comment. Zak, the longtime artistic director of the Bailiwick Arts Center, said Sunday that he expects his formerly itinerent company, which he said has an annual budget of about $200,000, to produce its own shows in the two venues (probably beginning in January) and also rent out the spaces to like-minded companies. He also said he planned to take down the heavy drapes in the lobbies and "let some light back into these theaters." cjones5@tribpub.com Twitter @ChrisJonesTrib RELATED STORIES: Profiles Theatre closes; actor responds to allegations of misconduct Profiles Theatre, accused of misconduct, to close for good Advertisement Of cops, race and gunshots: 'Riverside' lands hard in a time of crisis Watch the latest movie trailers. Expand Autoplay Image 1 of 122 Sophie Turner as Jean Grey, anger management student, in "Dark Phoenix." The film, the latest in the "X-Men" franchise, costars James McAvoy, Michael Fassbender and Jessica Chastain. Read the review. (Twentieth Century Fox) "Between Riverside and Crazy," the seemingly prophetic 2014 drama by Stephen Adly Guirgis, deals with the unprovoked shooting of an African-American man by a rookie police officer who, in the victim's telling, came into a bar, shouted a racial epithet and then "shot everything black in the whole joint and somehow didn't hit anything white." Hearing that incident described Saturday night from the stage of the Steppenwolf Theatre was, at best, a surreal experience and, at worst, a harrowing horror. Advertisement It's not unusual for critics to claim echoes of current events in a play, even one that was written before what suddenly dominates our news consumption and conversation. But the ex post facto urgency of this drama, winner of the 2015 Pulitzer Prize, really is on an entirely different level, not least because the current crisis involving police, guns and African-Americans has exploded with such intensity and revealed such devastating division. The sudden timeliness of Guirgis' justly rewarded work seemed to take much of the almost exclusively white audience out for a summer Saturday night on the town entirely by surprise. I thought some around me seemed to resent the intrusion, but that might be a leap on my part. For I also thought some were suddenly coming to a new level of understanding about how this particular moment of gun-fired racial crisis cannot be compartmentalized or ignored, and is not going away without change and reconciliation. Advertisement RELATED: MOST READ ENTERTAINMENT NEWS THIS HOUR There are further complexities in the play and, of course, this anguished moment. Walter "Pops" Washington, the central figure in Guirgis' drama and an opportunity that the relentless "Chicago Fire" actor Eamonn Walker does not squander is himself a former police officer. He did, he notes without regret at one point, plenty of subduing of those he thought needed to be subdued. Now he concentrates on subduing perps like Jack Daniels and Jim Beam. But at the time of the shooting, Pops was minding his own business in a sleazy New York bar, one full of crooks, addicts and pimps, and was apparently too drunk to identify himself as a cop. Whether that would have made any difference is, to my mind, a central question of this play, directed, with allowance for actors to breathe, by Yasen Peyankov. It is also a central question in the American discourse of the moment. "We're all cops, here, Walter, right?" says Walter's former colleague Lt. Caro, played with a dangerous calm by Tim Hopper. "No black, no white, just blue." Those six words which seemed to freeze-dry almost everybody in the theater Saturday night contain another of the play's central questions. Expand Autoplay Image 1 of 11 (L to R): Victor Almanzar and Eamonn Walker in Steppenwolf Theatre Companys production of "Between Riverside and Crazy." (Michael Brosilow) The white cops, Caro and his fiancee (Walter's former partner, Detective O'Connor, played by the excellent Audrey Francis) are there to talk Walter into taking a settlement, hanging on to his rent-controlled apartment and ensuring the criminal tendencies of his son, Junior (a wound-tight James Vincent Meredith), do not receive undue police attention. And also to allow Pops to stay involved with two young people hanging around his apartment an addict named Oswaldo (Victor Almanzar, who also appeared in the lauded Atlantic Theater Company premiere) and Junior's wacky, weed-loving girlfriend, Lulu (Elena Marisa Flores). Much hangs on whether Pops will go quietly into the night or whether he needs to teach his former friends that the color blue does not filter out black and white. But at what cost to the man with police bullet holes in his body? Advertisement Although funny and raunchy at times, "Between Riverside and Crazy" is striving for modern tragedy in its portrayal of Pops, a man whose body somehow survived being pumped full of bullets but that seems to be in the final throes of submission to booze and broken trusts until Walker, whose performance is formidable, winds up his character for one last act of determination, fueled by a visit from a spiritually complicated character named Church Lady (Lily Mojekwu). This is a play that homes in on the issues faced by African-American police officers. "Even cops hate cops," Pops says. "Everybody especially don't like black cops. Black civilians think we Uncle Tom. White civilians think we're uppity." Staring out at Walker's weary face Saturday night, it wasn't hard to transpose onto it the younger visage of the Dallas police chief, David Brown, an officer who, it was much reported, has endured tragedy of his own. Now he has to guide his officers through one. I think any African-American police officers who find their way to Steppenwolf will be moved by the truth of what they find. "Between Riverside and Crazy" has some familiar structural elements the tension over a check, the mystical motivator. In some ways, Guirgis is modeling his narrative after August Wilson, especially in the relationship between Pops and Junior, and the presence of the Church Lady, calling Pops' soul and corpus back to life. And Peyankov's superbly cast production does meander and lose some focus late in the more challenging second act, when the play must drive, unstinting, toward its conclusion. That could be fixed, fast. For this cast is excellent without exception, and Collette Pollard's set is a very clever amalgam of original theatricality and visual marker of authorial influence. I felt like I saw shades of Wilson, Beckett and God knows what else in this Riverside Drive apartment. But that will not be your main takeaway. If you're struggling to come to terms with this moment of, well, panic, and to find human solutions, with tentacles of empathy and compassion that reach a little farther than you currently can find in your Facebook feed, be assured this play has a good deal to offer you and yours. Advertisement For one thing, it puts a clear and complex face on the African-American victim of a police shooting, one lucky enough to survive that day but hardly prosper thereafter. And since he is a police officer himself, you come to see that he is a victim twice over the shooting was arguably only a little worse than the culture of silence and acceptance that, throughout this play, he is being asked to follow. We know about cultures of silence in Chicago, of course. It feels a lot like this play was written for us all now. Chris Jones is a Tribune critic. cjones5@tribpub.com Twitter @ChrisJonesTrib "Between Riverside and Crazy" 1/2 Advertisement When: Through Aug. 21 Where: Steppenwolf Theatre Company, 1650 N. Halsted St. Running time: 2 hours Tickets: $20-$89 at 312-335-1650 or steppenwolf.org RELATED STORIES: 'Thaddeus and Slocum' tries to smile through pain of racial inequality Advertisement Important new drama 'Oak Tree' looks racial violence right in the eye Emotionally resonant 'MotherStruck' has happy ending for lesbian artist Powerful 'House That Will Not Stand' brings racial past into present Watch the latest movie trailers. Expand Autoplay Image 1 of 122 Sophie Turner as Jean Grey, anger management student, in "Dark Phoenix." The film, the latest in the "X-Men" franchise, costars James McAvoy, Michael Fassbender and Jessica Chastain. Read the review. (Twentieth Century Fox) Brad Zimmerman is the star and subject of "My Son the Waiter: A Jewish Tragedy" at the North Shore Center for the Arts in Skokie. (Brad Zimmerman photo) An actor walks into a restaurant. He says, "I'll take the extra-large order of a Dream Deferred. Hold the ambition." The manager says, "Get to work. You've got tables." Advertisement That may not be exactly how it happened for Brad Zimmerman, the subject and star of "My Son the Waiter: A Jewish Tragedy," making its local premiere in Skokie, where Zimmerman's tales of being an underachieving Jewish son landed well with the opening-matinee audience. But for 29 years, Zimmerman, a wannabe actor, did wait tables in Manhattan. "That's a lot longer than is considered understandable," he says. It wasn't that nobody wanted to cast him. He simply never put himself out there for their consideration. Advertisement Zimmerman, now 62, has made up for lost time in recent years. He played the attorney for mobster "Johnny Sack" in "The Sopranos" and refashioned himself as a stand-up comic beginning in the 1990s, opening for such notables as George Carlin and Joan Rivers. RELATED: MOST READ ENTERTAINMENT NEWS THIS HOUR His show, which has had a long off-Broadway run and also played in Florida, combines autobiography, classic Borscht Belt humor (he even delivers an old Myron Cohen joke at one point not that there are any new ones) and sardonic commentary about everything from celebrities to the everyday nebbishes he waited on during his hash-slinging days. (Zimmerman never worked the fancy joints it would have been too much work for a guy whose greatest ambition was to go home.) Some of the material feels stale or overcooked. Madonna's obsession with Kabbalah goes back 20 years and is past its sell-by date, even for a down-market beanery. And how many times have you heard the joke about the Jewish fetus not being considered viable until it graduates from medical school? Nonetheless, Zimmerman has a winning manner underneath his gravelly voice. And there is a touching sense of existential angst in the show for anyone who's ever looked up at the clock and realized that years have gone by without anything happening. In this way, the show has some glancing similarities to Claudia Shear's 1990s solo about her years in the career detour lane, "Blown Sideways Through Life." "I don't really live life. I tolerate it," Zimmerman says. To those who sigh, "There aren't enough hours in the day," he responds, "I've never needed more than 11." That combination of self-loathing and contempt for others will be familiar to anyone who has spent a lot of time in the service-sector trenches. And though his Jewish mother gets second billing (he imagines her responding to friends bragging about their fabulously successful offspring with "If all goes well, Brad is going to buy a bookcase"), fear of disappointing one's parents is about as universal a story as you can get. On the other hand, despite his own shortcomings, Zimmerman admits that he has high standards for women that have kept him from finding a longtime partner. A section where he goes through six ways to get out of a blind date might work better for women in the audience if they imagine themselves delivering, not receiving, the lines. On the other, other hand, he also riffs on what it would be like if women catcalled him. "I love a man who looks like he just gets by." Advertisement Zimmerman's dad a genial furniture salesman also makes a few appearances in Zimmerman's narrative, including an unabashedly sentimental one toward the end of dad's life. Zimmerman's greatest regret is that his dad didn't live to see his son realize his long-delayed career as a performer. In what feels like a distinctly overcompensatory move, the show ends with Zimmerman going through the famous people he's opened for and all the nice things they've said about him. It's also frustrating that we don't get more details on Zimmerman's journey from a guy too afraid to really follow his bliss (or too unsure what that bliss might be) to where he is today. We need to hear what flipped the mental switch and told him "Let's DO this already!" Despite these caveats, Zimmerman's show is meat-and-potatoes comfort food, seasoned with enough vinegar and pepper to make a satisfying meal. And it might make you look with fresh compassion upon those who struggle to get your food to the table the ones who, as Zimmerman jokes, will have epitaphs reading "I'll be right with you." Kerry Reid is a freelance critic. "My Son the Waiter: A Jewish Tragedy" 3 STARS When: Through Aug. 7 Advertisement Where: North Shore Center for the Performing Arts, 9501 Skokie Blvd., Skokie Running time: 1 hour, 30 minutes Tickets: $46-$56 at 847-673-6300 or mysonthewaiter.com RELATED STORIES: Profiles Theatre space getting a new tenant Outdoor 'Midsummer Night's Dream' has the power to transform Advertisement Of cops, race and gunshots: 'Riverside' lands hard in a time of crisis Watch the latest movie trailers. Expand Autoplay Image 1 of 122 Sophie Turner as Jean Grey, anger management student, in "Dark Phoenix." The film, the latest in the "X-Men" franchise, costars James McAvoy, Michael Fassbender and Jessica Chastain. Read the review. (Twentieth Century Fox) Adam Gray, shown in 2015 at the Hill Correctional Center in Galesburg, is hoping an evolution of fire science will prove his innocence in a 1993 fatal arson. (E. Jason Wambsgans / Chicago Tribune) Adam Gray has long pinned his hopes of freedom on the chance that Cook County prosecutors one day would agree that an evolution in fire science raised too many troubling questions about his conviction for setting a fire that killed two people in Chicago's Brighton Park neighborhood. Now, two decades after his mandatory sentence of life without parole, it appears that day has finally come. Advertisement Prosecutors in State's Attorney Anita Alvarez's Conviction Integrity Unit concluded in recently filed court papers that Gray deserves a new trial because dramatic advancements in fire science have "partially invalidated" expert testimony that was crucial to Gray's arson and double murder conviction in 1996. "Therefore, Gray's original conviction is based, to an unknown degree, upon scientific testimony that is no longer valid or accepted by the relevant scientific community," Assistant State's Attorney Celeste Stewart Stack wrote in agreeing to Gray's request for a new trial. Advertisement The turnabout in Gray's fortunes has been slow in coming. Although advancements in fire science date to the early 1990s the time of the fire that Gray was convicted of setting investigators took years to embrace them. Instead, they continued to probe fires with methods they learned from more veteran colleagues or gathered from their own experience, even though the practices had no foundation in science. Today, those new rules are widely accepted by fire investigators, and prosecutors and defense attorneys around the country have evaluated old convictions to determine if the fires at the center of them were, in fact, arsons. Many convictions have been set aside. Perhaps the best known re-examination involved the case of Cameron Todd Willingham, who was executed in Texas in 2004 for setting a fire that killed his three young daughters. A Tribune investigation of the case that year showed that Willingham's conviction was based on faulty science. A state forensic science commission in Texas later agreed that the conviction was flawed, and Willingham is seen by many as the first person proved by science to have been wrongly executed. However, Willingham's prosecutor still stands by the conviction. In Gray's case, the fire occurred in March 1993 when Gray was 14 and allegedly angry with a girl who lived in a two-flat in the 4100 block of South Albany Avenue because she had rejected him. Police and prosecutors said the eighth-grader ignited an accelerant he poured on the enclosed back porch of the second floor and the stairs. While the girl and her parents escaped, the second-floor tenants, Peter McGuiness, 54, and his sister, Margaret Mesa, 74, died. At trial, prosecutors focused on two elements the evidence that the fire had been intentionally set and a confession from Gray. Two fire investigators said they found alligator charring and deep burn patterns at the scene and concluded they were evidence of a hot fire set with an accelerant. A milk jug found in the alley behind the home contained what police believed was an accelerant, and a gas station clerk said Gray bought gas shortly before the fire. Gray, in the statement to police, admitted buying gasoline to set the fire, but he later denied the admissions, saying he confessed only due to pressure from the officers questioning him pressure he said he could not withstand. He said that he was sleeping at a friend's home when the fire was set. Gray's lawyers began to build momentum after they turned to two of the nation's leading fire scientists, John Lentini and Gerald Hurst both of whom the Tribune had asked to evaluate the arson investigation in the Willingham case. Hurst concluded the initial investigation had been undermined by the determinations that the alligator charring and burn patterns indicated arson, saying they were not indicators of arson. Advertisement Hurst said, too, that the fire investigators at the time had failed to do a thorough enough investigation to rule out accidental causes. While it was known at trial that the substance in the milk jug was not gasoline it was what is known as a petroleum distillate Lentini determined that it was different than the substance in wood at the scene, something commonly found in treated wood products. Neither, he determined, was an effective accelerant. A year ago, faced with information from Lentini and Hurst, prosecutors said they were confident in Gray's conviction and were not at the time willing to agree to a new trial. In court documents, they pointed to Gray's confession as a reason to stand by his conviction. But the office's position has now changed. Sally Daly, a spokeswoman for Alvarez, cited advancements in fire science for the decision to agree to a new trial, though the evidence amassed by Gray's attorneys is essentially unchanged since prosecutors opposed a new trial a year ago. Judge Angela Petrone is expected to rule in the case within the next few weeks. Daywatch Weekdays Start each day with Chicago Tribune editors' top story picks, delivered to your inbox. > Terri Mascherin, one of Gray's lawyers, said she was pleased that prosecutors had agreed to grant Gray a new trial. Advertisement "We truly are working cooperatively with the (prosecutors), and they're doing the right thing," she said. Daly said prosecutors plan to retry Gray, but it is unlikely that Alvarez would still be in office by the time a new trial was scheduled. She lost the Democratic primary to Kim Foxx, who criticized Alvarez for not doing enough to right wrongful convictions. She leaves office after the November general election. Either way, Mascherin said Gray's legal team is confident he would be acquitted at a retrial. "We believe, based on what today's science makes clear, that there is no evidence this fire was an arson," Mascherin said. "We believe if this case is retried the jury will find Adam Gray not guilty." smmills@chicagotribune.com Twitter @smmills1960 Robyn Crutch, center, and other activists are in a standoff with police on State Street during a march against police brutality through the Loop on July 11, 2016. (E. Jason Wambsgans / Chicago Tribune) For the fifth consecutive day, crowds of demonstrators gathered downtown Monday to protest police violence against African-Americans. A sit-in in Millennium Park organized by Chicago high school students was followed by a rally in Federal Plaza and a march that clogged traffic into the early evening as hundreds of protesters wound their way through sweltering downtown streets. Advertisement "We have to keep saying black lives matter because society shows us otherwise," said Eva Lewis, 17, a Walter Payton College Prep student who organized the early afternoon sit-in. "We're not anti-police, we're anti-racism." Holding signs and placing black tape over their mouths, the sit-in participants filled the grassy pavilion in the northwest corner of the park, drawing the attention of tourists and motorists on Michigan Avenue. Advertisement People gather at Federal Plaza to protest police brutality on July 11, 2016. (Brandon Chew/Chicago Tribune) (Chicago Tribune) "The push was for this to happen on Saturday, but we decided to do it today because I think the protests need to be happening more often, not just when the fire starts," said another organizer, 16-year-old Maxine Wint of Kenwood Academy. "I think it's important for us to speak out against police violence and gun violence and to talk about how the two are linked together." Protests have been held in several cities nationwide following two fatal police shootings in Baton Rouge, La., and suburban St. Paul, Minn. Those shootings were followed Thursday by the sniper killings of five officers and the wounding of seven more in Dallas during a protest over police brutality. In Chicago, protesters have organized daily demonstrations since Thursday. Wint said it is important for young people to get involved in the civil rights movement and realize that issues of injustice and racism are present-day concerns, not relics from the past. She said students often talk about police shootings and violence in the neighborhood during classroom discussions about Afro-literature or when discussing plays by African-Americans. "We're still facing the same things as when my grandmother marched in the times of Martin Luther King," Wint said. Protesters baked in the sun as volunteers passed out bottles of water. At the edge of the pavilion, Chicago police officers kept watch. After the sit-in, which lasted about two hours, the crowd marched to Federal Plaza for a rally led by Black Lives Matter Chicago and the Chicago Alliance Against Racist and Political Repression. The crowd grew larger through the afternoon and came to include people with briefcases who had just left work and parents pushing strollers with young children. A black woman held a sign that read, "I hope I don't get killed for being black." On the other side of the crowd, a white woman held a sign that read, "White Silence Equals Consent." Advertisement Frank Chapman, leader of the Chicago Alliance Against Racist and Political Repression, told the crowd that the organization doesn't condone the killing of police officers and called the Dallas shootings "a criminal act." But he demanded punishment for the officers responsible for the deaths of Philando Castile in St. Paul and Alton Sterling in Baton Rouge, calling the nation's policing system "so hypocritical, it's pathetic." He assured those gathered that continued nonviolent demonstrations and organized resistance could put an end to police brutality. "That's how slavery ended," he later told reporters. "That's how Jim Crow ended." Daywatch Weekdays Start each day with Chicago Tribune editors' top story picks, delivered to your inbox. > Dymeasha Gilleylen-Echols, 25, attended the sit-in, march and the demonstration outside the federal building after her younger cousin told her about it, as she "wanted to see what it's like." She said she was impressed by the diversity of the crowd. "It just shows that everybody in the world isn't racist. Whites, blacks and Latinos want to come together and that's beautiful to see," she said. "This is my first time here but it won't be my last time." After the rally, several hundred demonstrators took to the streets, carrying signs and chanting before ending up where the day's activities began at Millennium Park. Advertisement Police said one person was arrested during the protest, but the charge was not immediately known as of Monday evening. poconnell@chicagotribune.com meltagouri@chicagotribune.com Twitter @pmocwriter A Decatur man that police in the central Illinois town claim was armed with a gun and knife is being hospitalized for injuries after he was shot by a police officer. Decatur police said Monday that its officers were notified around 1 a.m. of a man with a gun. Police say the officers encountered a man matching the description and an officer fired, striking the man in the chest. Advertisement The man who was shot was taken to a hospital. He's listed in serious condition. WAND-TV reports the man is a 40-year-old black Decatur resident and the officers are white. The officer who fired is a five-year department veteran. Decatur is near Springfield. Advertisement The investigation has been turned over to Illinois State Police. The shooting comes amid recent high profile police-involved shootings of black men in Louisiana and Minnesota. Those shootings were followed Thursday by the sniper killings of five officers and the wounding of seven more in Dallas during a protest there about police violence. Associated Press The makeover of historic Union Station inched ahead Monday as Mayor Rahm Emanuel and regional transportation officials named an engineer for the project's next phase even as they acknowledged that the work will cost hundreds of millions of dollars and that they'll need help from Springfield and Washington to foot the bill. The global engineering company Arup, which has a Chicago office, is being awarded the $6 million contract, officials said. The project calls for preliminary designs that will address long-simmering problems at the station, including an overcrowded passenger concourse, narrow platforms and foul-smelling air. Advertisement "The problems with Union Station have been festering for many years," Metra chairman Martin Oberman said at an afternoon news conference at Union Station. The project will cost several hundred million dollars, Oberman said. Emanuel plans to push for funding for the project when he meets this week in Washington with U.S. Transportation Secretary Anthony Foxx. Advertisement The new Amtrak Metropolitan Lounge is now open at Union Station, seen July 11, 2016, at a news conference to introduce the second phase of renovations to the Chicago landmark. (Phil Velasquez / Chicago Tribune) "You cannot have a 21st-century economy running on 20th-century infrastructure," the mayor said. Union Station designed by Graham, Anderson, Probst & White, the successor firm to the noted architect and planner Daniel Burnham was built in 1925. Recent upgrades to the station, the nation's third-busiest railroad terminal, include a just-opened, two-level lounge for Amtrak's first-class passengers and the shifting of some Amtrak passenger waiting areas to the station's historic Great Hall. Both steps were designed to relieve overcrowding in the concourse, east of the Great Hall. A CTA rapid-transit bus terminal, under construction a block south, is due to open in September. Of the 125,000-plus riders who pass through Union Station each day, Oberman said, 110,000 are Metra riders. The station also is a major hub in Amtrak's national rail network and serves a dozen CTA bus lines. Emanuel downplayed the likelihood special taxing districts, which state lawmakers recently empowered the city to create to help pay for big-ticket transit projects, would be part of the funding mix at Union Station. "The transportation (tax increment financing bill) was primarily for the Red (Line) and Purple (Line) modernization, as well for the extension of the Red Line," he said. "It doesn't really figure into this." Daywatch Weekdays Start each day with Chicago Tribune editors' top story picks, delivered to your inbox. > Emanuel's assessment came even though the tax increment finance legislation, approved as part of a compromise on the stopgap budget bill in Springfield, gives him and the City Council discretion to create districts near "L" tracks, and within a half-mile of the center of the Union Station building. The districts could remain in place for up to 35 years and would remove a portion of increased property tax revenue within their boundaries to pay for the projects. Expand Autoplay Image 1 of 21 Commuters fill one of the platforms as they enter the south concourse at Union Station. (Michael Tercha, Chicago Tribune) Although Emanuel soft-pedaled the use of money from special taxing districts, known as TIFs, Regional Transportation Authority Chairman Kirk Dillard said money from a Union Station TIF could help bankroll the renovations. The new TIF bill "will clearly give us some economic value to help, especially (to) match and maximize federal resources," Dillard said. Advertisement Officials said it will take roughly 18 months for Arup to deliver its preliminary designs for Union Station improvements. The preliminary work will help officials establish the cost of the project and lobby for funding with federal and state officials. The upgrades are probably five years, or more, in the future, officials said. Arup has extensive experience in the design and operation of historic transportation hubs that serve different modes of transit, officials said. Its current projects include Crossrail, a new service designed to link London's main job centers such as Heathrow Airport, Canary Wharf and the City, London's financial center. bkamin@tribpub.com jebyrne@chicagotribune.com Twitter @BlairKamin Welcome to Clout Street: Morning Spin, our weekday feature to catch you up with what's going on in government and politics from Chicago to Springfield. Topspin Upon closer inspection, the extra $200 million-plus for Chicago Public Schools pensions that's part of the stopgap budget deal is far less solid than it would appear. Advertisement The budget-saving CPS help is supposed to be doled out only if Democratic leaders and Republican Gov. Bruce Rauner can agree on state pension reform by early January. Both political philosophy and timing could get in the way. Two of the three players involved aren't over-the-moon happy about the CPS pension money in the first place. Rauner spent months decrying a CPS "bailout" before he got backed into the corner of having to cut a deal to ensure schools opened on time in Illinois come August. And Democratic House Speaker Michael Madigan for years has been trying to go in the opposite direction. He wants the state to stop picking up teacher pension costs for suburban and Downstate districts. Advertisement Timing is the other major factor. Negotiations on pension reform won't be wrapped up, if at all, until after the Nov. 8 election. By that time, Madigan might have picked up several more seats, given that he drew the map, it's a presidential year when more Democrats turn out in Illinois and Republicans likely will have the polarizing Donald Trump at the top of the ticket. Rauner himself has acknowledged it's a possibility. A few more seats would effectively give Madigan a working supermajority (he now has the minimum 71, meaning Rauner only has to pick off one Democrat on veto overrides). An even more powerful Madigan would be in no rush to reach agreement on pension reform, especially given that would mean crossing the very unions that contributed big sums to help him in House races. As for the CPS pension help measure itself, there's currently a hold on the bill in the Senate, per the budget agreement. Lawmakers aren't supposed to send it to the governor until there's a deal on pension reform. Failing that, Rauner gets to veto the bill. A Democratic override is unlikely due to the timing. Rauner gets 60 days to act on the bill after lawmakers send it to him, and the current General Assembly will gavel to close before Jan. 11. If Rauner vetoed the bill after that point, no override vote could be held it's a different crop of lawmakers. Dealt a tough hand is Democratic Senate President John Cullerton, who wants both the CPS pension help and state pension reform. The two parties are negotiating off Cullerton's 2015 pension plan, which amounts to giving government workers a choice between keeping more generous yearly cost-of-living increases or continuing to count pay raises in calculating their retirement benefits. The idea is to try to thread the needle on Illinois Supreme Court rulings that found the state constitution's pension protection clause to be ironclad. What's on tap *Mayor Rahm Emanuel will announce the design firm for the Union Station restoration. *Gov. Bruce Rauner will announce a "freight hub" project in Joliet. *The Chicago City Council's Public Safety Committee meets at 1 p.m. to consider Alicia Tate-Nadeau's appointment as executive director of the city's 911 center. Advertisement *The week ahead: Tuesday: Donald Trump is in town for a campaign fundraiser, City Council ethics committee meets. Wednesday: Cook County Board committees and regular board meeting, including approval of Dr. Ponni Arunkumar as the new medical examiner and Commissioner Jesus "Chuy" Garcia's mandatory paid sick leave proposal; Thursday: City Council transportation and pedestrian/traffic safety committees meet. What we're writing *Latest sign of Chicago financial stress: Debt rose by $1 billion last year. *Emanuel to drop $30 fee to take police, fire exams to encourage more minority participation. *Duckworth outraising Kirk in U.S. Senate race. *Ex-Rep. Walsh says Twitter took down his Dallas "Watch out Obama" tweet. *Illinois appeals court orders release of Chicago police disciplinary records. Advertisement *Jesse White's office orders "Pastafarian" who wore strainer on head to get new license picture. What we're reading *How Chicago school construction furthers race and class segregation. *McHenry Democrats pick Bartman to replace Franks on ballot (no, not that Bartman). *How a $2 roadside drug test sends innocent people to jail. From the notebook *Emanuel's big score: Mayor is really cranking up his fundraising efforts, reporting nearly $275,000 in campaign contributions late Friday. More than $161,000 came from three unions that maxed out at $53,900 each. All of the contributions carried a June 30 date, the final day of the second quarter reporting period. The mayor collected more than $591,000 from April through June, most of it last month. Emanuel is either gearing up to run for a third term in 2019 or making sure he's not considered a lame-duck mayor until he decides. As Sun Tzu wrote in "The Art of War," "invincibility lies in the defense; the possibility of victory in the attack." Advertisement *New Kirk TV ad attacks Duckworth settlement: Following up on an early radio ad, Republican U.S. Sen. Mark Kirk is continuing his attack on Democratic challenger Tammy Duckworth with a TV ad criticizing a $26,000 settlement of a lawsuit that alleged workplace retaliation during her time as head of the state Department of Veterans' Affairs. The lawsuit, settled last month by Attorney General Lisa Madigan's office, which represented Duckworth, involved no admission of wrongdoing. Duckworth, a two-term congresswoman, was appointed to the veterans post by now-imprisoned former Gov. Rod Blagojevich after she lost a 2006 bid for Congress. The ad calls the Democratic candidate "Blagojevich's Tammy Duckworth" and features a picture of the two of them together. It borrows from the radio ad by saying "the Democratic machine spent your tax dollars defending Duckworth" an apparent reference to the attorney general, who is the daughter of House Speaker Michael Madigan. It also uses a phrase that Kirk himself has used to describe Duckworth, labeling her a "partisan pawn" who puts "politics before veterans." A preliminary review of federal television station records show what appears to be a limited buy for the ad, with only $35,000 being spent alone on Chicago stations ABC-7 and NBC-5. (Rick Pearson) *Dem congressional committee ad in 10th District: Cable TV records show the Democratic Congressional Campaign Committee is airing more than $153,000 in ads in the North Shore 10th District in a bid to unseat Republican U.S. Rep. Robert Dold of Kenilworth. The generic ads, being run nationally in targeted districts, do not directly attack Dold but instead infer that he is backing controversial presumptive Republican presidential nominee Donald Trump. "Republicans in Congress are standing by him," the narrator says of Trump in one of the ads. Dold, however, has never backed Trump's presidential bid. Dold is being challenged by former Democratic U.S. Rep. Brad Schneider of Deerfield. Dold campaign spokeswoman Danielle Hagan said the DCCC was "falsely hoping voters aren't smart enough to see through this laughably dishonest ad" to "prop-up" Schneider. (Rick Pearson) *Proft PAC: Since it was founded in fall 2012, the Liberty Principles political action committee run by radio talk show host Dan Proft has raised more than $12.4 million. But 94 percent of that total, or more than $11.6 million, has come from only four donors, State Board of Elections records show. They include Richard Uihlein, of Lake Forest, who gave Proft's PAC another $1.5 million late last month. The PAC, which is aligned with Gov. Rauner in supporting Republicans who back his business-oriented "turnaround agenda," has collected more than $6.6 million this year alone. Advertisement Far and away, Uihlein is the Proft PAC's biggest donor. He has given Liberty Principles nearly $6.6 million, or more than half the money the PAC has raised. A wealthy conservative GOP donor on the federal and state level, he owns Uline Inc., a distributor of shipping materials, and he backed Proft's unsuccessful 2010 bid for governor. Uihlein also is a backer of Rauner, who in mid-June put $2.5 million of his own money directly into Proft's PAC, making him its second-largest contributor. Another $2.34 million to the Liberty Principles PAC this year came from the Rauner-connected "Turnaround Illinois" PAC, including more than a half-million dollars in March. Rauner contributed $2.25 million of his own money to "Turnaround Illinois," while businessman Sam Zell donated another $4 million. Also among the top donors to Liberty Principles PAC is the Illinois Opportunity Project, which provided $225,000 in loans this year. Proft is a co-founder of the tax-exempt organization, which on its website says it "promotes legislative solutions in advance of free markets and free minds." (Rick Pearson) *The Sunday Spin: This week's guests were Amanda Vinicky, statehouse correspondent for WUIS-FM and Illinois Public Radio, talking about the stopgap budget; newly inaugurated Democratic state Sen. Omar Aquino, of Chicago; and Bill Daley, former U.S. commerce secretary and White House chief of staff, talking about the Democratic Party. Listen to the full show here. Follow the money *Ald. Jason Ervin, 28th, who's attracted some federal attention lately, just put $20,000 of his own money into his campaign fund, though he's not up for re-election until 2019. Advertisement *Cook County Commissioner Bridget Gainer, D-Chicago, got $50,000 from an operating engineers fund. *Illinois Sunshine looks at labor union contributions. *Track campaign contribution reports in real time with this Tribune Twitter account: https://twitter.com/ILCampaignCash Beyond Chicago *Trump caught in middle of platform fight on gay rights. *NAFTA still a sore spot for some in Michigan as Clinton runs. *Dallas suspected gunman taunted police during standoff, had plans for wider attack. Advertisement *Kansas looks at dubbing public schools "government schools." Activists clash with police as they attempt to block traffic on Michigan Avenue at Jackson Street before taking their protests inside the annual Taste of Chicago festival on July 10, 2016. (Michael Tercha / Chicago Tribune) Mayor Rahm Emanuel on Monday tried to thread the needle between supporting the rights of activists to protest police misconduct and having the backs of the rank-and-file Chicago police officers who work at those protests. In his first news conference since five Dallas police officers were gunned down Thursday by a man who opened fire on them at a march there to protest police violence, Emanuel argued it's "not a binary choice" in which people have to pick the police or those who have taken to the streets with increasing frequency to draw attention to officers' shootings of African-Americans. Advertisement Over the weekend, a crowd marched into the Taste of Chicago in Grant Park and also blocked stores on North Michigan Avenue to protest recent police shootings in Louisiana and Minnesota. Police said almost two dozen activists were arrested in weekend clashes with officers. "You don't have to pick sides to want good policing, safety and community relations," the mayor said when asked about the weekend protests. "I would say we're all on the same side, and that would be my point in this discussion. And the good news is, people can enjoy the weekend throughout the city, whether it was Taste, whether it was protests, whether it was going to the beach, whether it was hanging out at a park. And people did. And a lot of our ability to do that, not exclusive, was because we had the type of security from our officers who do that every day, do an extraordinary job every day." Advertisement Emanuel's standing is shaky in the city's African-American community following the release of video of the fatal shooting by white police Officer Jason Van Dyke of black teen Laquan McDonald. With shootings and homicides up this year across the city, the mayor also lamented last year that patrol officers were becoming "fetal" because they don't want to risk getting caught on video doing something that could result in them being disciplined or fired. So the mayor is trying to avoid further antagonizing one side or the other with the current round of protests. As he often does, Emanuel instead attempted to highlight positive interactions between police and Chicagoans. "Every experience between an officer and a citizen is a learning experience for both parties," he said while at an event to talk about renovations to Union Station. "And I have seen, you know, when I was in the Second District, for example, they told me the progressive church ... had dropped doughnuts off at the Second District." jebyrne@chicagotribune.com Twitter @_johnbyrne DALLAS The emotional aftershocks from the nation's recent spate of fatal shootings by and of police intensified Monday, with the Dallas police chief revealing that he and his family have received death threats ever since a sniper killed five officers there last week. On the eve of a memorial service for those slain officers, Chief David Brown turned highly personal at a news briefing, speaking of the toll the violence is taking on his department. "We're all on edge,'' he said. "My brain is fried. I'm running on fumes. . . . We're asking cops to do too much in this country.'' Hundreds of miles away, in Louisiana's East Baton Rouge Parish, the district attorney said he would recuse himself from the investigation into the death of Alton Sterling, one of two black men shot and killed by police last week in incidents caught on video. Prosecutor Hillar C. Moore III cited his close relationship with the parents of one of the officers involved in the case. State officials noted that the Justice Department has taken over the investigation. The first police account of that deadly encounter, as detailed in an affidavit filed by a Baton Rouge detective, is that officers saw the butt of a gun in Sterling's front pants pocket while trying to restrain him and opened fire after they saw him reach for the weapon. Amid the dizzying currents of protest and violence - including the shooting deaths of two bailiffs at a courthouse in Michigan on Monday - President Obama has the difficult task of trying to heal Americans' growing rifts over race and policing. The president is scheduled to land in Dallas on Tuesday to attend the city's memorial service, where he will offer condolences to the officers' families as well as the broader community, White House press secretary Josh Earnest said Monday. Obama will convene a meeting at the White House the following day to bring together all sides of the issue, including law enforcement, activists and civil rights leaders. The goal, Earnest said, is for discussion and solutions to repair "the bonds of trust that have frayed in so many communities between law enforcement officials and the citizens that they're sworn to serve and protect." The demonstrations that had set more than half a dozen cities on edge both day and night slowed Monday, although several hundred people again converged on downtown Chicago. Marches and confrontations with police in recent days have resulted in more than 200 arrests, most in Baton Rouge and Falcon Heights, Minn., the St. Paul suburb where Philando Castile was fatally shot after a routine traffic stop. At Parkland Memorial Hospital in Dallas, where many victims of Thursday's rampage that killed the five officers and wounded nine others were taken, trauma surgeon Brian Williams struggled to contain his feelings. "This experience has been very personal for me and a turning point in my life," he said at a news conference. "There was the added dynamic of officers being shot - we routinely care for multiple gunshot victims - but the preceding days of more black men dying at the hands of police officers affected me. I think the reasons are obvious. I fit that demographic of individuals. "But I abhor what has been done to these officers, and I grieve for their families,'' Williams added, breathing deeply as he spoke. "I understand the anger and the frustration and distrust of law enforcement. But they're not the problem. The problem is the lack of open discussions about the impact of race relations in this country. . . . The killing, it has to stop.'' Chief Brown also expressed emotions not often publicly shared by law enforcement officials. At his briefing, in addition to mentioning the death threats via Facebook, he spoke of having to prepare for the five funerals that will begin Wednesday. But he also invoked his inner strength. "I'm a person of faith. I'm here today as a testament to God's grace and sweet tender mercies," he said. He then spoke of the challenges for police across the country, who he said are facing increasing pressure from all sides, and revealed that he was considering mandating that all of his force seek counseling in coming months. For those Americans demonstrating against police in their own cities, Brown had a message. "Become a part of the solution," he said. "We're hiring. Get off that protest line and put an application in. And we'll put you in your neighborhood, and we'll help you resolve some of the problems you're protesting about." Authorities have said Micah Xavier Johnson, 25, an Army veteran, shot the officers during a Black Lives Matter protest. Later, police detonated a bomb-carrying robot in the parking garage where he had fled, killing him. Police revealed additional details Monday, saying 11 officers fired back at Johnson and two others controlled the robot. They said the department is conducting a comprehensive investigation, including reviewing dash-cam videos from police cars and other videos from businesses in the downtown area. Johnson's parents broke their public silence Monday, saying in an interview with the Blaze that his behavior changed after he was discharged from the Army last year. Delphine Johnson said her son had previously been fun-loving but became a "hermit'' after his six-year service, which included a deployment to Afghanistan. "The military was not what Micah thought it would be," Delphine Johnson said. "He was very disappointed, very disappointed." She added: "He loved his country. He wanted to protect his country." In Michigan, authorities revealed little about the shooting at the courthouse in Berrien County, saying only that there was "a disturbance" on the third floor shortly before 2:30 p.m. They did not identify the inmate involved, who was being transferred and was able to grab a gun and open fire. He fatally shot both bailiffs and wounded a deputy before being shot and killed himself. Chuck Heit, the Berrien County undersheriff, said both bailiffs were armed and had been "deputized,'' making them the latest law enforcement officers killed at work. That brings the number of officers fatally shot by suspects while in the line of duty this year to 27, up from 16 at this point a year ago, according to an analysis by The Washington Post. The total is two ahead of the average number at this point in the year shown in FBI data over the past decade. On the other side of the divide, 515 people have been shot and killed by police nationwide in 2016, the Post analysis found. Ty Hardaway, 44, of Dallas is in the center of a group hug after some marchers from Black Lives Matter had a meeting with counterprotest group supporting Blue Lives Matter. The two groups stood on separate sides of a busy intersection and ultimately came to an understanding. (Bonnie Jo Mount / The Washington Post) The growing national divisions over law enforcement and race hardened further on Sunday as police and political leaders condemned the recent killings of five officers in Dallas. One chief referred to Black Lives Matter protesters as "criminals," while a former D.C. law enforcement leader said the United States is "sitting on a powder keg.'' Even as people streamed into churches in Dallas and other cities and Americans tried to make sense of the past week of violence, demonstrations again were the order of the day. Advertisement Renewed protests over the latest fatal shootings of black men by police took place in Dallas, Baton Rouge, and the District, although they remained peaceful, unlike the unrest that erupted late Saturday. The momentary truce in the nation's political wars also ended. The White House announced that President Obama will travel to Dallas on Tuesday to speak at a memorial service for the slain officers, but some questioned why the nation's first African American president was not also visiting Louisiana and Minnesota, where two black men were killed by police last week. Advertisement On the Republican side, presumptive GOP presidential nominee Donald Trump edged away from his earlier calls for unity, blasting Obama and presumptive Democratic nominee Hillary Clinton and tweeting that America is "a divided nation.'' Other comments were more incendiary. Sgt. Paul Hinton prays with a group of Black Lives Matter marchers in Dallas on Sunday. Hinton said "We are not black or white or hispanic. We are blue." (Bonnie Jo Mount / The Washington Post) "You can call it a powder keg,'' Charles H. Ramsey, a former police chief in Washington and Philadelphia, said on NBC's "Meet the Press." "You can say that we're handling nitroglycerin. But obviously when you just look at what's going on, we're at a very critical point in the history of this country." More details also emerged Sunday about Micah Xavier Johnson, the gunman who shot 12 officers in Dallas on Thursday night before law enforcement detonated a bomb-equipped robot in the parking garage where he had fled. His rampage, during a Black Lives Matter protest, followed the police-shooting deaths of Alton Sterling in Baton Rouge and Philando Castile in Falcon Heights, Minn., a St. Paul suburb. Dallas Police Chief David Brown said Johnson appeared delusional, taunted police during a standoff by singing and "laughing at us" and wrote cryptic messages on a wall with his own blood. He also said Johnson was "determined to hurt more officers'' and may have been planning a larger attack, citing evidence of bombmaking materials and a journal found in Johnson's home in nearby Mesquite. The 25-year-old Army veteran, who served in Afghanistan, "had been practicing explosive detonations" and possessed enough materials "to have devastating effects throughout our city and our North Texas area,'' Brown told CNN. The new information about Johnson's behavior emerged after a tense Saturday night marked by the arrest of a prominent activist in the Black Lives Matter movement and protests in five cities nationwide that resulted in more than 200 arrests, according to activists and police. Advertisement At least 100 of those arrests were in St. Paul after what police described as rioting that injured 21 officers. In Baton Rouge, Black Lives Matter activist DeRay Mckesson was released on bond Sunday after being charged with obstructing a highway during a protest there. In an interview Sunday, Mckesson called his arrest "unlawful" and said: "The protesters were peaceful last night; the police were not.'' The fast-moving events left Louisiana's Gov. John Bel Edwards (D) asking for prayers for his state and the country at a late-afternoon news conference. "We're going to come out of this tragedy, and we're going to be stronger,'' he said, adding that the best way to remember Sterling, Castile and the five slain Dallas officers was with "peaceful demonstrations." Dallas police officer Margarita Argumedo joins the congregation at Joy Tabernacle A.M.E. Church in Dallas where the pastor, Michael W. Waters, invited officers to his services. (Bonnie Jo Mount / The Washington Post) Even as Obama flew back across the Atlantic from Spain after cutting short a European trip, aides were hastily assembling the details of his visit to Texas on Tuesday at the invitation of Dallas Mayor Mike Rawlings (D). The White House said Vice President Biden also will attend the memorial service, as will former president George W. Bush, who will deliver brief remarks. For Obama, the week's events represented his latest effort to confront two of the great crises of his term: mass shootings and growing outrage over how police use force. "I think that the overwhelming majority of people who are involved in the Black Lives Matter movement, what they really want to see is a better relationship between police and the community," Obama said at a news conference in Spain. At the same time, he added, "I would hope that police organizations are also respectful of the frustrations people in these communities feel and not just dismiss these protests and complaints." Advertisement A senior administration official said the president feels he must reach out to law enforcement after voicing the anguish of many African Americans following the shootings in Louisiana and Minnesota. Obama "channeled a lot of frustration on behalf of the African American community, that not enough progress has been made" in curbing the excessive use of force, said the official, who spoke on the condition of anonymity to discuss internal White House thinking. But in at least one Dallas church, Obama's planned trip triggered its own degree of frustration. "Mr President, I love you, I support you, I've defended you. But I need you to go to Minnesota," the Rev. Frederick Haynes III said Sunday at Friendship-West Baptist Church in South Dallas. "Maybe if the same energy and love we bring when blue lives die, maybe if we bring that same attention, affection and love when black folk get killed in the hands of cops, maybe we'll save a generation." The White House has not announced plans for Obama to travel to Baton Rouge or Minneapolis. But aides said he planned to address the issues raised in both cities on Tuesday and in the weeks ahead. The national divide even extended to the Dallas religious community. Robert Jeffress, pastor at its First Baptist Church, shared a different message during a Sunday interview on "Fox & Friends." "The New Testament says in Romans 13:4 that law enforcement officers are ministers of God sent by God to punish evildoers,'' he said, adding that he was "sick and tired of so-called ministers who do nothing but sow seeds of distrust and disrespect for the police." Advertisement At a news conference in Minnesota, St. Paul Police Chief Todd Axtell spoke in equally blunt terms in expressing his support for police. "It's really a disgrace,'' he said of Saturday night's protests over Castile's death, according to Reuters. "Protesters last night turned into criminals. And I am absolutely disgusted by the acts of some, not all, but some." On CBS's "Face the Nation,'' two prominent figures from New York also expressed differing views. Former New York mayor Rudy Giuliani (R) condemned the Black Lives Matter movement, which arose after the 2014 fatal shooting of Michael Brown by a white police officer in Ferguson, Mo. "When you say black lives matter, that's inherently racist,'' Giuliani said. ''Black lives matter. White lives matter. Asian lives matter. Hispanic lives matter. That's anti-American, and it's racist.'' But New York City Police Commissioner William Bratton, who once served as Giuliani's police commissioner, sounded a conciliatory note. Noting that he has had nearly 600 meetings with community leaders and activists in recent years, Bratton said, "It's been a time of healing.'' William Branigan, Julie Eliperin, Robert Samuels, T. Rees Shapiro, Ashley Cusick, Isaac Stanley-Becker and Mark Berman contributed to this report. Visiting U.S. Defense Secretary Ash Carter, center left, accompanied by the Iraqi Defense Minister Khaled al-Obeidi, center right, arrives at the Ministry of Defense in Baghdad, Iraq, on July 11, 2016. (AP) BAGHDAD The United States will send 560 more troops to Iraq to transform a freshly retaken air base into a staging hub for the long-awaited battle to recapture Mosul from Islamic State militants, Defense Secretary Ash Carter said Monday. The new American forces should arrive in the coming weeks. Most of the engineers, logistics personnel, security and communications forces will concentrate on building up Qayara air base, about 40 kilometers south of Mosul. They will assist Iraqi forces planning to encircle and eventually retake the biggest city anywhere that has fallen under IS' control. Advertisement The extremist group captured Mosul in the summer of 2014. It has used the city as a main headquarters since. "These additional U.S. forces will bring unique capabilities to the campaign and provide critical enabler support to Iraqi forces at a key moment in the fight," Carter said on an unannounced visit to the country. Advertisement Lt. Gen. Sean MacFarland, the top U.S. commander in the fight against the Islamic State group, said they have already received warning orders to deploy and will flow in "relatively soon." Carter announced President Barack Obama's decision as he met about 120 troops in a building at Baghdad's airport, shielded from scorching desert hovering near 100 degrees Fahrenheit. It is Obama's second U.S. troop increase in Iraq in the last three months, and it brings the total U.S. force authorization there to 4,647. If commanders need more troops, Carter said he'll "ask the president for them." Expand Autoplay Image 1 of 37 Iraqis grieve during the funeral procession of bomb victim, Akram Hadi, 24, who was killed in a Sunday massive truck bomb attack in the Karada neighborhood of Baghdad, Iraq, on July 5, 2016. (Karim Kadim / AP) Carter said he has offered Iraqi leaders more help detecting and countering roadside explosives to enhance security in Baghdad, the scene of several deadly attacks in recent days. He told reporters that U.S. advisers are prepared to accompany Iraqi battalions in operations, as those units begin the Mosul siege. It's not clear when exactly that will happen. U.S. officials said a team of American troops went into Qayara for a quick site assessment Sunday and left. The airfield has two runways, officials said, making it easier to move supplies and personnel. But the facilities are damaged by years of fighting, and may require repairs to be operational. Officials weren't authorized to talk about the airfield publicly and demanded anonymity. Iraqi forces retook the Qayara air base from the Islamic State group on Saturday. Prime Minister Haider al-Abadi hailed the success and said residents should "get ready for the liberation." Carter called it a strategic victory. Before arriving in Baghdad, he said the base will be a hub to help Iraqi forces "complete the southern-most envelopment of Mosul." He likened Qayara to the eastern city of Makhmour, where U.S. troops set up a fire base for artillery to support advancing Iraqi units. Marine Staff Sgt. Louis F. Cardin was killed there in March in an IS rocket attack. Advertisement MacFarland said the new forces won't go any closer to the fight than troops operating out of Makhmour or Taqaddum, a staging base for the battles in Ramadi and Fallujah. Iraqi forces recently retook both cities. American advisers are working at brigade level with Iraqi special operations forces, but haven't accompanied them on operations. Obama in April allowed U.S. troops to assist Iraqi forces at brigade and battalion levels, where they could operate closer to the battle. They would still be behind front lines. They previously were limited to advising at headquarters and division levels, further away. Despite recent battlefield successes, the Islamic State still controls large parts of Iraq. And it continues to launch deadly attacks, including a massive suicide bombing last week at Baghdad's bustling commercial area of Karada. As many as 186 were killed. Associated Press ST. JOSEPH, Mich. Two bailiffs were killed and a deputy sheriff wounded in a shooting Monday afternoon at a courthouse in Berrien County, Michigan, when an inmate being transferred from a cell grabbed a gun and opened fire as he tried to flee, authorities said. The gunman -- identified by police as 45-year-old Larry Darnell Gordon-- was shot and killed by other bailiffs, but only after he had shot four people, two of them fatally, Sheriff L. Paul Bailey said at a news conference. In addition to the bailiffs and the deputy, the inmate also shot a civilian in the arm. "All indication is that he was trying to break out of jail," Bailey said. Bailey identified the bailiffs who were killed as Joseph Zangaro, 61, and Ron Kienzle, 63. Zangaro, head of courthouse security, retired from the Michigan State Police and has been working with the county trial court system since 2004. Kienzle, a former police sergeant and serviceman, has been working for the court system since 2005. "I lost some friends today," Bailey, who was visibly shaken, said during the briefing. "So I'm here with a heavy heart." The incident began on the courthouse's third floor shortly before 2:30 p.m. As Gordon was being taken out of a holding cell to be taken to a courtroom for an arraignment, a fight took place and he "was able to get the deputy's gun away," Bailey said. Gordon then moved out of that area, shot and killed two bailiffs and then took "several hostages for a short period of time until he tried to leave through another door," Bailey said. That's when two other bailiffs shot and killed Gordon. All the officers involved were armed. Jail records provide little information on Gordon, but they indicate he is a 44 a white man who was booked in April. They do not list charges against him. Bailey said he was being held in the county jail for "several felony charges." Gordon did not appear to be handcuffed, Bailey said. "We had no indications that he had been violent. He hasn't been in our jail" previously," Bailey said. "At this time, we had no warning signs of him doing anything but we're going to continue to investigate it." "Our hearts are torn apart," Bailey said. "They were our friends. They were my colleagues. I've known them for over 30 years. So it's a sad day." There were 10 court officers working Monday, Bailey said. Chuck Heit, the Berrien County undersheriff, said in a telephone interview that both bailiffs were armed. Heit said they were both "deputized by the sheriff," which makes them the latest law enforcement officers killed in the line of duty -- their deaths coming just days after a gunman killed five police officers in Dallas last week. That brings the number of law enforcement officers fatally shot by suspects while in the line of duty to 27, up from 16 a year ago -- and slightly ahead of the average number at this point in the year (25) shown in FBI data over the last decade. The wounded deputy was taken to nearby Lakeland Regional Hospital and treated in the emergency room, Bailey said. This deputy was in stable condition, Bailey said. Bailey had said earlier that "several" civilians were hurt in the incident, including the one who was shot. Later on Monday, Bailey only mentioned one civilian being taken to the hospital. "They went for shelter once the shooting occurred and other brave officers were able to come to their rescue and take the shooter down," Bailey said. Hours after the shooting, yellow police tape stretched around the courthouse as sheriff deputies in uniform and military gear patrolled the perimeter. People from the neighborhood gathered outside the perimeter of the building, which sits atop a ridge overlooking Lake Michigan in the heart of downtown St. Joseph. Most stood silently, in shock that the mass violence they had read about in other parts of the United States had come to their small city. "You live in a small town and think it won't happen here and then it does," said Sally Crumley, 76, a retirement home worker. She said she worries that her son, a police officer in Fort Lauderdale, Florida, will be vulnerable following the shootings in St. Joseph and the events in Dallas. "It's just sad. I don't know what's going on. Everything just seems to be in chaos these days." Jiera Welche, 38, lives across the street from the courthouse. When the shootings happened she received a call from her husband, Lee, who told her to stay inside. The couple feared that snipers were attacking police as they had in Dallas last week. "Nobody knew what was going on," said Lee Welche, 40. "My first concern was for her." Marcus Muhammad, mayor of nearby Benton Harbor, said that the shooting "was a reminder that tragedy can strike anywhere at any time." "The thing about this tragedy is that it showed that it can happened at any place and time, even in this great community," Muhammad said. "Send your prayers this way because we need them." The Michigan State Police said they responded to the shooting, and Gov. Rick Snyder, R, posted on Twitter at 3:30 p.m. that the state police "secured the scene at the Berrien County courthouse and started its investigation into the shooting that occurred this afternoon." "This is a particularly tough time for law enforcement so I ask everyone to reach out and . . . [show ] support for law enforcement across the state and across the country," Snyder said at the news conference Monday evening. Rep. Fred Upton, R-Mich., said after the shooting that "we must do better to prevent these types of tragedies." "What occurred today in my hometown breaks my heart," he said in a statement. "My thoughts are with our entire community - our friends and neighbors. This tragic event reminds us all too well that our law enforcement officers have their lives on the line every day not knowing what that day will bring. We have lost two very able public servants and we all grieve for them and their families." Video footage posted online that appeared to be from outside the courthouse in southwestern Michigan showed numerous police vehicles, their lights flashing, parked outside the building shortly after the shooting. Chris Gautz, a spokesman for the Michigan Department of Corrections, which operates a probation office in the courthouse, said one of their employees was in a third-floor courtroom at the time of the shooting and saw the gunman. "We had a probation officer in the courtroom when it happened, and the gunman ran right past [her] and the rest of the folks," Gautz said in a telephone interview. He said the courtroom remained on lockdown. Gautz said that the probation office's staff were all secure and none were injured in the shooting. The courthouse is about 50 miles west of Kalamazoo, where an Uber driver killed six people in a shooting spree earlier this year. Berries County Sheriff L. Paul Bailey pauses during a news conference near the Berrien County Courthouse on Monday, July 11, 2016 in St. Joseph, Mich. Two bailiffs were fatally shot Monday inside the courthouse before officers killed the gunman, a sheriff said. (Chelsea Purgahn / AP) Hillary Clinton's campaign is making it official: Former Democratic rival Bernie Sanders will join her at a New Hampshire event on Tuesday where he plans to endorse her. Sanders on Monday also acknowledged what had already been widely reported: He plans to join presumptive Clinton on the campaign trail in New Hampshire on Tuesday. Advertisement An advisory sent out by the campaign of Sanders, the runner-up for the Democratic nomination, said he would join Clinton at a morning rally previously advertised by her campaign at a Portsmouth high school. While aides to both campaigns have said an endorsement is coming, the Sanders advisory only allows that he and Clinton will discuss "their commitment to building an American that is stronger together and an economy that works for everyone, not just those at the top." Advertisement Though Clinton effectively clinched the nomination more than a month ago, Sanders has been slow to formally endorse her fall bid against Republican presumptive nominee Donald Trump. He has instead maneuvered to win commitments from Clinton and the Democratic Party to incorporate portions of his agenda into theirs. Last week, Clinton announced revamped policy on college tuition and healthcare that did just that. And at a meeting on the Democratic Party platform, Sanders successfully pushed for liberal positions on an array of issues, including the minimum wage and climate change. A news release put out by Sanders on Sunday called the platform the "most progressive in party history." Associated Press contributed. You can love Donald Trump. You can hate him. But what you cannot dispute is that the way he has run his campaign since sealing the Republican nomination two months ago has been absolutely disastrous. Campaigns are complicated things. No one gets every piece of them right. Some candidates are great at big rallies. Some are good only at small events. Some are terrific TV communicators but bad on the stump. Some delegate well, and others don't. Some never waver from a message, while others can't seem to find one with a 10-foot pole. It's a high-wire balancing act every day with tens of millions of people watching. Advertisement But there are basic blocking and tackling elements of any campaign that are less complex and absolutely necessary to do if you want to win. The most basic of all? If your opponent is having a bad day or a bad week, let them have it. Just get out of the way. For example: When Hillary Clinton found herself at the wrong end of a scathing report about her email practices delivered by FBI Director James Comey last week, Trump would have done well to, literally, talk about nothing other than that for the rest of the week - and maybe even the rest of the month! Advertisement Trump seemed to grasp that early in the day on Wednesday when he tweeted this: Convention speaker schedule to be released tomorrow. Let today be devoted to Crooked Hillary and the rigged system under which we live. Donald J. Trump (@realDonaldTrump) July 6, 2016 That is absolutely, 100 percent the right thing to do. And not only that: Trump also released his June fundraising numbers a surprisingly solid $51 million raised. The daily story in the presidential race, as of Wednesday afternoon, was this: "Clinton tries to change subject on tough email report; Trump rakes in cash." Pretty good for the presumptive Republican nominee! Then Trump went to Cincinnati for a rally with vice-presidential hopeful Newt Gingrich. And it all fell apart. Trump spent the first 20 or so minutes of his speech reading from prepared notes hitting Clinton on her email practices and raising questions about her honesty and trustworthiness. Then something snapped. He threw away the notes and lit into the media and society, more generally over two recent controversies: (1) his campaign tweeting out and then removing an image that looked suspiciously like the Star of David, and (2) his comments about how late Iraqi dictator Saddam Hussein was "so good" when it came to dealing with terrorists. "I said: 'You shouldn't have taken it down.' You know, they took the star down," Trump told the crowd. "I said: 'Too bad. You should have left it up.' I would have rather defended it just leave it up and say: No, that's not a Star of David. That's just a star." But, wait! There's more! "I wake up, I turn on the television: 'Donald Trump loves Saddam Hussein. He loves Saddam Hussein,' " Trump said. "That's not what I said. So, that's the narrative." Advertisement And still more! Once the event in Cincinnati was over, Trump took to Twitter to drive home his point about being unfairly maligned on the alleged Star of David tweet. He tweeted an image of a book version of Disney's "Frozen" movie that featured a six-sided star: Where is the outrage for this Disney book? Is this the 'Star of David' also? Dishonest media! #Frozen pic.twitter.com/4LJBpSm8xa Donald J. Trump (@realDonaldTrump) July 7, 2016 Remember that good headline Trump looked headed toward? It got replaced by "Trump says campaign shouldn't have deleted image circulated by white supremacists" (The Washington Post), "In a Defiant, Angry Speech, Donald Trump Defends Image Seen as Anti-Semitic" (New York Times), and "Trump Says Deleting Allegedly Anti-Semitic Tweet Was Mistake" (Wall Street Journal). It's hard to explain how bad that turnaround is for Trump. And how avoidable it all was. What's even more remarkable is that he seemed to have the blueprint read the speech, blast Clinton, get out of the way for a good day. Instead, he voluntarily dipped into two issues debating whether he was anti-Semitic and defending his praise for a brutal dictator that are straight losers, politically speaking. What happened this past week is far from an isolated incident. Think back to the end of May. The State Department released a brutal report lambasting Clinton for her email practices. It was an absolute gift for Trump and Republicans, a perfect illustration of the narrative of corruption and pocket-lining they had been telling about Clinton. Less than 48 hours later, Trump went to San Diego and delivered an 11-minute rant against a federal judge named Gonzalo Curiel, who the real-estate mogul said was biased against him because of Curiel's Mexican heritage. (Curiel was born in Indiana, but his parents hail from Mexico.) Trump spent the next two weeks, at least, trying to get out from under the Curiel controversy a timeline extended by his unwillingness to simply apologize and move on. (Sound familiar?) Eventually, he said he had been misunderstood. Malpractice is a harsh word. But there's no other word for taking a good day and turning it not only into a bad day but potentially a bad week or a bad month. Winning campaigns play up their strengths and play down their flaws. Trump seems committed to doing just the opposite. Advertisement This isn't the campaign's fault. The campaign from manager Paul Manafort on down is clearly telling Trump the right things to do. He just isn't willing to do them. The blame is his. Pure and simple. Washington Post Chris Cillizza writes "The Fix", a politics blog for the Washington Post. A crude oil train moves through downtown Aurora. A plan for a new rail line west of Chicago's suburbs could make for fewer freight trains coming through Aurora. (Brian Cassella / Chicago Tribune) Aurora Mayor Tom Weisner said the idea of a new freight railroad line west of Chicago's suburban areas "makes a lot of sense in a lot of ways." The mayor made his comments while discussing a proposal to build what is known as the Great Lakes Basin Rail Line, an $8 billion, 278-mile-long, two-track freight railroad that would run from northwest Indiana through northeastern Illinois and into southern Wisconsin. The idea of the line is to be an outer belt for freight trains that currently travel through well-populated suburban areas including parts of Aurora. Advertisement The proposal comes from Great Lakes Basin Transportation Inc. which is supported by 14 investors. The railroad would give the six biggest railroads in the area Canadian National, the Burlington Northern-Santa Fe, Union Pacific, Norfolk Southern, CSX and the Canadian Pacific a way to quit sending long-distance freight trains through the Chicago area, and send them around it instead. That would include freights that carry what are classified as "highly hazardous freight," such as crude oil, through residential areas and downtowns. "Certainly the city of Aurora, and I think a lot of other cities along the way, would look kindly at redistributing that kind of freight through unpopulated areas," Weisner said. "We've seen the damage done by trains, even in unpopulated areas." Advertisement Aurora Mayor Tom Weisner discusses rail safety during a meeting in Aurora earlier this year. (Jon Langham / The Beacon-News) Weisner was referring to the explosion of crude oil tankers in a rural area in Quebec, Canada, that killed 47 people and caused about $2 billion in property damage. Weisner has said the impact would be substantially worse if that happened to a train moving through Aurora. "Imagine what that would be like in downtown Aurora," he said. In addition to the safety factor, the Chicago suburban area also would benefit from fewer long trains at highway crossings, fewer freight interruptions for Metra and Amtrak railroad lines and fewer trucks on the highways. Not that everyone loves the idea. At a recent public hearing in Belvidere, near Rockford, some 400 people showed to say the train line would be dangerous in their areas, and would impact farms and groundwater. The U.S. Surface Transportation Board held a series of hearings on the proposed railroad, and took public comment through the middle of June. The board will issue an environmental impact statement, followed by more public hearings. A final impact statement is likely two to three years away, and then the Surface Transportation Board must approve the railroad before construction could begin. Weisner pointed out another advantage of the new line that contrasts with current rail lines it's new. "The truth of the matter is, railroad infrastructure in this country is 100 years old, more than 100 years old, and its rickety," he said. "The reinvestment has been horrible. So, the idea of starting fresh and building new makes a lot of sense." slord@tribpub.com Superintendent Rosemary Hendricks with attorney Howard Brookins Jr. during the Bellwood School District 88 board meeting in Bellwood on June 20. (James Svehla / for the Chicago Tribune) Money in Bellwood School District 88 is tight. The district is steeped in debt, and many of the students come from low-income homes. The classroom basics such as paper and calculators are often paid for by teachers or through fundraisers, said PTA leader Maria Perez. Tablets or new textbooks are just a dream. But that hasn't stopped leaders in the west suburban district from giving perks to the superintendent or traveling to conferences in five cities in less than a year. Nor has it curbed family hiring on the taxpayers' dime. Advertisement Compensation, travel bills and other expenses found by the Tribune in a series of open records requests raise new questions about spending in the troubled school district. Previous Tribune investigations found the district cycled through more superintendents than any other in the region in recent years, and it was mired in legal bills that, on a per-student basis, were among the highest in Chicago's suburbs. Questions about the spending were referred to the school board president and District 88 attorney, neither of whom responded. Advertisement Meanwhile, student achievement continues to slide in the elementary school district. Teacher turnover grew over the past two years at a rate higher than 95 percent of districts in the Chicago area, state education records show. The personnel changes, from the superintendent post on down, have led to lawsuits, payouts and tension between administrators and the community. "Your personnel matters are touching my tax dollars and affecting my property values," Bellwood resident Arnetta Watkins told the school board during a meeting last week. Hiring Children of both Superintendent Rosemary Hendricks and school board President Marilyn Thurman were added to the district's payroll during the last school year. Hendricks' daughter Brittnay Atkinson was tapped last summer to fill a new student service coordinator job. At $70,000 a year, her salary was higher than those of 87 percent of teachers in the district. According to Atkinson's job application with the district, she has a bachelor's degree in communications disorders from Saint Xavier University and one year of experience as a teacher's aide and special education assistant. Hendricks' other daughter, Jocelyn Hendricks, who has an associate degree and is a licensed practical nurse according to her job application, was hired to fill a $78,500-a-year job as a district nurse. Last month, the school board agreed to keep her on over the summer as well at a cost of $48 an hour. Thurman's son Ferrell Wells was hired as a bus assistant. Thurman's daughter Carmen Jefferson-Thurman was hired as a lunch supervisor and building assistant. Advertisement "There is no nepotism policy not that I know of," Hendricks told the Tribune in June. "Is my daughter not qualified?" She declined to comment on whether anyone else applied for the positions. Hendricks' and Thurman's children could not be reached. During last week's meeting, resident Deborah Giles chided the School Board for the district's hiring practices. "You make bad decisions for this village," she said. "Everything I've seen so far is hiring and firing. You're trying to take over jobs. Everyone is trying to get a piece of the pie." Bonuses Giles was among the taxpayers who gave district officials an earful at a Tuesday board meeting after the Tribune wrote about a $105,503 payment the School Board approved to replenish a retirement account that Hendricks personally cashed out years ago. District 88's attorney said previously that Hendricks is required to repay the money to the district. But documents obtained by the Tribune last week show Hendricks is six months behind on the repayment agreement, which was adopted in September 2015 when District 88 paid the Illinois Teachers Retirement System on her behalf. As of May, Hendricks had repaid $7,300. Advertisement While Hendricks has 36 months to repay the district for the $105,000, there are only 12 months left in her $175,000-a-year contract. If she leaves the district, or is not rehired next year, there is no recourse for recouping the money, which, according to the agreement, "shall be considered compensation for services and part of the superintendent's severance." The added contribution would increase annual pension benefits to $77,000 from an estimated $14,000 under TRS' benefits formula. Hendricks, 66, is now eligible to retire with the pension benefits of someone who has paid into the system for 25 years, though, as of last year, she had paid into it for only 41/2 years. Taxpayers across the state will pick up the tab, potentially for years to come. Board members declined to comment on whether they read the terms of the agreement before approving it in September. "When we were coming in as new board members, there were a lot of things presented to us," said board member Patricia Crawford, who ran on a reform ticket and was sworn in on the Bellwood school board in the spring of 2015. "I'm just going to say I've learned you have to do your research." The Tribune found the pension perk isn't the only sweetener the school board added to Hendricks' compensation. Last July, the School Board approved paying Hendricks for 18 days of her 24-day vacation time. In June, before the same school year ended, the board approved paying her an additional 15 days of unused vacation time. Advertisement Hendricks said the initial payment was compensation for her first nine months on the job when she worked for $750 a day as interim superintendent. However, there was no vacation time provided in that initial contract, district records show. In all, the board approved paying Hendricks for 33 vacation days. The extra nine days on top of her 24 days per year amounts to an estimated $5,800 bonus. Last year, auditors dinged the district because "Vacation and sick days are not tracked on a consistent basis" and noted other problems with record-keeping. Travel While Hendricks was paid as if she didn't take off a single day last year, she did travel extensively on the district's dime. Over the months from March to November 2015, the superintendent and a handful of board members spent more than $20,000 on trips to Las Vegas; Nashville, Tenn.; Savannah, Ga.; Phoenix; and Washington, D.C. That didn't include the $8,300 in per diem reimbursements for related dining and travel expenses. Or the thousands more spent that year on stays in downtown Chicago hotels and per diems while attending local conferences. Advertisement The travel expenses were gleaned from district credit card statements and financial reports that, in some cases, did not show reasons for travel or length of stay. District officials forwarded all questions to attorney Michael Castaldo Jr., who did not respond to questions last week. Crawford, the only board member to respond, said all new board members are required to complete three training sessions. She opted to attend only local conferences in Chicago. The first trip on last year's travel itinerary was in March, when Hendricks, board President Thurman, Secretary Dorothy Clark-Smith and then-board members Daisy Allen and Janice Starks attended a three-day National School Boards Association conference in Nashville. Taxpayers picked up the $2,499 tab for airfare, district credit card records show. Accommodations at the Embassy Suites cost $3,554. When the group returned, the district cut them $2,700 worth of checks to cover per diem reimbursements for food and travel expenses. Under district policy, employees are required to submit "an itemized list of actual expenses with receipts attached" for reimbursements. Yet board members and Hendricks were routinely reimbursed in round numbers that averaged out to between $150 to $300 a day, financial records show. Just 3 1/2 weeks later, Hendricks was reimbursed $750 more for per diem expenses for attending a three-day conference. That week, district employees flew to Phoenix. In addition to airfare, accommodations and per diems, the district paid for a $348 bill at a steakhouse. Less than two months later, on June 16, 2015, Hendricks, Clark-Smith and board member Katie Ross attended the National Association of Latino Elected and Appointed Officials conference in Las Vegas. They stayed at the five-star Aria Resort and Casino, which cost taxpayers a combined $2,296, credit card statements show. Advertisement The following month, in July 2015, Hendricks, Thurman and Clark-Smith were off again, this time to Savannah, Ga., for the National School Boards Association's CUBE (Council of Urban Boards of Education) issues conference on school equity. Taxpayers covered the roughly $7,500 for airfare, hotel and meals, plus a couple sightseeing tours. Hendricks took one last trip to Washington, D.C. that cost taxpayers nearly $1,800 before the end of 2015 when the district paid to put her up in a Marriott hotel for six nights. The purpose of the trip was not disclosed in financial records, but the National Alliance of Black School Educators was hosting a conference in the capital that week. Hendricks' newly hired daughter Atkinson traveled as well, according to district credit cards, taking two trips to Phoenix and New Orleans. And the district picked up an airline fee when Thurman's daughter joined her mother on the trip to Georgia. Finances District officials made enough budget cuts over the past couple years to get off the state's financial watch list, but the district is still mired in nearly $30 million worth of bond debt and has exceeded a state-imposed borrowing cap. In classrooms, money for even the basics remains tight, said Perez, the PTA president at one of the district's grade schools. "We have to supply a lot of things paper towels, hand sanitizer, copy paper." Advertisement At the school board meeting last week, Crawford stepped away from her seat at the board table and joined other frustrated residents. "I welcome you to be here to keep us on the straight and narrow," she said. "I ran on the agenda of why are our kids behind, and I've found out." acaputo@tribpub.com Entrance to the Lincoln-Way Community High School District 210 office at Lincoln-Way Central High School in New Lenox on Thursday, April 14, 2016. (Chris Sweda / Chicago Tribune) Lincoln-Way High School District 210 owes $321,635 in refunds to students who were improperly charged $350 for driver's education during the 2010-11 school year, the Daily Southtown has learned. That $321,635 is in addition to $377,411 the cash-strapped school district refunded in the spring for improperly collected driver's ed fees from the 2015-2016 school year. Advertisement The district failed to renew a state waiver for the past school year that would have allowed the district to charge students more than $50 for driver's education but kept charging $350 anyway, leading to the refunds. Lincoln-Way first applied for the waiver during the 2010-11 school year, and it was approved in January of 2011, district spokeswoman Taryn Atwell said in June. Advertisement But the district's waiver was not approved before the district started collecting registration fees in the fall of 2010, and so the driver's education fees "should not have exceeded the approved $125 waiver during the fall semester," Atwell said. District officials first acknowledged the 2010-2011 overcharges in June but did not release a figure to be refunded. The district released the $321,635 figure in response to a Daily Southtown Freedom of Information Act request. Lincoln-Way has posted an application with instructions for families seeking refunds on its website, lw210.org. Parents can apply through September 1. In an email this week, Atwell said the district is "in the process of reaching out to overcharged families. Each family is asked to fill out an application." Lincoln-Way's driver's education fees controversy fits into a broader trend of financial mismanagement and questionable oversight of the district's finances, critics have said. Last year, the state placed Lincoln-Way on its financial watch list, where it remains, after years of overspending. Since then, the district has faced mounting scrutiny of its finances, including a federal grand jury investigation. Last month, the Securities and Exchange Commission opened a securities investigation and ordered Lincoln-Way to turn over records related to its bonds, budgets and annual financial reports. Advertisement Lincoln-Way North High School in Frankfort on Thursday, April 14, 2016. (Chris Sweda/Chicago Tribune) (Chris Sweda / Chicago Tribune) From fiscal years 2007 to 2015, the district overspent each year it presented a balanced operating budget, typically by millions of dollars. Daily Southtown Twice-weekly News updates from the south suburbs delivered every Monday and Wednesday > In 2009, Lincoln-Way had more than $26 million in operating reserves, but by June 2015 the school district had roughly $2.8 million on hand in operating reserves, state records show. In September 2014, Lincoln-Way's board again passed a balanced budget for the coming fiscal year, but shortly afterward Superintendent Scott Tingley privately told the board in a Sept. 22 communication that he expected a $6 million deficit that year, an internal memo the Daily Southtown obtained through a Freedom of Information Act request shows. News of the memo drew criticism from community members and the Illinois State Board of Education, with one taxpayer calling on Tingley and all Lincoln-Way board members who received that memo to resign. Last month, Lincoln-Way Area Taxpayers Unite, a community group, criticized the district following the revelation about the 2010-2011 overcharges. "As those following (Lincoln-Way) continue to look into all of the finances, past and present, it becomes more clear that there were no checks and balances even with the simplest of tasks," the group said in a Facebook post. Advertisement gpratt@tribpub.com Twitter @royalpratt Sidney Finkel spent most of July 2, the day Elie Wiesel died, in transit between his part-time homes in Matteson and Tucson. Hearing the news after arriving in Arizona, Finkel was hardly shocked he is, after all, 84 years old, and Wiesel was 87. But when they were both very young, they were imprisoned at the same time at Buchenwald, one of Nazi Germany's largest concentration camps. Advertisement "My reaction was one of sadness," Finkel said, the emotion evident in the recollection. "Before he started, (the Holocaust) was not a topic that you talked about. He's the one who made people sit up and listen." Wiesel's book "Night," recounting his experiences as a Romanian Jew in Auschwitz and Buchenwald, was published in English in 1960. In 1986, he would be awarded a Nobel Peace Prize. Advertisement "'Night' is a very powerful book," Finkel said, pausing for a beat. "It's almost as good as mine." Spoken like a man who supported his family as an appliance salesman while living for half a century in the south suburbs first in Glenwood, then Matteson. And yes, Finkel did write, compellingly, about his childhood as a Polish Jew during the rise and fall of the Nazis. "Sevek: The Boy Who Refused to Die" (holocaustspeaker.com) documents the horrors he experienced starting at age 6 in 1939, when his family was forced to move into a ghetto in Piotrkow, Poland, and traces his path through two labor camps in Poland, then Buchenwald and a camp in Czechoslovakia. Eventually, Finkel's mother, Faiga; father, Lieb; sisters Ronia and Frania, and an infant nephew, would perish before Sidney(then Sevek), his brother Isaac and sister Lola were liberated. Though Finkel and Wiesel were both at Buchenwald for a few months in early 1945, Finkel was marched out with hundreds of other prisoners on April 10, the day before the camp, with Wiesel still in it, was liberated by American soldiers. "I did meet him once," Finkel said of Wiesel. "He was speaking at a synagogue in Homewood 30 years ago." That was before Finkel decided to tell his own story, prompted by a visit to the United States Holocaust Memorial Museum in 1993. After getting started by speaking to students at Chicago-area schools, Finkel self-published "Sevek" in 2005. He mailed a manuscript to Wiesel, who provided this cover blurb: Advertisement "It will move all those who want to know why, in those dark times of evil, so much suffering was inflicted on children your age. You know how deeply I feel about memory. Many readers will thank you for yours." "That was one of his main themes in life," Finkel said. "You have to remember. You have to tell the story." But for how long? And then what? The Conference on Jewish Material Claims Against Germany estimates there will be only 67,100 Holocaust survivors Jews born before mid-1945 who lived in a country under Nazi regime, or a regime of Nazi collaborators in the United States by 2020. By 2030, the number will be fewer than 16,000. And even those numbers don't tell the whole story. Of the perhaps 100,000 survivors in America today, Finkel said, "Many of them are Russian Jews. There are very few who were in concentration camps. Probably no more than 20,000." Advertisement So Finkel continues to tell his story with some adjustments. A few years ago, he made a DVD as a companion to the book and an aidfor his lectures. "I show the video and answer questions after," he said. "It spares me a lot of energy." Yet, energy conservation and an active lifestyle Finkel was an avid bicyclist who has turned to swimming will stave off Father Time only so long. That's why Finkel has worked to include family members when he speaks. "My children and grandchildren are very interested," he said. "I'm sure they'll tell the story when I'm gone. Daily Southtown Twice-weekly News updates from the south suburbs delivered every Monday and Wednesday > "I have no doubt it will go on. Perhaps it won't have the same power as having a survivor tell it, but it won't be forgotten." Those words echoed sentiments expressed by Wiesel in a 2012 interview with the Chicago Tribune. Advertisement "To listen to a witness is to become one," he said. "So there are many now who listen to us, and who read our books and are familiar with our statements. "Therefore, I am not worried." Finkel isn't, either. For all the atrocities he has seen, he has seen much good result from their retelling. "Students always react to the destruction of my family to my sister, giving birth to a child outside the ghetto, then to have soldiers throw the child out a window, and my sister shot," Finkel said. "Students hear that and they tell me, 'I'm going to go home and hug my family. I'm going to be grateful for what I have.'" Phil Arvia is a freelance writer for the Daily Southtown. The 24-year-old woman who was shot in Westchester last week remained hospitalized Monday but is expected to recover from her injuries, police officials said. The police have a couple of tips about the gunman's identity, Westchester police Chief Steve Stelter said Monday. The West Suburban Major Crimes Task Force is helping with the investigation. Advertisement A woman and her male cousin, also 24, had just backed out of the driveway of her home on Devonshire Street near Newcastle Avenue about 9 p.m. July 5 when another vehicle came around the corner. A man got out of the other car and began firing at the cousins, Stelter said. The woman pulled back into the driveway, he said. After the shooter left, the cousins were able to get back inside the home. Advertisement When police officers arrived, they found about 30 shell casings from a pistol on the street or in the driveway, Stelter said. The victims were shot at close range, he said. The woman and her cousin suffered non-fatal gunshot wounds. The woman is recovering after surgery at Loyola Medical Center, a relative said. The police believe the man and woman were not the intended targets, Stelter said. "They just happened to be in the wrong place at the wrong time," he said. Stelter said the intended victim may have been another person who had visited the home in the past. The only description of the gunman's vehicle is a dark-colored sedan, possibly a BMW, with wrap-around taillights, police officials said. A shooting in Westchester is "very unusual," Stelter said. Anyone with information about the crime is asked to call the Westchester police at 708-345-0060. Advertisement kfornek@pioneerlocal.com Twitter @kfdoings Steve DeSitter, left, and Tom Cunningham are co-owners of the family-owned DeSitter Flooring, Inc. (Ken Holthaus / HANDOUT) On the eve of World War II, Louis DeSitter, founder of DeSitter Flooring Inc., made a risky investment to ensure his company would stay afloat during the war years. "He knew all the textile companies would convert to war production, so he stocked the warehouse from floor to ceiling with carpets. He was one of the few people in Chicago who had carpet to sell," said one of his grandsons, Steve DeSitter, who along with his cousin, Tom Cunningham, now co-own the family-owned business that has continued to thrive. Advertisement Louis DeSitter, an immigrant from Belgium, started the business in Chicago in 1920. Today, his grandsons look forward to marking the company's 100th anniversary, which will roll around in four years. The third-generation owners credit their grandfather's dream and business savvy, and the support of the second generation with giving the company its strong foundation. Advertisement "Our grandfather was a very bright man. He was a visionary," DeSitter said. Indeed, the company, which sells carpet, tile and hardwood for residential and commercial spaces, has continued to flourish, in part by staying ahead of the trends and anticipating and coping with changes in the economy. It estimates it has installed more than 150 million square feet of flooring since it was founded. The company has a corporate headquarters, commercial showroom and warehouse in Bolingbrook and several retail showrooms. Two are in La Grange at 1 N. Kensington Avenue and at 301 W. Hillgrove Avenue. The third is in Glen Ellyn at 444 Roosevelt Road. It opened in May and is the largest. Succeeding through the decades has meant offering not only a high-quality product, but diversifying. Although customers are not demanding wall-to-wall carpeting in their homes as they did in previous decades, the company's carpet business remains strong by offering a variety of products including sculpted and patterned carpeting. "Our carpet business is stable and very strong," Cunningham said. "We carry an array of durable and high-style carpets." The company also has thrived by expanding their range of products. "Over the last 20 years, we've diversified into hardwood and tile and into all types of flooring," Cunningham added. That range of products is one of the reasons why interior designer Maribeth Marinich of Interiors by Maribeth in La Grange has been a customer at DeSitter for 30 years. Advertisement "They have an impeccable reputation," she said. "The brands they use and their service is impeccable. It's also designer-orientated. They offer more unique products ones that you won't see coming and going." DeSitter Flooring sells carpeting, tile, hardwood flooring in La Grange and Glen Ellyn. (Ken Holthaus / HANDOUT) She likes the retail showrooms because she can bring a client to them to choose flooring and they don't have the hassle of going from one store to another. "It's one contact. It's one phone call. It's one stop," she said. She also appreciates the personal touch that comes from it being family owned. "The minute you walk in you feel that it's very personal," she said. "You feel very comfortable." While making customers feel at home, the company also has stayed current with new technologies that allow them to operate efficiently. DeSitter has invested in upgraded computer software to estimate and quote prices in-home quickly, purchased laser measuring tools with Bluetooth technology to easily upload information, and upgraded its scheduling software to better manage work crews, installation and communicate with clients before and after their project is done. Advertisement In addition, the company website contains its full product catalog so customers can shop and select samples before visiting the showroom. DeSitter said the most difficult aspect of the business is anticipating how the overall economy will affect it. "It's not the competition because I think we've really separated ourselves from the competition," he said. "It's the unpredictable nature of the economy." DeSitter endured the great recession of 2008, as well as many previous down times. Cunningham recalled that his parents, Tom Cunningham Sr., and Lillian DeSitter Cunningham, instilled a love of family, and a tremendous work ethic in all their children. "Steve and I commit many hours to our family business. We're committed to growth, and we're committed to the development of our people," Cunningham said. "This commitment has enabled us to endure slowdowns and experience long-term growth." Advertisement Being able to perform in every aspect of their business and knowing their customers are other ways continue to succeed. "We always want to keep our hands on the pulse of the business," DeSitter noted. Both Cunningham and DeSitter said what they enjoy most about their business is knowing their products and expertise can transform a space and make customers happy. "We experience tremendous satisfaction from making spaces more beautiful," Cunningham said. "And knowing that our customer is happy." "I love the people aspect," DeSitter added. "We're here to serve people and I enjoy that." DeSitter started working at the company the day after he graduated from Benedictine University in Lisle on May 22, 1977. He recalls that he and his father, named Louis after the company founder, drove into the office the following day where they both worked well past 8 hours. Advertisement He recalled with a laugh that his father said at the end of the day, "Son, welcome to retail.'" Cunningham and DeSitter continue to put in long hours to ensure the success of the company, which has grown to employ more than 100. They wouldn't have it any other way. "We're simply two hard-working people who have a passion for this industry," Cunningham said. amannion@tribpub.com Twitter triblocalam Cheese Curds and Onion Blossom are just a couple of the appetizers on the menu at Port of Blarney in Antioch. (Shonda Talerico Dudlicek / News-Sun) There's a saying up in these parts: Life is better on the lake. So when visiting the Chain O'Lakes area, consider a visit to Port of Blarney in Antioch. Enjoy a meal, then take the shuttle or your own boat, if you have one over to Blarney Island in the middle of Grass Lake for more food, drinks and live music. Advertisement "A mile away from reality, the greatest boating bar in the world," proclaims owner Rob Hardman, owner of both establishments in his hometown. On the shore, Port of Blarney is a full-service restaurant and banquet facility. It's also a marina with 100 boat slips, space for 40 RVs and five acres of vehicle parking. Blarney Island is a mile offshore, accessible by shuttle in 12 minutes. Or by helicopter, which Hardman uses to travel between places. Advertisement "It really is an island," Hardman said, "a self-contained bar, restaurant and concert hall. We have 300 boat parking spots, so the only way to get here is by water or air." At "The Island," there is a limited menu, including burgers, brats and fish tacos. Or order a pizza from Port of Blarney and have it shuttled over on one of the two boats that run every hour (or half-hour at peak times). Port of Blarney is family-friendly, with a life-size Jenga game, kids' outdoor play area and a patio open to dogs. Blarney Island has more of a party atmosphere, with its live music and three bars surrounding the stage. There also is drag boat racing on Thursday nights, which Hardman describes as "NASCAR on the water." "It's the place for frivolity and fun. It has a different appeal," Hardman said of Blarney Island. "It's like going on vacation for the day, like spring break for the day." Before buying both places in 2003, Port of Blarney was more of a burger-type place. "The fish fry was as fancy as it got," he said. "Now we make it all from scratch, with our own salsas and dressings. Other places just grab something from a frozen bag. We've got real Chicago pizza with made-from-scratch sauce. It's hard to find this out in the Chain O'Lakes area." With power provided by diesel generators, Blarney Island demands "a lot of work to import and export everything every day," Hardman said, adding that daily live music requires bands to transport their equipment via barges. Hardman is happy to see multiple generations of families come out to party at both places. "Young kids to their parents who grew up going to the island, and their parents." Those under 21 are required to leave the island by 7 p.m., when it becomes an adults-only nightclub. Advertisement "There are three distinctive and different crowds," Hardman said. "You've got your day crowd, your evening crowd and your night crowd. It's the ebb and flow of hundreds of people." Pricing Port of Blarney: Appetizers from $6.95 for The Port Dip to $11.95 for Steak Quesadilla. Salads from $8.95 for Classic Caesar to $14.95 for Berry-Licious Coconut with Hand-Breaded Coconut Shrimp. Burgers from $9.95 for Classic Burger to $12.95 for S.O.B. Burger. Soup, $2.95 for a cup or $3.95 for a bowl. Sandwiches from $8.95 for BLT & Egg to $11.95 for Havana Cuban. Entrees from $12.95 for Chicken Stir Fry to $18.95 Double Bone-in Pork Chop. Pizza from $11.95 for 12-inch BBQ Pork to $18.95 for 16-inch Meat Lovers. Kids menu is $5.99 for Grilled Cheese, Cheesy Flatbread, Chicken Tenders and Sliders. Breakfast served Saturdays and Sundays from $6.95 for Breakfast Combo to $11.95 for Steak and Eggs. Blarney Island: Appetizers from $3.50 for French Fries to $10 for Deluxe Nachos. Salads $12 for Cranberry, Grilled Chicken, Fish or Shrimp. Sandwiches from $4.50 for Hot Dog to $11 for Fish, Shrimp or Chicken Tacos. Entrees from $9.50 for Popcorn Shrimp to $12 for Jumbo Shrimp. Key Lime Pie for $6.50. Hours: Port of Blarney open 11 a.m.-midnight Monday-Thursday, 11-2 a.m. Friday, 8-2 a.m. Saturday, 8 a.m.-9 p.m. Sunday. Blarney Island open 11-2 a.m. Thursday-Sunday and Monday holidays from May to September. Address: Port of Blarney, 27843 W. Grass Lake Road, Antioch. Blarney Island is a mile away on Grass Lake. Advertisement Contact: Port of Blarney, 847-395-4122; Blarney Island, 847-395-3804; or visit portofblarney.com or blarneyisland.com. Shonda Talerico Dudlicek is a freelance writer. Nicolas Cheng, 8, of Gurnee, walks with a bluegill he caught during the 14th annual Hunt Club Park fishing derby Saturday in Gurnee. (Yadira Sanchez Olson / Lake County News-Sun) About 75 people participated in the Hunt Club Park Aquatic Center's 14th annual fishing derby Saturday in Gurnee. The fishing pond, at the 45-acre Hunt Club Park, is regularly stocked with bluegill, catfish, smallmouth bass and sunfish, said recreation department intern Brandon Magnini. Each year the park provides limited fishing gear and bait for the event. Advertisement Gift certificates to local restaurant were given to those who caught the smallest, largest and most fish in different age groups. Veteran fisherman Al Robel, of Johnsnburg, accompanied his daughter, Kristin Michna, and his 7-year-old grandson, Josh Michna, to the event to offer advice on how to hook the bait and cast. Advertisement "You have to have a lot of patience," Robel said of both teaching and fishing. Proving to be a fast learner, Josh caught more than 15 small fish before joining his mother to play games and get a fishing-themed temporary tattoo. Sisters Alexis Tyler, 11, and Madi Tyler, 9, fish in Gurnee during 14th annual Hunt Club Park fishing derby Saturday. (Yadira Sanchez Olson / Lake County News-Sun) Sisters Alexis Tyler, 11, and Madi Tyler, 9, used fishing rods they received as Christmas gifts to catch fish with their father, Ted Tyler. The two girls said they like to fish in a pond near their home. "Casting it out is the best part," Alexis said. Wanda Burton, of Waukegan, said fishing helps her relax. Sitting on a lawn chair next to her friend, Donald Idleburg, Burton caught fish and released them back into the pond. "This relaxes me, and you get a rush when you catch something," Burton said. "I was here fishing last weekend too." Jodi McLellan, of Gurnee, and her 5-year-old son, Nicholas, stopped at a store before the derby to buy a child-size fishing pole so Nicholas could fish before heading off to his T-ball game. The oy quickly caught a small bluegill with some assistance. Advertisement Yadira Sanchez Olson is a freelance reporter for the News-Sun A member of the Union calvary, Jim Nemeth of Harvey, talks about his solid paint gelding named Tatter, right, and his quarterhorse named Phoenix as Abby McArthur-Self, 14, left, and her sister Sarah, 12, of Naperville, who are portraying dismounted calvary troopers, at the Lake County Discovery Museum's Civil War Days Saturday. (Michael Schmidt / Lake County News-Sun) As 8-year-old Erik Edwards watched, Sam Johnson turned the wheel on his portable forage to stoke a fire and heat a long, narrow piece of metal until it glowed orange. "What are you making?" Edwards asked, a question Johnson gets all the time when he works events like Civil Wars Days in the fields surrounding the Lake County Discovery Museum in Wauconda. Advertisement Johnson hardly ever answers that question, pretending not to hear or just side stepping it. "It intrigues them more," the Lakemoor resident said. Advertisement Johnson was one of about 600 Civil War re-enactors to participate in this past weekend's 25th annual Civil War Days, an event that draws around 3,000 to 4,000 visitors each year with its narrated battle, crafts, presentations and demonstrations, said Seleena Kuester, an education manager for Lake County Forest Preserves and the event's coordinator. This year, programs highlighting local stories from the Civil War era including one on Abraham Lincoln's visit to Waukegan were added to the schedule, Kuester said, adding that she thinks the variety of activities is one of the reasons the event has had staying power. "From some people, reading history doesn't do it for them," she said. That's one of the reasons Cecilia Friberg brought Edwards, his twin sister and younger brother to the festival from their home in Evanston. A native of Sweden, Friberg wanted her children to see how things get made and absorb what historical events were really like. Edwards marveled at how long it took Johnson to make a decorative leaf, each vein hammered using a chisel and the stem spun in on itself using tongs. His only previous experience with blacksmiths were as characters in video games where the products spun to life in just a few seconds. "This takes so much longer," he said. Cecelia Payette of Mundelein, left, wears an upper class lady's dress and Emma Burns of Round Lake Beach wears a working class dress at the Lake County Discovery Museum's Civil War Days Saturday at the Lakewood Forest Preserve in Wauconda. (Michael Schmidt / Lake County News-Sun) Volunteering as a re-enactor gives Karen Pickett a great appreciation for the people that lived during that time, she said. She got involved about three years ago through her husband, John Pickett, who is part of a four-to-five-person team that shoots the cannons during the mock battle. Advertisement She plays a member of the Ladies Union Aid Society, a real organization from St. Louis that helped wounded soldiers and put together sewing kits and care packages. Pickett enjoys the research that goes into making sure all the details are accurate and she loves seeing the kids running around barefoot and learning how to play old games, she said. They plan on doing it as long as they can, hopefully expanding the list of places they get to visit. emcoleman@tribpub.com Twitter @mekcoleman Naperville police are investigating the death of a driver whose van struck a tree and burst into flames early Monday. Police and fire personnel responded about 1:45 a.m. to the crash in the 1400 block of North Washington Street, according to a news release from the Naperville Police Department. Advertisement A 2015 Dodge ProMaster van was engulfed in flames. The Fire Department extinguished it and located the driver, who was deceased, according to the news release. The name of the driver is not being released pending notification of family members. Washington was closed between Bauer Road and 14th Street for several hours throughout the investigation and was reopened about 5:50 a.m. Advertisement The crash remains under investigation. Anyone with information is asked to call the Police Department's traffic unit at 630-305-5379. Check back for more information. Light summer beers, sour beers and apple ciders are all on tap for the fourth annual Naperville Ale Festival. The festival is from 1 to 5 p.m. July 16 at the Naper Settlement in Naperville. It is organized and presented by Lou Dog Events of Naperville. Advertisement Josh Seago, president of Lou Dog Events, hopes this will be the biggest Naperville Ale Fest yet. He's very excited about his musical act, Miles Nielsen and the Rusted Hearts. Nielsen is the son of Rick Nielsen of Cheap Trick, and his band recently released a new album. Seago described them as an indie, laid-back, Mumford and Sons-type folk-rock band. They opened for Cold War Kids recently in Aurora. There will be 100 brewers at the fest, with 220-plus American craft beers, Seago said. Advertisement "It's going to be an incredible selection, all draft beers, and one of the largest craft beer festivals in Illinois and the largest in the suburbs," he said. "A lot of easy-drinking summer beers, a lot of IPAs, pale ales, Kolsches, really easy-to-drink summer beers." Local breweries will be represented, including Solemn Oath, Penrose, Two Brothers and Hopvine. There will also be breweries from Chicago, other parts of Illinois, and the rest of the country, he said. The popular beers this year are session beers, he said. "That's been the really big thing," he said. "In years past, it was double IPAs and triple IPAs, really hoppy beers. Beers were coming in at 7, 8, 9 percent alcohol. Which, don't get me wrong, we all love those big, bold IPAs and those big beers, but the trend has been more going to session beers and those beers that are lower in alcohol content, maybe 4, 5, 5.5 percent alcohol. Really easy to drink, really crisp, really clean." Another popular beer option is the fruity beer, Seago said. "Everyone's doing a watermelon IPA or a raspberry wheat," he said. "We've seen everything from raspberries to pineapples to blood oranges. So there's some really neat fruit going into the beers. One of the ones we'll have out there that's really exciting is the local guys Pollyanna, out of Lemont, they did one they're calling Summerly. It's an American wheat ale and it has 200 pounds of fresh raspberries in each batch, but it makes it almost a light pink in color. It's incredibly refreshing, low in alcohol, you really get the raspberry flavor. It's an incredible, well-balanced beer. It's a burst of summer." Back by popular demand is Cider Alley, he said, which is a section of the festival with 10-plus cider mills featuring a lot of local ciders and more than 20 hard ciders. "Ciders are almost a gateway for those that are wine connoisseurs or those who really enjoy wine and are wanting to get into craft beer," he said. "The ciders are a really nice way to get into it. We have everything from the really sweet apples all the way to the really crisp and dry that are more like champagnes. There's a new cidery out of Chicago called Right Bee. They are making cider using Illinois apples, organic cane sugar and honey, and those are the only three ingredients in it. They are one of the first Illinois cideries. That's going to be a really big hit." Advertisement Another facet of the festival that is back by popular demand is a section Seago has coined "The House of Funk." "It's a certain area where we will only serve sour beers," he said. "Sour beers have that tangy sourness to them. They are wildly fermented and really, really popular right now. A lot of people are wondering what they are and what is going on with them. So we will have eight different sour beers; everything from really sour to a little sour." The third big thing at the Naperville Ale Festival is the food. "We've really spent a lot of time focusing in on the food selection," Seago said. "We say beer is good, but beer is even better when you can pair it with some great food." Back again is Toasty Cheese with their wildly popular gourmet grilled cheese sandwiches and the Happy Lobster truck,with its New England-style lobster rolls. "A new one this year is Rockin' Rodizio, which is a mobile Brazilian steakhouse, so they do the Brazilian meats right on the skewers," Seago said. "Then we have a taco truck; and Pinstripes is going to be there. And the hometown local favorite, Terrell (Cole) from Dark Horse, is going to be there, and he'll be doing some neat stuff. Advertisement "The thing we always hear is that people want some healthier options and they want some vegetarian options. So we made sure there is a little bit of everything. So if you want a gourmet burger, it's there, but if you want something a little bit healthier, we also have that." People should come to Naperville Ale Fest to meet like-minded craft beer aficionados and because there is something for everyone, he said. "If you are new to craft beer and are trying to figure out what your favorite craft beer is and find a new style, come and explore and see what craft beer is all about," he said. "But also, if you're a craft beer connoisseur or craft beer geek, we bring in some rare beers, some hard-to-find beers and some specialty stuff, stuff that's not available at the local liquor store. There is going to be 15 to 20 different beers out there that aren't available anywhere else in the Chicagoland area. The only place you're going to find them is at the Naperville Ale Fest." Annie Alleman is a freelance writer. Naperville Ale Fest When: July 16 Advertisement Where: Naper Settlement, 523 S. Webster St., Naperville Tickets: $20-$50 Information: napervillealefest.com Lucas Burgess, 6, a John V. Leigh School first-grader, had his face painted as the Hulk on July 9 at the Mini Comic Con at the Eisenhower Public Library. (Karie Angell Luc / Pioneer Press) People of all ages gathered July 9 at the Mini Comic Con in Harwood Heights at the Eisenhower Public Library. Some dressed in costume. Some had their faces painted at the event. Others just came as they were. "Everyone is smiling; everyone is having fun," said Naomi Timpe, Mini Comic Con artistic director and a technology clerk in the kids department. "This is a no-judgment zone, so everyone can be who they want to be." Advertisement Participants, including Timpe, excitedly played a cellphone game, Pokemon Go, while they experienced the festival. A costume contest was an option, too. Lucas Burgess, 6, a John V. Leigh School first-grader, had his face painted as the Hulk by makeup artist Ashley Ripley of Park Ridge. Advertisement Left, Naomi Timpe, Mini Comic Con artistic director, announces raffle prize winners on July 9 at the Mini Comic Con at the Eisenhower Public Library. (Karie Angell Luc / Pioneer Press) "It's brings a smile to the kids' faces, and it's fun to do," Ripley said, of her art. Organizers said about 300 to 400 patrons visited the six-hour event in its fourth year. "I think it's really important to kind of embrace the things you like," said Margaret Musiel, an accountant and visitor who represented We Are Cosplay, a group that showcases the art of costuming (@WeAreCosplay). "It's all about encouraging kids to pursue their interests," said Steve Jenner, Mini Comic Con producer. Patrons could purchase collectibles or superhero figures, such as Lego characters, from vendors. They could also talk to artists, many who are self-employed and worked on their art while speaking to fans. Maya Farfan, 11, a Chicago sixth-grader, had her portrait drawn by artist Angel Onofre of Chicago on July 9 at the Mini Comic Con at the Eisenhower Public Library. (Karie Angell Luc / Pioneer Press) "Freelancing is a challenge, but you just have to keep out there and keep publishing work," said Jeffrey Moy of Madison, Wis., who exhibited his work next to his brother, Philip Moy of Mundelein. Philip Moy is also a professional artist whose credits include the Powerpuff Girls series. Jeffrey Moy, whose commercial work has appeared in renowned platforms, promoted his latest project on which he is a partner. The app is called Chortopia about youth finding pleasure in doing boring chores. Advertisement "Being able to do this is a lot of fun," the artist said of his estimated 25-year career. Some families who were at the library for the summer reading club found out about the Mini Comic Con as a welcome surprise. "I didn't know this was here," said Maya Farfan, 11, a Chicago sixth-grader who had her portrait drawn by artist Angel Onofre of Chicago. Maya's father, Boris Farfan, smiled when he saw the finished work. Karie Angell Luc is a freelance photographer and reporter for Pioneer Press. Though slightly behind schedule, Seven Point medical marijuana dispensary owner Brad Zerman is optimistic his business will be open in a matter of weeks. Seven Point, located at 1132 Lake St. in downtown Oak Park, is in the midst of its final build-out, which means customers could soon be visiting the downtown Oak Park dispensary to purchase medical marijuana. Advertisement "While we're late to open, we're using that time wisely," Zerman said. "My people have been getting trained by people who have been doing this." According to Zerman, he will have crews install security systems and cameras inside his space this week. Once construction is complete, he will reach out to state and local officials for their final approval. Advertisement "I really think this week is the end of everything that's important," Zerman said. "The state comes in and makes sure it looks the way we said it would on the floor plan and makes sure we have the required access and cameras in the right places." The state will also have access to Seven Point's point of sale systems, and it will keep inventory of all medical marijuana held onsite. With his opening imminent, Zerman said he has already been in close contact with suppliers to bring the product to Seven Point. "I've been meeting with them over the last two years," Zerman said. "There are 15 cultivation companies who own 21 facilities. We get lists from them now of what products they have available for the week, their pricing and order forms. We've built a database of what's available in Illinois, so we will have a wide spectrum of products for sale [once we open]." Zerman estimates his total investment, which includes construction, legal fees and startup costs, to be approximately $1 million. "A good part of that money was just for licenses," Zerman said. Patients interested in purchasing products from Seven Point, or any Illinois medical marijuana facility, must hold a valid medical marijuana registry card. Once a card is scanned and proper identification is shown, customers will be allowed into a waiting area, Zerman said. From there, customers will be allowed into a showroom, where they can view available products and make their purchases. Advertisement "It is a secured room," Zerman said. "Any cannabis has to be in a secured area. At night, it all goes back into our vault." Zerman has also hired a security company to maintain a presence at Seven Point during hours of operation, and the facility has bulletproof glass to ensure further safety of staff. Illinois' medical marijuana pilot program began in August 2013, when former Gov. Pat Quinn signed a bill to legalize its use within the state. According to Zerman, nearly 8,000 people have received prescription cards to purchase medical marijuana. sschering@pioneerlocal.com Twitter: @steveschering Lake County Commissioner Gerry Scheub goes over railway maps with alternate routes for the proposed GLBT Inc. freight line. (Carrie Napoleon / Post-Tribune) With the official comment period over a proposed 278-mile freight rail line set to expire Friday, some who are against the plan are offering alternative routes. The $8 billion line, proposed by Great Lakes Basin Transportation, would run from Milton, Wis., into LaPorte County, cutting through southern Lake and Porter counties. Advertisement A growing number of people, including Lake County Commissioner Gerry Scheub, D-Crown Point, are offering other routes, and the Porter County Board of Commissioners is expected to consider one as well, offered by the opposition group Residents Against the Invasion of Land by Eminent Domain, at its meeting Tuesday. The federal Surface Transportation Board, which held meetings on the 278-mile proposal in the spring and is compiling comments and letters for an environmental impact statement, has set an Aug. 29 deadline for GLBT to provide suggestions of its own. Advertisement "There is no explanation in the information GLBT has provided to date to explain whether GLBT considered other potential alignments and variations and why they might have been rejected," Victoria Ruston, director of the Office of Environmental Analysis, wrote in a July 5 letter to one of GLBT's attorneys. The agency has received more than 3,500 comments so far, Ruston wrote. She has said the proposal has generated a greater response than any she's seen in her 30 years with the agency. "Commenters raise many concerns about environmental impacts that could occur if the proposed route were to be approved by the board. In addition, commenters suggest other alternative alignments that they say should be examined as part of the board's environmental review," Ruston wrote. "According to the commenters, these alternative alignments could minimize some of the potential environmental impacts of GLBT's proposed rail line construction." GLBT's proposal would be the largest new rail line in recent times and is meant to provide a bypass for Chicago's congested rail yard and take trucks off the road. Two of the six Class I railroads expected to be served by the freight line have publicly stated they will not participate; the remaining four appear uncommitted. GLBT will do its best to meet the deadline for offering alternative routes to the STB, said Mike Blaszak, one of GLBT's attorneys. "We are going to proceed to answer the board's questions. We are going to meet with our engineers and address the comments," he said. "We are embarking on a job of which we don't yet know the dimensions." Commenters on the STB website may have some excellent suggestions, Blaszak said, and GLBT is going to take them into consideration for a route that will stand up to review. Advertisement GLBT also will address the federal agency's concern that a few minor route variations are mentioned in a document submitted to the STB in March, "but the variations are then dismissed for reasons only briefly outlined in the narrative," according to Ruston's letter. "Our intention with this rail project was to locate away from populated areas. We want to avoid impact to where people live and we want to locate away from environmental sensitive areas as much as possible," Blaszak said. "Is the route fixed from our standpoint? No." Lake County Commissioner Gerry Scheub points to areas on a map where he will propose an alternative rail line route. (Carrie Napoleon / Post-Tribune) Alternate routes must be considered because the current route is too close to schools and other amenities like Buckley Homestead in south Lake County, Scheub said. He presented an alternate route earlier that does not affect Lake or Porter counties whatsoever and would take the train south to Indiana 24 using existing tracks to go east before coming back up into LaPorte County. A second alternate would place the rail line between Indiana 10 through Roselawn and north Jasper County, and Fair Oaks Farm near Indiana 14. There is an east-west stretch nearly 3 miles deep that does not pass by homes or schools, Scheub said. "There is nothing all the way across but empty field. The route in there wouldn't affect anybody's quality of life," he said. Advertisement Meanwhile officials continue to codify their opposition. Lake County Council members Tuesday plan to join the county's board of commissioners and a long list of other government entities locally and across three states in opposing GLBT's plans for the rail line. Councilman Eldon Strong, R-Crown Point, will seek approval of the resolution opposing the rail line in Lake County. The measure is expected to pass. Representatives from RAILED declined to discuss their suggested alternatives before Tuesday's meeting with Porter County commissioners. Bob Cauffman with the group will be making the presentation on the route, which he developed. "Though we do not want to push this railroad on anyone else, RAILED is hoping the commissioners agree that this is a better route and vote to support this alternative," said Kathleen Honl, one of RAILED's organizers. Amy Lavalley is a freelance reporter for the Post-Tribune. Post-Tribune freelance reporter Carrie Napoleon contributed. To comment: Advertisement Comments can be submitted electronically on the Surface Transportation Board's website, www.stb.dot.gov, by clicking on the "E-filing" link on the home page and then selecting "Environmental Comments." Comments should refer to Docket No. FD 35952. The deadline to submit online comments is Friday. A crowd gathers in Gary July 10, 2016, to pay homage to the officers killed during a shooting spree in Dallas last week. (Javonte Anderson / Post-Tribune) A somber crowd gathered Sunday afternoon in front of Gary's City Hall to mourn the police officers gunned down during a peaceful protest in Dallas last week. Gary Police Chief Larry McKinley said as tensions continue to escalate in the U.S. after five police officers were killed during a shooting spree in downtown Dallas Thursday night, it's important the entire community stay united throughout the turmoil. Advertisement "Law enforcement needs to support citizens and citizens need to support law enforcement," McKinley said. "That's the only way we're going to get past this." Twelve officers were shot, five killed, in what was the deadliest day for police in the U.S. since the 9/11 terrorist attacks. The shootings took place during a protest of officer-involved shootings in Louisiana and Minnesota that left two black men dead. Advertisement Gary Mayor Karen Freeman-Wilson said Sunday's vigil was intended to support police officers in general and mourn the fallen officers in Dallas. "We know that men and women of law enforcement are called to do extraordinary things," Freeman-Wilson said. "We simply want to say thank you. We want to say we appreciate you." Lake County Sheriff John Buncich was emotional as he implored the crowd to strive for unity and work alongside law enforcement officials to work toward progress. "During this time of grieving and contemplation, the time has now come for us to come together as one community and family," Buncich said. "This divisiveness must stop." Buncich said he already has sent two police officers to Dallas and is sending a contingent of six more to serve in the honor guard as a way to support the Dallas Police Department. After last week's violence in Louisiana, Minnesota and Dallas, Buncich said it's time to "open up the lines of communication" and search for a solution. "Let us pray together, come together and stay together," he said. "To empathize is to understand and share with other people's emotions. A start may be for all of us individually to reach out to one of another race and talk about this." Lake County Sheriff John Buncich speaks at a vigil to pay homage to the officers killed during a shooting spree in Dallas last week. Gary Police Chief Larry McKinley and Mayor Karen Freeman-Wilson (left) were among those who spoke Sunday. (Javonte Anderson / Post-Tribune) State Rep. Vernon Smith, D-Gary, said he is disturbed with the current state of the country, and all victims deserve justice. Advertisement "The people who are guilty should be prosecuted," he said. "We should not kill innocent people on either side." Clarice Carpenter, of Gary, said this week's violence hit her hard. Carpenter said the main reason she attended the vigil is because she has a nephew who is a police officer in Florida. "This is very painful," she said. "We need to learn how to come together and work to help others, not to hurt them, not to kill them, but to help them." McKinley hopes citizens and law enforcement officials eventually will come together and stop the violence. "We have to support law enforcement, families, all victims and civilians," he said. "We have to show love throughout this nation." jaanderson@tribpub.com Advertisement @JavonteA There were plenty of emotional goodbyes Sunday at the retirement party for Skokie Public Library Director Carolyn Anthony. Anthony is leaving the library after 31 years in the top job. (Mike Isaacs / Pioneer Press) The community Sunday closed a big chapter on the Skokie Public Library by saying goodbye to retiring Director Carolyn Anthony after 31 years in which she oversaw the library's ascent to state and even national recognition. But the proverbial book she helped write over the last three decades will never close, community members profess; her authorship continues to have an ever-lasting impact on the renowned library, according to friends, family and colleagues who attended Sunday's sometimes emotional farewell celebration for her. Advertisement "A lot of people are saying this is both a happy and sad day," said Diana Hunter, current Library Board vice president and president emeriti. "For me, it's a sad day." Without Hunter, board president those 31 years ago, Anthony may never have made her way from Baltimore to Skokie, a town she knew little about when she was hired, Hunter recounted. Advertisement Many people thought the board was taking a huge chance because Anthony had little administrative experience at the time, she recalled. But Hunter said she had "an instinct" and just knew this was the right person for the job. That instinct came after Mary Radmacher retired as library director. In conducting a national search, Hunter said, the board was lucky that the American Library Association's national meeting was held in Chicago that year. The Library Board took a hotel suite so it could interview candidates right then and there. Hunter said Anthony was one of 75 or so librarians from throughout the country from a variety of libraries who applied for the Skokie position. But it wasn't until she went out to dinner with Anthony that she grew certain she was dining with the next Skokie director, she said. "I can't explain it," Hunter said. "It's like a date. Sometimes, you go on a date and think how long is dinner going to last. Your mind begins to move. This was the opposite. We clicked. This was a great date." Hunter nor Anthony knew that the "great date" would lead to 31 years in overseeing significant changes at the library and rare recognition both locally and nationally. Under Anthony, the library was expanded and redesigned and reorganized, long-needed parking was finally acquired, resources and databases and materials for checkout were changed and re-prioritized, programming evolved and expanded substantially. The library earned multiple local, state and national awards including a National Medal for Library Service in 2008. In 2013-14, Anthony was elected president of the Public Library Association. She also served as president of the Illinois Library Association and was named Librarian of the Year in Illinois in 2003. Advertisement "She is my Exhibit A dream leader," said Public Library Association Executive Director Barb Macikas. "She's just so good. The community owes her a lot." Anthony always said she strongly believed in community partnerships and making the library a useful resource outside its own doors. That may explain why people from so many areas of the community came to wish her goodbye Sunday, many with tears in their eyes. "There isn't any group where she hasn't been a part of, where she hasn't been a leader," said Susan Van Dusen, a co-founder with Anthony and others of the annual Coming Together in Skokie and Niles Township program. Susan Van Dusen called the library under Anthony "the living room of the village of Skokie." "If the world would simply look at this place how everybody gets along in peace and harmony I believe this world would be a much better place," she said. Her husband, Skokie Mayor George Van Dusen, remembered thinking Anthony was so young when he first met her shortly after he joined the Skokie Village Board. Advertisement "I remember walking back to Village Hall thinking, 'Are those people crazy?'" he said, further reflecting it's good he was not on the Library Board because a better choice could not have been made. "If anybody has left an indelible imprint on our community, it's Carolyn," the mayor said. Former Skokie librarian Frances Roehm said she loved working for the woman who hired her. "I had great bosses before, but she really gets things. She gave me such latitude with building Skokie Net," she said, referring to the popular on-line bulletin board allowing the community and especially its organizations to have an on-line presence. Like Roehm, Skokie Public Library Learning Experience Manager Amita Lonial was hired by Anthony. "I came in as just a librarian who was focused on adult programming, but Carolyn was always very passionate about the way people learned," she said. "She was one of the first people to understand what programming would mean to the library beyond youth services. She always had a vision and empowered her staff." Advertisement Those in attendance Sunday had equally laudatory stories to tell about Anthony and how she achieved different successes, expanding the physical library but also the library's mission in reaching more people in a community that grew more culturally diverse every day. Anthony said she was trying not to let the emotion of the day overcome her, but this was one of the few goals she set at the library she was not able to fully meet. In the end, she referred to a recent New York Times opinion piece supporting the idea that institutions should be built to encourage people's natural longing to do good in the world. "I would argue that such institutions already exist in community public libraries," Anthony said, emotion overcoming her. "It's been such a pleasure. I've enjoyed every moment." misaacs@pioneerlocal.com Twitter @SKReview_Mike Once a dilapidated shopping center and more recently vacant property owned by Skokie, space east of the Skokie Swift train station on Dempster Street will become home to a new Target store, the retail chain announced last week. According to Target, the store will occupy 33,000 square feet on the southwest corner of Dempster Street and Bronx Avenue in the village's West Dempster Street Corridor. Advertisement The "flexible-format store," as Target calls it, is expected to open in fall, 2017 and is smaller in scale than a traditional Target store, it said. Target said the store will offer items for families and children, baby care products, sporting goods, toys, portable technology accessories, gift items, stationary, health and beauty products and a grocery section including fresh produce, select kosher items and many "grab and go" food selections. The new store also will include a pharmacy and order pick-up service, Target said. Advertisement "The construction of a new Target store on Dempster Street is great news for the village of Skokie," said Mayor George Van Dusen in a released statement. "The village has long sought a major retailer to serve as a catalyst for additional transit-oriented development near the Skokie Swift station." Van Dusen said the new retail space along with a growing mix of businesses on Dempster Street has the potential to generate more economic growth in the area. The new Target store is being developed by BlitzLake Partners, Chicago, according to Target. It will be supported by 77 surface parking spaces on the south side of the building and new parking spaces that will be added to Carol Street, the village said. The Target store is subject to come before the Skokie Plan Commission and other bodies before the project moves forward. For years, village leaders have made improvements to Skokie's West Dempster Street Corridor a top priority. During and after the economic recession, the village used tax increment finance funds to acquire commercial properties that had been up for sale for a long time. In March, the Village Board voted to sell the last property in the area it still owned at 4933-57 Dempster St. and 8734-38 Bronx Ave. for $2.65 million. At the time, village officials did not release the name of Target for the property, but Corporation Counsel Michael Lorge said the new development was a "national general merchandise retail store." Lorge predicted the community would be pleased once they knew what was to occupy that property. He said the development would "enhance the Dempster corridor and provide a broad shopping resource to the village." Advertisement In announcing the new acquisition, the village pointed to Chicago Jewish Funerals, Oberweis Ice Cream and Dairy Store/That Burger Joint, the opening of the new and larger Pita Inn, the rebuilding of Kaufman's Deli, Creative Paths Learning Center and Gross Point Crossing shopping center as significant developments on West Dempster Street near the Skokie Swift station over the past decade. "The steady, continued development on Dempster Street began more than a decade ago with the relocation and restoration of the historic Skokie Swift station that now houses a Starbucks and other businesses," Van Dusen said. misaacs@pioneerlocal.com Twitter @SKReview_Mike The G20 Trade Ministers Meeting is held in Shanghai, east China, July 9, 2016. [Photo/Xinhua] G20 economies agreed to improve global trade governance to arrest the slowdown of global trade growth, said a statement released Sunday after the two-day G20 Trade Ministers Meeting in Shanghai. These economies would remain committed to an open global economy, and will further work towards trade liberalization and facilitation, according to the G20 Trade Ministers Meeting Statement, the first of its kind in G20 history. "We cracked some real issues, because China took the initiative of setting up the trade and investment working group and put in lots of work, which have been very productive," said Rita Teaotia, commerce secretary of the Department of Commerce in India. "The G20's agenda have been gradually shifting from dealing with the aftermath of financial crisis to long term governance in recent years, with trade and investment emerging as another critical aspect along with financial and fiscal coordination," said China's Commerce Minister Gao Hucheng. The World Trade Organization (WTO) statistics showed that global trade growth has slowed significantly since 2008, from an average of over seven percent annum between 1990 and 2008, to less than three percent between 2009 and 2015. Last year marked the fourth consecutive year with global trade growth below three percent. Global investment growth is also expected to moderate by 10 to 15 percent this year, according to the United Nations Conference on Trade and Development. The meeting endorsed the G20 Strategy for Global Trade Growth, in which the economies will lead by example to lower trade costs, harness trade and investment policy coherence, boost trade in services, enhance trade finance, promote e-commerce development and address trade and development. The WTO unveiled a new trade-related index called the World Trade Outlook Indicator (WTOI) on Friday ahead of the meeting, which is designed to provide real time information on trends in global trade. The current reading suggested that trade growth will remain weak into the third quarter of 2016. "We are strongly against protectionism," said Lilianne Ploumen, Netherlands' minister for Foreign Trade and Development Cooperation. "Some countries still practice protectionism policies and I would suggest we try and find way to have understanding and teach people that the idea of protectionism is wrong." "As an organization that covers economies taking up 85 percent of the world economy, 80 percent of world trade and outbound investment and 70 percent of inbound investment, the G20 should improve trade and finance to help contribute to global growth," said China's Vice Commerce Minister Wang Shouwen. China will end its anti-dumping duties on trichloroethylene (TCE) imports from Russia and Japan on July 21, the Ministry of Commerce said on Monday. In 2005, China levied anti-dumping duties ranging from 3 percent to 159 percent on TCE imported from the two countries and extended the measures in 2011 for another five years. Since no applications for expiry reviews have been received from domestic TCE producers 60 days prior to the expiration of the measures, the ministry will end the anti-dumping duties. Ten people were killed and 11 remain missing as of 5 p.m. Monday at a county in east China's Fujian Province amid strong rain brought by Typhoon Nepartak. Photo taken on July 9, 2016 shows flood in Meifeng Village of Yangzhong Township in Youxi County, Fujian Province. Torrential rain brought by typhoon Nepartak has affected over 1,800 people, damaged houses of 94 families and about 400 hectares of farmland in Youxi. [Xinhua] The typhoon landed in Fujian on Saturday afternoon and faded into a tropical depression early on Sunday. It brought torrential rains to Minqing County, causing casualties and economic loss totalling 2.2 billion yuan (about 320 million U.S. dollars), the local government said. A total of 34,400 people were evacuated and some 1,000 people had to be rescued in Minqing. The Ministry of Civil Affairs said earlier that as of 9 a.m. Monday, the typhoon had left nine people dead and 18 missing in Fujian. A total of 213,000 people in the province have been evacuated. Related: Typhoons born later often grow up stronger The first typhoon of 2016, a monster named Nepartak, made its second landfall in Fujian on Saturday, with moreand strongertyphoons expected to affect the country's coastal regions due to the La Nina phenomenon, the national meteorological authority said. Super typhoon Nepartak landed in Taiwan on Friday, bringing torrential rains and heavy winds. As of Sunday, at least three people have died and 311 were reported injured. The storm weakened during its northward movement before making a second landfall in Fujian province on Saturday. As of Sunday, two people had died and 17 were missing in Fujian, according to provincial flood control authorities. Nearly 440,000 people in nine cities, including Fuzhou, the provincial capital, had been relocated, it said. It's the first typhoon to form in the northwestern Pacific and South China Sea this year. It gathered on June 3, later than all but one of the typhoons recorded since 1949. The only later one formed on June 9, 1998. "On average, 4.1 typhoons are formed in the region from January to June, and 1.7 typhoons on average in June," said Zhang Zuqiang, spokesman for the China Meteorological Administration. Rare timing Only three times since 1949 has the first typhoon formed in Julythis year, in 1998 and in 1973. Records from the administration show that the first typhoon normally forms around March 19. In addition, a delayed onset usually happens in the year following a strong El Nino phenomenon, as it did in 1973, 1995 and 1998, Zhang said. "But the delayed onset of a typhoon doesn't mean the total number of typhoons affecting China is reduced," said Zhou Bing, chief engineer at the National Climate Center. Zhou added that during the typhoon season from July to October, the northwestern Pacific and South China Sea are the birthplace of 27 typhoons on average. Of those, fewer than five formed in the first half of the year. Of the seven typhoons that have affected China, only one landed in the first six months. "The data show that the first six months are not the active period for typhoons. So it's not reasonable to jump to the conclusion that fewer typhoons will occur when there are no typhoons in the first six months," Zhou said, adding that the governments should put more effort into preparing for the coming months, which may see more typhoon activity. Zhang Zuqiang, the spokesman, added that the strong El Nino ended in May, but its oppositeLa Ninais forecast to dominate in summer and autumn. "With the lingering influence of El Nino, China may see fewer typhoons during the summer. But because of the coming La Nina, there may be more typhoons in the fall. And they may be stronger than average," Zhang said. Both the El Nino and La Nina phenomena are related to abnormal ocean currents and temperatures in the central and eastern Pacific, leading to global changes of temperature and rainfall. Foreign researchers and journalists attending the Forum on the Development of Tibet have been awestruck by what they have seen in the regional capital Lhasa. Foreign researchers and journalists attend the 2016 Forum on the Development of Tibet in Lhasa on July 8, 2016. [Photo/Xinhua] The Tibetan miracle is the result of massive effort by the Chinese government to foster productive enterprises, said Mewati Sitaram Bholaram, a senior correspondent with India's Mumbai Messenger. Infrastructure in Tibet has reached a level which allows the area to become an integral part of the Chinese and global economies. Tibet has steered itself into the fast lane of development together with the rest of China, he said. More than 130 researchers, officials and journalists from over 30 countries and regions attended the two-day forum on Thursday and Friday. Hosted by the State Council Information Office and the Tibetan regional government, the forum focused on entrepreneurship and industrial modernization in Tibet, preserving tradition, environmental protection, regional infrastructure and poverty relief. Russian editor Maksim Belov of the Beijing-based China Pictorial magazine described the development of Tibet as almost beyond imagining. Amazing achievements have been made in the education and health systems despite the harsh climate and rough terrain. Tibetans, including rural Tibetans, enjoy satisfactory living conditions, he added. Stefano Vernole, a researcher with Italy's Eurasia-Mediterranean Study Center, said that Tibet is completely different from how it was in 1950s. The Tibetan people have freedom, equality, dignity and enjoy the fruits of modern civilization. Development and progress in the region reflect the common aspirations of all ethnic groups in Tibet, he added. Farhana Paruk, a researcher at the University of South Africa, said economic and social development has turned Tibet into a developed region from a rural community, creating a better standard of living for the average Tibetans. In 2015, the per capita disposable income of urban residents in the region was 25,457 yuan (3,807 U.S. dollars), compared with 565 yuan in 1978, and that of farmers and herdsmen last year was 8,244 yuan, representing an average annual increase of 12 percent, according to government statistics. Some foreign experts also made suggestions on the future development of Tibet. Christine Davis, vice president of the U.S. Asia Society, said Tibet could play an important part in the Belt and Road Initiative since it connects other provinces in China with South Asia, Central Asia and other regions. Thanong Khanthong of the Thailand National TV Station, said the government should put more emphasis on sustainable tourism. "This will not only increase the incomes of Tibetans but will promote regional economic growth," he said. His view was echoed by Maksim Belov who took Russia as an example. An increasing number of Russians want to visit Tibet but there are no direct flights linking Moscow and Lhasa. "Currently, tourists from Russia have to fly to Beijing and change planes to reach Lhasa," Belov said. "I hope a direct flight from Moscow to Lhasa will open soon." Follow China.org.cn on Twitter and Facebook to join the conversation. Liu Lian believes that her years of adhering strictly to the concept of fangshengsaving animals from being slaughtered for food and releasing them into the wildhas changed her son's destiny. A Beijing forestry worker carries a captured arctic fox that was illegally released into the wild in Beijing in April.[Photo by Hei Ke/China Daily] Liu, from Fuzhou, Fujian province, said her life was perfect until four years ago when she was nine weeks pregnant and was diagnosed with a serious heart condition. More bad news was to follow. "When I was 23 weeks pregnant, the doctor told me that the baby's head was abnormal. My mother and I almost fainted when we heard the news," the 28-year-old said. "Three weeks later, the doctor changed his diagnosis and told me everything was fine. However, five months after my son was born, we noticed something was wrong; he had little response to the outside world and was unable to make any sounds." Liu decided to share her story online in the hope that an expert would offer advice, but instead of physicians, she was contacted by a number of Buddhists. "They suggested I read Buddhist scriptures to my son, saying that would help him. However, the scriptures are too long, so I decided against it. Later, they made another suggestion, saying I should buy animals from the market and set them free," she said. "Buddhist teachings say every living thing can become a Buddha, and the ultimate merit is conferred on anyone who saves lives. So, that's what I have done for three years now. My son's condition has improved greatly, and he is able to form rudimentary words." Buddhists believe in reincarnation, so they follow a range of practicesincluding vegetarianism, burning incense and donating money to templesin the hope their souls will be reborn on a higher plane of existence. Many also release animals into the wild. Bai Shui, a Buddhist from Guilin in the Guangxi Zhuang autonomous region, set animals free every week for 20 consecutive weeks. He helps organize a group that collects funds online and purchases animals solely for the purpose of setting them free. A controversial practice The practice may sound harmless, but it's controversial. Although Liu and Bai claim their actions are prompted by a reverence for life, the animals they release often cause extensive damage to other species and the ecosystem. On March 27, a group of people bought and released 380 raccoon dogs and arctic foxes from trucks in the Huairou district of Beijing, according to reports by Beijing Evening News. In the days that followed, local villagers reported that their poultry had been harassed and killed as the dogs and foxes sought food. By April 15, only 40 of the animals were still alive, the rest having starved to death or been fatally injured in fights. According to the revised Law on the Protection of Wildlife, adopted on July 2 by the Standing Committee of the National People's Congress, it is illegal to release animals into the wild without authorization. "People and organizations should select species that can adapt to the places where they plan to set them free, to avoid disturbing local residents' property and livelihoods, and to prevent damage to the local ecological system," the law says. "Those who harm local ecology and bring personal or property damages on others through the unauthorized release of wildlife will face legal liabilities." Xie Yan, an associate research professor at the Chinese Academy of Sciences' Institute of Zoology, said releasing animals without scientific guidance could have a negative impact on the ecosystem. "The eco-balance is formed naturally over years, by its own development. The sudden intrusion of a large number of outside species could seriously damage the eco-balance," she said. "If the animals being released have no natural enemies in the new habitat, their numbers could swell rapidly and threaten other species. Moreover, some of these animals have been raised in cages and they carry viruses. If other local species are infected by viruses for which they have no antibodies, they are likely to die," she added. Xie believes an illegal industry is fueling the rise in the number of cases, resulting in vendors making fat profits from exploiting people's goodwill. Yan Xun, chief engineer at the Department of Wildlife Conservation and Nature Reserve Management at the State Forestry Administration, said related underground industries exist in many areas. "Many religious people and animal rights activists believe they are performing a noble act, and to demonstrate their faith they refuse to haggle with the traders," he said. Kong Lingshui, who leads a law enforcement team with the Beijing forestry authorities, said he monitored a market where animals were sold in the Changping district, and saw about 500 people pay 530 yuan ($71) each to buy animals to release into the wild. The vendors made about 265,000 yuan in total, a huge profit, given the animals' real market value. "The total cost (of the animals) was no more than 20,000 yuan, and many of them were unable to survive in the wild," Kong said. "Some vendors even recapture the animals and sell them again, resulting in a death rate of about 30 percent. This trade has to be stopped." Lax enforcement of law is a major stumbling block, experts say Legislative attempts to prevent the unauthorized release of animals into the wild are unlikely to succeed, legal experts said. Zhao Jingwei, a lawyer at Yingke Law Firm in Beijing, said the legislation would be difficult to monitor from the perspective of law enforcement. He suggested the authorities launch a campaign to heighten public awareness of the problem. "I think public education is vitally important. This sort of behavior will only be stopped if people understand that their actions are likely to harm the animals and the environment," he said. A number of regulations existed before the revised Law on the Protection of Wildlife was adopted on July 2. They state that an application must be submitted to the local forestry bureau before animals are released. The application is followed by an official assessment of the types of animals to be freed and the possible effect on the local ecosystem. If there are no obvious concerns, permission is granted. The problem is that few applications are filed, and people are setting animals free without permission. "If an article of law does not regulate illegal behavior and fails to support law enforcement teams, it is useless, to all intents and purposes," said Zhao, adding that specific punishments should be formulated to ensure there are no gray areas. Xie Yan, an associate research professor with the Institute of Zoology at the Chinese Academy of Sciences, said individuals should not release any animals into the wild. "It should be left to the professionals, individuals are unable to do this properly," she said. "People should not release animals into the wild simply because they want to. The professionals only do so if injured animals have been treated and need to be reintroduced to their natural habitats, or in other specific circumstances. "People with a genuine interest in participating in wildlife protection work should apply to observe the professionals and learn their techniques before they set any animals free." How many American troops are required to make Afghanistan stable and peaceful? The question is important, as President Barack Obama has been forced to slowdown the withdrawal of troops from Afghanistan after the recent increase in deadly attacks, which have resulted in a sharp deterioration in the security situation. The original U.S. plan was to reduce the number of troops from the current 9,800 to 5,500 by the end of the year, but President Obama said in a statement that 8,400 troops will stay in Afghanistan through next year to help maintain security and train Afghan forces. This means that the president would have to leave office without bringing all the troops back home. In other words, his eight years in office have failed to bring stability to Afghanistan, which is still dependent on American money, materials and men to survive. Now let's go back to 2001, when the U.S. war machine aided by the U.K. invaded the impoverished country. They removed the rag-tag Taliban rebels within days, ending their myopic rule which had been nothing but a source of misery for the people. The U.S. unleashed the fury after the Twin Towers tragedy for which al-Qaeda was held responsible. Since Taliban refused to hand over or expel Osama bin Laden and his legion of terror, punitive action became inevitable. The purpose of Operation Enduring Freedom was to bring Osama bin Laden to justice, remove the Taliban government and prevent the use of Afghan soil for militancy and global acts of terrorism. At least one of the objectives was achieved without much trouble when the Taliban government quickly crumbled. But a comprehensive international effort was needed to eliminate any future threat of terrorism emanating from Afghanistan. In December 2001 the UN endorsed International Security Assistance Force (ISAF) while the political roadmap was simultaneously prepared at the Bonn Conference to meet the broader objective of a peaceful Afghanistan. With the benefit of the hindsight, it is clear that the U.S. attacked Afghanistan without any solid plan regarding the aftermath of the invasion. American policymakers failed to take any guidance from Afghanistan's history and hence could not plan accordingly. There was a sense of complacency after the Taliban fled, with the belief that the new Afghan leadership would bring political stability while the international troops ensured security. A major blunder was the lack of measure to stop rebels escaping to Pakistan through a more than 2,400 km long porous border. It was followed by laxity in letting the Taliban and al-Qaeda slowly reorganize and launch brutal guerrilla warfare. The adventure of Iraq proved the final proverbial straw, as it took international attention away from the affairs of Afghanistan. Militants started attacking international troops in 2003. Since then they have not looked back, as the number of ISAF troops and American soldiers went up and down. The presence of U.S. forces is important for the future of Afghanistan. Their numbers hardly matter, as it is difficult to say how many foreign troops can make Afghanistan 100 percent safe from rebel attacks. The number has fluctuated from about 60,000 at the start before peaking at around 140,000 at the height of the insurgency, and is now being reduced to just 8,400. After the end of combat missions in 2014, most of the nations walked out of the country, showing weariness and a lack of trust over the situation. Security was handed over to the Afghan troops, who took the lead in combating militancy. The Afghan army has shown resilience in the face of a relentless campaign of terror by militants. But it might not have sustained the onslaught without the symbolic presence of American boots and its air power in Afghanistan. There is no quick fix to Afghanistan's problems. It is the responsibility of the international community to provide support to the government, in part because the leading powers and regional countries are responsible for the troubles of the people of Afghanistan. But the support should not be unconditional. The Afghans should also realize that it is time to put their house in order. They should know that it is not possible for America or any other country to shoulder the economic and security burden for an indefinite period. Sajjad Malik is a columnist with China.org.cn. For more information please visit: http://china.org.cn/opinion/SajjadMalik.htm Opinion articles reflect the views of their authors, not necessarily those of China.org.cn. America is once again grieving from a series of politically-charged shooting deaths involving police and young black men. On July 5, in a case that the U.S. Justice Department is currently investigating, officers shot and killed Alton Sterling after tackling him to the ground while he was resisting arrest. Video recorded by a bystander showed that he was killed while on the ground. Two days later Philando Castile was shot and killed at a traffic stop. With the officer's gun still pointing into the car, Castile's girlfriend recorded a live video on Facebook in the aftermath. She said that he was shot while reaching for his driver's license after having informed the officer that he was a legal gun owner. Both shootings will have to be investigated further before any possible charges are filed, but they are being taken as examples of what activists say is an example of the trigger-happy police brutality that led to the formation of the Black Lives Matters group. It was at another one of those protests that tragedy struck, this time with the police in the cross hairs. At a Black Lives Matter protest on July 7, a sniper shot and killed five officers and injured seven more. What these shootings have in common is the issue of guns and their widespread availability in America. Whether it's a police officer shooting a suspect they are apprehending, a radical shooting a police officer, a racist shooting up a church in Charleston, S.C. or an ISIS adherent shooting government offices in San Bernardino, Calif., a common ingredient is guns. A single gun-wielding terrorist was able to kill more people with a semi-automatic assault in an Orlando night club rifle than a team of eight terrorists could with knives at the Kunming Railway Station in 2014. There are over 300 million guns in America, 112.6 guns per 100 residents, far and away the most in the world at 11 times the world average. About a third of Americans own at least one gun. The percentage of gun owners who say they own their guns for self-defense has increased from 26 percent in 1999 to 48 percent in 2013 (even though the crime rate declined precipitously in the intervening years). The National Rifle Association and gun rights activists often argue that guns are the only thing to protect you in a dangerous world. But what if guns make the world more dangerous? And what if gun ownership even puts gun owners at greater risk? Both Sterling and Castile owned guns, and the situation with Castile is particularly illustrative. It wasn't Castile's fault he was shot. If what his girlfriend said is true, he was just reaching for his wallet to prove his identity after the officer had requested he do so. It is an example of how the prevalence of guns makes officers and civilians nervous in many situations. A police officer approaching a car window doesn't know if the suspect owns a gun, and if so, he doesn't know if he might reach for it. This uncertainty could contribute to overreactions. Almost anyone can own a gun in America. The sniper who shot the dozen officers in Dallas, Micah Johnson, was known by some to have mental health issues. He had been discharged from the military without honor after being accused of sexual harassment, and the victim said that she felt Johnson needed mental help. But then he was able to buy his gun and use military training to wage insurgency tactics on a city. Only convicted felons are generally banned from owning guns nationwide. People who will commit a felony but haven't yet can buy a gun, and there's no bar on people with misdemeanor convictions for violent offenses like spousal abuse. There aren't even universal requirements for background checks, as individual Americans can sell guns in private sales with no background checks. Bills to require background checks for those sales have been defeated in U.S. Congress the past few years. Yet after every major shooting there is an increase in gun purchases by Americans scared that guns will soon be banned and a renewed push by guns rights activists to expand access to guns. Americans say they need guns to protect themselvesfrom other Americans with guns. The author is a columnist with China.org.cn. For more information please visit: http://china.org.cn/opinion/MitchellBlatt.htm Opinion articles reflect the views of their authors, not necessarily those of China.org.cn. Flash Finnish Defense Minister Jussi Niinisto and his British counterpart Michael Fallon signed on Saturday in Warsaw a protocol on defence cooperation between the two countries. Finnish media quoted Niinisto as describing the document as "a declaratory expression of intent". The protocol does not bind Finland and Britain to give mutual assistance in the event of a crisis, but rather sets the framework for cooperation. The document was signed in connection with the NATO Summit. Contacts will be strengthened in training, maneuvers and in crisis management. Finnish national broadcaster Yle listed as key areas repelling chemical and biological arms, exchange of information and standardization. Niinisto said the Finnish Defense Forces are totally compatible with NATO standards these days. He said that in fact the level of compatibility exceeds that of some "new" NATO member countries. Finland is not a NATO member, but is an enhanced companion of the military organization based on an agreement signed in 2014. The arrangement with Britain was disclosed by the Finnish Defense Ministry a week ago. The news caused some consternation among Finnish parliamentarians as even most members of the security related parliamentary committees had received no advance information and they heard of it first from media. However, Niinisto said on Saturday the protocol "does not have such level of foreign policy importance" that an advance notification to parliament should have been necessary. Finland follows Sweden in intensifying defense cooperation with Britain. Sweden and the UK agreed on cooperation in 2014. Niinisto said earlier Finland and the United States would also sign a defence protocol, probably during this year. Flash An Iranian security official reiterated on Sunday that the Islamic republic will not give up its military advisory support for Iraq and Syria until the full elimination of terrorism, Press TV reported. Secretary of Iran's Supreme National Security Council, Ali Shamkhani, made the remarks in a meeting with the visiting chairman of the Islamic Supreme Council of Iraq Ammar Hakim in Tehran on Sunday. Shamkhani hailed the recent victories of the Iraqi forces against the militants of the Islamic State (IS), saying that the victories show "internal unity and domestic capacities serve as a successful and reliable experience in the process of fighting terrorism." The Iraqi government can further take advantage of the local capacities in the liberation of the city of Mosul from the IS occupation, he said. Iran "will keep up its advisory cooperation and assistance to the Iraqi and Syrian governments until the threat from terrorist groups in the region is completely eliminated," Shamkhani stressed. For his part, Hakim briefed the latest developments in Iraq and hailed Iran's support for his country in its fight against the IS. Since the eruption of civil war in Syria and Iraq, Iran has dispatched military advisers to those countries in the support of the governments. Flash Police in the US city of Dallas, Texas, stepped up security Saturday after a new threat was received, two days after snipers killed five police officers during a mass protest in the city. Dallas police confirmed that it received an anonymous threat against the law enforcement across the city and said that the department was taking precautionary measures to heighten security. The police did not reveal details of the threat. A Special Weapons and Tactics (SWAT) unit was deployed around the Police Department's headquarters on South Lamar Street into Saturday night, including on roofs and in armored vehicles. Roads leading to the police headquarters were blocked late Saturday. An armed black policeman at the crossroads between Belleview Street and Akard Street said he has received orders to block the street, but declined to disclose more information. Sources said the Dallas police were searching for a suspicious man who might be hiding in the police headquarters' garage. Later reports by the police said that they conducted multiple searches of the parking garage, including with a canine unit. The SWAT team detonated a device to break through a locked fence inside the garage. The police declared everything clear later in the night. On Thursday night, snipers hiding in a multi-story garage opened fire on police officers during a mass protest in downtown Dallas against recent killings of African-Americans by police officers. The snipers reportedly targeted white people, killing five officers and injuring seven others. One of the suspects, a veteran, was later killed by a police bomb robot after a stand-off of several hours. Flash Yemeni President Abd-Rabbu Mansour Hadi vowed Sunday to liberate the country's capital Sanaa from the control of the Iran-backed Houthi rebels with a Saudi-backed military offensive. In a surprising move, Hadi arrived in northern Marib province about 170km east of Sanaa along with his vice-president General Ali Muhsen and other ministers as pro-government forces creep into several Houthi-controlled provinces. Hadi said during a meeting with high-ranking military commanders and government officials in Marib that "we will foil any attempt to create a Persian state in Yemen." He also declared in his first visit to the headquarters of Saudi-backed government forces that "we will be in the capital Sanaa soon." Media outlets affiliated with the Saudi state announced that the purpose of Hadi's visit to Marib is to supervise the all-out military operation to recapture the capital Sanaa and liberate other provinces from the control of Houthi rebels. An army officer said that heavy military reinforcements backed by dozens of Saudi-led armored vehicles arrived at the same time with Hadi's arrival in Marib. A source close to Hadi confirmed to Xinhua that "the President asked the government delegation to boycott the UN-facilitated peace talks in Kuwait if partnership with Houthis will be imposed on us." According to the source President Hadi strongly rejected the latest UN vision that suggested to form a coalition government with Houthis and considered it as "attempts to legitimize the coup." The UN-facilitated peace negotiations aimed at ending Yemen's civil war were officially halted late last month and are scheduled to resume on Friday in Kuwait after a two-week break. On June 29, the Special UN Envoy to Yemen Ismail Ould Cheikh said "talks will embark on a new phase in the next weeks. Delegations will meet their leaderships in coming two weeks and will return to Kuwait on 15 July." Ould Cheikh added that "delegations must return with practical steps based on the recommendations of the previous discussions they had in Kuwait." Yemeni political observers said that the UN-brokered peace talks that kicked off in Kuwait City on April 11 failed to reach any tangible breakthroughs after two months of negotiations. Delegates of the government strongly insist that they represent Yemen's sole legitimate governing authority, and call for the full implementation of last year's UN Security Council Resolution 2216. The resolution orders Houthi militias to withdraw from Sanaa and all other cities occupied earlier, hand back weapons and release political prisoners before forming new sharing transitional government. However, the Houthis and their allies, for their part, say that they represent the country's de facto rulers and urged to form a new transitional government before discussing withdrawal from cities and the other topics. The Houthi top leaders have also reaffirmed their demand for a consensus president to lead the transition in any peace deal, but government delegates have firmly rejected and insist on implementation of the UN resolution first. The civil war has drawn in Saudi-led coalition on March 2015, in response to Yemen's President Abd-Rabbu Mansour Hadi's call to restore his internationally recognized government to the capital, Sanaa. The civil war has killed more than 6,000 people, half of them civilians, injured more 35,000 others, and displaced over two millions, according to humanitarian aid agencies. Yemen's conflict began after 2011 massive popular protests that demanded end to the 33-year rule of then President Ali Abdullah Saleh. Flash UN Secretary-General Ban Ki-moon on Sunday said that he was "shocked and appalled by the heavy fighting" that is currently taking place in Juba, the capital of South Sudan, and strongly urged the leaders of the youngest country in the world to "do everything within their power" to de-escalae the hostilities. "I strongly urge President Kiir and First Vice-President Riek Machar to do everything within their power to de-escalate the hostilities immediately and to order their respective forces to disengage and withdraw to their bases," Ban said in a statement issued here via his spokesman. "This senseless violence is unacceptable and has the potential of reversing the progress made so far in the peace process," the statement said. United Nations compounds and protection of civilians sites in Juba have been caught in the cross-fire, the secretary-general noted. "I am deeply frustrated that despite commitments by South Sudan's leaders, fighting has resumed." "They must take decisive action to regain control of the security situation in Juba; prevent the spread of violence to other parts of the country; guarantee the safety and security of civilians, United Nations and other personnel; and genuinely commit themselves to the full implementation of the peace agreement," said the statement. The United Nations Mission in South Sudan continues to protect displaced civilians and engage all stakeholders in order to end the fighting and restore security, the statement added. The UN Security Council is expected to meet behind closed doors on Sunday afternoon in an emergency session to discuss the current situation in South Sudan, where fighting in the capital Juba has left more than 110 soldiers killed. South Sudan has canceled this year's independence celebrations due to the economic crunch resulting from more than two years of civil conflict. It won independence on July 9 2011 from Sudan after more than two decades of war that ended in a bitter divorce. The country again plunged into conflict in December 2013 after President Salva Kiir accused his deputy Riek Machar of plotting a coup, which the latter denied, leading to a cycle of retaliatory killings. President Kiir and former rebel leader and now First Vice President Machar signed a peace deal in August that paved way for the formation of the transitional unity government to end more than two years of civil conflict. Flash During the two-day Warsaw Summit, the North Atlantic Treaty Organization (NATO) took a series of decisions aimed at increasing security both in its eastern and southern flank. North Atlantic Treaty Organization (NATO) Secretary General Jens Stoltenberg addresses a press conference in Warsaw, Poland, July 9, 2016. [Photo/Xinhua] The second day of the Summit focused on the southern flank security, and included decision on support for EU anti-human trafficking mission, counteracting the Islamic State (IS) and terrorism. NATO will provide more support for its partner countries in counteracting extremism, an example of which is sending airborne warning and control AWACS planes to provide support for the anti-IS coalition and commencing military training for the soldiers in Iraq. The AWACS planes will fly over international and Turkish airspace. Meanwhile, talks with Turkey are being conducted regarding the expansion of the Alliance activity in this region. NATO will also "increase its cooperation with Jordan to establish a stable island in this region and ensure efficient fight against the Islamic State", according to NATO Secretary General Jens Stoltenberg. For the sake of NATO's southern flank security, the Alliance will also increase support for anti-IS coalition, train military forces and launch capacity-building program in Iraq. The main goal is to ensure local forces are capable of maintaining peace and safety. Another important step is the launch of new NATO operation "Sea Guardian" on the Mediterranean Sea. It will be based on "Active Endeavour" mission held in the Strait of Gibraltar region, aimed at protecting civil vessels from terrorist attacks. The mission against terrorists will also support the EU "Sophia" operation against human trafficking, commenced by the EU in summer 2015, as a result of which, thousands of castaways have been rescued so far and dozens were arrested. NATO also decided to extend its Resolute Support Mission in Afghanistan after 2016, continue to train, advise and aid the security forces in Afghanistan. Moreover, NATO allies have promised at the summit to fund Afghan forces about 1 billion U.S. dollars annually over the next three years. The second day also brought the confirmation of NATO's support for Ukraine, its sovereignty and territorial integrity. The first session of the North Atlantic Council on Friday brought decisions of strengthening NATO's eastern flank in Poland and Baltic states, one element of a more comprehensive adaptation of NATO deterrence and defense. Four multinational battalions are to be deployed in four host countries, Latvia, Lithuania, Estonia and Poland, led by respectively Canada, Germany, Britain and the United States. Apart from a thousand men battalion, the headquarters of American Armored Brigade will be located in Poland. Another 150 soldiers will be sent to the country by Britain. On the same day, the first day of the Summit, NATO also regarded cyberspace as a new operational domain and acknowledged the initial operational readiness of the anti-missile shield. Acknowledging the initial operational readiness of the anti-missile shield in fact means that NATO can officially take over control over the component of the missile defense system, located in Romania (Aegis Ashore). The system is aimed at efficient protection and reacting to the threats from outside Europe. The Alliance also pledged to strengthen its collective defensive in all of the fields, including increasing their cybernetic protection and information exchange. Flash Syrian political experts have stressed that China has a full right of sovereignty over the South China Sea territories, pointing out that the United States works to create "tension spots" with its allies to affect China politically and economically. Washington has always emerged as a power supporting separatist movements in Tibet and Taiwan, even though indirectly, with the aim of tightening the noose on China economically, given the economic importance of the contested islands in the South China Sea. Osama Danura, a political analyst who holds a PhD in political science, told Xinhua that the issue of the South China Sea constitutes an important strategic risk system the United States is working to get China busy with. "The risk system is related to the competition in the South China Sea in terms of the contested islands, the eastern Turkestan region, and the U.S. support to the separatist movement in Taiwan," further explained Danura, who is also a member of the government negotiating delegation to the Syrian talks in Geneva. He said the importance of the South China Sea emanates from being a hub where one third of the World's maritime cargo passes through, adding that the U.S. influence in that area could pose an economic risk to China. "China has a historic right in these contested islands and water. It's a fixed right mentioned in the history books, which is irrefutable," he said. He added that Washington, through its allies such as Japan, repeats its "strategic provocations" in that area to annoy China and create a severe dispute in that area to exhaust China diplomatically, strategically and economically. "China is serious in drawing redlines in the face of any attempt by the U.S. to capitalize on the situation in this area," he said, noting that the South China Sea issue could be "concocted by the United States, but interfering in it could expose the U.S. to a hot fire." Resolving the issue, Danura noted, could be done by "finding direct partnership mechanisms among the contesting countries in that region, which are disputing over the regional water, the economic and vital atmosphere as well as the contested islands." For his part, Omar al-Mekdad, a Syrian journalist and expert in the Chinese affairs, told Xinhua that the crisis in the South China Sea is one of the most important international crisis, as the trade volume that passes through the area has an economic value of 5,000 billion U.S. dollars annually. "The United States is always behind any crisis that erupts in the world," al-Mekdad charged. On Tuesday, a decision will be made by the Permanent Court of Arbitration in The Hague over who has legitimate rights over the disputed waters. China has recently stressed that the only way out of the dispute is through bilateral talks and friendly dialogue, warning the Philippines that it does not accept or recognize any decision by the tribunal. Hong Lei, China's foreign ministry spokesperson, told a news conference recently that "China will never accept nor recognize whatever ruling the tribunal may produce, and China does not accept any proposal or action by any country based on the ruling." Meanwhile, al-Mekdad expected the decision that will be given in The Hague to be "politicized and taken without observing the facts about China's rightful claim in the South China Sea territory." Last week, Syria's state-run SANA news agency published a statement by the National Progressive Front (NPF), which is a political alliance of parties in Syria that supports the ruling al-Baath party in Syria, in which the NPF stressed full backing to China in the case of the South China Sea. "These islands cannot be parted from the Chinese territories, and the disputes that have been created around this issue are fomented when some countries took over the islands in a way that runs counter to the laws and norms adopted in the relations between countries," the statement read. Resolving these disputes should take place far from any foreign interventions, it said, noting that rules and mechanisms for reaching plausible and proper solutions must be put in motion. Flash More than 30 international law experts gathered recently at The Hague in the Netherlands for a symposium on the Philippines-proposed arbitration on the South China Sea issue along with its possible influence on the international legal system. The following is the consensus reached by international experts, including those from China, on the four topics. An aerial photo taken on Sept. 25, 2015 from a seaplane of Hainan Maritime Safety Administration shows cruise vessel Haixun 1103 heading to the Yacheng 13-1 drilling rig during a patrol in South China Sea. [Photo/Xinhua] On the first topic, "the relation between the arbitration case and the international law," the experts thought that the arbitration case violated many principles of international law. For example, the arbitration tribunal failed to clarify or identify the disputes between China and the Philippines in its ruling on jurisdiction, the composition of the arbitration tribunal failed to represent major civilizations or legal systems, the arbitration tribunal was totally funded by the Philippines, the arbitration process was carried out at a stunning speed, the ruling on the jurisdiction failed to give full consideration of China's claims although it ostensibly noticed them, the arbitration tribunal failed to complete the necessary legal analyses and it did not respect the principle of legal consistency in that some arbitrators altered positions without giving explanation. These facts permeated the international legal society with the fear that the arbitration case may damage international law. On the second topic, "case study on similar international cases that involved parties do not appear in, or participate in the court trial," the experts acknowledged that there were already 14 such examples, and the absence of both China and the Philippines in the tribunal should not incite surprise. However, under such circumstances, international courts, especially the International Court of Justice, would assume a very precautious attitude and treat the two sides fairly. By contrast, the tribunal court failed to fulfil its due diligence. On the third topic, "historical sovereignty and historical rights," the experts believed that the United Nations Convention on the Law of the Sea (UNCLOS) does not bluntly deny all historical sovereignty or historical rights, so that the claim on the two issues could be legitimate. However, the arbitration tribunal failed to respect the historical fact and vaguely expressed its opinion, which in itself was a violation of international legal principles. On the fourth topic, "the relations between the UNCLOS's interpretations and international laws," the experts believed that the arbitration tribunal purposefully confused its explanation on expanding its jurisdiction and distorted the text of UNCLOS. Such acts were damages to the total validity and impartiality of the international legal system. The experts urged the tribunal to fully respect the limitations of jurisdiction as stipulated by UNCLOS, and fully and seriously consider the exclusion with which China implements UNCLOS. Among the experts, Yi Xianhe, chief expert of the China Institute of Boundary and Ocean Studies at Wuhan University, said the symposium attended by renowned experts of international law was helpful to clarify vague ideas and correct misconceptions. "The arbitration tribunal damages the impartiality of the international legal system," said Yi, who urged the arbitrators to notice the reasonable conclusions reached at the symposium. He urged people to realize that disputes would eventually be settled through peaceful negotiations. Flash Former British Prime Minister Tony Blair faced mounting pressure Sunday in the wake of the devastating Chilcot report into the 2003 Iraq war. Lord John Prescott, who served under Blair as his deputy prime minister, said Sunday the 2003 invasion of Iraq by military forces from Britain and the United States was illegal. Labour politician Prescott, said he would live with the catastrophic decision for the rest of his life. "A day doesn't go by when I don't think of the decision we made to go to war. Of the British troops who gave their lives or suffered injuries for their country. Of the 175,000 civilians who died from the Pandora's Box we opened by removing Saddam Hussein," said Prescott. The veteran politician, who now serves in the House of Lords, outlined his views in his column in the Sunday Mirror newspaper. Meanwhile, leading Conservative MP David Davis said Sunday he plans to submit a motion to the House of Commons on Thursday to hold Blair in contempt of Parliament over the Iraq war. Such moves are rare in the British parliament. Davis' move could provoke a debate in the House of Commons to discuss whether Blair had misled parliament. Following the release of the long awaited report last week into the war, Blair apologized, but said he stands by his decision to join the United States in the campaign which saw the toppling of Iraq's president Saddam Hussein. Most of Britain's national newspapers focused after the report on a message Blair sent to U.S. president Bush before the invasion saying "I am with you, whatever..." In his article Sunday, Prescott described that statement by Blair as devastating, with Prescott adding he agreed with the former UN secretary general of the United Nations, Kofi Annan, that the war was illegal. The troubles within Britain's main opposition Labour party continued with embattled leader Jeremy Corbyn responding Sunday to the proposed challenge to his leadership by Labour MP Angela Eagle. Corbyn urged Eagle to think again about mounting her challenge, which she is scheduled to do Monday morning local time. Instead he called for the party to unite. Eagle said deputy party leader Tom Watson, party chief whip Rosie Winterton and Parliamentary Labour Party chairman John Cryer had all tried to get Corbyn to stand down, but he had refused. Virtually all of Corbyn's shadow cabinet resigned after a vote of no confidence by his own MPs, making it difficult to fill all of the vacancies in his front bench team. A critical moment could come later this week when the Labour Party's ruling body, its NEC, meet to decide whether Corbyn should automatically see his name on a leadership ballot paper, or whether, like Eagle, he will need to win backing from a percentage of Labour MPs and MEPs (Members of the European Parliament). Corbyn insisted Sunday his name would automatically go forward as serving leader, adding he would challenge a decision that stated he needed to be nominated. Political commentators said Sunday that the civil war between Corbyn and his Labour MPs could threaten the future of Britain's so called working class political party. Meanwhile, in the governing Conservative Party it is not all sweetness and roses, with one of the contenders for the leadership of that party under fire. Home Secretary Theresa May and energy minister Andrea Leadsom are involved in a head-to-head for the leadership, with the winner becoming Britain's second ever female prime minister. A furious row has erupted after mother-of-three Leadsom was accused of saying that having children made her a better choice of leader. Her rival, May, has no children. Some Conservatives have called on Leadsom to quit the leadership race in view of the comments she made. The Sunday Times reported Sunday that around 20 MPs are ready to form a breakaway party if Leadsom is elected as Conservative leader. Leadsom supporter, former cabinet minister Iain Duncan Smith commented: "Project Fear from the EU referendum campaign has morphed into 'Project Smear'." He said in one interview: "The establishment are ganging up... it's all about 'let's gang up on Andrea'." You are here: Home Flash Chinese Vice Premier Liu Yandong will address the opening ceremony of the fifth World Peace Forum to be held at Beijing's Tsinghua University on Saturday. Chinese Foreign Ministry spokesman Lu Kang made the remarks at a routine press briefing on Monday. Flash China on Monday strongly condemned Sunday's attack in Juba, capital of South Sudan, in which two Chinese peacekeepers were killed and five Chinese were injured. The incident happened Sunday evening local time as fighting between government and anti-government forces continued in Juba, according to China's Ministry of National Defense. A peacekeeping armored vehicle, which was guarding a refugee camp, was hit by a shell. China is deeply shocked and strongly condemns the attack, and extends deep condolences to the victims and their families, Chinese Foreign Ministry spokesperson Lu Kang told a daily news briefing. The Chinese Embassy in South Sudan is supporting the injured, Lu said, noting that China had asked the government of South Sudan to launch a thorough investigation and to protect Chinese citizens in South Sudan. China is deeply concerned about the escalating situation in South Sudan, Lu said, after the attack, the Chinese Foreign Ministry and Chinese Embassy in South Sudan initiated emergency measures to protect Chinese citizens and organizations. The Chinese side called for a ceasefire, the cessation of violence, and the implementation of the peace deal in South Sudan to restore stability and avoid more casualties, Lu said. The Chinese Foreign Ministry has issued a safety warning, advising Chinese citizens to avoid South Sudan, and calling on those in South Sudan to avoid conflict areas, Lu said. Flash Britain's Conservative politician Andrea Leadsom on Monday announced withdrawal from the Tory leadership race, saying she will back the only remaining candidate Theresa May to be the next party leader and British prime minister. In a statement, Leadsom said she had the support of less than 25 percent of the parliamentary party. That is not enough to run a strong, stable government, she said, adding that the interests of the country are best served by having a strong prime minister. She also offered her support to the only remaining candidate Theresa May, the Home Secretary and frontrunner in the Tory leadership race. WELLINGTON - New Zealand Trade Minister Todd McClay on Monday hailed the success of the G20 Trade Ministers Meeting in Shanghai, saying it would likely be extremely important for New Zealand trade interests. "This was a positive meeting for our core interests in trade and investment," said McClay, who was one of only two non-G20 trade ministers invited by China to attend the meeting at the weekend. "There is a clear political will to build on the successes of the World Trade Organization (WTO) Nairobi Ministerial last year, which agreed to eliminate agricultural export subsidies, and to make progress towards concluding an Environmental Goods Agreement," McClay said in a statement. In respect of the WTO, G20 ministers had committed to advance negotiations in a number of areas, including on the trade-distorting effects of agricultural domestic support, which was vitally important to New Zealand's export interests and would be welcome news to its rural sector. "While much remains to be done, this meeting has been a useful step in the process toward the next WTO ministerial in 2017," said McClay. G20 ministers negotiating the WTO Environmental Goods Agreement also reaffirmed their intention to conclude an ambitious agreement and to do so quickly, seeking to finish later this year. "New Zealand has been a strong advocate of the Environmental Goods Agreement and I have pushed for an outcome that has clear benefits for both trade and the environment whilst in Shanghai. A successful outcome in this area could be beneficial for New Zealand trade," he said. Traders review data at the New York Stock Exchange. US stocks rose more than 1 percent on Friday in response to the largest monthly gain in employment in eight months, with the addition of 287,000 non-farm jobs in June. [Photo/Agencies] Selling pressure looms ahead of numbers that may reveal weakness The Chinese stock market may be under selling pressure if the key economic data scheduled to be released this week reveals continued weakness of the Chinese economy. The country is set to release a set of key economic data, including the GDP growth in the April-June quarter, industrial production, retail sales and fixed-asset investment for June and the first half of the year. Economists said that China watchers may be disappointed this week as the economy is increasingly burdened by a substantial slowdown in fixed-asset investment, an important component of the country's GDP growth. China's fixed-asset investmentit is a measure of capital spending on physical assets such as real estate infrastructure, machinery, land, installations and technologyhas been expanding at the slowest pace since 2000. The growth slowed from 19.9 percent in 2013 to just 9.8 percent last year, according to official data. The expansion further decelerated in the January-May period to just 9.6 percent year-on-year. "Investment- and factor-driven growth has resulted in overcapacity, which is systemic, resulting from distorted resource allocations and maligned incentive structures in the economy. As a result, investment growth will continue to slow and investment as a share of GDP will continue to fall," said Jeremy Stevens, chief China economist at Standard Bank. Gao Ting, head of China strategy at UBS Securities, said if data show economic activity continued to weaken in June, it could spark investor concerns and hurt market sentiment. Last week, the benchmark Shanghai Composite Index surpassed 3,000 points for the first time in almost two months, as sentiment improved after Brexit-related fears eased and in anticipation of more government measures to prop up growth. In the United States, payrolls data on Friday showed the largest gain in employment in eight months, with the addition of 287,000 non-farm jobs in June. In response, the benchmark S&P 500 Index for US equities jumped above 2,130.82 for the first time since May 21, 2015, before sliding back at the close to 2,129.90, still up 1.3 percent for the holiday-shortened stretch and capping two straight weeks of gains. Gao at UBS Securities said that the official China's Purchasing Managers' Indexes for medium- and small-sized enterprises dipped to 49.1 and 47.4, respectively, highlighting the challenges facing them in production and operations. A level below 50 indicates contraction. "That, coupled with broad-based slowdown in May property sales, new starts, investment growth and the slowdown of manufacturing investment, shows continued weakness in China's real economy demand and growth momentum," he said. But Lukman Otunuga, research analyst at ForexTime Ltd, an online foreign exchange trader, found activity in the services sector a bright spot of the Chinese economy. "Activity in China's services has surged to an 11-month high in June and this indicates Beijing is making good progress in fine-tuning the economy," he said. Otunuga said expectations have mounted that the central bank may introduce fresh measures to spur economic growth. "The Chinese stocks could be poised to trade higher if the renewed risk appetite from China growth optimism encourages investors to trade riskier assets," he said. Analysts at French bank Societe Generale said that China's infrastructure spending remains another bright spot although the Chinese economy is moving from investment-led growth to consumption-led growth. The bank's asset management team has taken a long position on both onshore and offshore Chinese stocks exposed to the country's infrastructure spending and the national Belt and Road Initiative. A mother of a student reviews information at a recent promotional event for US and Canadian properties in Beijing. [Photo/China Daily] Chinese are snapping up properties overseas to enable their children to pursue quality courses When she takes off from Shanghai for Vancouver this summer for full-time postgraduate studies, Olivia Zhang, 26, sales manager with a commodity trading company, will be moving herself and her considerable funds. Unlike other students who look to rent a dormitory bed or a hostel room, Zhang is seeking to buy a flat. "I had applied for student dormitory rooms. But their availability is limited. Will I get one? Fat chance! So, I thought, why not just buy an apartment if I could afford one? I'll then own it and I can earn return on my investment later," said Zhang. The source of her funds will be the proceeds of the sale of her apartment in Shanghai. Her family are permanent residents in Canada, so visa and the proposed realty deal should not be a problem for Zhang. There are many Chinese homebuyers like Zhang in Canada and other countries these days. These wealthy people call their overseas properties xue qu fang, or properties within a stone's throw from a good school, college or university. Once they or their children finish education, they rent out or resell xue qu fang, or decide to live there permanently if they are able to. According to Juwai.com, which provides information on overseas properties, cities with famous educational institutions are seeing a steady rise in realty prices, thanks to such non-speculative buyers, who now account for more than half of the Chinese snapping up overseas properties. For instance, in the UK, 54 percent of Chinese property queries are for xue qu fang; in Australia, it is more than 60 percent; and in the US, more than 72 percent. Influx of overseas students has a positive impact on local economies, including realty, said Charles Pittar, CEO of Juwai.com. For instance, Chinese students spend more than $18 billion a year in Australia. "When Australia wins a foreign student, it gains tens of thousands of dollars in education fees, and at least as much in retail and services spending, plus potentially much more in real estate investment," said Pittar. A Chinese student walks past a property trade center in Queensland, Australia. [Photo/China Daily] Last year alone, Juwai.com funneled Chinese inquiries for Australian properties worth A$1.6 billion ($ 1.2 billion) Down Under. Queries worth a potential $1 billion came for xue qu fang. The scene is not vastly different in the US, said property agent Peter Ng in Los Angeles. States such as New York, Massachusetts, and Pennsylvania command much demand on the back of their famed educational institutions. Ng said: "Chinese families who buy homes for children focus a lot on safety of the neighborhood. This is so because the average age of Chinese students pursuing education in the US is now significantly lower than before. "Some are middle school students and some are even primary school students. So, they really want their homes to be as close to school as possible, to reduce commuting time and risks. "Also, some students are buying homes for themselves. They look forward to buy property as early as possible. If they are able to continue their stay after education and work there, they can stay at the same place without the trouble of relocating, or they can resell at a profit and reinvest in larger houses." Ng said properties with more than three bedrooms are popular among Chinese buyers. For, one room is often reserved for visiting family members or friends, or is let to other students to supplement the cost, or is transformed into a study. Daniel Tang, San Diego-based home sales consultant with CBRE, said, "Chinese students are now aware of many institutions, not just the Ivy League colleges. They are looking at smaller but good colleges outside the top 10, particularly those with strong competences in disciplines like design, art, communication and engineering. So, demand for housing is rising in California, Texas and Illinois." Similarly, in the UK, Chinese are looking to buy homes as they need to invest fewer yuan now, given the erosion of the pound sterling's value of late. They are not unduly concerned about the potential impact of Brexit on the local property market as they believe such effects are likely to be short term in nature. Brian Zhou, 49, a resident of Shanghai, agrees. He just bought a two-bedroom apartment in the South Bank area of London for her 22-year-old daughter. "People like me are not speculative buyers. So, quality and the neighborhood are important," he said. Four key destinations for Chinese overseas students and how their housing is arranged Dormitories provided to freshmen (but supply is limited, so are allotted on first-come-first-served basis) Good housing but not economical Majority of international students rent housing outside school/university About $3,000-7,500 per year for dormitory About $3,600-7,200 if rented outside university Very limited supply of dormitory rooms, advance booking is essential Priority given to first-year students About 50-250 sterling pounds ($66.38-$331.92) per week for dormitory bed About 400-800 sterling pounds if rented outside university (excluding London) About 1,200-2,000 sterling pounds if rented outside university (London) Limited supply of dormitory rooms Application and booking are essential About 8,500 Canadian dollars ($6,589) per year for dormitory bed About 200-500 Canadian dollars per month if rented outside Limited dormitory accommodation is provided to international students About 285-410 Australian dollars ($213.75-$307.5) per week for dormitory bed About 100-400 Australian dollars per week if rented outside Source: China Daily and agencies Chinese students in US, Australia In US, average age of Chinese students is getting younger. Chinese K-12 students rose by 290 percent to 34,578 as of November 2015 from 8,857 in 2010. Chinese people now make up roughly 50 percent of the 60,815 foreign students in high schools and 6,074 foreign pupils in primary schools. Source: Student Exchange and Visitor Program, DHS unit, US In Australia, Chinese students now make up one out of every four international students in Australia: 93,000 out of 377,973. Six out of every 10 Chinese property buying inquiries made in Australia 2015 were related to education (data: juwai.com) Source: Australia Bureau of Statistics, China Statistical Yearbook, 2015 A Chinese farm technology expert shows African farmers how to use modern machinery. [Photo provided to China Daily] Zimbabwean farmers and students are benefiting from the China-Aid Agricultural Technology Demonstration Center, 27 km north-west of Harare, where they are getting knowledge on how to improve their yields. CATDC is nestled on a 109-hectare piece of land to the south of Gwebi College and composed of an agricultural production and training base where it merges research, production, education work and a community living together. Employing 70 people, its functions include experimental studies, technical training and promotion of sustainable development. The center carries out research and studies on maize, wheat, potatoes, soybeans, vegetables and other crops and seeks to attract Chinese agricultural enterprises to invest in Zimbabwe. Since 2011, more than 10 experts on agricultural machinery, farming, horticulture and agricultural economy have cooperated with local agriculture extension services and Gwebi College to improve production. About 450 students have been trained at the center so far, with more than 4,000 local and foreign visitors also benefiting. The center late last month showcased Chinese agricultural technology at a field day attended by farmer organizations, army and prisons officers and bank officials. Guests witnessed center pivots, disc harrows, tractors and seed drills at work as personnel from Debont Corp, the Chinese agricultural company running the demonstration center, took to the fields with their equipment which has been modified to suit local conditions. Debont is a system integrator specializing in modern agricultural production processes, including providing integrated solutions in agricultural engineering, supplying agricultural equipment, contracting of agricultural projects, and operating agricultural projects. Minister of Agriculture, Mechanization and Irrigation Development Joseph Made applauded the company for ensuring that the equipment suited local conditions, after having raised concerns about their effectiveness and quality in the past. "Machinery and equipment have been modified to suit our conditions. China's conditions are different from ours in terms of soils. We have dry conditions here and their weather is humid and their soils are less firm. So they have modified the equipment according to our standards and conditions," he said. The company is running specials on some of its products, with a 120 horsepower tractor selling for $39,000 until Nov 1 instead of $58,000. Bank facilities are available in some cases with beneficiaries paying a deposit of 30 percent and then settle the balance in 12 to 24 months. Made also appealed to the Chinese government to provide a credit line to the farmers and suggested that the loans would be repayable when the farmers sold their produce. Deputy general manager of Debont's Overseas Department, Wang Xinwei, said the center had demonstrated that with the necessary technology, farmers could raise their yields phenomenally. "The data of our agricultural demonstration shows that the center has achieved high yields in maize, wheat, and potatoes, soy beans which are at least 50 to 200 percent more compared with the local production level," she said. She said the center was producing more than 10 tons of maize, 30 tons of potatoes and between seven and 10 tons of wheat per hectare as production increased. "In China we can get up to 40 tons of potatoes per hectare, so we have to continue learning about the soil conditions here," she said. Zimbabwe needs about 1.4 million tons of maize a year for consumption but yields have often been curtailed by low mechanization and unfavorable weather which usually calls for irrigation development. Wang said the smaller farmers who could not afford the big tractors could benefit from the small hand-drawn ones which cost less than $2,000. A man makes scented candles shaped in the form of pine trees at Dalian Talent Gift Co Ltd's exhibition hall in Dalian. [Photo provided to China Daily] Dalian Talent Giftis peddling its decorative candles across the globe even as it brightens the domestic market At the exhibition hall of Dalian Talent Gift Co Ltd, visitors are treated to sights of scented candles in various forms like yellow lemons, chocolate pine nuts and reindeers carrying gifts. Wang Lixin, chairman of Talent, said every year the firm makes billions of candles at its factories at Dalian in Northeast China's Liaoning province, Chiang Mai in northern Thailand, and Zabno in southern Polandthe world's only candle maker with a global footprint. One of China's top three candle makers, Talent said the overseas market contributes 90 percent of its annual sales. Now, it is establishing a global R&D center at Cannes in France, aiming to recruit top perfumers for the design, research and development of fragrant products like scented candles. "France boasts the world's best manufacturing bases and human resources for perfumes. It is easier to find seasoned perfume makers to work with us," said Wang. The R&D center is expected to better serve the company's mission to produce fragrant products and high-end candles to beautify homes and signify evolved lifestyles. Wang said Talent is committed to environmentally friendly and sustainable growth. So, although Dalian is an important petrochemical base in China, and Talent is only 60 kilometers away from its paraffin supplier, the company decided to avoid dependence on fossil energy, and turned to vegetable oil. Vegetable oils such as soybean oil and palm oil have superseded paraffin wax as the main raw material in Talent's candles. It buys only certified ISPO (Indonesia Sustainable Palm Oil) and rejects those that may cause illegal deforestation. The renewable oil now accounts for more than 85 percent of its raw materials. "If making money is at the expense of environment, it is worthless," Wang said. With its high-quality products and pro-green policy, Talent has established long-term and stable cooperation agreements with global retail giants such as Germany's Metro AG, Sweden's Ikea Group and America's Wal-Mart Stores Inc. That is commendable for a company that was established as a craft workshop in 1997 at a village in Dalian. Ever since, overseas markets have been key to its success. But the United States and the EU imposed anti-dumping sanctions on China's candle manufacturers in 2006 and 2009 respectively. China's candle industry was hit seriously as sanctions continued for several years. More than 1,500 Chinese candle makers used to export to the EU before the sanctions. No less than 100 of them had annual export volume exceeding 1,000 tons. However, when the anti-dumping measures were lifted last September, their number had dwindled to only 10, said Wang. Amid all this, Talent thrived. Wang believes sanctions helped Talent grow by leaps and bounds. For, it adopted a creative response to them. "Thanks to the allocation of global resources, we not only avoided (the adverse impact of the sanctions) but upgraded our products," he said. That's not all. It opened new plants in the ASEAN region and the EU, changing unfavorable factors into advantages. First, the subsidiary in Chiang Mai was founded in 2007. As an ASEAN member, Thailand offers its handicraft industry convenient logistics. More importantly, it is immune from the trade barriers of European and American markets, said Wang. Next, in the same year, Talent imported advanced automated assembly lines from Germany. The annual output soared to 25,000 tons and exports reached $60 million, ten times that of 2002. Then, in 2009, in response to the EU's sanctions, Talent took over a candle factory in Poland, a major European candle manufacturing base. It hired more than 200 local workers and made it one of the biggest manufacturing firms in Zabno. It is now expanding the facility. It is not easy to set up a factory in another country due to challenges like different languages and cultural backgrounds. But buying out an existing firm worked well for Talent. "We need not stick a label of our nationality. International vision and international attitude will help a lot to participate in local economic and social development and life," said Wang. This year, sales volumes are expected to increase by 20 percent, said Wang. What's more, the European and American markets are stable. According to the National Candle Association of the US, candles are used in seven out of 10 US households. Annual retail sales of candles in the US are estimated to be around $2 billion. The domestic market is not exactly thriving. But it is growing with more Chinese people starting to use fragrant products like scented candles. For instance, Shang Wanning, 29, has been using scented candles for several years now. When she comes home from work in the evening, she usually lights a candle and plays some light music. "The room becomes more comfortable and cozy. It's really a good choice for relaxation and stress reduction," said Shang. She usually buys candles from Ikea, online stores or from stores abroad. "There's no difference. Wherever I come across beautiful candles, I bring them home," she said. Wang of Talent said attempts to satisfy the olfactory sense are innate to human physiological needs. When people are satisfied with vision and taste, the demand for fragrance arises, he said. Better opportunities to take long positions in the July-September quarter of 2016 are expected as market conditions are set to improve, institutional investors said. Fund analysts said demand for and supply of capital are well-balanced, so the slow pace of initial public offerings will stabilize capital flows. Thus, policy-driven sentiments may brighten in the July-December half of the year. A research note from Haitong Securities said as supply-side reform deepens, cyclical sectors such as tourism, food and beverage and retail will see higher demand in the second half of the year. They will be supported by increased supplies as longer holidays, festivals and family gatherings mark the second half, which typically lifts stocks in these sectors. More than a year after the A-share turmoil started in June 2015, many investors have realized that shares of companies with strong core business and profitability, and their yields, have been stable, said a research note from E Fund Management Co Ltd. Although more than 140 equity funds lost some 14 percent on average on their investments in the last year, some funds saw growth of more than 10 percent in the first half of this year, as their portfolios included best-performing equities. For instance, the worth of portfolio of an equity-backed fund, which focused on companies engaged in environmentally friendly businesses that cut carbon emissions, rose 19.4 percent in the first half of this year. In the same period, the A-share market rose just 2 percent. Among such equity funds, new players that began operations in the last six months performed particularly well because they were not affected by last year's wild fluctuations. According to data of Shanghai-based Wind Information Co Ltd, 25 equity funds that were set up early this year reported average growth of 6.75 percent by the end of June. Yet, 29 equity funds that were set up in the first half of this year had a combined corpus of just 11.1 billion yuan, a mere 2.92 percent of floats in the same period, and down 97 percent year-on-year. A research note from Shanghai Securities said funds' interest in equities is dropping. But, in the near term, the situation will change as the equity market recovers. Listed companies will start announcing their half-yearly results, and investors will see more records of companies that have been doing well. They will recover their confidence, the note said. Wang Zhihui, analyst with HFT Funds, said investors can focus on two categories in the third quarter: the ones with proven performance and bright prospects, including the large-cap sector leaders; and the ones that benefited from supply-side reform, such as companies developing renewable energy vehicles, and semiconductor suppliers. Wang further said hybrid, principal-guaranteed funds will be also sought after as investors lose risk appetite and prefer more stable income. These funds may also take long positions in large-cap equities whose companies enjoy solid demand and boast proven profitability, such as firms in food and beverage, agriculture, high-tech materials, pharmaceutical and healthcare sectors, he said. SHANGHAI - Chinese Vice Premier Wang Yang has urged domestic enterprises to innovate their way out of downward trade pressure by cultivating new competitive edges. China is facing continuous downward trade pressure due to sluggish external demands and structural problems, but still enjoys promising growth prospects in the long term, Wang said during a recent inspection tour to trade firms or platforms in Shanghai, according to a press release on Sunday. Enterprises should push ahead with structural reforms to upgrade their business and enhance competitiveness based on technology, quality, service and brand, Wang added. Governments at all levels should support emerging business models such as cross-border e-commerce and encourage enterprises to develop their own brands and marketing networks. Meanwhile, processing trade could be transferred to the central and western regions, the vice premier said, adding that China will further open up its modern service sector and develop service trade. Wang also met foreign representatives attending the two-day G20 Trade Ministers Meeting in Shanghai, which ended on Sunday. A barista demonstrates coffee-making skills at the 4th China International Coffee Show in Beijing, July 10, 2016. [Photo/VCG] The 4th China International Coffee Show was held in China International Exhibition Center in Beijing from July 8-10. More than 300 businesses from around the world participated in the event. The exhibited products include coffee, tea, beverage, bakery, desserts, food ingredient and related equipment. An advertisement for the Alibaba Group's online shopping site Taobao.com at a metro station in Shanghai, Nov 12, 2014. [Photo/IC] The State Administration for Industry and Commerce (SAIC) said on its recent report that online shopping attracted 145,800 complaints last year, up 87.3 percent year-on-year, according to a report by Beijing Business Today. Accounting for the largest part of service consumer complaints for two consecutive years, the number of complaints received in regards to online shopping is 77.67 times what it was at the end of the 11th Five-Year period. The most prominent complaints were related to poor product quality and false advertising. Problems such as counterfeits and unqualified products, false promotion as well as a seller's violation of the "seven days free return policy" also led to consumer complaints, according to the SAIC. Beijing Business Today says the open complaint channel and consumers' enhancing awareness of rights has contributed to the surge in consumer complaints. Online shopping is experiencing such a faster growth in complaints than the market scale reflects, that there are some realistic problems in the e-commerce market's development. In addition, among online transaction complaints, the O2O service sector, involving food, travel, car rentals and ticket services, has received a significantly larger number of complaints. Mobile social e-commerce has also become the new grey zone among transactions, having problems with selling counterfeits, no delivery after charging and losing contact. The SAIC said they would introduce rules and regulatory documents and set standards of internet transaction's cross-regional cooperation to offer Administration for Industry and Commerce nationwide and market regulators more powerful legal supports to carry out internet market supervision. Lai Zhiming, vice-president of Tencent, made a keynote speech at the Inaugural China Fintech Conference held by Tsinghua University PBC School of Finance in Beijing on July 10, 2016. [Photo provided to chinadaily.com.cn] China's leading internet companies claimed at a conference held by Tsinghua University PBC School of Finance that they are more willing to cooperate with, rather than challenge or even overthrow traditional financial institutions, to meet people's unsatisfied financial needs in the country. Lai Zhiming, vice-president of Tencent, said at the Inaugural China Fintech Conference in Beijing on Sunday that his company wants to bank on its finance cloud to further connect traditional banks, fund companies and securities firms. The finance cloud, whose computing capability proved its power by successfully handling deliveries of 13.28 billion virtual red envelopes with actual money in them on Feb 7, the eve of China's Lunar New Year of the Monkey. He said that Tencent's Licaitong wealth-management platform has helped fund companies gain 60 million customers since it went online in January 2014. This means the number of customers this platform has acquired in one year is equivalent to the number of customers these companies could have acquired in traditional ways over 10 years, he added. Licaitong, a part of the finance cloud, connects Tencent's huge customer base, including Wechat and QQ users, with various fund companies. In addition to this, Tencent has saved 4 billion yuan in costs for Chinese banks which have opened accounts on its WeChat platform to serve their customers, including enabling credit card payments. A courier en route to deliver packages in Beiing, Oct 11, 2014. [Photo/IC] Imagine a world rife with robots that could make everything in daily lives easier, faster and more cost-effective. In the express delivery industry, for instance, robotic operations are starting to claim a foothold in warehouses, distribution centers and even in deliveries. China's logistics industry, driven by the explosive e-commerce growth over the past decade, entails immediate smart transition, said Zhang Yong, Alibaba's chief executive and chairman of Cainiao, at a recent industry summit. "This will in turn bolster sustained development of the e-commerce sector in the future," he said. Intelligent robots could largely cut cost of the logistics industry, which is key to new businesses and new economy, Zhang said previously. Statistics show the number of parcels delivered daily in the country has reached 60 million, with a compound annual growth rate of 30 percent over the past decade. In the meantime, however, labor cost accounts for more than 40 percent of the total cost for the industry. Alibaba's logistics arm Cainiao, formally named Zhejiang Cainiao Supply Chain Management Co, said its E.T Logistic Lab was expected to roll out China's first crew of robotic deliverymen by the end of the year in residential communities, industrial parks and office buildings. According to the company, these robots can come up with the best routes to deliver packages into the hands of customers after picking up the goods at a nearby distribution center. What makes it even more fascinating is that they will also be able to take elevators by themselves, avoid barriers and navigate themselves inside buildings. It's believed robots will be the next big thing in the industry. According to CI Consulting, the value of the intelligent logistics market is expected to exceed 100 billion yuan by 2020 in China, with an annual growth rate of more than 15 percent. Allan Martinson, the chief operating officer of the London-based Starship Technologies, told China Business News the company had been trialing its self-driving robotic delivery method in 12 countries and was teaming up with the UK's Just Eat to deliver food ordered online. These robots are able to travel at 4 miles (about 6.44 km) per hour, carrying as much as 20 pounds (about 9 kg), according to Startup. Robotic delivery is a pioneering trial, and the future of the express delivery industry, the company said. New technologies are only significant when they can actually facilitate our daily lives, Just Eat chief executive David Buttres told the newspaper. China's participation in this year's biennial Farnborough Air Show, one of the most important showcases for the world aviation industry, is the biggest ever, according to the organisers. The show, which runs from July 11 to July 17, will feature a Chinese pavilion hosting some of the country's leading aviation and avionics firm. Already two deals have been announced, with China's Xiamen Airlines signing a memorandum of understanding for the purchase of up to 30 Boeing 737 Max 200 aircraft, worth as much as $3.39 billion at current prices. But an even bigger deal was between Boeing and Donghai Airlines, which signalled its intention to buy 25 Boeing 737 MAX-8 jets and five 787-9 Dreamliners, an agreement that could be worth as much as $4 billion, and is part of Donghai's plan to transition from being a cargo carrier to a passenger airline. Wong Cho-Bau, chairman of Donghai, said in a statement: Under China's Belt and Road initiatives, we will accelerate our fleet expansion plan to satisfy the rapidly growing air travel market and help build our home base at Shenzhen as the transportation hub for southern China." Those exhibiting include AviChina Industry and Technology Co., Aviage Systems, Western Superconducting Co, China Aviation Industrial base, China Aviation News, China General Aviation Industry, Baoji Titanium Industry, Shaanxi China Aero Industry Gas Spring Co., Tipro International Co, Wuxi Blade Co and COMAC, which builds China's first-ever locally designed and built short and medium-haul jet, the C919. The C919 made its maiden flight earlier this year, with the first production model due to enter service in 2018. It is powered by two Franco-American CFM International engines Participation (at the Farnborough Show) will open many doors for more commercial trade between the Chinese aerospace supply chain and the many global organizations that attend the show," said Amanda Stainer, the show's Commercial Director. The European Project Innovation Centre will be hosting a conference during the show on aerospace opportunities for China's One Belt, One Road initiative, which envisages maritime and land links with Europe along the lines of the old Silk Road. Chinese firms have doubled the amount of space taken for exhibitions, lining up alongside the two civilian aviation industry giants, Europe's Airbus Industrie and Boeing Co. of the US. China plans a major expansion plan, which includes the construction of another 300 airports by 2020, roughly double the existing number. COMAC's C919 model is on display at the Farnborough Air Show, one of the major exhibitions for the world aviation industry, in Britain, July 11, 2016. The show, which runs from July 11 to July 17, features the biggest Chinese participatin ever. The C919 is China's first-ever locally designed and built short and medium-haul jet. [Photo by Wang Mingjie/China Daily] China is regarded as a major growth area for aviation and Phil Seymour, chief operating officer at the International Bureau of Aviation, an independent consultancy, told the BBC "China's aviation market is looking bright." Last month Airbus announced it had signed a contract with a Chinese consortium to manufacture its H135 helicopter in Qingdao, the European planemaker's first-ever assembly line outside Europe. The twin-engined helicopter will be made by China Aviation Supplies Holding Company and Qingdao United General Industrial Development Co, with Airbus forecasting that China will become one of the largest markets for civil helicopters by 2020. The airshow, staged at Farnborough in the Surrey countryside southwest of London, will see a variety of static and flight displays. Airbus is showing its giant two-deck jumbo, the A380, already in service with a variety of airlines including Qantas, Singapore Airlines and British Airways, and used mainly on Asia and Australian routes. Boeing plans to showcase its all-composite 787 Dreamliner. Farnborough is a major venue for aircraft and engine sales. In 2014, aircraft and engine orders worth $204 billion were made. There are 22 separate country pavilions and over 1,500 exhibitors at this year's show. The trade days alone from Monday to Thursday is expected to attract 100,000 visitors, with many more on the three days when the show is open to the public for the flight program, which will include the debut of Britain's first F-35 joint strike fighter, destined to be used on Britain's two new aircraft carriers. Video was made by Wang Mingjie. To contact the reporter: chris@mail.chinadailyuk.com The Baidu Inc logo is displayed outside company's headquarters in Beijing, Nov 12, 2014.[Photo/VCG] Analysts estimate the additional 3% tax will hit bottom line profits of Baidu and Alibaba Some of China's biggest internet companies may see their earning taking a hit from a new regulation, as the country takes a firmer grip on search advertising. The State Administration for Industry and Commerce on Friday released the new regulation, which for the first time classifies paid searches as internet advertising. Analysts said that the revenue could be subject to an additional 3 percent culture-cultivation tax. Such a move could force Baidu Inc, which runs China's biggest online search engine, to cut its fiscal 2017 net income to 16.3 billion yuan ($2.4 billion), according to analysts at Daiwa Capital Markets HK Ltd led by John Choi. That estimate is about 4 percent below the average of estimates compiled by Bloomberg. About 50 percent of e-commerce giant Alibaba Group Holding Ltd's revenue in the first quarter would be affected, suggesting a 2.4 percent hit to earnings, wrote Hong Kong-based Choi. "We would expect the market to cut its 2017-19 earnings forecasts for Baidu and Alibaba, given the additional surcharge burden," Choi said in a report. The new regulation, which will take effect on Sept 1, is seen as the government's increased effort to oversee the country's $23.2-billion internet advertising market, which was under media scrutiny earlier this year after the death of a college student, who sought out a treatment for his rare disease on Baidu's search results. Baidu said in a statement that the company would fully implement the new regulations. Alibaba said in a statement that if its pay-for-performance ads were charged a 3 percent fee, the impact of on its margins would be in the "low single digit" range as its revenue channels are becoming more diversified. Analysts said the regulation would have positive impact on the Chinese online advertising industry. That is because it makes it clear that advertising publishers have the obligation to review ad contentsand advertisers from certain industries such as healthcare will have to obtain relevant licenses from watchdogs before they can do online advertising, said Jialong Shi, head of Nomura China Internet and Media Research. "But being officially classified as an ad service, internet search operators will have the new obligation to review the paid search results which Baidu indicated in its 2015 annual report as 'being burdensome'," Shi said. Ning Meng, a member of Audi AG's research and development team, poses for a photograph with a prototype of an electrically powered longboard and scooter hybrid outside the company's China design center in Beijing's 798 art district, a sprawl of old military electronics facilities taken over in the early 2000s by the capital's booming contemporary art scene and now being invaded by design companies and entrepreneurs. [Photo/Agencies] Audi finds key to new-age design in Beijing's art district "This is the most creative place I've ever worked," said Saad Metz, Audi AG's head of research and development in Beijing, looking out of his ceiling-to-floor window at a landscape of metal pipes and old factories. "Here we get inspired by artists every day." The German automaker set up its China design center in Beijing's 798 art district, a sprawl of old military electronics facilities taken over in the early 2000s by the capital's booming contemporary art scene and now being invaded by design companies and entrepreneurs. In the narrow lanes of Bauhaus-style buildings dotted with sculptures of caged dinosaurs, a screaming red man, or a pack of wolves, young designers and engineers are challenging the idea that China can only copy. "'Made in China' was our parents' generation," said Tara Wang, 34, a curator at Tokyo Gallery + Beijing Tokyo Art Projects, one of the first galleries to open in 798 back in 2002 that still has "cultural revolution (1966-76)" slogans on its ceiling. "Our generation is starting to create." President Xi Jinping and Premier Li Keqiang bet such innovation will deliver new growth drivers. Two Chinese names were among the world's 100 most valuable brands in 2015, according to Interbrandtelecoms equipment maker Huawei Technologies Co and Lenovo Group Ltd. Zone 798 is becoming part of the front line in the endeavor to change all that. Located in a former military-industrial area built in the 1950s in cooperation with former East Germany, it's the perfect place for Audi's designers and engineersMetz calls them "trend scouts"to keep abreast of China's emergence as an innovative force. At Audi's 7,600-square meter research and development office, staff are influencing Audi production worldwide, from the touchpads for navigation systems to the color of its cars. And trying to figure out how to beat the Beijing traffic. "There was one case where I was stuck in traffic not far from the office and we hardly moved for 20 to 30 minutes," said Ning Meng, 31, a designer from Shenyang in northeastern China. "There was a taxi in front of me and at one point he turned off his engine and started cleaning his car." Her solution: a souped-up electric skateboard that's linked to an app. The software warns her of impending gridlock and guides her to the nearest car park, where she can switch to the longboard, stowed in the car's bumper. Audi's offices also produced a touchpad for inputting Chinese and other languages into a navigation system that's now being used internationally. Meanwhile, color and trim designer Zheng Yi, 27, has been working on Hainan Blue, Audi's first global auto tint to be developed in China. "We needed a color that was young and energetic," said the Tsinghua University graduate in transportation industrial design. "We look at fashion shows, at furniture, at industrial products and get inspiration from all the design-related trends." A visitor looks at a Ducati Motor Holding SpA motorcycle exhibited at the Audi's China design center in the 798 art district. [Photo/Agencies] Colony of artists Zheng's passion for paint fits well with 798, a colony of artists, many evicted from elsewhere in the capital, that became the epicenter of one of the biggest contemporary art booms in history. The zone began to emerge back in 2002, when artists Huang Rui, photographer Xu Yong and Robert Bernell, a Texan arts book publisher, moved into the area, officially called Dashanzi. More painters and sculptors followed, then came the galleries, cafes, museums and design studios. That largely organic growth, the avante-garde nature of much of the work, and a fairly hands-off approach from the government may explain why the area has become a center of creativity. "798 is in miniature a result of the marketization of China's art sector," said Wang Yanling, chairman of Beijing 798 Creative Industry Investment Co, the State-owned enterprise set up to run the district. "Chinese artists' works enjoy a high level of liberty and are priced by the market. Control is non-existent." Thus, 798 is certainly maintaining its ability to mix creative talent with commercial success. The zone hosts the annual Beijing Design Week and tenants range from China Contemporary gallery and the Ullens Center for Contemporary Art to outlets of interior designers Song Tao and Patrick Jiang. Bernell, who closed his bookstore in the area in 2012 and now runs the Timezone8 restaurant, says the government has helped keep rents in the area affordable for artists and designers. "Probably for the first time in the history of mankind the government intervened on behalf of art, contemporary art, and put road blocks to commercialization," says Bernell, 54, who started collecting Chinese art in his 20s. "There is a quota of enterprises that can be in 798 and the absolute priority in terms of rent control is for art-related galleries, studios, auction houses, anything to do with art." Signs of China's growing confidence in innovation are visible elsewhere in the country, from the software incubators of Shenzhen to the research institutes of companies like Tencent Holdings Ltd. Tencent's WeChat messaging app, Alibaba Group Holding Ltd's online commerce platform and Lenovo's computers are all world-class products. The Global Innovation Index 2015 put China among a group of middle-income countries that are outperforming their peers. There are barriers still to be overcome. The nation's education system is still largely dominated by rote learning, strict discipline and cramming, often constraining the kind of innovative thinking needed to create breakthrough products such as iPhones or Facebook. Hanergy displays a concept solar-powered vehicle in Beijing on July 2, 2016. [Photo provided to China Daily] Experts warn non-traditional automakers' plans for electric cars may be too optimistic 'Aim for the moon. If you miss, you may hit a star." So said American businessman, philanthropist and author of self-help books W. Clement Stone. He might have added, however, that those who fix their eyes on the stars should first ensure they are firmly rooted in the earth. A Chinese power giant that has no experience of making automobiles took many in the country by surprise when it announced on July 2 plans to make solar-powered cars and claimed that it would bring about transformative change of the auto industry. "I am not as famous as (Tesla Motors' CEO) Elon Musk but our company is more innovative than Tesla and thus we will be the one to transform the industry," said Li Hejun, chairman of Hanergy Holdings. The company showcased four concept solar-powered vehicles on the day, saying that the thin-film solar cells on the cars, which range from 3.5 to 7.5 square meters, are able to generate 8-10 kilowatt-hours of power per day, allowing them to travel around 80 kilometers. "Nobody dared to imagine that a car could run on sunshine. For us, it is not a whim; it is a strategic plan. Cars are merely part of our plan, and we will also produce drones," said Li. According to Hanergy, the vehicles are the world's first thin-film fully solar-powered cars to be commercialized and they will hit the market within three years. But Zhang Yu, managing director of Shanghai-based Automotive Foresight Co, said Hanergy is a good storyteller, and cast doubt on their proposed timeframe. "It (Hanergy's strategy) leaves a great deal to the imagination," said Zhang, who said it usually takes automakers at least four to five years to bring a concept car to the market and, in the case of Hanergy, even more time is required as new technologies are involved. Some industry insiders claim that Hanergy's "story" has been told principally for the benefit of investors, to combat the company's dicey standing in the markets. In July last year, Hanergy Holding's listed subsidiary, Hanergy Thin Film Power Group Ltd, was suspended from trading on the Hong Kong bourse by the Hong Kong Securities and Futures Commission. Financial statements show that it lost HK$12.2 billion Kong in 2015, twice the profit it made in the previous four years combined. When asked about his investment plans to make cars, Li insisted that the company would succeed based on "virtues, wisdom, will and luck". Li Hejun, chairman of Hanergy Holdings. [Photo provided to China Daily] Li is not the first to challenge Tesla in the sector. Perhaps an even more renowned competitor is the entrepreneur Jia Yueting, head of Beijing-based Chinese technology company LeEco, that has vowed to transform a number of industries including TV, mobile phones and electric cars. Its calling card is its so-called "eco system", which even the company itself has failed to clearly define. Often wearing T-shirts, much like Steve Jobs did, Jia has long made public his ambitions to take on Apple in smartphones and surpass Tesla in electric cars. Faraday Future, the US-based intelligent electric vehicle and mobility solutions company that is financially backed by Jia, has attracted staff from Ferrari and BMW, and is building a $1 billion auto plant in Las Vegas, Nevada, US. However, Bloomberg reported that the state's treasurer Dan Schwartz is skeptical Jia can secure financing for the car plant, a project that needs government support for power lines, water mains and roads. Schwartz said Jia's plans rely on equity-backed loans, which could leave Nevada taxpayers vulnerable to China's volatile stock market. The report said Jia has pledged funds from 87 percent of his holdings in Leshi Internet Information & Technology Corp - his flagship firm - which he subsequently plowed back into his companies. The stock, which was halted in Shenzhen for the first five months of this year, has dropped 11 percent since it resumed trading on June 3, a move that heightens Schwartz's fears. Wang Zheng, the Shanghai-based chief investment officer at Jingxi Investment Management Co, told Bloomberg:"It's only a matter of time before problems emerge." He said the company's high valuation reflects the appeal of "concept" stocks among Chinese individual investors. Chinese newspaper the Economic Observer reported that Jia is encountering difficulties in his fundraising efforts for the car project. It said that Legend Holdings is so far the only confirmed investor and its investment is mainly for the sake of its future mobility plans, while most investors in the market are reluctant as Jia has liquidated most of his holdings. An expert who spoke on condition of anonymity claimed Jia has overhyped LeEco. "(LeEco should) show me a volume car first instead of constantly bragging about how excellent and innovative it is. "And don't tell me you are better than Tesla. We can judge for ourselves when you show us your car," he said. Tesla under microscope Tesla is under intense scrutiny following the death in the United States of a Model S driver who crashed into a truck because the car's self-driving system malfunctioned, and its sensors failed to pick up a large white 18-wheel truck and trailer crossing the highway, Tesla said. The US National Highway Traffic Safety Administration has opened an inquiry into the accident. Instead of offering an apology, Tesla said in a statement it was the company's first known Autopilot death in some 130 million miles driven by its customers, but added that among all vehicles in the US, there is a fatality every 94 million miles and every 60 million miles worldwide. On July 4, a Model X that was in Autopilot mode collided with a car in Beijing. "It (the Autopilot system) gave signals about the car in front, but did not take any preemptive action, and it was too late for me to take over," said the driver in a post on car website autohome.com.cn. The driver included pictures in his post. "Autopilot is flawed. Don't use it if at all possible," he warned. The NHTSA has yet to release its findings and it is hard to say what effect they will have on Tesla. What is certain, however, is that the cases sound a warning to other electric vehicle companies looking to fast-track technologies into their cars. After all, few traditional automakers would offer a system to its customers when it is "still in a public beta phase" of testing, as Tesla admitted was the case with its self-driving system. Chinese actress Gao Yuanyuan poses at the press conference of her shoe brand ONDUL, July 10, 2016. [Photo/VCG] Firefighters rescue a man trapped under a bridge in Deyang, Sichuan province, on Friday. [Photo by Ma Xu/China Daily] Since June 30, floods, hail and landslides have killed more than 160 people in China, mainly along the Yangtze River and its tributaries, according to the Ministry of Civil Affairs. The list of calamities includes the collapse of 73,000 houses and the forced evacuation of 1.99 million residents. More than 1 million people are in urgent need of basic living assistance. The country has mobilized the People's Liberation Army, armed police, firefighters, officials and the public in the fight against flooding. They have been rescuing and evacuating stranded people, reinforcing dikes and dams, transporting relief supplies and dredging rivers in more than 10 provinces, including Anhui, Fujian, Hubei, Hunan, Jiangsu, Jiangxi and Zhejiang. A Beijing forestry worker carries a captured arctic fox that was illegally released into the wild in Beijing in April.[Photo by Hei Ke/China Daily] Liu Lian believes that her years of adhering strictly to the concept of fangshengsaving animals from being slaughtered for food and releasing them into the wildhas changed her son's destiny. Liu, from Fuzhou, Fujian province, said her life was perfect until four years ago when she was nine weeks pregnant and was diagnosed with a serious heart condition. More bad news was to follow. "When I was 23 weeks pregnant, the doctor told me that the baby's head was abnormal. My mother and I almost fainted when we heard the news," the 28-year-old said. "Three weeks later, the doctor changed his diagnosis and told me everything was fine. However, five months after my son was born, we noticed something was wrong; he had little response to the outside world and was unable to make any sounds." Liu decided to share her story online in the hope that an expert would offer advice, but instead of physicians, she was contacted by a number of Buddhists. "They suggested I read Buddhist scriptures to my son, saying that would help him. However, the scriptures are too long, so I decided against it. Later, they made another suggestion, saying I should buy animals from the market and set them free," she said. "Buddhist teachings say every living thing can become a Buddha, and the ultimate merit is conferred on anyone who saves lives. So, that's what I have done for three years now. My son's condition has improved greatly, and he is able to form rudimentary words." Buddhists believe in reincarnation, so they follow a range of practicesincluding vegetarianism, burning incense and donating money to templesin the hope their souls will be reborn on a higher plane of existence. Many also release animals into the wild. Bai Shui, a Buddhist from Guilin in the Guangxi Zhuang autonomous region, set animals free every week for 20 consecutive weeks. He helps organize a group that collects funds online and purchases animals solely for the purpose of setting them free. A controversial practice The practice may sound harmless, but it's controversial. Although Liu and Bai claim their actions are prompted by a reverence for life, the animals they release often cause extensive damage to other species and the ecosystem. On March 27, a group of people bought and released 380 raccoon dogs and arctic foxes from trucks in the Huairou district of Beijing, according to reports by Beijing Evening News. In the days that followed, local villagers reported that their poultry had been harassed and killed as the dogs and foxes sought food. Chinese scholars to spread legal argument to high-profile contacts around globe A group of Chinese scholars of international law plans to send an open letter to international organizations and universities around the world to challenge any ruling of the Arbitral Tribunal on the South China Sea on Tuesday, when it is scheduled to issue a decision. "We will send the letter to influential contacts and the tribunal as well to tell the arbitrators how they have damaged the spirit of international law by accepting the unilaterally initiated case on the South China Sea dispute," said Peng Qinxuan, a doctoral candidate at Utrecht University in the Netherlands. Peng spoke to China Daily in front of the Peace Palace in The Hague, where members of the group gathered on Saturday. The case, initiated by the Philippines, challenges Beijing's territorial sovereignty and maritime delimitation in the area. Up to 30 scholars, lawyers and students have been drafting the 12-page open letter since April, explaining why the tribunal has no authority in the case. "When the ruling is given, our open letter will be made public and this is our professional ruling against the tribunal's award." They have been working long days searching for the contact information of international organizations, leading law schools and Chinese student associations at overseas universities. "We aim to search for support as much as possible to spread the valid message of Chinese youth," Peng said. They held copies of the United Nations Convention on the Law of the Sea in their hands as they gathered Saturday in front of The Hague, where the tribunal is based. They called the UNCLOS the bible for dealing with maritime disputes. But the document does not cover territorial sovereignty or boundaries, said Xu Qi, a doctoral candidate at Groningen University in the Netherlands. "China believes the nature of the dispute is about sovereignty and so the tribunal has no jurisdiction over the case," he said. Xu said the open letter, to be sent in English, Chinese and Dutch, sets out clear reasons why the pending ruling is invalid. "The letter consists of four parts," he added. Zhang Tong, a master's degree student at Leiden University in the Netherlands, said under the framework of international law, state sovereignty is fully respected and state consent is the starting point for any international arbitration. "I feel disappointed that the tribunal has not respected China's sovereignty claim in the dispute, though international law recognizes China's right to refuse to authorize the tribunal to work as a go-between," Zhang said. "I am doubting the so-called rule of international law because of illegal involvement of the tribunal in the South China Sea dispute." Two residents of Henan province prepare to free animals.[Provided to China Daily] Although many people cite religious beliefs as their motivation for the unauthorized release of animals into the wild, religious leaders do not condone the practice. In 2014, the Buddhist Association of China and the Taoist Association of China released a joint statement urging followers to adhere to the law when contemplating releasing animals. "We have repeatedly appealed to our followers to respect the ecosystem and the laws and regulations before they release any animals," said Huang Xinyang, vice-president of the Taoist Association of China. However, he conceded that the association's appeals have mainly fallen on deaf ears. "There is now, increasingly, a chain of interest, with specialist providers and purchasers. Some people who claim to be devoted to freeing animals are really only interested in making money," he said, adding that the lack of effective law enforcement is an important factor in the continuation of the practice. Both Taoists and Buddhists regard the release of captive wildlife as a demonstration of compassion and kindness, which will result in merit or good karma Master Hsing Yun, one of the most influential monks in Taiwan, said many people who have released animals are moved primarily by a desire to bring good fortune on themselves and their families. "Some of the releases are antithetical to the ritual's intended cultivation of compassion. These people are not setting the animals free, just releasing them to die," he said. The release of captive animals is not only popular in the Chinese mainland, but also in other regions where Taoist and Buddhist beliefs are popular. In Taiwan, believers spend nearly $6 million every year, and about 200 million animals are released into the wild, according to a recent report by the Society for Conservation Biology, an international organization dedicated to promoting the scientific study of biological diversity. The release of creatures such as birds, fishes, snakes, frogs, turtles, insects and monkeys has resulted in "extensive biological invasions" on the island, the report said. He Yun, the China program manager of the Alliance of Religions and Conservation, a secular body in the United Kingdom that helps the world's major religions develop environmental programs, said that even though some religious leaders have appealed to followers to obey the laws and regulations, few have directly condemned the practice. She said it would be difficult to enforce the ban completely, which means a new approach is required: "We need religious leaders to speak out directly against animal releases for spiritual purposes, and to reinterpret the practice." Hou Liqiang In June last year, I took a ride on a century-old meter-gauge railway in Kenya that I thought was the worst travel experience ever. The train was running eight hours behind schedule. Waking from a sleep of four hours, I found it had moved only about 16 kilometers. I wouldn't have expected a worse experience in China, but I got one, thanks to the heavy storms in the central areas of the country. I was rushing to Xianning, Hubei province, to report news about flooding. Almost all trains were far behind schedule. Luckily, I had a ticket for another train, and the conductors allowed me to board at 9:40 am, minutes after I arrived. No seat was available, but that was acceptable. It was supposed to be only two hours from Changde to Changsha, Hunan, where I would change to a high-speed train. Ten minutes later, however, the train came to a halt. A man surnamed Qin kindly gave me his folding stool, and he sat on his luggage. Curling up in the 1.5-square-meter area by the door, we talked. Even though this was public transportation, smokers hung around continuously. It was suffocating. At first I was annoyed by passengers walking by and bumping me as they used the door, but I soon changed my mind: Their passing was a relief. The fresh air they brought with them dissipated the smoke. Tiring of the long conversation, I stood up repeatedly to stretch and walk around for awhile, then returned to sit again. I had no other means to kill time as my phone was almost out of power. An announcement on the train's public address system said workers were repairing damage caused by the storm and asked passengers to wait patiently. But this only made people more anxious. Sighs and complaints could be heard everywhere. Somebody even shouted abuse. Emergency hammers had been collected and locked in the conductors' room. This went beyond what anyone could bear. A voice on the public address system asked for a doctor to aid a woman who had fallen suddenly ill. Vendors came along less frequently and they had less to sell. The bottled water and instant noodles were sold out. But a girl selling portable power supplies for mobile electronic devices couldn't have had better business. At 5 pm, Qin and I hurried to the dining car, only to find ourselves in a queue two cars long waiting for prepacked meals that one passenger aptly described as "odorless" and "colorless". The train restarted at 8:30 pm, but moved for only 20 minutes. An elderly man with white hair fainted and a woman threw up. But there was still more to bear. Sleep was the last resort for killing time, especially as midnight approached. After dozens of attempts in every sleeping posture you can imagine, I finally fell asleep on the stool. As the train repeatedly lurched ahead and then stopped again, I dozed and was jolted awake in a never-ending sequence of torment. "We are arriving in Yiyang," the conductor shouted at around 6 am. Some passengers got off and I got a seat. I fell asleep immediately. I was awakened again by two men discussing changing to a bus to Changsha. They did, and I joined them. Could anyone have a worse experience? Yes. Qin arrived in Guangzhou at around 10 pm, 25 hours behind schedule. Contact the writer at houliqiang@chinadaily.com.cn Residents in Wuhan, Hubei province, use a rubber dinghy for transportation after their community was flooded on Saturday. [Photo/Xinhua] Dike patrolman Shao Wentao, 34, has been bowed down with anxiety in recent days. Looking across the Yangtze River at Wuhan, Hubei province, the mud flats he had inspected dozens of times were completely submerged by turbid water. "The river is going up and our territory is getting smaller and smaller," he said. Shao is working in a 40-strong team responsible for patrolling a 2-km segment for any signs of trouble, including seepage and bubbles, that could lead to a breach of the embankment. As rain continues to fall, water is above the alert level in the provinces of Anhui, Hubei, Hunan, Jiangsu and Jiangxi, reaching 28.3 meters in rivers in Wuhan this week, the highest in 17 years. Shao has been on the beat for years. "Nothing can be compared with this," he said, pointing to trees and brick houses that have been partly underwater for days. Less than 100 meters away are dozens of residential high-rises, some still under construction. With more than 10 million permanent residents, Wuhan is the most populous city currently threatened by floods. Parts of the city are often flooded for several days at a time when the Yangtze rises. The Wuhan government has called up more than 30,000 people ranging from government officials to ordinary citizens to closely monitor its 308 kilometers of embankments. A problem was detected on Monday in Qingshan in the city's northeastern quarter and quickly dealt with when dozens of heavy trucks poured tons of gravel for more than 10 hours. In Hunan, precipitation in some areas has hit record highs. "The heavy rain is closely connected with the effects of El Nino, which appear to be the strongest since 1951," said Zhou Bing, chief engineer of the National Climate Center. Although there have been no breaches in major cities along the main river, many villages along tributaries have been submerged. Neighborhoods adjacent to Nanhu Lake in Wuhan have been in 1.5 meters of water for more than five days and residents have had to use boats to enter or leave the area. Thousands of people have been evacuated. "To try to drain the area, our 15 pumps have been running at full capacity for eight days," said Zheng Wei, director of a local pump station. "We have pumped as much water out of the city in the past week as we normally pump out in six months." The city has 16 large pump stations, all working 24 hours a day. There is some good news, though: The rain has temporarily abated and the Three Gorges Dam, the world's largest hydropower project, which was built a decade ago to reduce the potential for floods, has retained a lot of water upstream. However, local flood control authorities cannot let down their guard yet, as the flood peak usually comes in late July or early August. The Yangtze will see an even stronger wave of floods if downpours strike the upper, middle and lower reaches of the river simultaneously, said Chen Guiya, deputy director of the Yangtze River Water Resources Committee. "The hardest battle is yet to come," Premier Li Keqiang said during a visit to sodden regions last week. Armed police install a movable folding water-blocking wall on a dike of a lake in Anhui province. [Photo/CCTV] Armed police are using a new movable tool for the first time to prevent flood water from breaching dikes in the eastern province of Anhui, the news app Qilu Yidian reported. Continuous heavy rains have caused the water of rivers and lakes in the province to rise to warning levels, and armed police have carried lots of sandbags to reinforce the sections where water might overtop the dikes. Along with the sandbags, armed police used a special tool, called movable folding water-blocking wall, to reinforce the dikes. The new tool is actually water-proof bag in white and yellow. Each bag is 6 meters long and 60 centimeters tall with all parts fully extendable. It is fixed to the ground with steel bars and filled with water. Armed police can finish the installation of each bag in about 10 minutes, nine times faster than building a wall of sandbags equivalent. Some internet users have recently questioned why soldiers do not use portable aluminum alloy flood walls like Germans do but still carry so many sandbags, a time-consuming and laborious way. The fact is that these aluminum flood walls are mainly constructed on pre-built concrete basements. Xu Hao places a grass ring made of crested dog's tail, onto the finger of his bride, Xiao Ruoyu, during a special wedding on an embankment at Wuwei town in Wuhu city of East China's Anhui province on July 9, 2016. [Photo/VCG] Can there be a wedding if there is no red carpet, bouquet, stage lighting, music or even the most important thing, the ring? Such a wedding for a police officer fighting against flooding and his bride was held Saturday on an embankment at Wuwei town in Wuhu city of East China's Anhui province, where earlier this month torrential rain brought severe flooding. Xu Hao, an armed police officer, and Xiao Ruoyu got their marriage certificates as early as the beginning of last year. But their wedding was postponed three times as Xu was too busy with his police duties to be available for the wedding. This time, realizing the flood that occurred on July 1 might affect the safety of 110,000 people residing in Wuwei town, Xu volunteered to cancel his wedding plans and join the legion of public safety workers combating the flood. On Saturday, Xiao decided to pay a visit to her husband on the frontline as the couple haven't met for more than one month. Her appearance aroused surprises and cheers among Xu's fellow flood relief workers. They helped organize the long-awaited wedding for the couple during their short lunch break at noon. The two finally went through the ceremony marking their marriage, although with a substitute bouquet and a grass ring made out of crested dog's tail. Other dramatic stories during China's floods As the Communist Party of China celebrated the 95th anniversary of its founding on July 1, the State Council Information Office has invited eight Party cadres to share their experiences working at the grassroots level. As the deputy director at a poverty relief office in Hebei's Hengshui city, Li Shuangxing has introduced greenhouse growing to 152 poor villages over the past two decades. As a result, more than 67,000 farmers' incomes have been boosted to about 5,000 yuan ($769) per month from the previous 600. "Greenhouse growing was a new concept when I started my work in Hengshui, most farmers were not willing to switch to the new technology from their old traditional farming styles," said Li. "My colleagues and I had to visit them house by house to teach them about greenhouse growing and persuade them to change." He believed that if farmers could plant corn, they could also plant greenhouse vegetables that fetch a much higher price. "All the visiting was difficult, but it was the only way to help local people rid themselves of poverty," Li said. Du Jiazhu, Party chief of Fujian's Chixi village, has also dedicated his career to poverty relief. He said the mountainous village, with less than 2,000 residents, has suffered from a lack of transport for generations. Du said building roads not only helps bring the outside world to the village, but also gets people out of the mountains. He has taken more than 80 village representatives to visit prosperous coastal cities, including Wenzhou, and developed a tourism business model to improve their situation. "Our village doesn't have coal or a port, but we have beautiful views," said Du, adding that as the village's economy improves, he has encouraged young people to return. One of them established a tea factory in the village, which made more than 400,000 yuan profit last year. Feng Cuiling has been the Party chief at Tianjin University's School of Pharmaceutical Science and Technology for 13 years. She said the school's CPC organization plays an important role in administration and development. "In addition to my work, I also talk to our students and the faculty to make sure they are happy working or studying in our school," she said, adding that she is a certificated psychological consultant. The State Council Information Office has also invited cadres from the Tibet autonomous region and Heilongjiang province to share their experiences. Nyima Gyaltsen, Party chief of a Tibetan village named Shoktong, leads local farmers to build roads and plant trees. In 2015, the average income in the village increased to 2,000 yuan. "Helping people to live a better life, that's what a CPC member needs to do," he said. China's Global Newspaper Sorry, the page you requested was not found. Please check the URL for proper spelling and capitalization. If you're having trouble locating a destination on Chinadaily.com.cn, try visiting the Chinadaily home page Yao Meng, a finance graduate from a college in Manchest, UK who graduated in 2012 (study period 2010-2012, three-year undergraduate), return to Shanghai to work as a financial analyst in 2012. With four years' of experience of investment and market analysis under her belt, she is convinced Manchester, the city hosts her Alma Mater, now makes for a potential investment destination. She will visit the English city soon to check out a planned new project for student accommodation. She would also like to see for herself changes, if any, in the student housing market since she left the city in 2012. "Back then, it was really difficult to find a decent place to live. Students' budgets are often quite limited. Housing supply was also short, so rent was expensive. I moved three times during my two-year stay. It was so time-consuming and tiring," said Meng. Zimbabwean farmers and students are benefiting from the China-Aid Agricultural Technology Demonstration Center, 27 km north-west of Harare, where they are getting knowledge on how to improve their yields. CATDC is nestled on a 109-hectare piece of land to the south of Gwebi College and composed of an agricultural production and training base where it merges research, production, education work and a community living together. Employing 70 people, its functions include experimental studies, technical training and promotion of sustainable development. Princess Wencheng, one of Mei Shuaiyuan's open-air shows, is now a must-watch for visitors to Lhasa, Tibet autonomous region.[Photo provided to China Daily] Director and producer Mei Shuaiyuan is known for shijing yanchu, or shows that use real scenery in open-air performances with hundreds of performers to display local culture and traditions. In the past decade, he has staged 17 such shows across the country. And now, he wants to take his shows to Vietnam. At a recent media conference in Beijing, he said that five productions would be staged in scenic areas in Vietnam in the next three years. The first one will be in Danang, the country's fifth largest city and one of its major ports. The show, which will premiere in July 2017, is based on the story of Princess Huyen Tran, the daughter of Emperor Tran Nhan Tong of the Tran Dynasty that ruled Vietnam from 1225 to 1440. The other four shows will be staged in Halong Bay, Hanoi, Hoi An and on Phu Quoc island - all popular tourist sites. Erin Williams is the project supervisor of Canada Asian-Pacific Fund. Her research areas include international relations and the Sino-Canadian bilateral relationship. She shared her China story with us as she takes part in the 2016 Visiting Program for Young Sinologists in Beijing. Erin Williams attends the opening ceremony of the 2016 Visiting Program for Young Sinologists at the National Library of China on July 6, 2016. [Photo/Chinaculture.org] My very first encounter with China was a lucky accident: I was 17 years old and completing a year-long cultural exchange program in the small Latin American country of Honduras. My host family there had planned an evening out at the movies. But rather than buying tickets for the film we had intended to see, we mistakenly purchased tickets to see "The Last Emperor" about the life of Puyi and the tremendous change and challenges that China experienced in the early 20th century. It now feels like a fateful moment. When I returned to the United States the following year, I selected my university specifically because it offered Mandarin language courses. Two years later, in 1991, I had the opportunity to visit China as a student at East China Normal University. Among the many things I learned was how common it was for well-intentioned people to misunderstand each other. At that time, there was comparatively little people-to-people contact between China and the US (the country where I grew up). Whatever information people had was mostly filtered through their respective media or governments. As a result, people in Western countries especially had an incomplete understanding of China and the complexity of people's lives, histories, and experiences. Surprisingly, many Western countries have lagged woefully behind in re-orienting their education systems to account for the fact that Asia and China in particular is playing an ever greater role in shaping the world in which we all live. In countries like Canada and the US, students learn far more about the countries that mattered to their pasts, than they do about countries that will matter to their futures. Moreover, many Westerners still have the mistaken assumption that China is trying to "catch up" to the rest of us. Instead, they need to understand that China and its Asian neighbors are increasingly defining the future, and that China has become a truly global power in its stature, and in its connections with all parts of the globe. Since that first experience in 1991, I have returned to China five times as a teacher of university students in Shanghai, as an author trying to tell the life stories of people in a northern Chinese village and as a graduate student conducting research on how China deals with issues of bilingualism and ethnic difference. The next chapter of my China story starts with making a difference closer to home, starting in my adopted city of Vancouver, which is rightfully referred to as the most Asian city outside of Asia. In my current role as a manager of education initiatives at the Asia Pacific Foundation of Canada, I have the opportunity to address the gaping hole in young Canadians' education about China. I am leading a project to train pre-university teachers to be informed about contemporary Asia and Asian history so that they can introduce their students to this very important region of the world. My own "China story" began with a fortunate accident, but we cannot leave the future of China-Canada relations to serendipity. Related: The fourth Visiting Program for Young Sinologists kicks off Zhu Di in the video. [Photo/Xinhua] If the Chinese emperor who ordered the Forbidden City's construction were still alive, he'd be rapping about his courtly antics and taking photos with an iPhone. This is how Chinese Internet firm Tencent imagines the digital life of Zhu Di, a Ming Dynasty emperor. During Zhu Di's reign, the empire's capital moved from east China's Nanjing to Beijing. A video featuring Zhu Di dancing, rapping, and texting emoticons to his concubines via Tencent messaging services WeChat and QQ went viral last Wednesday, when the tech giant announced a partnership with the Palace Museum, the official name of Beijing's Forbidden City. Tencent will work with the Beijing landmark to digitize its rich historical and cultural legacy, which spans nearly 600 years. As the name Forbidden City suggests, many details of daily life behind the red walls at the heart of Beijing have been lost to history. To raise interest in its past, the museum, which draws 15 million visitors each year, has started telling stories about the palace complex and its former residents on social media. It has also begun selling souvenirs online, inspired by the museum's art collection and the people who lived and worked within the walls during China's imperial days. The Palace Museum's latest outreach campaign has mostly been an online affair, using popular social networks such as Sina Microblog and WeChat to reach the country's educated younger generation, whose growing pride in the country's cultural heritage has helped shape consumption patterns. The potential to profit from the Forbidden City's dazzling cultural wealth has made companies like Alibaba and Tencent eager to help with digital outreach. In addition to its Tencent partnership, the Palace Museum also struck a deal with Alibaba in June to sell tickets, souvenirs and books on the company's Tmall online marketplace. As of the end of last year, the Palace Museum had brought in 1 billion yuan in revenue from selling Forbidden City-related products. It has also developed a string of interactive apps to help people appreciate the museum's art collections. The apps have been praised for their design and ability to make art accessible to the general public. "The Forbidden City is in the midst of transformation," said Shan Jixiang, director of the Palace Museum. "We want to use the Internet and new technology to share the Forbidden City's rich cultural heritage with a broader population in creative ways, so that young people will take more interest in China's traditional culture." Related: Tencent signs deal with Palace Museum to promote traditional culture Tang Yihe's oil painting July 7, 1937. [Photo provided to China Daily] The last work of Chinese oil painter Tang Yihe (1905-44) was a canvas titled July 7, 1937, named after the date of the Marco Polo Bridge Incident that marked the beginning of the War of Resistance against Japanese Aggression (1937-45). Tang portrayed a group of college students who march along a street calling for people to stand up against the approaching invaders. The students in the picture were modeled on those who attended Wuchang Fine Arts School in Wuhan, in Central China's Hubei province, where Tang had taught since graduating from Paris' National School of Fine Arts in 1934. The painting Tang produced in 1940 was a draft intended for a huge piece of work. But he was unable to do the work because of the war and a shortage of material. Missile destroyer Guangzhou launches an air-defense missile during a military exercise in the water area near south China's Hainan Island and Xisha islands, July 8, 2016. Chinese navy conducted an annual combat drill in the water area near south China's Hainan Island and Xisha islands on Friday. [Photo/Xinhua] Curiously enough, there seems to be a shared belief that the upcoming ruling from a tribunal at the Permanent Court of Arbitration in The Hague, due Tuesday, will be a win for Manila, a loss for Beijing. But win or lose, the ruling makes no difference to Beijing because it deems the arbitration illegitimate as the court has no jurisdiction. Philippine President Rodrigo Duterte's government may or may not hype up a favorable ruling from the arbitration tribunal, since binding himself too tightly to his predecessor's bandwagon will effectively throttle any hope of repairing his country's broken ties with China. But no matter if the ruling goes in his country's favor, it will not be executable given Beijing's non-acceptance. It will hardly be a surprise if Beijing then finds itself facing a new barrage of higher-pitched admonishments to "respect international law". Washington will lose no time doing the upbraiding in the company of Tokyo and a number of die-hard others. There is no better ammunition for their purposes than a ruling against Beijing made in the name of international law. But then what? Like it or not, Beijing's rebuttal is anything but vexing. Beijing has been making its case by the book. Even its decision not to participate and not to accept has jurisprudential support under international law, the United Nations Convention on the Law of the Sea included. After all, Beijing's questions about due procedure alone suffice to invalidate any judgment the arbitration tribunal makes. Considering the need of some countries for China to fit the role of bully in the South China Sea, they will certainly exploit a ruling against Beijing. But in so doing, they will not advance their claimed goal of "rules-based order" in the South China Sea. Tensions in the waters had not become a prominent regional concern or escalated to current levels until Washington stepped in and Tokyo decided to lend it a hand, encouraging the likes of former Philippines president Benigno Aquino III to fancy illicit gains would be easy pickings. But Beijing will not step back, and will not allow what it sees as a wolf-pack scheme to succeed. The best possible outcome of the arbitration, therefore, may be an endless circle of finger-pointing, if the parties in the drama are able to keep their conflict rhetorical. With that in mind, Washington may want to seriously rethink its approach, because dispatching gunboats is not working. Neither is the "lawfare" underway. Five white police officers were killed and seven other people were wounded in an attack by a gunman during a protest rally in Dallas, the United States, against police brutality toward African-Americans. The suspect, who was killed by police, was 25-year-old Micah Johnson, an African-American, who was cited by police as saying he wanted to kill white people, especially white police officers. A series of cases in the US in recent years involving white police officers fatally shooting black men has escalated tensions between African-Americans and white society. The fatal police shootings of two black men, Philando Castile in Minnesota and Alton Sterling in Louisiana, had prompted Friday's protest march. There is long-standing mutual mistrust between African-Americans and the police. The bad security environment in communities mostly inhabited by black people, the high crime rates and the prevalence of guns usually cause police to feel their personal safety is endangered and they often overreact in an "emergency". Any excessive force, however, only exacerbates the sense among African-Americans of police bias against them. And this has been further intensified by the judicial rulings in favor of police officers in previous police shootings of black men. Friday's deadly shooting is an extreme case, but it does reflect the widespread anger among African-Americans toward the "unfair treatment" they receive, and the lack of judicial channels for them to appeal any "unfair treatment". However, the Dallas shooting may entrench white officers' belief that they should be on high alert against African-Americans, and they may be even quicker to feel their personal safety is threatened and resort to extreme measures. Such a security dilemma between white police and African-Americans in the US is not just a result of the gun culture in the US, but also the deep-rooted racial prejudice and judicial injustice in the US. A villa on top of a 26-story apartment building in Renji Shanzhuang community, Beijing's Haidian district, was ruled illegal by the Beijing construction authority on Monday. It is built of rocks, decorated with trees and bushes, and covers around 800 square meters. The Chinese characters read "a civilized district of China".PHOTO BY WANG JING /CHINA DAILY A 60-year-old woman in changsha, Central China's Hunan province, was reportedly buried alive when the local authorities forcibly demolished her home. Local officials repeatedly turn a blind eye to the law when it comes to forced demolitions, says Beijing News: Forced demolitions have been a scourge for more than 10 years, and there have been frequent reports of developers hiring "unidentified people dressed in uniforms" to demolish residents' houses and seize the land. The police never intervene when the residents call for help, and those doing the forced demolitions are seldom punished, even when residents lose their lives in such incidents. We thought the situation might change when the top leadership vowed to introduce the rule of law, but one case after another has proved that hope to be in vain. For local governments and realty developers, the law that protects citizens' property rights is nothing but a piece of paper. There should be no need to say that local governments serve the people. This should be self-evident. But some local officials simply serve money. It is time to ask the local officials that do the evil: Do you know you are acting against the top leadership's call for the rule of law? Do you know you do a disservice to the credit of the local governments where you serve? Do you know you are threatening social stability by arousing public anger? We are not sure whether the evil-committing local officials care about public opinion, because they do not even care about the law. But we do hope the central leadership can intervene before the local officials totally ruin people's trust in the government. File photo of South China Sea. [Photo/Xinhua] Relying on certain clauses of the United Nations Convention on the Law of the Sea of 1982, to which both the Philippines and China are parties, Manila started an arbitration procedure against Beijing. This procedure concerns the status of many features in the South China Sea and the legality of the conduct of China in some incidents that occurred in that sea. The arbitration has resulted in an award handed out on Oct 29, 2015, in which the tribunal affirmed its right to adjudicate (jurisdiction), on about half of the Philippines' submissions, and stated that jurisdiction and the merits are linked on the remaining submissions. So the tribunal did not exclude to have the right to adjudicate any of Manila's submissions. The final award on the merits will concern all these submissions. China has made it very clear since the beginning of the procedure that: "It will neither accept nor participate in the arbitration initiated by the Philippines." This position has been criticized as inconsistent with the fact that, being bound by UNCLOS, China is also bound by the provisions in UNCLOS providing that a case concerning the interpretation and application the convention may be submitted unilaterally, by one state party, to a court or tribunal, including arbitral tribunals. As will be shown, in fact, China's position is perfectly legal under international law. The 2015 award of the tribunal does not deny such legality, but it considers China's position only in part. China's position consists in two distinct statements. The first is that China does not accept the arbitration. The second is that China will not participate in it. The 2015 arbitral award considers in some detail China's non-participation in the arbitration, but treats only cursorily its non-acceptance (or "rejection") of it. Non-acceptance may be seen as a political statement underlining that, with its initiative, the Philippines acts in contradiction with numerous statements, declarations and agreements in favor of friendly negotiations. Of course, this political meaning is included in China's non-acceptance of the arbitration. However, such non-acceptance has also a specific legal meaning. It conveys the message, that, as stated by China in a Position Paper of December 2014, "the unilateral initiation by the Philippines of the present arbitration constitutes an abuse of the compulsory procedures provided in the Convention". The tribunal did not consider seriously the "non-acceptance" of the arbitration as distinguished form "non-participation". It simply states that the language used by China "calls to mind" UNCLOS Articles 300 or 294. The tribunal comes to the correct conclusion that these articles are not relevant, but does not pursue its analysis further. In light of the evident aim of the Philippines' submissions to circumvent the limits of compulsory jurisdiction under UNCLOS, the notion of abuse of legal proceedings should have been examined in detail at a very preliminary stage. It must be regretted that the tribunal did not consider seriously China's "non-acceptance" of, as distinguished from "non-participation" in, the arbitration. Doing so could have brought the tribunal to discuss seriously this very general aspect of the case which is the one China is most keen about, and which explains its non-participation. Such serious examination whatever its results would have been necessary in order to ensure the balanced treatment of the parties. Initiated by Allmobilize Inc., an enterprise mobile solution provider, eight hi-tech companies including Microsoft, Intel, Huawei and Alibaba launched the China HTML5 for the Enterprises Industry Alliance to drive the HTML5 (H5) technology application and business fusion. The H5 alliance also includes iFLYTEK Co Ltd, Kingsoft, and the Institute of Communication Standards Research (ICSR) of the China Academy of Telecommunication Research within the Ministry of Industry and Information Technology (MIIT). Business leaders applaud as they attend the launch ceremony of the China HTML 5 for Enterprises Industry Alliance, held in the Wuqing district of Tianjin on July 9. Industry leaders join for an era of HTML 5 Chen Benfeng, the CEO of Allmobilize and the chairman of the H5 alliance, explained the advantages of the technology at the first conference of the alliance which was held in the Wuqing district of Tianjin between July 8 and July 10. Development for once, the HTML 5 can be applied to all platforms; a single site URL can be adaptive to all equipment including PC, tablet computer and mobile phone; and a standard will be suitable for all applications, Chen said. The H5 technology is promised to meet the challenges of the information island and fragmentation. Chen said the power of a single company is limited in promoting the H5 technology and having it serve the companies and as long as the industrial leaders cooperate and form favorable industrial ecosystems, the earlier the era of H5 can begin. The ecosystem Chen mentions refers to the whole H5 industrial chain which includes applications, operating systems and hardware and network infrastructure. Just like a single species, it will be easier for its survival in a tropical rainforest than in a desert, Chen said. A photo depicts the first conference of the China HTML 5 for Enterprises Industry Alliance. The broad application of HTML 5 in technology Jiang Xiaodan, the director of Web technology research and development at Intel Asia-Pacific Research and Development Ltd, said Intel considers H5 as a collaborative platform from its cloud services to its client side and from its client side to its client side. Jiang Xiaodan, the director of Web technology research and development at Intel Asia-Pacific Research and Development Ltd, gives a speech at the conference. Intel has implemented in-depth research of H5 and its relation to web technology in graphic images, video services and computer acceleration. Because the H5 industrial chain is powerful in regards to storage, data mining and deep learning, the company plans to extend its research to robot, unmanned plane, 3D camera support and Virtual Reality (VR), Jiang said. As an alliance member, Chinas e-commerce giant Alibaba highlights the cross-platform development of mobile terminals. The combination of Weex, the mobile UI (user interface) development library, and H5 has helped Tmall to realize its record sales of 91.22 billion yuan ($13.64 billion) during the Singles Day Shopping Festival last Nov 11, according to Wu Zhihua, the senior expertise of Alibaba's wireless platform. Wu Zhihua, senior expertise of Alibaba, gives a speech at the conference. Allmobilize CEO: To realize Invented in China The HTML technology was invented by Tim-Berners Lee, the father of World Wide Web, in 1990. Though it did not originate in China, the technology was developed in China, Chen Benfeng, the CEO of Allmobilize, said. As a large market for mobile phones, China boasts rich application settings and more requirements will create more technical innovations. Chen Benfeng, the CEO of Allmobilize and the chairman of the alliance, shares his ideas on HTML 5 at the conference. Chen, who has dedicated more than 10 years to Internet standards settings and browser kernel research, formerly worked for Microsofts IE browser research and development team in the US headquarters and sets his goal to promote realization of Invented in China. With an academic research background in Allmobilize, Chen said he hopes to transform the technology into a product which will be original and unique due to its technical features and the promotion of Invented in China is what motivated the entrepreneur to return from travels abroad. Red-crowned cranes fly over the reed swamp of Zhalong Nature Preserve, known as "the land of cranes".[Photo provided to China Daily] Heilongjiang's marshes offer places to explore nature while beating the heat. Yang Feiyue reports. Chen Yan enjoyed seeing wild birds as he boated over Heilongjiang province's Khanka Lake. He also enjoyed seeing - and eating - the fish in its waters. That's not to mention wearing long sleeves in the summer, as his native Beijing baked in late June. It was breezy and dropped to 13 C in mornings, he says. "I took the trip to escape the heat. I felt bad for my friends back home," he says, jokingly. But he discovered more than cool climates at such places as the island of Zhenbaodao's wetlands in the center of the Wusuli River that runs between China and Russia. There are also pristine natural areas inhabited by wildlife. Chen boated Jingpo Lake and visited a nearby lava cave. He watched performers jump from the Diaoshuilou Waterfall. A book about the notes Chinese fishermen kept to guide them in navigating the South China Sea was released in Hainan province on Friday. The book, called South China Sea Genglubu and issued by Hainan Publishing House, tells the story of Genglubu, books Chinese fishermen used to keep notes about the routes they sailed in the South China Sea. The notes, with information on the ocean currents and islands, were important to Chinese seamen in a time when there was no modern positioning technology. Twenty-four Genglubu transcripts have been found, according to the book, giving details on more than 200 routes in the South China Sea and naming 136 islands. Wu Shaoyuan, assistant researcher of the National Institute for South China Sea Studies, said Genglubu provide important evidence supporting China's claim of historic rights in the South China Sea. Zou Ligang, a law professor at Hainan University, said the release of the book at this time shows the Chinese people's opposition to the arbitration case filed by the Philippines regarding China and the South China Sea. On Thursday, the day before the book was published, another nearly 70-year-old piece of evidence for China's sovereignty over the South China Sea islands was donated to the National South China Sea Museum, which is under construction. The book called Nan Hai Zhu Dao Di Li Zhi Lue, or "A Brief Record of the Geography of the South China Sea Islands", was first published in 1947. It was compiled by a Chinese official who took part in retrieving the islands after the surrender of occupying Japanese troops. The book records what was seen and heard during the official's retrieval mission from 1945 to 1947 and has a map of South China Sea islands. According to Zhang Jianping, a member of the office that is planning the museum's construction, the map is the origin of the nine-dash map used by China to delineate the areas of its sovereignty in the South China Sea. The museum is expected to open in 2017. Zhang said the book will be a key display item after the museum is opened. Hainan Daily contributed to the story. Contact the writers at liuxiaoli@chinadaily.com.cn and wangqingyun@chinadaily.com.cn SRINAGAR, Indian-controlled Kashmir -- Clashes in Indian-controlled Kashmir between protesters and government forces continued for the second straight day Sunday despite curfew, pushing death toll to 21 including a policeman, officials said. The latest killing took place in the evening at Tengpora in Srinagar, where policemen fired upon a youth. A senior health official at SKIMS Medical College Bemina Dr Reyaz Untoo told media the youth was brought dead to the hospital. "He had bullet injuries in abdomen," Untoo said. The clashes and violent anti-India demonstrations triggered following the killing of a popular militant commander Burhan Muzaffar Wani in a gunfight. Wani was killed along with two associates on Friday. "There has been no respite in the ongoing clashes and we have information that so far 21 people (20 protesters and one policeman) were killed since yesterday," an official said. "The policeman was killed due to drowning after the vehicle he was driving was pushed into river by protesters." Officials said the armoured police vehicle was pushed into river by angry protesters at Sangam village in southern district of Anantnag. Meanwhile, local government spokesman Nayeem Akhtar appealed people not to agitate and sought the support of the mainstream and separatist political parties to restore calm in the restive region. "The cabinet which met under the chairmanship of Chief Minister Mehbooba Mufti expressed grief and anguish over the loss of precious human lives and made a fervent appeal to all shades of political opinion, including the mainstream and the separatists, to help restore calm as the violence has neither served any purpose in the past nor is it going to do so in the prevailing circumstances," Akhtar said. Akthar, who is also a minister in the local government walked out of the press conference and refused to answer questions from media. KOLKATA - Is Priyanka Gandhi Vadra the antidote to those seeking the demise of the Indian National Congress party, one of the contintent's oldest political parties? This week and in the midst of news of far greater moment from around Asia, India is being asked to focus its energies on the latest instalment of a long-running soap opera that ought either to have ended or been taken off the air a few years ago. This episode has left hanging a question that those writing the script hope will prove tantalising - will Priyanka Gandhi Vadra play a larger role in national politics and lead her party's campaign for crucial polls next year in India's largest province? The fact some people, including commentators across Asia, should consider the answer pivotal is itself surprising for the question has been around long enough to have become boring. When one of the continent's oldest political parties slips into terminal decline, it is an event worth noting if only because the party in question, the Indian National Congress, once inspired legions of anti-colonialists around Asia (and Africa). Its leaders were icons, but it was the sheer weight of their ideological confabulations as they tried to chart a path for a country on the cusp of freedom that made them interesting. You could scoff at them today for having debated a mixed economic model for as long as they did; you could ask how naive non-alignment was in a post-war world bitterly divided by ideology or you could raise puzzled eyebrows at the oddities of their most illustrious member, the man Indians embraced as the father of their free nation. But beginning about this time last century and arguably until the turn of this one you could not ignore the Congress because there was no questioning its leaders' commitment to the idea of building a nation, of wanting a better world. The Congress showed a way, a promise of hope, to people far away from India's shores. Today, drawn like a moth to the flame by Narendra Modi's 2014 electoral battle-cry for a Congress-free India, the party seems determined to transform its rival's promise into prophesy. Party loyalists argue that success and failure are cyclical in politics, that today's ruling Bharatiya Janata Party (BJP) was once reduced to two seats in Parliament and that the Congress worm too shall one day turn. They choose to forget that the BJP was less than a decade old when it recorded that dismal electoral score or that it had never ruled except as part of a short-lived makeshift coalition. But crucially they forget that the BJP re-invented itself in more ways than one to progress from two seats in 1984 to 262 in 2016. The ground is slipping away from beneath the Congress' feet. From 11 (out of 29) states it ruled within India's federal structure when it was unseated from the Centre in 2014, it is in charge now in five and is a part of shaky coalitions in two others. With the exception of the southern state of Karnataka, the rest are insignificant in terms of their representation in Parliament. In effect, according to one calculation, the Congress now rules just six per cent of India. Since 2014, when it lost the parliamentary election, the Congress has suffered one political blow after another without once having counter-punched. In any other democratically run party of the world, this would have led at the very least to tough questions being asked of the leadership but more likely to a change. Within the Congress, led by two members of one family for more than a decade, these serial disasters have led to the hope that a third member of the same family might agree to play a larger political role along with the original two. It is this prescription more than anything else that suggests the party's decline is terminal. For it speaks of a belief that political fortunes are made or marred by individuals, by their names and their faces, and not by their ideas or their vision. To believe that Priyanka Gandhi will resuscitate the Congress because she looks - or as some Congressmen insist, walks - like her grandmother, the redoubtable Indira Gandhi, is about as emphatic a proclamation of decline as anything could be. Is it unfair, you may ask, to be so dismissive of a young lady possessed with charisma, and arguably her grandmother's walk? To begin with, the lady is not so young; at 44, she is older than her great grand-father Jawaharlal Nehru was when he was elected president of the Congress party or her grandmother Indira Gandhi was when she attained that position. By the time he was 44, her father Rajiv Gandhi was both the focal point of his party and Prime Minister of India. Next, she has during a decade spent on the fringes of active politics never once been accused of offering a profound thought on the course governance ought to take. Besides Priyanka's occasional repartee during election campaigns, India knows little about her politics or priorities; the country doesn't even know if there is anything to know." Third, she is married to an individual who has managed to become the focal point of corruption investigations by both a commission of inquiry and the country's Enforcement Directorate. Finally, a larger role for her would be tantamount to admission that her brother, Rahul, the putative Gandhi heir and party vice-president, has failed to make the cut. The tragedy from India's perspective is that the Congress appears driven to self-destruct at a time when its once-famed inclusive secularism may be needed the most. If Priyanka Gandhi is the antidote to those seeking a Congress-free India, the poison may already have done its work. The writer is Editor, The Statesman, India The European Union is willing to strengthen its partnerships and collaborations at a time when uncertainties pose greater challenges to the world economy, a senior official said on Monday. China is now the EU's second largest trading partner and one of the biggest markets for the EU, said Cecilia Malmstrom, European commissioner for trade. Both China and EU have benefited a lot from the relationship in the past, the key thing is how we can move ahead together. Key challenges include how to commit to an open economy, the need to fight protectionism, how to further liberalize trade and improve benefits of small scale companies. Thats according to Malmstrom, who made the comments after she participated in the two-day G20 Trade Ministers Meeting in Shanghai last week. Malmstrom expects China is able to increase legal support for foreign companies, and increase investment transparency. While addressing the future impact of Brexit to trade, Malmstrom said it would take time to see a long-term impact. By far there are still 28 members in the European Union and it may take up to two years to actually leave the union, she said. By far they Britain still has full responsibilities and also receive full benefits as other countries in the EU. Former State councilor Dai Bingguo delivers a speech at the China-US Dialogue on South China Sea between Chinese and US think tanks in Washington on Tuesday. [Niu Yue/For China Dail y] The Chinese people would not be intimidated by the US actions, not even if the US sends all its ten aircraft carriers to the South China Sea, said Dai Bingguo, former state councilor of China, on Tuesday at the dialogue on South China Sea between Chinese and US think tanks in Washington, D.C. Dai said, first, Nansha Islands are China's integral territory; second, China remains committed to peaceful resolution of disputes in the South China Sea through negotiations and consultations with countries directly concerned; and third, the situation in the South China Sea must cool down. The arbitral tribunal has no jurisdiction over this case. By making a so called "award", it has willfully expanded its power, which is against the UNCLOS and is null and void, he added. He said, We hope that the US side will take an objective and fair approach regarding the arbitration, rather than criticizing China for upholding the UNCLOS from the position of a non-state party." Dai also said, "One should not be too ready to frame the South China Sea issue as a strategic issue or interpret and predict China's behavior by drawing from western theories of international relations and history. "It would be nothing but baseless speculation to assert that China wants to make the South China Sea an Asian Caribbean Sea and impose the Monroe Doctrine to exclude the US from Asia or that China is trying to compete with the US for dominance in the South China Sea, Asia and even the world," he continued. "For China, the South China Sea issue is all about territorial sovereignty, security, development and maritime rights and interests. It is all about preventing further tragic losses of territory. China's thinking is as simple as that. And there is no other agenda behind it. We have no intention or capability to engage in 'strategic rivalry' with anyone. We have no ambition to rule Asia, still less the Earth. Even in the context of the issue in question, we have never claimed we own the entire South China Sea. We only have one ambition, which is to manage our own affairs well and ensure a decent life and dignity for the nearly 1.4 billion Chinese people," he said. "Since last year, the US has intensified its close-in reconnaissance and 'Freedom of Navigation' operations targeted at China. The rhetoric of a few people in the US has become blatantly confrontational. How would you feel if you were Chinese? Wouldn't you consider it unhelpful to the US image in the world? This is certainly not the way China and the US should interact with each other," he added. "Having said that, we in China would not be intimidated by the US actions, not even if the US sent all the ten aircraft carriers to the South China Sea. Furthermore, US intervention on the issue has led some countries to believe that the US is on their side and they stand to gain from the competition between major countries. As a result, we have seen more provocations from these countries, adding uncertainties and escalating tensions in the South China Sea. This, in fact, is not in the interest of the US. The risk for the US is that it may be dragged into trouble against its own will and pay an unexpectedly heavy price," said Dai. The daylong closed session between Chinese and US think tanks was jointly organized by the Carnegie Endowment for International Peace and Chongyang Institute for Financial Studies at Renmin University, in coordination with the National Institute for South China Sea Studies and Woodrow Wilson International Center for Scholars. Yongxing Island is home to the government of Sansha, China's southernmost city. [Photo/Xinhua] The arbitration tribunal in the South China Sea dispute has explained the case in an irresponsible way and set a bad precedent, according to law professionals and scholars on international law from around the world. The decision in the case, which was initiated unilaterally by the Philippines despite China's objection, is to be announced on Tuesday by the Arbitral Tribunal at The Hague. Abdul Gadire Koroma, a Sierra Leonean who served two terms as judge at the International Court of Justice, said "the tribunal is not entitled to decide" questions concerning territorial sovereignty. Myron Nordquist, a senior fellow at the Center for National Security Law at the University of Virginia School of Law, said "the arbitration sets a bad precedent with its award on jurisdiction". "There is no way to guarantee the effectiveness of the Article 298 declarations except to honor the text which, despite my deep respect for the tribunal members and their good faith, was not done in this arbitration," said Nordquist. Article 298 of the United Nations Convention on the Law of the Sea excludes compulsory arbitration on issues including maritime boundaries. In 2006, China declared it would exercise its rights under Article 298. "They have already shown so much prejudice (against China)," Nordquist said. In an earlier interview with China Daily, Nordquist also said he thought the United States is behind the Philippines' efforts to stir up the situation. "They want to get back to those military bases. You know they got kicked out of quite a few of those places." He said the ruling is likely to be bad for China. "It's not going to end the problem. It's going to exacerbate it." "I am just not happy. I don't think China is getting a fair shake. I think part of the reason is that people that are being paid a lot of money by the Filipinos are taking advantage of the ignorance of people about this very complicated area." (Photo : YouTube Screenshot) Telefonica has raised around $356 million by selling 1.51 percent of its shares to China Unicom. Advertisement Spanish telecommunication provider Telefonica has raised around $356 million by selling 1.51 percent of its shares to China Unicom, the company said in a statement on Monday, July 11. The company, which has been disposing of its non-core assets, sold 361.8 million of its shares to China Unicom valued at $1.01 per share. Like Us on Facebook Advertisement "This operation is part of measures to manage the asset portfolio, and the goal of medium-term deleveraging of the company," Telefonica said. The heavily indebted Spanish firm said it would remain committed to its strategic alliance with China Unicom as the two plan to create a joint venture to sell big data services, Business Standards reported Meanwhile, Telefonica faces the risk of having its credit rating cut if it can not find a way to trim down its debts by the end of the year, Carlos Winzer, Moody's Corp analyst, said. In June, the company claimed it is on track to attain its 2016 targets amid Britain's decision to leave the European Union, which could affect its business and balance sheet, Reuters reported. Sources familiar with the matter, on the other hand, told Bloomberg that Telefonica plans to sell some of its stake in UK wireless carrier O2 despite market volatility and a delayed listing of its infrastructure unit Telxius. While the company is considering partial or total sales of these units, it said that it is not rushing to decide. Telefonica needs to raise $15.5 billion to attain its target for reported net debt to earnings ratio before depreciation, interest, taxes and amortization of 2.35, Bloomberg reported. Advertisement TagsTelefonica, telecommunication, China Unicom, O2 carrier, Telxius, brexit (Photo : Getty Images) Naval ships from multiple nations steam in formation during the RIMPAC excercise operations near Oahu, Hawaii. Advertisement Chinese warship Xi'an docked at Pearl Harbor on Friday to participate in the ongoing Rim of the Pacific (RIMPAC) war games. This is the first time that Xi'an, which is only a year old, has taken a trip outside China. The 150-meter long guided missile destroyer is one of five Chinese ships training with the United States in the RIMPAC. Like Us on Facebook Advertisement "We are ready to have more exchange and cooperation with our colleagues in different countries," Sun Hong Bin, Xi'an commander, said. US Navy Commander Arthur Fong is expecting an interesting game ahead, saying "A lot of us have never seen a Chinese navy before." This is the second time that China has participated in RIMPAC, a war game that aims to strengthen military relations and to fight against global threats such as terrorism and piracy. "The maritime environment is too large for any nation to protect. Exercises like RIMPAC provide an environment to strengthen regional partnerships and improve multinational interoperability," Vice Admiral Nora Tyson said. This year's RIMPAC has 26 nation participants with 45 ships and five submarines, more than 200 aircraft, and 25,000 personnel. The war games will run between June 30 and August 4, in and around the Hawaiian Islands and Southern California. The exercise revolves around the theme "Capable, Adaptive, Partners." Participating nations are Australia, Brunei, Canada, Chile, Colombia, Denmark, France, Germany, India, Indonesia, Italy, Japan, Malaysia, Mexico, Netherlands, New Zealand, Norway, People's Republic of China, Peru, the Republic of Korea, the Republic of the Philippines, Singapore, Thailand, Tonga, the United Kingdom, and the United States. Advertisement Tagschina, war games, RIMPAC, Rim of the Pacific war games, Pearl Harbor, Navy (Photo : YouTube Screenshot) China's BAIC has started selling its cars in the Mexican market. Advertisement China's BAIC has established a sales division in Mexico and started selling cars imported from China, a senior company executive said. The company, which is a Hong Kong-listed unit of Beijing Automotive Group Co., targets to sell at least 5,000 units per year by 2018. With the move, BAIC has joined a slate of foreign automobile manufacturers who have established operations in Northern America's southernmost nation to gain access in the United States and Latin America. The company sold its first vehicle in Mexico last month. Like Us on Facebook Advertisement Automotive News reported that BAIC is also planning to put up an assembly plant in Mexico to produce gasoline-powered cars and electric vehicles, Wei Huacheng, company's vice president, said. According to BAIC's website, only three models will be offered in the Mexican market for now - the compact D20 (both hatchback and sedan versions) and the X25, which is a small crossover based on the D20. However, the Chinese car manufacturer plans to expand and introduce three other models by the end of this year. "Mexico... is a very important part [of our] long-term vision," the company wrote. According to CCTV, BAIC Mexico is teaming up with the Mexican-owned Picacho Group, which has been behind the sales of autos such as Jaguar, Ford, and Land Rover in Mexico for three decades. BAIC Automotive is working to convince Mexican consumers that "made in China" products now signifies high quality. So far, BAIC is the only Chinese automobile manufacturer marketing vehicles in Mexico as China's FAW withdrew its attempt to gamble on the Mexican market in 2009. Advertisement Tagschina, BAIC Auto, imported cars, Wei Huacheng, Beijing Automotive Group (Photo : Getty Images) Famous street artist Shepard Fairey's 184-foot by 60-foot mural on the side of the One Campus Martius building is seen in downtown in Detroit, Michigan. Advertisement The Michigan-China Innovation Center has opened a new office in the 1001 Woodward Building in downtown Detroit on Monday, July 11. "This center will focus on creating jobs in Michigan in partnership with China," Brian Connors, the center's executive director and former development manager for the Michigan Economic Development Corp. told Crain's Detroit Business, adding that they will start in screen bilingual candidates willing to work in a full- and part-time positions. Like Us on Facebook Advertisement The planned state-funded, non-profit center was first announced in March after it received a $5 million grant from the Michigan Strategic Fund Board, with the aim of luring Chinese firms to invest in the state over the next five years. "With the incredible growth of China's economy, it is a crucial strategic partner. We want to make sure Michigan is taking advantage of all the opportunities so we can drive economic growth and increase our competitiveness," Connors said. The funding will be allocated for salaries, marketing, startup cost, office rent, and travel. Furthermore, the center will target automotive component manufacturing as well as research and development. Connor claims that he already has "a number of fish on the line" and said he hoped to announce job-creating investments soon. He also believes that locating the center downtown is vital. "As Detroit goes, so goes the state of Michigan. It is an exciting opportunity. We expect to see a lot more traffic downtown by senior Chinese businesspeople. We're excited to be part of the innovation downtown," Connors explained. Currently, the state has around 200 Chinese-owned firms that contribute $3 billion in foreign direct investment. Advertisement TagsMichigan-China Innovation Center (Photo : Getty Images) Japanese judge Shinju Yanai said nationality didn't play a role in forming the five-member panel of the arbitration court that will adjudicate the maritime case filed by the Philippines against China Advertisement On the eve of the United Nation's arbitration tribunal ruling on the Philippines' case against China, Beijing has accused the Japanese judge who supervised the formation of the court of bias. In an opinion piece published in the Jakarta Post on Sunday, China's Ambassador to Indonesia Xie Feng said Judge Shunji Yanai went beyond his jurisdiction in forming the tribunal which is far from being impartial and can hardly be considered as 'universally representative.' Like Us on Facebook Advertisement The Permanent Court of Arbitration will issue a written decision at 11 am (0900 GMT) on Tuesday on the territorial case filed by the Philippines against China over ownership of hundreds of reefs and islands in the disputed South China Sea. Discredit The Japan Times took up the cudgels for Judge Yanai saying China will do anything to discredit the tribunal's impending ruling on the South China Sea territorial case filed by Manila against Beijing in 2013. It noted that China's complaint about the integrity of the five-member arbitration panel had been published in foreign newspapers and other media outlets in the past month in a bid to convince the international community of the illegality of the tribunal's impending ruling. "Perhaps most surprisingly, it (Beijing) has even alluded to a Japanese conspiracy to steer the decision away from favoring China," the Japan Times report said. Judge Yanai was then the president of the International Tribunal for the Law of the Sea (ITLOS), an independent judicial body created under the United Nations Convention on the Law of the Sea (UNCLOS) to hear and adjudicate maritime disputes between countries. Five-member panel The five-member tribunal judges would be hosted by the Permanent Court of Arbitration (PCA) which is independent of the ITLOS. Under the set-up, Manila and Beijing were given the right to choose their respective judge to join the panel. Since China has refused to participate in the proceedings, and has thus given up its rights, Judge Yanai took it upon himself to choose a judge on behalf of Beijing. China said four of the five-member panel were Europeans who were chosen by the 'biased Japanese judge' who should have inhibited himself from overseeing the tribunal formation given that Beijing has a separate territorial dispute with Tokyo over the East China Sea. Nationality But Judge Yanai defended his actions saying that nationality was not an issue in the formation of the tribunal. He said the judges were chosen based on their expertise and competence in handling maritime disputes. Manila said it had exhausted all avenues-- diplomatic and political-- for nearly 18 years before it decided to lodge a legal case against Beijing in 2013. Legal experts have said that the ruling will most likely favor Manila. China, infuriated over Manila's legal actions, has said it does not recognize the tribunal's jurisdiction despite calls from the international community for Beijing to abide by the verdict. If China's rejects the court's impending ruling, the PCA has no right and power within itself to enforce the decision. Advertisement TagsJudge Shunji Yanai, Hague arbitration court ruling, Permanent Court of Arbitration, Philippines, South China Sea, china (Photo : Getty Images) In this handout image provided by the European Space Agency (ESA) and NASA, the International Space Station and the docked space shuttle Endeavour orbit Earth during Endeavour's final sortie in Space. Advertisement China's first space station is reportedly free-wheeling around the Earth and could potentially make a lethal return to the ground, space experts have warned. China's Tiangong-1 satellite allegedly lost radio connection with space authorities in March leaving them with no control over it. Experts fear that the uncontrollable satellite has a deteriorating orbit and could fall back to Earth soon. Like Us on Facebook Advertisement "If I am right, China will wait until the last minute to let the world know it has a problem with their station," Thomas Dorman, a satellite tracker who has been continuously monitoring the spacecraft's progress, told Space.com. "It could be a real bad day if pieces of this came down in a populated area... but odds are, it will land in the ocean or in an unpopulated area." China's state news agency Xinhua confirmed that the laboratory is indeed dead in space but made clear that it was being monitored. Xinhua told The Times that "after an operational orbit of 1,630 days, China's first space lab Tiangong-1 terminated its data service. The flight orbit of the space lab, which will descend gradually in the coming months, is under continued and close monitoring." Tiangong-1, also known as the "Heavenly Palace," is thought to be just one of the about 20,000 space junks orbiting around the Earth at a low altitude. It was launched in September 2011 to serve as a manned space laboratory. After the last manned mission was completed in June 2013, the satellite was switched to sleep mode. However, reports indicate that in March, all of the space lab's telemetry failed, and since then, China's space agency has kept mum about the issue. Dean Cheng, a senior research fellow at the Asia Studies Center at the Heritage Foundation, suggested that China's silence over the situation could mean the satellite is already in freefall. "That would seem to suggest that it's not being deorbited under control. That's the implication," he said. Advertisement Tagsspace station, Space Lab, Tiangong-1 (Photo : US Navy) US Marines on an amphibious assault exercise Advertisement The U.S. Marines are expanding their combat presence in the South China Sea by deploying an Amphibious Ready Group and Marine Expeditionary Unit each to the northern and southern parts of the disputed sea. This strategy intends to create a stronger presence near the southern end of the South China Sea, said Lt. Gen. John Wissler, commander of Marine Corps Forces Command. It will counter China's increased naval presence in the South China Sea and targets China's claims over disputed features in the Spratly Islands close to the Philippines, a U.S. ally. Like Us on Facebook Advertisement The strategy will see the Marine Corps deploy a second Amphibious Ready Group and Marine Expeditionary Unit (MEU) to the South Pacific in 2019, and perhaps as early as 2018. This force will be based in Australia. The additional combat units will give the U.S. Marines and the U.S. Navy far greater reach. U.S. Marines in Australia and Okinawa might join the new combat group. The U.S. Navy will deploy another three-ship Marines' MEU to Australia as early as October 2018. Gen. Wissler said the goal is to augment the Marines currently deployed to the Marine Rotational Force - Darwin on six-month rotations. Some 1,250 Marines are currently stationed in Darwin and U.S. and Australian officials hope to boost that number to 2,500 by 2020. Adding another MEU will mean another 4,000 sailors and Marines will be rotating through the South Pacific. A second MEU based in the South Pacific will allow the Okinawa-based 31st Marine Expeditionary Unit to focus on the northern part of the South China Sea. The 31st MEU currently conducts 90-day patrols in the Asia Pacific region twice a year. "So not only will you have a forward-deployed naval force with forward ships that are home-ported in Japan, but you will have additional amphibious capability on multiple 90-day patrols in and around the Asia-Pacific," said Wissler. The U.S. has been increasing its naval presence in the South China Sea and is conducting freedom-of-navigation patrols near the islands China has created. Advertisement TagsU.S Marines, Lt. Gen. John Wissler, U.S. Navy, 31st Marine Expeditionary Unit (Photo : Dassault Aviation) The nEUROn unmanned combat air vehicle flies with a Rafale fighter and a Falcon 7X business jet. This is the first time an unmanned combat aircraft achieved formation flight. Advertisement nEUROn, a stealthy unmanned combat aerial vehicle (UCAV) from France, has completed its first sea trial aboard the aircraft carrier Charles de Gaulle four years after it first took to the skies. The Direction generale de l'armement (DGA), France's defense procurement and technology agency that buys weapon systems for the French military, said nEUROn flew out to sea for about an hour at an altitude of 1,000 feet. The flight test saw nEUROn perform aerial maneuvers above the nuclear-powered carrier. The UCAV, however, didn't land on the carrier. Like Us on Facebook Advertisement The nEUROn program is designed to validate the development of complex technologies representing all mission systems. These include high-level flight control and stealth; launching real air-to-ground weapons from an internal bay and integration in the C4I environment. The test flights of the nEUROn technology demonstrator will allow the French Navy or the "Marine nationale" to explore use of a UCAV in naval operations. The next round of tests, which will take place at the DGA center in western France, will evaluate the UCAV's electromagnetic stealth capabilities. The drone has flown 123 times since December 2012. The electromagnetic flying wing stealth drone has a maximum speed of 980 km/h and can climb as high as 14,000 meters. It can be mounted with two 230 kg guided bombs to attack targets. The drone will cost an estimated $27.6 million per unit, which is about what a manned fourth generation fighter costs. France, however, has high hopes for nEUROn and plans to use the drone's technology in future French fighter jets. nEUROn won't become an operational combat aircraft but will serve as a platform for France's next generation drone stealth aircraft that might ultimately replace manned jet fighters. nEUROn is a joint project among France, Greece, Italy, Spain, Sweden and Switzerland. Dassault Aviation is the prime contractor. Subcontractors are Airbus Defense & Space of Spain; Alenia of Italy; Hellenic Aerospace Industry of Greece; Ruag of Switzerland and Saab of Sweden. Advertisement TagsNeuron, unmanned combat aerial vehicle, France, Direction generale de l'armement, Dassault Aviation (Photo : Reuters) Xiaomi has partnered with InnoConn and Just Buy Live to broaden its reach to customers. Advertisement As part of the company's effort to capitalize on its offline sales, Chinese tech giant Xiaomi has announced that it is partnering with two companies. The two companies are InnoConn, which is a Foxconn unit, and Just Buy Live, which is an online marketplace based in India. Tech analysts agree that the partnership will help Xiaomi expand to customers who are based in smaller regional cities and towns. Like Us on Facebook Advertisement InnoConn and Just Buy Live will implement Xiaomi's unique marketing strategy called direct-to-retail. A marketing model which was specifically tailored for the Indian market. Applying this model, the two companies will buy products from Xiaomi and then directly supply it to regional retail stores and outlets. By adopting this marketing model, Xiaomi and its partners are essentially cutting multiple middle players which in turn could keep the price of its products low. Xiaomi's partnership with InnoConn and Just Buy Live essentially gives the tech giant access to about 5,000 stores ready to market its products at a moment's notice, according to NDTV. As part of its expansion plans, the company aims to increase that number of offline stores to at least 10,000 within the next few months. In a statement acquired by Economic Times India, Xiaomi India head of operations Manu Jain said, "We're making it comfortable for people in smaller cities, tier 2-3 towns, where internet infrastructure is not yet developed, to purchase our smartphones." Xiaomi has revealed that currently, about 30 percent of its sales output in China comes from brick-and-mortar stores. The company added that in India, physical or offline stores accounts for 10 percent of its market sales output. Advertisement TagsXiaomi, Xiaomi acquisition, Tech, china, Just Buy It, InnoConn, Foxconn Atheist billboard mocking people of faith taken down after backlash 11 July, 2016 by Gregory Tomlin , | TUPELO, Miss. (Christian Examiner) An atheist billboard mocking the religious values of citizens in Tupelo, Miss., has been taken down after complaints from the city's residents. The digital billboard, which features an image of "Uncle Sam" and the words, "God Fixation Won't Fix This Nation," was posted by the litigious Wisconsin-based Freedom from Religion Foundation on July 1, just before Independence Day. The organization frequently posts signs mocking Christianity, which it claims is given preferential treatment and too heavily influences government. At Christmastime, for instance, they sought to place a religious display outside of the Texas State Capitol which featured the founding fathers and the Statue of Liberty looking over the U.S. Constitution, lying in a manger. Gov. Greg Abbott ordered the display removed, for which the group sued the state. Mississippi is tied with Alabama as the most religious state in the country, according to a 2016 Pew Research Center report. Seventy-seven (77) percent of adults in the state describe themselves as "very religious." They didn't take kindly to the insult offered by the Wisconsin atheists. FFRF claimed it had been "censored" after Lamar Outdoor, the private company that hosted the ad, took it down to protect its business interests. Annie Laurie Gaylor, co-president of the atheist group, said she was disappointed "there is no alternative or dissent permitted in Mississippi, that the claims of religion cannot even be questioned or debated." The company cited the public backlash as the reason. It was, it said, taking "too much heat" over the display. Skeletons, DNA well preserved at ancient Philistine burial site 11 July, 2016 by Gregory Tomlin , | ASHKELON, Israel (Christian Examiner) A burial site in ancient Ashkelon may provide archaeologists with definitive proof of where the Philistines, the ancient enemies of Israel who occupied the city 3,000 years ago during the time of Kings Saul and David, originated. That's because several of the graves uncovered contain remarkably well-preserved skeletons, complete with their teeth, from which scientists might be able to extract DNA to plot the origin of the people. National Geographic's Kristin Romey reports that the sensational find in ancient Ashkelon occupied by the Philistines from the 12th to 7th centuries B.C. may include only a small portion of the graves that are actually there. The discovery of the sites, she writes, follows nearly 100 years of scholarship seeking to plot the locations of the five Philistine cities, which included Ashkelon, Ekron, Gaza, Ashdod and Gath (home to Goliath). The current dig, part of the Leon Levy Expedition, has been ongoing since 1985. According to Romey, the origin of the Philistines has remained a mystery for thousands of years. Some references in the Bible (Deuteronomy 2:23, Jeremiah 47:4, and Amos 9:7) point to "Caphtor" as their origin (modern Crete), and it is clear they were not Semitic peoples. The presence of "Caphtorim" in the land, beginning in the early 12th century B.C., is marked by different styles of pottery and script (both almost Greek) than the ancient Israelites or their Canaanite predecessors. Other theories about the origin of the Philistines, some now discredited, include Phoenicia, Cilicia and Egypt (by way of the sea). Archaeologists at Gath have reportedly uncovered a piece of pottery which reportedly contained an inscription bearing two names related to Goliath. The "Goliath inscription" is currently being studied. To read the full National Geographic article, click here. Iowa churches must allow people to use the bathroom according to their gender identity and cannot make statements that could make gay or transgender people feel unwelcome according to the Iowa Civil Rights Commission (ICRC). A brochure, titled A Public Accommodations Providers Guide to Iowa Law, published by ICRC states that it is illegal for public spaces to discriminate based on sexual orientation or gender identity due to the latest update made to the Iowa Civil Rights Act. In the section titled, "Does this Law Apply to Churches?" the answer is Sometimes. Iowa law provides that these protections do not apply to religious institutions with respect to any religion-based qualifications when such qualifications are related to a bona fide religious purpose, the brochure reads. Where qualifications are not related to a bona fide religious purpose, churches are still subject to the laws provisions. (e.g. a child care facility operated at a church or a church service open to the public), the brochure reads. Fort Des Moines Church of Christ filed a federal lawsuit, represented by the Alliance Defending Freedom (ADF), against the Iowa Civil Rights Commission, arguing that not granting exemption to churches, essentially censoring biblical teaching, violates the First Amendment. ADF attorneys representing Fort Des Moines Church of Christ in Des Moines argue in the lawsuit that all events held at a church on its property have a bona fide religious purpose, and that the commission has no authority to violate the First Amendments guarantees of freedom of religion and speech, ADF said in a statement. The life-size replica of Noah's Ark by Creationist Ken Ham, built on exactly the same specifications as described in the Bible, finally opened Kentucky, drawing about 8,000 people to the ribbon-cutting ceremony. The vessel, 510 feet long and almost seven-stories high, was built in six years at the cost of $100 million. The ark is an initiative of Ham's Answers in Genesis ministry, and sits on an 800 acre-field in Williamstown. Ham said that the theme park opened to the public on July 7 (7/7) which matches with the Biblical verse Genesis 7:7, when Noah's family entered the ark. "We are so excited that the construction progress and schedule landed on this 7/7 date. Genesis 7:7 states that Noah and his family entered the Ark. So it's fitting we allow the public to enter the life-size Ark on 7/7," Ham said in November when the opening date was first announced. "In a world that is becoming increasingly secularized and biased, it's time for Christians to do something of this size and this magnitude," he was quoted as saying in another one of the ministry press releases. America Research Group estimates that between 1.4 to 2.2 million people are expected to visit the ark in the first year, and that around 400,000 people will come to the Creation Museum. The three decks of the ark have 125,000 square feet of exhibits. The Ark Encounter also features an Ararat Ridge Zoo, donkey and camel rides, along with a 1,500-seat themed restaurant. The Ark Encounter is being opposed by atheists in Australia, the home country of Ham, and in US as well. American Atheists in Texas, and Tri-State Freethinkers from Kentucky, Indiana, and Ohio asked their supporters to protest against Ark encounter. Ham said that the number was those protesting was very small as compared to the actual number of visitors to the Ark Encounter. "Many news sources today (including my homeland of Australia) are reporting on a group protesting the life-size Ark opening today in N. Kentucky. News.com.au states there'll be a 'renewed wave of protest' - as if there's some sort of massive protest against the Ark. One news source had the headline 'Protesters fire up as Aussie's ark opens.' Wow. This must be some massive protest!" Ham wrote on Facebook. The Tri-State Freethinkers went as far as to attempt running an ad next to the Ark's entrance, which read "Genocide & Incest Park ... celebrating 2,000 years of myths." The Billboard companies declined to put up the ad as they said it was inappropriate. "We default to accepting most all copy, but if there's something we deem not appropriate then we don't run it," Tom Fahey, manager of the Cincinnati office of Lamar, told Kentucky.com. "It seemed more inflammatory in nature than anything else." "For our supporters' preview of the Ark on Tuesday, we had over 8,000 visitors, and another 7,000 yesterday--and who knows how many thousands today. The interest is very high--the people reach on my Facebook has over 7 million the last few days. So a handful of atheists protest thousands upon thousands of people coming to a Christian Themed Attraction and attract media attention--thanks for the publicity," Ham said. At least four Coptic Christian homes were torched by a mob of 300 Muslims in Samalout, Egypt, according to a report by Christian Solidarity Worldwide. The attack was perpetrated in Kom el Loofy village by the mob to warn the Copts against building a church on land owned by a Christian man Ashraf Khalaaf. "The torching of Coptic homes in Kom El Loofy underscores once more the urgent need for Egypt's House of Representatives to enact a law regulating the construction and renovation of houses of worship in a manner that guarantees the right of Christians to worship in community with others," said Kiri Kankhwende, Senior Press Officer at CSW. "We echo the Bishophric of Samaloot in condemning the imposition of reconciliation meetings as a replacement for the rule of law because they impose ad-hoc, unjust and often un-constitutional conditions on the victims of sectarian violence and perpetuate impunity for the perpetrators," he added. Khalaaf was accused of intending to build a church on his property, and was summoned by the police to sign papers assuring that he will not go ahead with such plans. Yet, the mob burnt down the homes to give them a strong signal against the earlier proposal. Last month also, dozens of Christian homes in Al-Beida were set on fire by angry Muslim mob which thought that one of the homes was to be made into a church. All construction material was destroyed in the attack. Police arrived at the scene, but could not stop the mob as it was torching homes and cars. Many Christians who wanted to talk to the crowd were also wounded. Meanwhile, blasphemy charges against Christians and non-Muslims also provoke the mobs to torch homes and destroy property. Bishoy Kameel Garas was recently acquitted of blasphemy charges, which were proved false as someone had created a fake Facebook page and written obscene language attributed to his name. The charges against him caused an uproar in his community, even when the accusations could be easily falsified by evidence. "The defence team was mobbed by scores of angry people around and inside the courthouse shouting, 'Are you Muslims or what?' The lawyers were themselves accused of apostasy and had to be spirited from the court's security office," said Ishak Ibrahim from the Egyptian Initiative for Personal Rights (EIPR), who was defending Garas. The Anglican Church of Canada will vote today on altering the definition of marriage to include same-sex marriages. The voting is a part of six-day General Synod that began last week in Richmond Hill, north of Toronto. The General Synod is held every three years where hundreds of Anglican members from all over the country assemble to discuss matters of significance to the church. If the rule is passed, it would change the denomination's definition of marriage, and would permit clergy to officiate gay marriages. For the resolution to be passed, two-thirds of the delegate votes are needed. Delegates include laity, clergy, and bishops, however, the leadership in the church had suggested in February that the approval of the change is unlikely. The indigenous bishops are opposed to the same-sex marriage which they say is a Western culture approach, and that the aboriginal perspective on the issue was ignored in the debates, according to CBC News. The discussions leading up to today's votes started three years ago, when the previous General Synod set up a commission to introduce a motion on changing the definition of marriage adopted by the denomination in 1893 founding statement. The motion was drafted by Michelle Bull in 2013, whose husband is a minister in Nova Scotia, and wanted to preside over their daughter's marriage to her lesbian partner in 2010. The panel was directed to reach out to the diverse members of the church to involve them in the discussion. "I'm convinced full inclusion is the Christian way," said Alistair McCollum, rector of St. John the Divine on Quadra Street and archdeacon of the Tolmie area, who is an advocate of same-sex marriage. "There is still a large part of the church that is struggling with this and they are good-hearted. ... But we need to use our hearts and heads and follow the prime principles of love and justice," he added. The commission included a statement of conscience in the draft to allow congregation and clergy who believe marriage should be exclusive to heterosexual couples to be exempt from taking part in gay weddings. However, Anglicans who back the doctrine of the one-man-one-woman marriage are disappointed that such a resolution was introduced in the church. "... reason does not support the notion that doctrinal declarations can be decided upon by popular opinion. The Church was never intended to be ruled as a democracy, with God's eternal will being decided upon--or worse yet, His revelation reneged--by popular vote. It shouldn't be up to me or any other layperson to decide what is and what isn't God's revealed truth. The fact that I have to write this letter to defend one of the most fundamental doctrines of the Church as made clear by Scripture, tradition and reason is disheartening to say the least," a member named Josh Brown said in a published letter to the commission. Getting Closer to God -- Religious Education in Ocean Grove The Ocean Grove Camp Meeting Association (OGCMA) "God's Square Mile at the Jersey Shore" Presents GETTING CLOSER TO GOD Religious Education for Adults, Teens and Kids is an Integral Part of Summer at Ocean Grove OCEAN GROVE, N.J., July 11, 2016 / Christian Newswire / -- When a group of dedicated ministers founded The Ocean Grove Camp Meeting Association (OGCMA) in 1869, they understood that Christians needed a summer break from the woes and duties of daily life. But they also understood that the brain and the heart are muscles that must be exercised year-round in order for people to live increasingly happier and more productive lives through their faith. So, they believed that the peaceful water, clear air and delightful sunshine of the Jersey Shore would be ideal for "A place of respite where religion and recreation should go hand in hand." Accordingly, religious education has always been an essential part of planned activities at Ocean Grove. Over the years, the founders and their descendants themselves, increasingly learned how to make religious study more heartfelt, soulful, and enjoyable. And during this, OGCMA's 147th season and the early decades of the 21st Century, being creative and constant in religious education is the bedrock of spiritual opportunity at Ocean Grove. "It's not enough to call yourself a Christian and go to church on Sunday," says Dr. Dale C. Whilden, OGCMA's president. "It is vital to continually bring new information, energy and joy to your faith so that you can deepen your relationship with God and reflect His love in all aspects of your feeling and behavior." BIBLE HOUR IN BISHOP JANES TABERNACLE Bible Hour is held Monday thru Saturday at 9:00 a.m. (babysitting service for children ages 6-months to 3-years is available Monday thru Friday in the Youth Temple for Bible Hour attendees) BIBLE HOUR LEADERS: July 11-16, Pastor Christian Andrews, Lead Pastor, Park Church, Tinton Falls NJ July 18-23, Rev. Roger Casey, Resident Teacher, Milford Evangelical First Presbyterian Church, Milford PA July 25-30, Rev. Dr. Duffy Robbins, Prof. of Youth Ministry, Eastern University, St. Davids PA August 1-3, Rev. Dr. Tom Tewell, Founder/President, Macedonian Ministry, Atlanta GA August 4-6, Rev. David Cotton, Pastoral Assistant, First Presbyterian Church, Manasquan NJ August 8-13, Jay Seegert, Co-Founder/Managing Director, Creation Education Center, Waukesha WI August 15-20, Dr. Paul Maier, Prof. of Ancient History, Western Michigan University, Kalamazoo MI August 22-27, Dr. James W. Fleming, CEO, Biblical History Center, La Grange GA August 29-September 3, Pastor Bill Beckelman, Senior Pastor, Calvary Chapel Coastlands, Eatontown NJ CONTEMPLATION AND CELEBRATION Sanctuary Within the framework of contemplative worship music and moments of silence, this service is offered to those seeking a safe place to come before the Lord, resting in His presence while developing a deeper intimacy with Him. Wednesdays thru September 28th at 6:30 p.m. in the Bishop Janes Tabernacle. Gospel Ministries One of the most popular religious offerings at Ocean Grove! All are welcome at the Boardwalk Pavilion to enjoy a wide variety of blended contemporary/traditional services, dedicated hymn programs, and presentations of the Gospel through music and message. Indeed, this series brings the message of salvation as clearly as possible, sows Gospel seeds, and gives folks the opportunity of understanding and receiving Christ as Savior by being born-again into His family. This series involves local and touring music groups and solo artists; area church music groups and choirs; and praise and worship teams, some including drama, puppets, comedians, Gospel magicians, college outreach teams and more! Thru Sunday, September 4th Tuesdays, Thursdays and Fridays 2:00 p.m., 4:00 p.m., 7:30 p.m. Saturdays 1:00 p.m., 3:00 p.m., 7:00 p.m. Sundays 2:00 p.m., 4:30 p.m. BEACH BIBLE STUDIES July 12, 19 and 26 7:00 to 8:00 p.m. Ocean Grove Beach no charge Rev. David Cotton "Four Voices One Story" Explore the four Gospel accounts of Jesus through the unique voices of Matthew, Mark, Luke and John. July 11, 18, 25 and August 8, 15 7:00 p.m. Main Avenue Beach Under the Pink-Striped Umbrellas no charge Ladies, Gather Under the Umbrellas Bring your own beach chair and a friend. This will be a Ladies Only time for fun, friendship, and a focus on God in small spontaneous group discussions. (The Bishop Janes Tabernacle is the rain location) ADULT EDUCATION AND CONFERENCES August 8 and 9 7:00 to 8:30 p.m. Bishop Janes Tabernacle no charge Jay Seegert Monday: "Creation vs. Evolution The Case For Science" / Tuesday: "Faith Is Not A Four-Letter Word" August 11 and 12 9:30 a.m. to 5:30 p.m. Youth Temple Global Leadership Summit (Live Simulcast) This is a 2-day, world-class, leadership event already experienced by more than 170,000 leaders worldwide and representing more than 14,000 churches. It is crafted to infuse vision, skill development and inspiration, telecast live from the Willow Creek campus near Chicago. You can join in by calling 732-775-0035 ext 100 in advance for discount fee code and registration information. August 22 thru 26 10:30 a.m. to 12:00 p.m. Community Room $125 + $1.50 service charge Dr. James W. Fleming "Miracle Stories of the Bible" This in-depth study examines the various ways scholars have interpreted ancient texts. In all these stories, Dr. Fleming will discuss the human need, the presence of God, and a sense of wonder. September 17 9:00a.m. to 4:15p.m. Youth Temple - $25 + $1.50 service charge Beth Moore (Live Simulcast) Beth Moore founded Living Proof Ministries in 1994 to teach how to love and live on God's Word. This is a special educational and spiritual event you won't want to miss. CHILDREN AND TEEN PROGRAMS Thornley Chapel Mon. Fri. 9-10a.m. Ages 3 -7 This program is designed to introduce youngsters to the awesome life adventure of faith in God through Bible lessons, songs, games, and crafts. Children's Church Sundays thru August 14th 10:15a.m. Ages 4-7 and 8-11 A special time of age-appropriate worship for those 4-7. Those 8-11 will attend a small group Bible Study. Both will be held in the Youth Temple. Riptide Mon. Fri. 9-11 a.m. Ages 8-11 A program designed to take pre-teens to a new level of relationship with God through Bible lessons, worship, recreation, and crafts, in the Youth Temple. Breakfast Club Mon. Fri. 9-10 a.m. Ages 12-17 Dive into the day with breakfast and a relevant message from the Bible, followed by games at the Boardwalk Pavilion. The Current Sundays thru August 14th 7p.m. Ages 12-17 A great time of worship led by the Youth Ministry staff in Thornley Chapel West, offering opportunities for sharing, singing, prayer, and for hearing a relevant Word directly from the scriptures. College students are also welcome. home World America to send more troops to Iraq ahead of Mosul offensive against ISIS The United States is stepping up its military campaign against Islamic State (IS) by sending hundreds more troops to assist Iraqi forces in an expected push on Mosul, the militants' largest stronghold, later this year. U.S. Defense Secretary Ash Carter made the announcement on Monday during a visit to Baghdad where he met U.S. commanders as well as Iraqi Prime Minister Haider al-Abadi and Defence Minister Khaled al-Obeidi. Most of the 560 troop reinforcements will work out of Qayara air base, which Iraqi forces recaptured from Islamic State and plan to use as a staging ground for an offensive to retake Mosul, Iraq's second biggest city. Government forces said on Saturday they had recovered the air base, about 60 km (40 miles) from the northern city, with air support from the U.S.-led military coalition. "With these additional U.S. forces I'm describing today, we'll bring unique capability to the campaign and provide critical support to the Iraqi forces at a key moment in the fight," Carter told a gathering of U.S. troops in Baghdad. The latest force increase came less than three months after Washington announced it would dispatch about 200 more soldiers to accompany Iraqi troops advancing toward Mosul. Carter told reporters ahead of Monday's trip that the United States would now help turn Qayara into a logistics hub. The airfield is "one of the hubs from which ... Iraqi security forces, accompanied and advised by us as needed, will complete the southernmost envelopment of Mosul," he said. The recapture of Mosul, Islamic State's de facto Iraqi capital from which its leader declared a modern-day caliphate in 2014, would be a major boost for Abadi and U.S. plans to weaken IS, which has staged attacks in the West and inspired others. Two years since Islamic State seized wide swathes of Iraq and neighboring Syria in a lightning offensive, the tide has begun to turn as an array of forces lined up against the jihadists have made inroads into their once sprawling territory. IS has increasingly resorted to ad hoc attacks including a bombing in the Iraqi capital last week that left nearly 300 people dead - the most lethal bombing of its kind since the 2003 U.S.-led invasion that ousted Saddam Hussein. U.S. and Iraqi officials have touted such bombings as proof that battlefield setbacks are weakening Islamic State, but critics say a global uptick in suicide attacks attributed to the group suggests the opposite. "In fact, it demonstrates (Islamic State's) strength and long-term survival skills," terrorism expert Hassan Hassan wrote in a recent article. "The threat is not going away." REPAIRS NEEDED A senior U.S. defense official said Qayara air base would be "an important location for our advisers, for our fire support, working closely with the Iraqis and being closer to the fight." Carter compared its strategic importance to that of a base near Makhmour, a hub for Iraqi forces on the opposite side of the Tigris river that is also used by U.S. troops. A U.S. Marine was killed in Makhmour in March when it was shelled by IS. U.S. forces had already visited Qayara to check on its condition and advisers can offer specialized engineering support in Mosul, where Islamic State has blown up bridges across the Tigris, U.S. officials said. Iraqi forces were already improving the base's perimeter in case of a counterattack from the nearby town of Qayara which IS still holds, another U.S. official in Baghdad said. Islamic State has suffered a number of territorial losses in recent months including the Syrian town of al-Shadadi, taken by U.S.-backed Syrian forces in February, and the Iraqi recapture of Ramadi in December and Falluja last month. Abadi has pledged to retake Mosul by the end of the year. home Faith Bible verse labeled 'ominous' by atheists, stripped off by Harper Police from patrol truck The Harper Police Department took down a decal from one of its patrol trucks that contained a Biblical verse considered by an atheist group as "ominous." Wisconsin-based Freedom from Religion Foundation (FFRF) announced on its website June 29 that the Harper Police Department in Kansas finally took off a religious decal from one of its police vehicles. "This was a particularly obvious violation of the First Amendment for us to draw public attention to," said FFRF Co-President Annie Laurie Gaylor in a press release. "Once that happened, the Harper Police Department quickly realized the folly of its ways." The religious decal in question contained the biblical quote of Romans 13:4 which reads, "For he is the minister of God to thee for good. But if thou do that which is evil, be afraid; for he beareth not the sword in vain: for he is the minister of God, a revenger to execute wrath upon him that doeth evil" (King James Version). On June 22, FFRF sent a demand letter signed by staff attorney Andrew L. Seidel addressed to the Harper police chief, Doug Murphy. According to the letter, a concerned citizen notified FFRF of the religious decal on patrol cars that bore what the atheist group considered as an "ominous quote" that violated the Establishment Clause of the First Amendment. The group then demanded for its immediate removal. KSN.com further quoted Seidel as explaining that the First Amendment means the government should observe the separation of church and state, and therefore must be neutral on matters of religion. However, the religious decal on one of the police cars is taken as "an endorsement of that particular Bible, and that particular verse and that particular religion." FFRF also did not fail to mention in its demand letter that it just settled a similar lawsuit involving crosses on vehicles that led the Brewster County Sheriff in Texas to pay them about $20,000 in fees and costs. The Wisconsin-based atheist group boasts of nearly 24,000 members nationwide with almost 150 members in Kansas. home US Billy Graham: How to win atheist loved ones for Jesus Christ Is it possible for atheist family members and friends to know Christ? Evangelist Billy Graham answered the question through an online column. Writing for The Kansas City Star, Graham encouraged believers that it is possible for atheist loved ones to know Jesus Christ. He said some people refuse to believe in God because they want to "run their own lives" and don't want God to have control over their decisions and actions. Some of them don't want to hear even any mention of God. "And no matter how much you argue with them or try to reason with them, their minds are seemingly closed," Graham wrote. However, because God created us for Himself, taking Him out of our lives creates an empty space in our hearts that only God can fill. And without God, life becomes meaningless, Graham explained. People who live without God in their hearts also lose hope, because hope for the future is found only in God. Many atheists are coming to this realization today, he added. As an example, Graham mentioned atheists who grew up in communist countries and the old Soviet Union but got converted to Christianity and have become "committed followers of Christ." God can intervene in the lives of people and can do what no man can do: change their hearts, Graham said. "Pray for your friends who claim to be atheists and don't want anything to do with God. Remember: God can do what we never can do, including changing someone's heart and mind," Graham said. Regarding atheists, Christian apologist and author Ravi Zacharias told Christian Today in a 2014 interview that he believed atheists "have had their day" and are now declining in number. "You see them here and there, but there is nowhere near the volume that there was," Zacharias said. One reason for this is because they are now seeking after "personal questions of meaning," especially questions about suffering. Such questions imply that life has worth, and they can point people to faith, Zacharias said. home World Street preachers detained in UK for shouting 'all Muslims will burn in hell' Police have arrested four Christian street preachers in a Bristol shopping center in the U.K., who angered shoppers and passersby with controversial and aggressive declarations. According to witnesses, one of them, who identified himself as Michael, addressed the crowd as "sinners" who need to obey God's commands. Michael was heard saying, "I have some sympathy for you sinners, but the purpose of mankind is to worship God," the Daily Mail reported. The speaker added that he used to be a person who hated God's commands, and he later realized having that mindset is like "banging your head against the wall." The street preachers, who were reportedly connected with Cross Encounters Ministries in California, drew ire from the crowd when they started shouting that "All Muslims will burn in hell" and "Allah does not exist." The four men also rebuked homosexuals and called them "disgusting." Because of their controversial preaching methods, a furious crowd soon gathered around them. A police officer approached the man named Michael and told him they should leave because they were causing a disturbance in the area. However, Michael refused. At this point, the officer said he would have to arrest them if they would not leave. He then proceeded to escort Michael and his friends away from the crowd, who started cheering for them to be arrested, shouting "Go home" to the preachers. The four men were later identified as Mike Overd, Don Karns, Mike Stockwell and Adrian Clark. Cross Encounters Ministries asked people to pray for the four men in their time of "momentary light affliction." In a Facebook post, the ministry responded to questions about the four men's association with the parachurch. "No, these men are NOT members of Cross Encounters Ministries. They are MUCH more important," the post read. "No, these men are not members of MY ministry. They are my BROTHERS in Christ. More important still, they are heralds of KING JESUS." home World Archbishop of Canterbury to house Syrian refugee families next month at Lambeth Palace At least 20 Syrian refugee families are expected to finally move to Lambeth Palace next month after Archbishop of Canterbury Justin Welby made his offer last year. According to London SE1, Lambeth Council's deputy leader, Councillor Paul McGlone confirmed that the Most Reverend Welby's offer since September last year is finally coming to fruition next month. "We are proud that Lambeth is living up to its historic reputation as a borough that is welcoming to all," the community website quoted McGlone as saying. He added, "So far we have welcomed three families and all has worked well. All families now have access to the benefit system and health service. Children have been found appropriate school placements, and are settling into their local communities well." He also mentioned Citizens UK/Lambeth Welcomes Refugees for supplementing whatever provision they found wanting. He also pegged the fourth Syrian refugee family to arrive in early September. Aside from providing a place to stay, the council also created a project team tasked to look after the needs of the Syrian refugee families even before they're expected to arrive. On top of all these, the Council also signaled plans to take in the unaccompanied child refugees coming in to the U.K. The head of the Church of England openly criticized the British government's efforts to resolve the refugee crisis especially its plan to take in 20,000 refugees by 2020, which he thought as "very slim." "A problem of this scale can only be dealt with by a response on an equally grand scale right across Europe, and we have to play our part," said Archbishop Welby in an interview in early March with The House, Parliament's weekly magazine. He compared the U.K.'s efforts to those of Germany where he witnessed firsthand how Germans and churches in Berlin took in 1.1 million refugees last year. A month after the archbishop's interview, Pope Francis visited the Moria refugee center in Lesbos where he brought back with him to the Vatican 12 Syrian refugees. Last month, the Vatican flew in from Athens a second batch of nine Syrian refugees. home World Theresa May to become new British prime minister Wednesday as David Cameron resigns UPDATE: It has been announced that Prime Minister David Cameron will resign on Wednesday, and Theresa May will officially be installed as the new British prime minister on that same day. ------------ Interior minister Theresa May is set to become Britain's first woman prime minister since Margaret Thatcher after her only rival abruptly quit the race on Monday, removing the need for a drawn-out leadership contest. May, 59, was left as the only candidate to succeed David Cameron, who announced he was stepping down after Britons voted last month to leave the European Union. Britain's planned withdrawal has weakened the 28-nation bloc and created huge uncertainty over trade and investment. May and energy minister Andrea Leadsom had been due to contest a ballot of around 150,000 Conservative party members, with the result to be declared by Sept. 9. But Leadsom unexpectedly withdrew on Monday, opening the way for May to take over much sooner. Her victory means that the complex process of extricating Britain from the EU will be led by someone who favored a vote to Remain in last month's membership referendum. Leadsom, 53, has never served in cabinet and was barely known to the British public until she emerged as a prominent voice in the successful Leave campaign. She had been strongly criticized over a newspaper interview in which she appeared to suggest that being a mother meant she had more of a stake in the country's future than May, who has no children. Some Conservatives said they were disgusted by the remarks, for which Leadsom later apologized, while others said they showed naivety and a lack of judgment. Leadsom told reporters she was pulling out of the race because a nine-week leadership campaign was highly undesirable at such a critical time. She acknowledged that May had secured much stronger backing in a vote of Conservative members of parliament last week. "Strong leadership is needed urgently to begin the work of withdrawing from the European Union," Leadsom said. "I have ... concluded that the interests of our country are best served by the immediate appointment of a strong and well supported prime minister. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success. I assure her of my full support." May, who has served as interior minister for the past six years, is now set to become Britain's second female prime minister after Thatcher, although it was not clear exactly how soon that would happen. Graham Brady, head of the Conservative party committee in charge of the leadership contest, said there were still constitutional procedures to be observed before her appointment could be confirmed, but he aimed to make a confirmation announcement as soon as possible. "We're not discussing coronations, we're discussing a proper procedural process which should conclude very soon," he told reporters. The pound, which has hit 31-year lows since the June 23 referendum vote on concern about potential damage to the British economy, bounced briefly on the prospect that the Conservative leadership question would be resolved much sooner than expected. It later surrendered its gains, and by 1243 GMT (8:43 a.m. EDT) was trading down 0.1 percent at around $1.2938, far below the $1.50 it had touched on the night of the referendum. FORGING NEW ROLE In a speech earlier on Monday in the central city of Birmingham, May set out her vision for the economy, calling for "a country that works for everyone, not just the privileged few". In a pitch for the political center, she said she would prioritize more house-building, a crackdown on tax evasion by individuals and companies, lower energy costs and a narrowing of the 'unhealthy' gap between the pay of employees and corporate bosses. "In the coming weeks I will set out (how) to take our economy through this period of uncertainty, to get the economy growing strongly across all parts, to deal with Britain's long-standing productivity problem, to create more well-paid jobs, to negotiate the best terms for Britain's departure from the EU and to forge a new role for ourselves in the world," she said. Her ally Chris Grayling said she was returning to London and would make a statement later on Monday. May favored the 'Remain' side during last month's referendum campaign. But she repeated her new mantra that "Brexit means Brexit", saying there could be no second referendum and no attempt to rejoin the EU by the back door. "As prime minister, I will make sure that we leave the European Union," she said. "The British people were given their opportunity to vote on this... They've given us a very clear message, and I think we respond to that message and we do what the British people have asked us to."The 52-48 percent vote to quit the EU after 43 years of membership has shaken financial markets because the complex divorce process creates huge uncertainty for business, trade and investment. It has thrown both Britain's major political parties into upheaval. Minutes before Leadsom's announcement, opposition Labour lawmaker Angela Eagle said she would challenge Jeremy Corbyn for the leadership of the party. Corbyn was elected last year with overwhelming support from grassroots Labour activists. He has ignored a vote of no confidence from the party's lawmakers, saying he has a responsibility to carry out that mandate. "Jeremy Corbyn is unable to provide the leadership that this party needs -- I believe I can," Eagle said. home US Philadelphia mayor called 'un-American' for launching 'personal war' with the Catholic Church A Catholic watchdog blasted Mayor Jim Kenney of Philadelphia for being "un-American" in using his elected position to launch a "personal war" with the Catholic Church. The mayor took flak as Bill Donohue, president of the New York-based Catholic League for Religious and Civil Rights, wrote Thursday, July 7 an article in response to Kenney's recent attack on Archbishop Charles Chaput. "The mayor is demonstrably un-American in misusing his public office to conduct his personal war on the Catholic Church," wrote Donohue. Donohue's reaction came after Kenney tweeted a day earlier, "Jesus gave us gift of Holy Communion because he so loved us. All of us. Chaput's actions are not Christian." Kenney just openly criticized Archbishop Chaput of Philadelphia, who recently laid a new set of pastoral guidelines denying divorced and remarried Catholics from performing sex and called instead for them to live as a brother and sister would. Donohue defended the archbishop's pastoral guidelines as nothing new in the Catholic doctrine and that Archbishop Chaput merely upheld his pastoral duty of caring and guiding his flock. The archbishop also claimed that he only gleaned the guidelines from Pope Francis' doctrinal teachings "The Joy of Love" released in April. "Kenney disagrees," noted Donohue. "Fine. But it is an abuse of his office to use his platform as mayor to publicly intrude on what is clearly an internal church matter." He also quoted Philadelphia Magazine that dubbed "Jim Kenney's Long War with the Archdiocese" for slamming clergy officials as "cowardly men" for forbidding women in same-sex unions from teaching religion subjects in Catholic schools. Donohue also recalled another tweet from the mayor last year, "The Arch don't (sic) care about people. It's about image and money. Pope Francis needs to kick some ass here!" A senior editor at The Federalist, Mollie Hemingway, thought it "scary" for a public official to openly defy a Christian leader. On the other hand, Ben Secka, lesbian, gay, bisexual, and transgender (LGBT) activist, felt grateful and offered appreciative comments to the Philadelphia mayor. "Thank you @JimFKenney for being a leader & taking a stand. Happy to have you representing Philadelphia, your hard work does not go unnoticed," he tweeted. home World 'Vicar of Baghdad' suspended after being accused of paying ransoms to free sex slaves from ISIS The Anglican church leader known as the "Vicar of Baghdad" is being suspected of buying back sex slaves to free them from ISIS. The Rev. Andrew White has been suspended from the Foundation for Relief and Reconciliation in the Middle East, where he sits as president, pending investigation of the case. The charity is also being investigated by the Charity Commission, according to Anglican News. The inquiry into FRRME was opened on June 9. The organization refused to comment on the issue while the inquiry is ongoing. "It would be inappropriate to comment further on an active investigation other than to say that the Foundation believes at this stage that the alleged incident stemmed from a genuine desire by Canon White to help others," FRRME said in a statement. White has denied the accusations. He clarified that his suspension was a consequence of "some inaccurate statements" he made about the charity's work on former ISIS sex slaves. He added that "at no time did we pay money to any terrorists," according to a Facebook post, Christian Today reported. He added that the work focused only on helping those who have escaped and did not involve any exchange of money. "We never gave the bad guys one penny. We were just helping those who had been released," he told Religion News Service in an email. White also said he was not directly involved with Steve Maman, who leads the Liberation of Christian and Yazidi Children of Iraq, in freeing more than 100 former sex slaves from ISIS. "White has nothing to do with the liberation aspect. He handles and provides support after they are liberated," Maman told Jewish Voice NY in an interview. Maman's organization is being accused of paying $2,000 to $3,000 to buy back a sex slave from ISIS, which some people claim has helped the trade of selling sex slaves grow. White used to be the pastor of St. George's Anglican Church in Baghdad. He was later asked by Justin Welby, the Archbishop of Canterbury, to flee so he could escape ISIS fighters who were threatening his life. Andrea Leadsom quits leaving door open for Prime Minister May Theresa May is set to become Prime Minister after her rival Andrea Leadsom for Conservative Party leadership quit the race. Leadsom, a Brexit campaigner and devout Christian, backed May and said she was "ideally placed" to implement Britain's exit from the European Union. The withdrawal leaves the door open for Theresa May to move into Number 10 Downing Street within days. "Strong leadership is needed urgently" Leadsom said and a "nine week leadership campaign at such a critical moment for our country is undesirable". She added: "A strong, unified government is needed." Graham Brady, the chairman of the Tory's 1922 committee which makes decisions for the Party, confirmed the contest would not be reopened, meaning May could be confirmed as PM within hours. Leadsom emerged from her campaign headquarters on Monday afternoon after a torrid weekend where she said she was best placed to be Prime Minister because she was a mother. She told the Times had "a very real stake" in the UK's future because she had children whereas May "possibly has nieces, nephews". Intense criticism erupted after the interview which led to Leadsom claiming she was "under attack". But in a statement to announce her resignation she made no reference to the row which some of her supporters described as a "smear campaign". David Burrowes, MP for Enfield and Southgate and a Christian, told Christian Today he was "personally disappointed" and said Leadsom had "acted in the best interests of the country". He said he next Prime Minister "will be Theresa May" and said he was "relieved" the party could move forward. "I am proud that we will have the second Conservative women PM and pleased that Theresa May is totally committed to Brexit and social justice". But other Leadsom supporters were not so quick to praise May. Iain Duncan Smith, the former work and pensions secretary and a Catholic told Sky News he was "somewhat appalled" by the attacks on Leadsom which he described as a "genuine operation" by her opponents. He said this was a decision "for the best of this country" and the campaign had "become too divisive". But he declined to support Theresa May and said he was "through with backing people". Adrian Hilton, a conservative Christian blogger and Leadsom backer, said he was "immensely saddened that the brave Brexiteer Andrea Leadsom" had pulled out of the race. "It is telling that so much of the abuse aimed at her has been warped, anti-Christian and intensely personal often coming from a faction within her own party who are intent on secularising our political culture and eradicating all vestiges of social conservatism in the name of 'modernisation'. "When the predominantly pro-EU media and Establishment are ranged against you, it becomes impossible to move the narrative beyond personal attacks to important matters of policy. "I can understand why she decided to quit, but with Remainers Theresa May and George Osborne now set to lead the UK out of the EU, I have no idea why David Cameron resigned." A decision on when May will be appointed is expected to be announced later on Monday. Anglicans in Canada to vote on same-sex marriage After years of debate, the Anglican Church of Canada is expected to vote today on gay marriage. However there is strong opposition from some bishops and the measure is not certain to get the two-thirds majority it needs to pass. The Church's General Synod is currently meeting in Ontario. If passed, the resolution will change the definition of marriage in canon law. The words "union of man and woman" and "husband and wife" would be replaced by the word "partner", meaning that clergy would be able to carry out legal same-sex marriages in Anglican churches in the province if authorised by the diocesan bishop. The debate today is the first of two readings. If passed, the second will not be until 2019. The Canadian Church already carries out blessings for same-sex civil partnerships. Indigenous bishops in particular are resentful at what they regard as the imposition of a Western cultural agenda. An Anglican commission set up in 2013 in Canada to look at drafting a motion on the issue reported: "The experience of same-sex committed partnerships in our midst, clearly manifesting God's blessing and the fruit of the Spirit, are a powerful indication that God's view of marriage may be more inclusive than ours." Michelle Bull, an ordinand who is one of the most outspoken advocates of the move, told Anglican Journal that if "someone asks me to marry them and they're gay, and the Anglican church says that I can't, that's going to rip me to pieces." The vote comes weeks after 24 members of the Anglican Bishops in Dialogue group met in Accra, Ghana, to discuss resolving differences and conflicts such as those around sexuality. Several Canadian bishops were present at the meeting, including Jane Alexander of Edmonton, Michael Bird of Niagara and Michael Ingham, retired bishop of New Westminster. Archbishop Fred Hiltz, Primate of the Anglican Church of Canada, also attended briefly along wth US Presiding Bishop Michael Curry. Hiltz spoke at the meeting about the gay marriage vote. "I plunked it right on the table: the marriage canon," he said according to Anglican News. "Some of them, I think, were actually relieved that the elephant in the room was no longer the elephant in the room, that I actually had put it right on the table." He said it was no longer possible for other provinces to avoid the issue. With the more formal "Instruments of Communion" frequently jammed by very public disagreements over human sexuality, it is in the informal bodies, like diocesan partnerships and the consultation of bishops, that a lot of important work gets done, he argued. The Bishops in Dialogue group is among those groups outside the formal structures "that really speak to the life, the vitality, the compassion, courage, the pastoral and prophetic witness of the communion," he said. Next year the consultation will be in Kenya, a leading supporter of the conservative Global Anglican Futures Conference, or Gafcon. Hiltz said it was "significant" that Kenya is hosting the next consultation of the Bishops in Dialogue group. Banned sex offender vicars continue to conduct funeral services Banned sex offender vicars are continuing to hire themselves out to conduct funeral services, a senior Church of England cleric has warned. Families will often not know that the priest carrying out their beloved's funeral has been barred for disciplinary reasons, sexual or otherwise. But some funeral directors, even though they may be aware of the clergy's misconduct, will employ them to speed up the process of arranging services. The Bishop of Durham, Paul Butler, told the Church of England's governing synod: "I have become aware that clergy who have been refused permission to officiate due to safeguarding offences have sometimes been permitted to officiate at funerals by external commercial funeral directors." But he said the Church could not stop banned clergy from working as unlicenced funeral celebrants. He said he would raise the issue with MPs to ask for new regulations. Dr Peter Rouch, the Archdeacon of Bournemouth, part of the Diocese of Winchester, said he had "good relations" with the vast majority of funeral directors but "a few" were lax. "We have some funeral directors [who], even though advised by the police of an individual with sexual offences against children, continue to use that person for funerals," he said. Philip Spicksley, president of the Association of Independent Celebrants, said there was no legal requirement for funeral celebrants to have a DBS (disclosure and barring service) background check. "Any family engaging a celebrant through a funeral director should ensure they ask questions of the funeral director that the person is a member of a reputable and regulated association with proper insurance," he told the Telegraph. The shortage of available clergy often means that unlicenced celebrants are used instead. Spicksley said in some areas up to 70 per cent of funerals were conducted by celebrants. Bible being turned 'upside down' in same-sex marriage debate, warns Nigerian primate The world leader of conservative Anglicans has warned that the Bible is being turned "upside down" in the move to normalise same-sex marriage. In a pastoral letter sent out on the same day that the Anglican Church in Canada votes on the issue, the Primate of the Anglican Church in Nigeria, Nicholas Okoh, says: "This is the challenge of the Anglican Communion today. "The message of the Bible is being turned upside down by those for whom 'my God' means the God I want." He criticses the vote by General Synod of the Scottish Episcopal Church to change its marriage canon to permit same sex "marriages". Primus David Chillingworth said he had received assurances from the Archbishop of Canterbury that the Scottish church would still be invited to the proposed Lambeth Conference in 2020. "Despite the hopes that we had for progress earlier this year, it is clear that the proposed Lambeth Conference seems set to repeat the mistakes of 2008," says Okoh. The next Gafcon meeting will be in two years in Jerusalem. "If we are to bear true witness, we must have the same total and loving submission to Jesus as Lord as did Thomas," says Okoh. "Our struggle in the Anglican Communion today comes about because of those who turn Thomas's words upside down. By 'my' they mean a Jesus who they possess, a Jesus and a Lord who fits with their desires and agrees with what they want as they go with the flow of secular culture." Recently, Archbishop Foley Beach of the Anglican Church in North America said: "What is tragic about all of this is not just the divisions within the Anglican Communion. What is most tragic is that because of false teaching, millions of souls will not hear the Good News of Jesus Christ, or they will hear a Gospel that appears to be the Gospel, but in reality is contrary to the very Word of God which is no Gospel at all. "Souls are at stake. Lives are at stake. Eternity is at stake. It reminds me of what the prophet Isaiah said to the people of his day: Woe to those who call evil good and good evil, who put darkness for light and light for darkness, who put bitter for sweet and sweet for bitter." Catholic missionary and saint branded 'devilish rapist' by Chinese authorities The execution of a Catholic missionary to China is being celebrated by a new museum in the village where he died, as Chinese officials continue to brand the saint a "devilish rapist, bandit and spy". Born in Normandy, France, Auguste Chapdelaine joined the Catholic mission in Guagnxi province in 1852 and was killed four years later. Accused of instigating an uprising, Chapdelaine was arrested by a local government official, severely beaten, tortured and locked in a small iron cage designed to suffocate victims to death. He was decapitated after his death and hung from a tree. During his ministry in China, Chapdelaine is said to have converted hundreds to Christianity, and is known as one of the Martyrs of China. He was canonised on 1 October 2000 by Pope John Paul II. However, China maintains that Chapdelaine was a womaniser. "Father Ma was not a simple missionary," said Liang Shuikang, CEO of a Chinese film company that has been commissioned to make a film about Chapdelaine, in an interview with AFP. "His so-called 'baptism' was taking other people's wives and sleeping with them first." Liang added that the film would "restore the true story of history". The museum in Dingan village features a life-size model of Chapdelaine kneeling before the official who had him killed, and a mural outside shows him in the cage where he was tortured. According to AFP, however, independent historians dispute China's view of Chapdelaine, and the museum follows an increasingly anti-Western rhetoric being espoused in Beijing. Anthony Clark, a historian specialising in China at Whitworth University in Washington, told the news agency that the accusations against Chapdelaine were "unsupportable in any historical records". "China's official state rhetoric has grown progressively nationalistic in recent years," he added. Cliff Richard will sue police and BBC over broadcast raid on his house Sir Cliff Richard is pursuing legal action against the BBC and the police after the broadcaster televised a raid on his house, saying his reputation had been "unnecessarily damaged". One of Britain's best known entertainers, Richard, 75, was investigated over allegations of sexual offences between 1958 and 1983, but the Crown Prosecution Service said last month it would not charge him, due to lack of evidence. Having been cleared of charges, Richard, who maintained his innocence throughout the investigation, has started legal action over the BBC's reporting of the case. The broadcaster televised a police raid on his house in August 2014. Richard said it had been wrong to make his name public before any charges had been brought. "I confirm that I have instructed my lawyers to make formal legal complaints to South Yorkshire Police and the BBC so that in the absence of satisfactory answers a court will determine whether or not their behavior was justified and proportionate," Richard said in a statement on his website. "It is important not only for me personally but much more widely. My life was effectively turned upside down and my reputation, worldwide, was unnecessarily damaged." The Mail on Sunday newspaper reported that Richard was seeking more than 1 million in damages, adding that he believed the police and the BBC "unlawfully colluded" to invade his privacy. The BBC declined comment but referred Reuters to a statement last month in which the broadcaster said it was very sorry that Richard had suffered distress, but stood by its decision to report on the police investigation and the search of his house. A review by MPs on the Home Affairs Select Committee in October 2014 criticised what it called the police's "inept handling" of the situation, but said there had been nothing wrong in the BBC's decision to run the story. South Yorkshire police declined to comment. First ever ancient Philistine cemetery discovered in Israel The first ever Philistine cemetery with 150 burials, dating back from 11th to 8th century BC, has been discovered after more than 30 years of excavating on the outskirts of the sea-port of Ashkelon in Israel. A team of archaeologists said that the cemetery backs the theory that the Philistines landed in ancient Israel after crossing the Aegean Sea around the 12th century BC. But much conventional wisdom, including the belief that the Philistines were a violent people, is overturned by the discovery according to the team. The coastal city of Ashkelon, a few miles north of Gaza, is one of the five Philistine capitals along with Gaza, Ashdod, Ekron, and Gath. The cities are mentioned in the ancient texts of the Babylonians, Egyptians and Assyrians. In the Hebrew Bible, the Philistines were the nemeses of the Israelites and fought many great battles before being wiped out under King Nebuchadnezzar and his Babylonian army in 604 BC. "Ninety-nine percent of the chapters and articles written about Philistine burial customs should be revised or ignored now that we have the first and only Philistine cemetery," said Lawrence Stager, Dorot Professor of the Archaeology of Israel, Emeritus, at Harvard University and part of the Leon Levy Expedition which made the discovery. "The basic question we want to know is where this people are from," said Dr Sherry Fox, a physical anthropologist who is carrying out DNA, radiocarbon and biological distance studies on the bones. "There is no evidence of any kind of trauma on the bones, from war on inter-personal violence," Fox told Haaretz. Family or multiple burials are common in the region, with the deceased laid on raised platforms, but the dead in Ashkelon were found to be largely in individual oval pits. Second burials, common in the region, were not carried out. Artifacts found with the skeletons are indicative of Philistine culture, not Canaanite, the researchers said. They included storage jars, bowls and fine jewellery as well as arrowheads and spear points. Fox added, according to the New York Times: "There's so much variation in how they are positioned, between whether they are cremated or buried; whether they are within a tomb, or a chamber, or a cist or a pit grave; whether they are placed face down or face up." According to the Bible, the island of Crete widely held to be Caphtor in Jeremiah 47:4 and Amos 9:7 was the place from which the Philistines migrated to the Canaan coast. "The search [for a cemetery] became so desperate that archaeologists who study the Philistines began to joke that they were buried at sea like the Vikings that's why you couldn't find them," said Assaf Yasur-Landau, an archaeologist at Haifa University. The "uncircumcised" Philistines are among the most notorious villains of the Hebrew Bible. One of them was the notorious giant Goliath, defeated by the young future King David with a sling-shot in the books of Samuel. The Leon Levy team has been excavating in Israel since 1985. From horror to hope: Shared history of slavery to bring Anglican dioceses together The "triangular trade" that saw slaves ships from Liverpool transport slaves from West Africa to the Americas has inspired an initiative to link three very different Anglican dioceses. In the 18th century the "triangular trade" between England, West Africa and America led to unimaginable suffering. Ships laden with guns, cloth, brandy and trinkets sailed from Liverpool to West Africa. They exchanged these trade goods for slaves, captured by highly organised raiding parties. On the long voyage to the West Indies hundreds would die of disease and be thrown overboard. The third leg, from the Americas back to England, saw the ships loaded with tobacco, sugar, rice and cotton. The trade made everyone rich except the slaves. Now Rt Rev Paul Bayes, Bishop of Liverpool, has restarted a project begun by his predecessor James Jones to create a "triangle of hope". His Liverpool diocese is joining the Diocese of Kumasi in Ghana, where slaves were sold in a huge market, and the Diocese of Virginia, where they were sold and worked as field hands in tobacco and cotton fields, in a project aimed at teaching people about their shared history and building relationships for the future. Bayes told Christian Today the three bishops were "exploring and praying and hoping we can reconstitute the triangle with Virginia, Liverpool and Kumasi dioceses". "In each one of those the slave trade left its scars," he said. In Virginia, some of the early clergy were slave owners, while in Liverpool, some churches were built with slave money. He said: "There's a lot in our history, and a desire to reconstitute the triangle of hope in an Anglican communion and it's the same for other Churches where the tensions of colonialism and post-colonialism are just echoing around." Bayes added: "In Liverpool I think we haven't really got to grips with the fact that the money from 40 per cent of the slave trade flowed through our city." However, he said: "The purpose of the triangle is not just to dwell on that but to move on from it. We're hoping to use the history as a resource and to come to terms with it, explicitly in Liverpool to come to terms with our own need for diversity, but also to say, 'Now here we are, three quite different parts of the world; what can we learn now from one another and how can we make it a genuinely hopeful triangle?'" The previous three-way relationship including the Virginia diocese and the Akure diocese in Nigeria fractured over the issue of same-sex marriage. Is demonic possession real? Leading U.S. psychiatrist says 'yes' and it's on the rise Science could not exactly prove it, but world renowned psychiatrist Dr. Richard Gallagher fully believes that demonic possession is real. And what's even more alarming is that cases of demonic possession are on the rise, according to the professor of clinical psychiatry at New York Medical College. In an article that appeared recently in the Washington Post, Gallagher said unlike most of his colleagues in the scientific field, he believes in the existence of a spiritual world with a "very dark side that goes beyond our physical world." Gallagher has been studying this dark side of the spiritual world for more than 20 years and has become an authority on demonic possessions. He has been working with priests to help distinguish people who are truly possessed from those who are suffering from serious mental illness. "For the past two-and-a-half decades and over several hundred consultations, I've helped clergy from multiple denominations and faiths to filter episodes of mental illness which represent the overwhelming majority of cases from, literally, the devil's work," Gallagher said. Based from his experience, Gallagher said demonic possession is more frequent than what is commonly known. "The Vatican does not track global or countrywide exorcism, but in my experience and according to the priests I meet, the demand is rising," he said. One indication of the increasing number of demonic possessions in the United States is the increase in the number of church-designated exorcists, he said. "The United States is home to about 50 'stable' exorcists those who have been designated by bishops to combat demonic activity on a semi-regular basis up from just 12 a decade ago," Gallagher said. He acknowledged that many men and women of science still doubt the existence of demons and evil spirits in our world because they could not scientifically prove them. But Gallagher said he has "weigh the evidence" of demonic possessions, pointing out that "written historical accounts with numerous sound witnesses testify to their accuracy." Gallagher said he started studying the phenomenon 25 years ago when "a self-proclaimed witch" became his client. "She called herself a witch and dressed the part, with flowing dark clothes and black eye shadow around to her temples," Gallagher said. At first he, being a man of science, was sceptical about her claims. But then he experienced the unexplainable. "My subject's behavior exceeded what I could explain with my training," he recalled. "She could tell some people their secret weaknesses, such as undue pride. She knew how individuals she'd never known had died, including my mother and her fatal case of ovarian cancer." Gallagher said he became even more convinced when the "witch" was subjected to exorcism. "Six people later vouched to me that, during her exorcisms, they heard her speaking multiple languages, including Latin, completely unfamiliar to her outside of her trances," he said. "This was not psychosis; it was what I can only describe as paranormal ability. I concluded that she was possessed." Serbs boycott Srebrenica funerals over 'genocide' claim Thousands of Muslim Bosniaks paid their respects to 127 victims of the 1995 Srebrenica massacre buried in individual graves earlier today. The ceremony was avoided by Serbs after survivors said they were not welcome because they denied genocide had occurred. Nationalist Bosnian Serb forces led by General Ratko Mladic executed 8,000 Bosniak men and boys after overrunning Srebrenica near the end of Bosnia's war 21 years ago and dumped their bodies in pits Europe's worst atrocity since World War Two. Serb forces subsequently dug up the bodies and scattered them in a systematic effort to conceal the crime. UN war crimes investigators later excavated the mass graves, but more than 1,000 bodies are still missing. Most Serbs, both in Bosnia and Serbia whose 1990s leadership armed and funded Bosnian Serb forces, strongly deny that the massacre was genocide as judged by the UN war crimes tribunal for former Yugoslavia. They dispute the death toll and the official account of what happened, reflecting conflicting narratives about how and why Yugoslavia broke up in bloodshed. That divide continues to hinder reconciliation and stifle Bosnia's progress toward integration with Western Europe. The Balkan country today is split into autonomous Serb and Bosniak-Croat entities. Former Bosnian Serb leader Radovan Karadzic was sentenced to 40 years in jail by UN judges who found him guilty of genocide for the 1995 Srebrenica massacre and of nine other war crimes charges. Karadzic, 70, the most senior political figure to be convicted by the International Criminal Tribunal for the Former Yugoslavia in The Hague, was found guilty of 10 out of 11 war charges. He was acquitted of a second count of genocide in various towns across Bosnia during the war of the 1990s. For Muslim Bosniaks, Srebrenica has become a symbol of collective suffering and July burials of victims an annual ritual. July 11, the start of the five-day massacre, was made a national day of mourning by Bosnia's weak post-war central government comprised of Bosniaks, Serbs and Croats. Some Serb officials attended previous burial ceremonies but this year was the first time none came after families said that those who deny genocide happened in Srebrenica were not welcome. "How can anyone say this was not a genocide?" said Nura Suljic, 57, pointing at endless rows of white marble tombstones in the flower-shaped Potocari memorial cemetery near Srebrenica, where more than 6,300 victims are now interred. Suljic buried her brother after his bones were found in three different mass graves. Bakir Izetbegovic, the Bosniak chairman of Bosnia's three-person inter-ethnic presidency and son of its late wartime president, urged Serbs to face up to historical facts. "Acceptance and recognition of the truth is the first step toward genuine trust," he said. Last year's 20th anniversary was marred when an angry crowd at the ceremony chased away Serbian Prime Minister Aleksandar Vucic, who had enlisted ally Russia to veto a UN resolution that would have condemned the denial of Srebrenica as genocide. Survivors did not want a possible repeat of any such incidents that would distract attention away from the victims. Serbian and Bosnian Serb officials bristled at the condition for attendance. "That was not genocide and Serbs will never accept that word," Bosnian Serb President Milorad Dodik said. Thousands of grieving families stood by green-draped coffins in sweltering mid-summer heat, some kneeling, crying and hugging the caskets before they were lowered into freshly-dug graves. "All I have been left with are these three cold stones I can hug instead of my two sons and husband, and a grief I will carry in my heart until I die," said 67-year-old Nezira Memic. Additional reporting by Reuters. South Sudan could be facing another bloody civil war as hundreds die in renewed clashes Heavy fighting erupted again in South Sudan's capital on Monday, a day after the UN Security Council urged warring factions to immediately end days of violence that have left hundreds dead. The council condemned escalating violence in and around Juba that began on July 7, and together with UN secretary-general Ban Ki-moon demanded that rivals President Salva Kiir and Vice-President Riek Machar control their forces. Ambassador Koro Bessho of Japan said the 15 members of the council had "expressed particular shock and outrage" at attacks on UN compounds and protection of civilian sites in the capital. The council also called for more peacekeepers to be sent to the region. A witness in Juba told Reuters on Monday that two helicopters were firing apparently in the direction of Machar's political and military headquarters. Residents reported tanks on the street. A UN official said heavy gunfire had erupted around UN bases again. The capital has been mired in fighting almost every day since Thursday when troops loyal to Kiir and soldiers backing former rebel leader Machar first clashed, raising fears of a slide back to a full-blown conflict after two bloody civil wars. It was not immediately clear who was leading the fighting or if either side was gaining the upper hand. The violence has raised concerns that Kiir and Machar, longtime political and military rivals, may not have full control of their forces. There has been no official death toll but at least five soldiers died on Thursday and a Health Ministry source said 272 people, including 33 civilians, were killed on Friday. After a brief lull on Saturday, Sunday's fighting appeared even more fierce. "We urge an end to these hostilities and hope they (political leaders) will return back to taking up all the action points of the peace agreement," Shantal Persaud, spokeswoman for the UN mission UNMISS, told Reuters by telephone. She said gunfire had erupted on Monday around the UN headquarters in the Jebel area of Juba and also around a base near the airport. UN bases were hit by small arms and heavy weapons on Sunday. One UN Chinese peacekeeper was killed. There have also been reports of troops using rocket-propelled grenades. UNMISS said it was "outraged" by renewed violence in the world's newest nation, which marked five years of independence from Sudan last week. South Sudan's people remain mired in poverty, with an estimated 2.8 million facing severe food security and almost half of the population in need of aid. Oil production, the nation's mainstay, has plummeted. The UN Security Council, after an emergency meeting, told the two leaders to "do their utmost to control their respective forces, urgently end the fighting and prevent the spread of violence" and commit themselves to their peace deal. Attacks on civilians, UN personnel and UN premises might amount to war crimes that would need investigation, it said. On Friday, Kiir and Machar had been in patch-up talks after Thursday's shootings when gunfire erupted. Both said then they could not explain what happened. The two men have long been rivals for power. Civil war erupted in December 2013 following an attempted coup by soldiers loyal to Kiir's former deputy Machar. The fighting escalated, spreading throughout the country and fracturing it along ethnic lines. Hundreds of thousands of civilians were displaced. A peace deal was signed in August 2015, but the two sides spent months wrangling over details. Machar finally returned to Juba in April, at the time seen as step towards cementing peace. But experts say the failure to implement swiftly key elements, such as the re-integration and demobilisation of combatants, has allowed tension to fester and risked igniting a new conflict. Additional reporting by Reuters. Syria's forgotten families: One widow's daily struggle for survival "I hope no one will have to pass through what we've been through." These are the words of Avine*, a woman who lost almost everything to the war in Syria. Her independence, her home, her husband. Watch this video to hear her story: Avine is one of an estimated 1.5 million Syrian refugees living in desperate conditions in Lebanon. Having endured the war that is tearing apart their country for as long as they could bear, Avine's family fled to Lebanon's Bekaa Valley, where they have been living for the last two years. Shortly after arriving in the country, Avine's husband tragically died. Widowed with five children, Avine's life is now a daily struggle. The seemingly endless war in Syria has displaced more than four million people most of them are sheltering in neighbouring Lebanon, Jordan and Turkey. Some Syrians have been living as refugees since the war began five years ago. While the media moves on and people get tired of hearing about the plight of those forced to flee, the war for people like Avine goes on and on. In Lebanon, refugees are not legally allowed to work, nor are official 'refugee camps' permitted. This means many refugees have to work illegally for a few dollars a day in order to pay the extortionate rent charged by landlords. Whole families eat, sleep and live in one room. Their lives don't resemble anything they knew before the war. Not one of Avine's five children is in school. They, and thousands of other children like them, have to spend their days hanging around their tent, helping with chores or working illegally. Children like these are at risk of trafficking, early marriage or falling prey to extremists at risk of being plucked from the daily monotony of their lives and forced into something even more tragic. Without the monthly food packages Avine receives from a local church, which is supported by UK-based Christian charity BMS World Mission, survival for her family would be even harder. "The church has been helping as much as they can giving food packages every month," says Avine. "Their support is very helpful." The church is also providing education for hundreds of Syrian refugee children, keeping them off the streets and investing in their futures. Giving them something to focus on and strive towards. BMS is partnering with this church, and you can help them support even more children by giving to the charity's Syria's Forgotten Families appeal. *Name changed Theresa May to become Prime Minister on Wednesday Theresa May will be prime minister and installed in Number Ten Downing Street by the end of Wednesday, David Cameron has announced. Towards the end of another day of rapidly moving events at Westminster after May's former rival Andrea Leadsom withdrew from the race to succeed Cameron, the outgoing prime minister said she had made "absolutely the right decision to stand aside". Speaking outside Number Ten, Cameron added that he was "delighted" that May will succeed him. "We are not going to have a prolonged leadership election campaign. I think Andrea Leadsom made absolutely the right decision to stand aside. It is clear Theresa May has the overwhelming support of the Conservative parliamentary party. I'm also delighted that Theresa May will be the next prime minister. She is strong, she is competent, she's more than able to provide the leadership the country is going to need in the years ahead and she will have my full support." There had been speculation that May would not be installed as prime minister until later in the week because the Queen, reportedly at Balmoral, Scotland was not expected back in London until Thursday and Cameron could not leave office without consulting with her. However this afternoon it was confirmed that the Queen will be returning to London tomorrow, when Cameron will chair his last Cabinet meeting before attending his final session of prime minister's questions on Wednesday. After that, he will formally resign before the Queen and move out of Downing Street. "Obviously with these changes we now don't need to have a prolonged period of transition," said Cameron, referring to the nine-week membership stage of the Tory leadership contest which has now been averted by Leadsom's decision. "And so tomorrow I will chair my last Cabinet meeting. On Wednesday I will attend the House of Commons for prime minister's questions (PMQs). And then after that I expect to go to the Palace and offer my resignation, so we will have a new prime minister in that building behind me by Wednesday evening." Cameron is expected on Wednesday to be warmly greeted by MPs, who gave Tony Blair a standing ovation after his last PMQs in 2007. Both Tim Farron, the Lib Dem leader, and Jeremy Corbyn, the embattled Labour leader, today called for an early general election following May's coronation. Meanwhile, in an event largely overshadowed by developments in the Tory party, the former shadow business secretary Angela Eagle has formally challenged Corbyn for the Labour leadership. A love that lasted all his life: Burne-Jones, Frances Graham and Pre-Raphaelite heartache How a casket decorated by Edward Coley Burne-Jones for one of the most important women in his life offers a glimpse into the artists intense emotional state Sir Edward Coley Burne-Jones is considered the most important of the later Pre-Raphaelite artists. His enormous influence earned him both a knighthood and a memorial service in Westminster Abbey. Originally set on a life in the church, he decided instead to devote himself to art after discovering Rossetti and the Pre- Raphaelite group. Having given up on ideas of celibacy during his time at Oxford University, it did not take long for Burne-Jones and his close friend William Morris to experience what Fiona MacCarthy, author of the acclaimed The Last Pre-Raphaelite: Edward Burne-Jones and the Victorian Imagination, describes as heartaches and love troubles. MacCarthy goes further, pointing to Burne-Jones susceptibility to women and stating his art was a reflection of his own intense and frequently tormented emotional state. Although he was married in 1861, the artist became obsessed with a number of beautiful and self-possessed young women from the artistic and liberal elite. One of these women, Frances Graham (1854-1940), was the fourth of eight children of Edward Burne-Joness staunchest and most sympathetic patron, William Graham. Open a larger version of this image Inside base: Spes Constans IMOA (Spes Goddess of Hope) Open a larger version of this image Sir Edward Coley Burne-Jones, Bt., A.R.A., R.W.S. (1833-1898), Portrait of Frances Graham. This work was sold at Christies on 10 March 1995 A wealthy merchant and Liberal MP for Glasgow, William Grahams collection of Burne-Joness works included Green Summer (private collection), Le Chant dAmour (Metropolitan Museum of Art, New York), Laus Veneris (Laing Art Gallery, Newcastle) and the early Briar Rose series (Museo de Arte, Ponce, Puerto Rico). Open a larger version of this image Front panel (left to right): Constantia (dignified self-control), Sapientia (wisdom), Verecundia (modesty), Pietas (dutiful respect to the gods and family) Frances shared her fathers interests and visited artists studios with him. They often visited Rossettis house in Cheyne Walk, where he would read them sonnets. In 1869, when Frances was 15, he drew her as The Lady of the Window in the Vita Nuova. Artists were also invited to dine at the Grahams house in Grosvenor Place, Belgravia. Frances, in her own words, recalled her first meeting with Burne-Jones, describing him as one of the wittiest and jolliest of talkers. He was equally taken with her, enjoying her intellectual curiosity and strength of mind, and in time she became one of his closest confidants. She was 18, Burne-Jones was 40 and, according to her, approaching his full fame. She recalled how he poured into my lucky lap all the treasures of one of the most wonderful minds that was ever created. He was a regular visitor and accompanied William Graham and his daughters to art galleries, to circuses and plays. Open a larger version of this image Back panel (left to right): Juventus (youth), Misericordia (merciful), Iustitia (justice), Providentia (providence) In her book, Fiona MacCarthy says the artist had first loved Frances as a child because she was so much in the likeness of her father. In 1875, however, Frances received an extraordinary St Valentines Day card from Burne-Jones, which, notes the author, marked the beginning of a new intense relationship between [them] Now he began to love Frances for herself and it was a love that lasted all his life. The catalogue for Edward Burne-Jones, Victorian Artist-Dreamer at the Metropolitan Museum of Art in New York goes as far as stating that Frances Graham was probably the most important woman in [the artists] life after his wife, his daughter and Maria Zambaco, the Greek beauty with whom he conducted a tempestuous affair in the late 1860s. Burne-Jones went on to make many portrait drawings of Frances. She was, writes MacCarthy, the model for the face of the sea-nymphs on the right in The Arming of Perseus. In the Golden Stairs Frances is the girl at the bottom of the stairway about to clash her cymbals. When her father commissioned Burne-Jones to decorate a piano for Frances he used the story of Orpheus and Eurydice. She modelled for Eurydice; Burne-Jones himself is Pluto, keeping her captive. Open a larger version of this image The lid of the casket inscribed and dated 'MAR 28/FG/1877' While the famous Orpheus piano (private collection) commissioned in 1879 is probably the greatest artistic monument to their friendship, there were many other personalised presents illuminated books, designs for needlework, a design for shoes and this painted jewel-casket, dated March 28 1877: Frances Grahams 23rd birthday. The angels and other ethereal female figures on this casket feature in many of Burne-Jones works, both on paper and canvas and in stained glass, and were probably worked up from drawings stored in his studio. Many a patient design went to adorning Frances ways ah! The folly of me from the beginning This is a carousel. Use Next and Previous buttons to navigate A local developer announced plans Monday for a master-planned community on 189 acres in Baytown. The developer Matt Wells of Houston-based Wells Holdings billed the community, dubbed Trinty Oaks, as the first such community in Baytown. He plans to build the homes priced in the $250,000s on a plot of land bordered by the Grand Parkway and FM 2354. Phase one of the development calls for 384 new homes. Outlying parcels will be developed for shopping, dining, office and multifamily, Wells said. "We're looking to attract those working in Baytown who have grown tired of the drive to Clear Lake, Kingwood and other parts of town," Wells said. "Our goal is to keep Baytown's workforce in Baytown." This is the latest in announced projects for booming, blue collar Baytown, which has historically lagged behind the region's westward expansion. With roughly $30 to $50 billion in petrochemical plant expansion, the area has been propping up the white collar west side during the slide in oil prices. The Chronicle explored this phenomenon in a recent story. "There isn't a master-planned community of new homes at our price point this close to Baytown employers," Wells said. "One turn off the Grand Parkway and you are home." The property was previously held in a trust by the family of Ashbel Smith, a physician who arrived in Texas in 1837. Smith, who ultimately became Surgeon General of the Texas Army and good friends with Sam Houston, purchased the land in 1848. He later became the first President of the Board of Regents of the University of Texas. A Baytown family purchased most of the property from the Ashbel Smith Family in the mid 1990s. This is a carousel. Use Next and Previous buttons to navigate Firefighters battled a blaze early Monday morning at an apartment complex in southwest Houston. The fire broke out about 2 a.m. a the Parkgreen on Gessner apartments in the 7400 block of S. Gessner, said District Chief Justin Wells of the Houston Fire Department. Wells said when firefighters arrived they saw flames and smoke coming from a second-floor apartment. They contained the fire to the unit and quickly doused the flames. No injuries were reported. Wells said the fire started in the apartment kitchen and badly damaged the unit. Three other apartments were damaged from smoke and water. Investigators are trying to determine what sparked the fire. A man has been accused in an attack Saturday that left another man critically injured in southwest Houston. Vincent Asher Dancer, 20, is charged with aggravated assault of a family member in the incident that happened about 4:30 a.m. at 2036 Sul Ross near Shepherd, according to the Houston Police Department. A woman died early Monday morning when the motorcycle she was a passenger on hit a retaining wall on an elevated portion of Interstate 10 and she plunged about 40 feet to the freeway lanes below in west Houston. The single-vehicle wreck happened about 12:30 a.m. on the westbound Katy Freeway near the West Loop, said Sgt. Isaac Duplechain of the Houston Police Department. The eighth annual "Tapestry Gala" raised more than funds; Interfaith Ministries festive soiree garnered major support for the organization which champions cultural diversity, ethnicities, and faith traditions. Nearly 600 attendees rallied at Hilton Americas-Houston in support of the 2016 honoree: Lily and Charles Foster. Their combined efforts helped rake in more than $560,000 toward programs including Meals on Wheels, aniMeals, refugee resettlement, and interfaith relations, among others. This is a carousel. Use Next and Previous buttons to navigate For a few days this July, Texans may answer to Texas Gov. Kevin Eltife. Per the state constitution, Eltife stands third in line for the state's highest office. He is the Texas Senate's pro temporethe elected vice leader of the state's higher chamber. The first two in linethe governor himself and the lieutenant governorwere scheduled to leave the state for the Republican National Convention in Cleveland July 18-21. In their absence, Eltife would step up. But plans may have changed. Gov. Greg Abbott on Sunday said he might not go to the convention. An accident last week involving scalding water left him with second- and third-degree burns on his feet and legs. For now, he makes daily hospital trips so doctors may dress his wounds, which are not life-threatening. RELATED: Abbott suffers severe burns from scalding water accident on vacation; could miss GOP convention Still, the governor's accident highlights the mortality of elected officials. Lives can change in split seconds, inhibiting leaders from their work. The framers of the state constitution anticipated it, and they made a backup plan. If for any reason the governor becomes "unable to serve"be it permanent or temporarythe state constitution says the lieutenant governor takes his spot. Today, that would be Lt. Gov. Dan Patrick. That rule also applies for extended trips out of state, like to the Republican National Convention. "Governors make it a point not to stay away too long, but once (Texas Gov.) John Connally was out of state for five weeks on an African Safari and Lt. Gov. Preston Smith took over as governor," said Brandon Rottinghaus, a University of Houston political scientists and author of an upcoming book on Texas politics. RELATED: Loved ones, dignitaries lay Connally to rest - Final salute to Texas legend So it happens sometimes. But Patrick also plans to leave the state. Not to fearthe constitution laid out a long list of succession for the executive office. If the lieutenant governor can't take the flag, the senate pro tempore gets it. Pro tempore is a Latin term meaning "for the time being," but as far as the Texas senate is concerned, it means a senator elected at the start of the session to preside over the chamber beneath the lieutenant governor, who is the senate's top dog. If the pro tempore, also called pro tem, isn't available to take the job, it goes to the speaker of the house, leader of Texas' lower legislative chamber. Today, that's Rep. Joe Straus. If he couldn't take the baton, it goes to the Attorney General. Today, that's Ken Paxton. RELATED: Attorney General Ken Paxton indicted If that doesn't work, the state taps the chief justices of the courts of appeals in order of their districts. "After that, there is no guidance on succession," Rottinghaus said. "But clearly we hope things never get that far." A new study from real estate brokerage Redfin reveals some insider information on home sale transactions. The agency surveyed nearly 800 Redfin agents to determine the latest home selling trends and forecast. The biggest finding? About 88-percent of the agents surveyed say it's a good time to sell and that competition is strong among buyers, with bidding wars rising. SEE ALSO: Tour Galveston homes listed under $230,000 One agent, though, says there are other routes to consider. >>See Homes listed at Houston's current average list price, $309,376, above "I've been advising a lot of my buyers to consider homes that may need a little TLC in desirable neighborhoods within the inner core of the city," Austin-based Redfin real estate agent Andrew Vallejo said. "Competition is high in the hot neighborhoods, but if buyers are willing to reconsider their priorities it's possible to find a great home without the worry of a bidding war and without breaking the bank." Some home shoppers are going to great strides to avoid competing for a home they want, with agents reporting that sellers are now placing multiple offers on a home and then using it to their advantage when a deal falls through. SEE ALSO: Flamboyant Dallas socialite's onetime penthouse back on the market "It's not necessarily true that the inspection reveals major issues or that the buyers just got cold feet," Redfin real estate agent Jeremy Paul said. "It's more that the buyers who are making multiple offers at prices they may not be able to afford just to get an offer accepted often use the inspection as a chance to renegotiate the price. When the seller won't budge, the buyers move on." Other key findings: This is a carousel. Use Next and Previous buttons to navigate During a week filled with protests, one photo has stood out and has been shared repeatedly on social media. The photo is of an unnamed protester standing up to Baton Rouge police in riot gear during Saturday's protests in Louisiana. The image was taken outside the Baton Rouge Police Department, where protesters had gathered and blocked off a roadway that runs outside of the police station. Reporter Shaun King with the New York Daily News shared the photo on Facebook, and it was shared more than 16,000 times in the 9 hours following. King labelled the image as "powerful" and commenters agreed, leaving comments applauding the woman's stoicism. Twitter users compared the photo to the infamous 1989 image of "Tank Man" who stood in front of military tanks during student uprisings, Mashable pointed out. POWERFUL photo from Baton Rouge. (Photo by Jonathan Bachman of Reuters.) UPDATE: She was just released. Posted by Shaun King on Sunday, July 10, 2016 The Atlantic spoke with photographer Jonathan Bachman, who took the photo that was shared by King and others over the weekend. Bachman gave his account of the incident: (The arrest) happened quickly, but I could tell that she wasn't going to move, and it seemed like she was making her stand. To me it seemed like: You're going to have to come and get me. And I just thought it seemed like this was a good place to get in position and make an image, just because she was there in her dress and you have two police officers in full riot gear. It wasn't very violent. She didn't say anything. She didn't resist, and the police didn't drag her off. Bachman, in his interview, said the photo showed that demonstrations were mostly "peaceful" when that image was taken. The scene apparently did not stay peaceful, as Baton Rouge police later said in a statement 102 protesters were arrested. Bachman told BuzzFeed News that he was just "happy" he was able to capture the moment. "That was the first image I transferred [to Reuters] because I knew it was going to be an important photo," Bachman said. "You can take images of plenty of people getting arrested, but I think this one speaks more to the movement and what the demonstrators are trying to accomplish here in Baton Rouge." The identity of the protester has not yet been confirmed by media outlets, however King stated in a tweet that the woman has been released from jail. This is a carousel. Use Next and Previous buttons to navigate A Fort Bend County judge on Monday dismissed felony charges arising from an alleged bribery case against a Lamar Consolidated ISD trustee, a former trustee and a Houston businessman. District Judge Brady G. Elliott threw out the criminal charges against businessman Jim Gonzales, Trustee Anna Gonzales and former Trustee Jesse Torres after prosecutors said there was insufficient evidence to proceed. The three had been indicted by a grand jury earlier this year, escalating a controversy that has roiled the suburban school district in Fort Bend County for more than a year. The charges centered on allegations that Jim Gonzalez, who was pursuing a contract with the district, had offered cash to trustees. Anna Gonzales was accused of receiving money from Jim Gonzales, no relation. His company, Houston-based IDC Inc., had overseen several multimillion dollar bond projects for the district going back to 2003. District Attorney John Healey watched Monday's proceedings from the back of the courtroom. Later, he said in a written statement that he respected the work of the grand jury, whose sole role, he noted, is to determine whether there is probable cause to believe that someone has committed a crime. But he added, "The degree of proof required to convict is far higher than a Grand Jury's is to indict. It is proof beyond a reasonable doubt. When an accused is indicted, and the facts known to the prosecutor do not establish a violation of the law or do not rise to that high degree of proof that should lead a jury to say 'guilty beyond a reasonable doubt,' then justice demands that the indictments charging the accused be dismissed." Both Anna Gonzales and Jim Gonzales faced charges of bribery, a felony, attempt to commit bribery, conspiracy to commit bribery, engaging in organized criminal activity and gift to a public servant, the sheriff's office said in a news release in March. Anna Gonzales additionally faced charges of abuse of official capacity and coercion of a public servant. Richmond city commission member Jesse Torres, a former LCISD trustee, faced charges of conspiracy to commit bribery, engaging in organized criminal activity, fabrication of physical evidence and tampering with a government record with intent to defraud. Prosecutor Scott Carpenter said Monday that the state had found "no evidence to support a bribery claim." Elliott then dismissed the related charges. Next, the state addressed additional charges against Torres regarding alleged tampering of evidence. "There is insufficient evidence for us to proceed," Carpenter said. Donald Bankston, the defense attorney representing Torres, agreed that the accusations were not based in fact. "The charge should have never been brought," Bankston said. "We fully believe that the state is right." Elliott, presiding over the 268th district court, dismissed the remaining charges with a word of caution. "We have to be extremely careful in how we account for and keep our public records," he said. "The public demands it." Exiting the courtroom, Torres thanked his supporters and attorney and said he gave praise to God. "I'm relieved," he said, as others waited to hug him. Bankston said the charges had been racially and politically motivated. "These were the acts of an out-of-control, runaway jury," he said. Steven Rocket Rosen, defense attorney for Jim Gonzales, said his client had done excellent work in the area for more than 20 years. Having such charges brought had tarnished his name. "It cost him his profession," Rosen said. Anna Gonzales did not immediately respond to an emailed request for comment. Mayor Allen Owen, who served as foreman of the grand jury, also could not be reached for comment. The case stemmed in part from the Lamar Consolidated ISD board's selection of IDC and Plano-based Gilbane Building Co., to oversee work on bond projects approved by voters in 2014. A divided school board voted in June 2015 to terminate negotiations on an $8 million contract with IDC after a newly elected board member, Tyson Harrell, alleged during a meeting that he and another board member, James Steenbergen, were offered cash during the spring campaign. Attorneys for Gonzales wrote in court papers that the businessman was delivering cash donations that had been raised by other sources for the two candidates' campaigns after he was asked to assist the bids. Gonzales sued the district and the two board members for defamation, but his lawsuit was thrown out by a judge after the court determined the board members were protected by professional immunity. Meanwhile, the Fort Bend County Sheriff's Office continued its own investigation. According to a statement from the sheriff's office, Jim Gonzales opened a joint bank account with Anna Gonzales, and funded it with $15,800, which was used for apartment rental applications in Rosenberg. In May 2014, Jim Gonzales deposited $167,000 into Anna Gonzales's personal bank account, of which Anna Gonzales used $10,000 to pay bills between June 2014 and December 2014, according to the statement. Deputies said Anna Gonzales then paid Jim Gonzales $157,000. Torres' attorney had said his client collected the money from employees of a local architectural firm, family and friends, then gave it to Jim Gonzales to give to the trustees for their campaigns. Attorneys had said both Torres and Jim Gonzales worked on the campaigns, and there was no quid pro quo requested. Sheriff Troy Nehls said in a written statement, "It is our responsibility to thoroughly investigate accusations of crime and present the facts to the Grand Jury. Lt. David Schultz and his team did exactly that and I commend them for their excellent investigation. The decision to take a case to trial or not rests with the District Attorney's Office." State Rep. Ron Reynolds, explaining that he was a friend of Torres, watched among a crowd of dozens in court Monday to support the defendants. "I think that justice has prevailed today," said Reynolds, who is appealing his conviction for barratry in a case he has described as racially motivated. Mihir Zaveri contributed to this report. This is a carousel. Use Next and Previous buttons to navigate On Monday morning's "The Matt Patrick Show" on KTRH 740AM, New Black Panther Party leader Quanell X said he excused deceased Dallas police shooter Micah Johnson years ago from that group over dangerous rhetoric. In a six-minute interview, the community activist told Patrick he believed that Johnson had Post-Traumatic Stress Disorder among other mental health issues and that he was a "ticking time bomb" in many ways. READ MORE: Manifesto found at the home of Dallas gunman Johnson had apparently worked a security detail for the group but he was excused after about six months. Quanell X said Johnson was young and immature and espoused rhetoric that was dangerous. Patrick asked why he didn't report the young man to the police, but X said that he didn't say anything that he thought was that inflammatory. READ MORE: Anonymous threat sparks tense night for Dallas, police X said that he wasn't the first person who had talked crazy like that and had tried to join their ranks. It's almost impossible to get something done for these people, he added. "I can only stop what I know. This I could not know," X said. The group was also worried that Johnson might infect other younger, more impressionable members of the group with his rhetoric. READ MORE: Dallas suspect taunted police during 2 hours of negotiation Johnson didn't approve of the New Black Panther Party's tactics and frequently questioned them, asking why they hadn't been buying more arms and ammunition. He wanted to take the fight to the enemy. "I told him this is not Afghanistan. See a therapist," X said. "We are an organization that has a paramilitary chain of command. He ignored that chain of command." X said that members hadn't seen Johnson in nearly 2 1/2 to three years since he was excused. The union representing Transportation Security Administration officers picketed outside Bush Intercontinental Airport Sunday, asking the government to increase funding for the agency that is facing both a shortage of workers and rising security demands. "When you're short staffed and you've got a limited number of people to work two or three positions, how are they going to help that mother that's got three kids and trying to get on the plane?" said Cynthia Sanders,a union member and former Transportation Security Officer. "We're short staffed. You did that to yourself, TSA that wasn't us." The small group of former officers who protested with Houston's chapter of the American Federation of Government Employees union pushed for funding to hire an additional 6,000 workers. The TSA has buckled down on security protocol in the wake of growing terroristic threats around the world coupled with high employee turnover and staff shortages, wait times in security checkpoints are only seeming longer and longer. Airline passengers have expressed increasing irritation with the TSA over the past few years as they endure the longer lines sometimes resulting in missed flights, as was the case for 450 travelers at Chicago O'Hare International Airport on May 15. Since 2013, airports have seen 10 percent fewer screeners and 15 percent more passengers. Timothy Harris, a former Transportation Security Officer, 27, said he thinks hiring more screeners would decrease the amount of passengers who are disgruntled with long wait times at security checkpoints. "[The TSA is] living off the minimum," Harris said. "You get people standing next to you and you're doing your job, but they're not moving. Frustration levels have escalated." TSA Administrator Peter Neffenger experienced a brief victory when he most recently secured 1,600 positions that were in danger of being cut, and got $8 million in congressional funding to hire 768 new screeners. Still, about 5,000 fewer screeners are employed than in 2011, because of congressional budget cuts. "That's not enough, and that partly came through the misinterpretation of the budgeting process by my friends and colleagues in Congress," Congresswoman Sheila Jackson Lee said. "We lost a lot of our individuals. That's not good." This is a carousel. Use Next and Previous buttons to navigate Gun shows reportedly held Saturday and Sunday in Fort Worth and Waco are raising some eyebrows, coming just days after a shooter opened fire on police in Dallas. According to the Daily Mail, the crowd at the weekend gun show in Fort Worth "appeared to be larger than usual, which often happens after a mass shooting or when legislation to restrict gun ownership has been proposed." SEE ALSO: Quanell X: Dallas police shooter was excused from Houston group years ago Where the Fort Worth event took place was not reported in the Daily Mail article. About 100 miles south of Dallas, a weekend gun show was also reportedly held in Waco. The Waco Tribune reports that gathering was held Saturday and Sunday at the Extraco Events Center. Vendors told the Tribune that they saw average numbers in customers and sales, despite the sniper shootings Thursday in Dallas. Dallas residents and people around the nation are still in mourning, trying to make sense of Thursday's shooting in which 25-year-old Micah Johnson fatally shot five police officers. Johnson was killed when police remotely detonated a bomb. Premier Gun Sh0ws, which held the Waco event, has numerous shows scheduled around Texas through Dec. 31. The company's next one in Fort Worth is scheduled for Aug. 27 and 28 at the Will Rogers Memorial Center, near downtown. SEE ALSO: Surviving Dallas officer recounts trying to save colleagues "With over 1,200 tables, we are proud to be Fort Worth's BIGGEST gun show!" the description for the Fort Worth event reads. "Public invited to buy, sell or trade." Premier Gun Shows has no events scheduled for Houston, according to its website. A San Antonio show is scheduled for July 23 and 24 at the Freeman Coliseum Expo Hall, east of the Alamo City's downtown. Texas Gov. Greg Abbott suffered second- and third-degree burns on his legs while vacationing with his family in Wyoming last week, according to a report in the Austin American-Statesman. Abbott was vacationing in Jackson Hole, Wyo., when he accidentally was scalded with hot water, a spokesman confirmed to the American-Statesman and the Associated Press. Gov. Greg Abbott has been admitted to San Antonio's Brooke Army Medical Center for treatment of a "minor infection" related to second- and third-degree burns he suffered on his lower legs and feet, his office announced Monday. Abbott, 58, is scheduled to receive skin grafts to repair damage to his feet on Tuesday. The summers final Live on the Waterfront concert was held Wednesday evening at Prince Arthurs Landing. The popular series in Thunder Bay has completed nine weekly shows that began on July 13. Wednesdays concert was unique as it was held one hour later in the evening to mesh with the 10 p. When it comes to policing, New York City mayor Bill de Blasio rarely misses an opportunity to pontificate. Last week, with the debate over race and policing raging in cities far from his own, the first-term Democrat with a history of less-than-helpful remarks about cops waded in to the national conversation uninvited. At a Bronx press conference about the citys heat wave, de Blasio announced that he was reeling from the shooting deaths of Alton Sterling in Baton Rouge, Louisiana, and Philando Castile in St. Paul, Minnesota. According to reports, de Blasio wasnt asked a specific question about the deaths, or about policing in general. He simply seized the opportunity to remind reporters that he has warned his biracial son, Dante, about dealing with the police. A similar remark in December 2014 got de Blasio in hot water with the NYPD. After a grand jury cleared the NYPD officer involved in the death of Eric Garner on Staten Island, de Blasio said that he worries every night about the possibility that Dante could be killed by a cop. Weve had to literally train him, as families have all over this city for decades, in how to take special care in any encounter he has with the police officers who are there to protect him, the mayor said. POLICE FURY AT MAYORS RACIAL SMEAR, ran the New York Post headline the next day. Patrolmens Benevolent Association president Pat Lynch accused the mayor of throwing rank-and-file cops under the bus. The city roiled for weeks after de Blasios controversial statement. Nightly protests flooded the streets of Manhattan. Parked NYPD vehicles had their windows smashed in. A group was videotaped marching through midtown chanting, What do we want? Dead cops! When do we want it? Now! Throughout that difficult month, the mayors leadership was wishy-washy at best. He seemed at times to be in open sympathy with the protestors anti-cop message. When a crowd surrounded and attacked two NYPD lieutenants during a protest march on the Brooklyn Bridge, de Blasio referred to it as an alleged assault, despite the incident having been caught on video. He used no such qualifying language last week when talking about the videotaped deaths of Sterling and Castile. We have to always wait for the facts, but when you look at those two videos, its very hard to believe that bias wasnt part of that equation, because of the level of over-reaction, de Blasio said. New Yorks unrest of December 2014 culminated in the murders of NYPD officers Wenjian Liu and Rafael Ramos as they sat in their patrol car in Brooklyn. Lynch accused de Blasio of having the officers blood on his hands. When the mayor spoke at their funerals, a sea of blue turned its back on him in a silent protest. Things havent necessarily improved since then, but they have simmered downprobably due in good part to the leadership and political savvy of NYPD commissioner William J. Bratton. The commissioner has found a way to back the mayors agenda while retaining the loyalty of his 35,000-strong departmentno easy trick. Without Bratton, its hard to see how de Blasio and the NYPD could have patched things up. Yet, two years later, heres de Blasio, scratching at the scab again. On Monday, the mayor and his wife Chirlane McCray appeared on CNN to discuss the Sterling and Castile killings, the murder of five police officers in Dallas, and Brattons weekend criticisms of the Black Lives Matter movement. On Meet the Press, Bratton had said that BLMs primary focus is to portray the police profession in a very negative waynot exactly a radical observation, for devotees of empiricism. We have a different perspective, said McCray, calling BLM a force for good. De Blasio wholeheartedly agreed, saying that the movement had changed the national discussion for the better. De Blasio is playing a dangerous game heading into the final year of his term. Volunteering praise for Black Lives Matter, a movement dedicated to the proposition that the police prey on black men, is not likely to endear him to the one city agency without which he cannot govern effectively. With two and a half years in office under his belt, de Blasio has yet to shed the mantle of his radical past. Hes still the guy whod rather get arrested at a sit-in than sit behind the big desk at City Hall. Yet, for the next 18 months at least, hes mayor of New York, the worlds safest big citybut once a byword for murder, mayhem, and social decay. Policing, not protesting, is what made the difference. If de Blasio hasnt gotten that message by now, he never will. Photo by Ed Reed/Mayoral Photography Office Achizitie de Servicii Tehnice de creare a plantatiilor forestiere de protectie din cadrul primariei Andrusul de Jos si a primariei Vadul lui Isac, r. Cahul The Atlanta Journal-Constitution last week rolled out a remarkable, ambitious investigation into sexual assault and misconduct by doctors. The stories of women being abused by their physicians that the AJC uncovered are horrifying, and the impunity often enjoyed by perpetratorsmany of whom are allowed to keep practicing, the details of their offenses kept confidentialis galling. Powerfully told and creatively presented, the project quickly won praise from journalists and others around the country: Incredible work here by @AJCInvestigate digging into doctors and sex abuse. https://t.co/4Wb8JrKCcs (h/t @evanmatsumoto) Tyler Dukes (@mtdukes) July 6, 2016 .@AJC #DoctorSexAbuse shows how critical journalism is to our democracy: https://t.co/z9aLnZKLdS still going through this important piece Rep. Hank Johnson (@RepHankJohnson) July 6, 2016 This will make your skin crawl. @ajc finds cases of #DoctorSexAbuse in every state. reporting and presentation. https://t.co/Jy2Rc6EbWK Sign up for weekly emails from the United States Project Taylor Goldenstein (@taygoldenstein) July 6, 2016 The investigation stands out for two reasons in particular: First, its national in scope (it even made national network news). Second, in order to take the story national, the AJC had to deploy some programming skills that are rare to see at a regional paper. The project got started when reporter Danny Robbins, while reviewing orders issued by Georgias medical board, discovered that many doctors in the state were allowed to continue practicing even after a finding that they had sexually violated patients. After some further research, the paper suspected that Georgia wasnt an outlier. So the AJC filed requests for discipline information with the equivalent boards or regulatory agencies in other states. Those orders are often posted online, and the AJC sought the information as data sets. But the requests werent fruitful. We were disillusioned with that approach, said Jeff Ernsthausen, a data reporter for the Journal-Constitution. We told them that we wanted a copy of their websites and were told that such things do not exist. Thats not my understanding of how the internet works. And Ernsthausen, a former analyst for the Federal Reserve who interviewed with the AJC while attending the NICAR conference in 2013, understands the internet pretty well. So he wrote programs to scrape the public websites of those boards and agencies, retrieving the discipline information. Each state required a new program, though he was able to reuse some code over and over again. Ernsthausen used DocumentCloud to host the 100,000 documents the scrapers found, and DocumentClouds optical character recognition to make the text searchable. The next step is described on the AJCs page about how the investigation was done: To assist us in identifying those involving sexual misconduct, we then created a computer program based on machine learning to read each case and, based on key words and their relationship to each other as well as other factors, give each a probability rating that it was related to a case of physician sexual misconduct. That process flagged about 6,000 casesstill a lot to read through, but something the AJC team could handle. The information in those records is not a comprehensive accounting of sexual misconduct by doctors, as the paper explains. But the records provided a foundation for the detailed reporting in the series. J-school folks: read how AJC did doctors investigation & then ask: "Are we teaching this?" https://t.co/cpssOTR8FO pic.twitter.com/yaApndasBr Derek Willis (@derekwillis) July 6, 2016 Derek Willis, a news application developer at ProPublica, said he was most impressed by the AJCs use of machine learning to sift through the documents. That aspect of the project acted like a force-multiplier, while ensuring the kind of consistency that only a computer can apply to a massive amount of data. There are only a handful of people who do this that Im aware of in newsrooms, Willis said. Its super clever applying that to this kind of project. It allows news organizations to punch above their weight. The thing weve lost the most in the industry is staff. In certain situations, this is a replacement for resources. From Ernsthausens perspective, no single step along the way was particularly extraordinary. But stringing it all togetherscraping the records, writing the program to sift through them, designing a database to make sense of the findingswas a big task. This is the first thing of this scale that Ive been involved with, he said. Of course, even with a technical assist, pulling off the investigation still took a lot of traditional resources. The AJC lists 44 people who had a role in the project, including a core team of seven. Kevin Riley, the editor-in-chief, acknowledged that taking it on was a risk. Any time a regional paper decides to take on something this big, you have to worry, Wow, are we going to be overwhelmed by this, and is it going to pay off? he said. But a regional story, said Riley, is not going to bring about the kind of change thats neededand the paper is straightforward about the fact that its trying to force changes. New installments in the series will continue to appear through the end of 2016. Its going to take a drumbeat, said Riley. He added: Im not sure weve told a more important story at the AJC than this one. I really hope the system changes. Has America ever needed a media watchdog more than now? Help us by joining CJR today Susannah Nesmith is CJRs correspondent for Florida, Georgia, and Alabama. She is a freelance writer based in Miami with more than 25 years working for regional and national outlets. Follow her on Twitter @susannahnesmith. If recreational marijuana becomes legal in Massachusetts, it wont be just for smoking. Edible marijuana products a broad category that could include such treats as cookies and candy would also be permitted under the ballot question nearly certain to go before voters in November. As the legalization debate heats up, the smoke-free forms of the drug are quickly emerging as a central focus of discussion. Edibles caught the attention of the state Supreme Judicial Court, which this past week pointedly ordered revisions to a summary designed to explain the question to voters. The justices said it was misleading not to specify that legal marijuana could include food products. A group of state senators who earlier this year visited Colorado the first state to legalize recreational marijuana said edibles now comprise roughly half the retail market there and pose among the greatest public health concerns for regulators. Many of these products can be mistaken for other products already on the shelves, as the differences in taste, color and smell may be close to undetectable, the senators wrote in a report. Edibles such as cookies and candy can be particularly appealing to children. Colorado recently banned pot-infused gummy bears and products that resemble animals and fruits. The state is also considering new limits on the potency of marijuana products, which could make other edibles illegal. Critics say marijuana-infused food often contains levels of THC, the psychoactive chemical in marijuana, several times more potent than the smokable forms of the drug and pose unique risks of overconsumption. The edibles are often produced by extracting marijuana concentrate from the plant and adding it to food or beverages. Many users report edibles produce a less immediate, though eventually more intense and longer-lasting high. According to the organization backing the Massachusetts ballot question, lessons learned from states like Colorado warrant a careful approach toward smoke-free marijuana products. A 15-member regulatory board would be created to oversee all aspects of the proposed law. Whats important for Massachusetts voters to know is that the cannabis control commission has full authority over what can be sold and what cannot, said Jim Borghesani, spokesman for the Campaign to Regulate Marijuana Like Alcohol. It wont be the retailers who determine whats sold, what type of products, what shape, what size, what look. Opponents of legalization contend the proposed law specifically allows marijuana edibles to be sold and would tie the hands of regulators. It they wanted to put in some of these protections they could have done it in the ballot question but did not, said Corey Welford, spokesman for the Campaign for a Safe and Healthy Massachusetts. Its sort of like, `pass it, and then well figure out how to protect consumers. If approved, the ballot initiative would allow people 21 and older to possess up to 1 ounce of marijuana for recreational purposes and grow up to six pot plants at a time in their homes. It would also impose a 3.75 percent tax on retail sales. The states medical marijuana law, approved by voters in 2012, allows edible forms of the drug but requires they bear no resemblance to any commercially available candy. Copyright 2022 Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. A Connecticut father and son are headed for a court showdown with the Federal Aviation Administration over whether the agency can force them to disclose information about drones shown in two YouTube videos firing a gun and deploying a flame thrower in their backyard. Austin Haughwout, 19, of Clinton, and his father, Bret Haughwout, are refusing to comply with subpoenas issued by the U.S. attorneys office on behalf of the FAA, saying the subpoenas violate their constitutional right to be free from unreasonable searches and seizures and questioning the agencys authority to regulate recreational drones. A hearing on whether the Haughwouts have to comply with the subpoenas was scheduled for last week before U.S. District Judge Jeffrey Meyer in New Haven. The case potentially has national significance because it would set a precedent on how much authority the FAA has over recreational drone use, said the Haughwouts lawyer, Mario Cerame. Austin Haughwout uploaded the videos to his YouTube channel last year. One video, viewed more than 3.7 million times, shows a flying drone equipped with a handgun firing rounds. Another video, viewed nearly 600,000 times, shows a flying drone with a flamethrower lighting up a spit-roasting Thanksgiving turkey. Both videos were recorded in the familys yard in Clinton. The father and son have refused to comply with subpoenas issued in November and December seeking their depositions and information about the drone used in the videos. Federal prosecutors say in court documents that the subpoenas were issued in connection with an investigation being conducted for the legitimate purpose of ensuring the safe operation of aircraft and under the FAAs authority to investigate potential violations of its regulations banning people from operating aircraft in a careless or reckless manner. Based on media reports, the FAA believes that the respondents have built and/or operated at least two (drones) carrying weapons with the capability of causing serious injury to a person or property, Assistant U.S. Attorney John Larson wrote in a court filing. The FAA in June proposed its first set of regulations for the commercial use of drones, but not recreational use. The agency says there are some requirements for recreational use, including having to register any unmanned aircraft weighing more than 0.55 pounds and notifying airport operators before flying drones within 5 miles of airports. Cerame said the FAA is wrong to rely on aircraft regulations to try to subpoena his clients about their recreational use of drones. Win or lose, he believes, the case will affect recreational drone operators nationwide. They shouldnt use airplane regulations, he said. They should go get the authority from Congress. Its about keeping the government in check as to what Congress said they can do. This is a kid playing in his backyard, Cerame added. Officials with the FAA and the U.S. attorneys office declined to comment on the subpoenas. The Haughwouts didnt return messages seeking comment. Austin Haughwout claims in a state lawsuit that he was expelled from Central Connecticut State University on bogus threatening allegations by school officials who were really concerned about the drone videos. The lawsuit seeks his reinstatement to the school. School officials deny the allegations and say Haughwout was expelled for making threatening statements and gestures toward other people on campus. Austin Haughwout has been in and out of the news over the past two years. On Thursday, Clinton police announced they charged him with enticing a minor with a computer, attempted sexual assault and possession of child pornography after police say they found child porn on his cellphone. Last year, Clinton police charged him with assaulting officers. The case remains pending. In 2014, a woman was charged with assaulting Haughwout because she was upset he was using a drone to film above a state beach in Madison. Haughwout posted a video of the confrontation on YouTube that has been viewed more than 500,000 times. Copyright 2022 Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. Two recent crashes on the same road in Elkhart County, Ind., highlight the dangers of distracted driving accidents involving motor vehicles and horse-drawn buggies. On May 29, a 17-year-old girl who rear-ended a buggy carrying an Amish couple and their 6-week-old baby on Indiana State Road 13 near County Road 34 told police that she got distracted and didnt see the buggy until it was too late to avoid the accident. On June 2, another distracted driver rear-ended a buggy carrying an Amish woman and her 4-year-old child. Neither accident resulted in life-threatening injuries. But the accidents show motorists often overestimate the time they have until they reach a horse-drawn buggy and dont make a move to pass or slow down soon enough. Barbara Zortman, director of the Center for Traffic Safety in York, Pennsylvania, recommends that people who are traveling in plain country increase their awareness and decrease their speed. Lots of accidents we see involve horse-drawn equipment that came out of nowhere, like around corners or over the crest of a hill, she said. Last year, there were 20 crashes involving animal-drawn vehicles in Elkhart County, Capt. James Bradberry of the Elkhart County Sheriffs Department told the Elkhart Truth. Indiana has the third-largest population of Amish in the U.S., behind Ohio and Pennsylvania, and the states largest and oldest settlements of Amish are located in Elkhart and LaGrange counties, according to Amish America. As a doctoral candidate at Ohio State University, Cory Anderson analyzed the causes of buggy crashes in several Amish and Old Order Mennonite communities and determined that one of the main ones is motorists overestimating how fast buggies are traveling and coming up behind them quicker than expected. He also found that drivers exhibited careless or risky behavior, including distracted driving, impaired driving and making careless passes around buggies. Some roadways in Elkhart and LaGrange counties have wider shoulders, sometimes called buggy lanes, which allow cars to pass buggies without crossing the center line. But the Indiana Department of Transportation doesnt have plans to add buggy lanes on State Road 13 between Middlebury and Millersburg, where three buggy accidents have occurred this year. Copyright 2022 Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. Drivers who get angry when other motorists wait until the last possible moment to merge in construction zones need to reconsider their long-held notions of highway courtesy, transportation officials in a growing number of states are concluding. Transportation departments in Missouri and Kansas have joined Minnesota and Washington in urging drivers to use the zipper merge method when approaching lane closures, most often associated with road construction. Using all available lanes until the last moment, then alternating entry into the open lane, helps reduce accidents by keeping both lanes moving at the same speed, said David Silvester, a Missouri Department of Transportation engineer. This isnt rocket science, he said. Its easy. The goal is to change a mindset among drivers whose first instinct is to get in line as soon as they see a sign warning of closed lanes ahead, Silvester said. For those folks, drivers who buzz past in the lane that is ending and crowd back into line at the last second are considered rude or inconsiderate. Dwight Hennessy, a psychology professor at Buffalo State College in New York who specializes in traffic psychology, said Midwesterners tend to be polite and follow the rules even unwritten ones and get upset when others dont. When a rule is being violated by someone else, it frustrates us, it irritates us, it makes us angry, Hennessy said. We expect everyone else to follow the rules, and when they dont and we know theyre getting an advantage, it ticks us off. While motorists in other states might be accustomed to using some informal form of the zipper merge, four states have officially championed the technique. Missouri officials started promoting the idea earlier this year ahead of what was expected to be a heavy road construction season. One of the bigger bottlenecks begins next week, when traffic on Interstate 70 west of Boonville in central Missouri will be reduced to one lane in each direction because of bridge repairs, Silvester said. While Missouris effort mainly is a public relations campaign to change how drivers deal with lane closures, Kansas has taken a more deliberate approach that includes using electronic signs and measuring the pace of traffic flow with Doppler radar. The state will review the results of the pilot project and determine whether its worth expanding next year. Minnesota began promoting the zipper merge in the early 2000s with something it called dynamic late merge. That system relies on sensors that activate portable electronic signs when traffic is congested and there are lane closures ahead. The state later changed the name of that method to active zipper merge because the term late merge had negative connotations, said Ken Johnson, state work zone engineer. In late 2007, Minnesota tried using a passive zipper merge system that uses permanent signs but no electronic ones to tell motorists of an upcoming lane reduction and encourage them to use both lanes. That version was slow to catch on, Johnson said, and in 2011 Minnesota transportation officials launched a campaign to educate drivers about how the merge works. Weve struggled for a long time with what to do with merging behavior during lane reductions, Johnson said. Two years ago, Washington state began urging drivers to use the zipper merge, and Missouri and Kansas followed this year. Johnson said other states also have contacted his office about Minnesotas experience with the traffic method. Zipper merging is a simple concept that kids seem to understand better than some adult drivers, Silvester said. That point is made in a video MoDOT released last week featuring children reacting to footage of adults using cardboard cars to make a zipper merge. When we saw the video, all of us were like, oh, my gosh, this is perfect, Silvester said. YIR - Civic Engagement Day 4.jpg Leadership Cleveland class of 2016 at Civic Engagement Day. (Courtesy of Leadership Cleveland) CLEVELAND, Ohio -- The Cleveland Leadership Center has selected participants in the Leadership Cleveland class of 2017. Leadership Cleveland is a 10-month program that empowers senior-level leaders with additional knowledge, skills and relationships to advance and deepen their community impact. It engages participants in opportunities to address community issues through collaborative civic leadership while developing a broader and more diverse set of relationships among peers. Class members are selected through a competitive and highly selective application process. "Leadership Cleveland is a key program among CLC's array of offerings that fulfills CLC's mission to build a continuum of civic leaders committed to our community's excellence," said CLC Board Chair Larry Oscar, managing partner of Hahn Loeser & Parks LLP and an alumnus of the Leadership Cleveland Class of 2009. "Leadership Cleveland classmates and graduates take active roles in being forces for positive and sustainable change in our region," he said. The program year begins with a retreat in September. A civically themed session day each month thereafter is built around an interactive curriculum featuring other civic, political and business leaders. A class trip in May allows participants to learn from civic engagement activities elsewhere around the country. After a commencement ceremony in June 2017, the graduates join more than 1,700 other community leaders who have completed the program in its past 38 years, and more than 6,000 members of the Cleveland Leadership Center Alumni Association. Here's this year's Class of 2017 roster: Jennifer Adams, Partner, Ulmer & Berne LLP Montrie Adams, President, Visibility Marketing Inc. Kevin Adelstein, President, Publisher & CEO Cleveland Jewish Publication Co. Elizabeth Allen, Senior Vice President, External Affairs, The MetroHealth System Jennifer Ansberry, Vice President, Deputy General Counsel, Lincoln Electric Patricia Britt, City Clerk, Clerk of Council Cleveland City Council James Butler, Partner, Ernst & Young LLP Michael Byun, Chief Executive Officer, Asian Services In Action Theodore Carter, Chief Economic Development & Business Officer, Cuyahoga County Flounsay Caver, District Director, Greater Cleveland Regional Transit Authority Darrell Clay Attorney, Partner, Walter | Haverfield LLP Conor Coakley, Vice President, CBRE, Inc. Ursula Cottone, Chief Data Officer, Citizens Bank Jane Cronin-Klaver, Vice President, Audit and Loss Prevention, The Sherwin-Williams Co. Domonic DeLuca, Special Projects Division Manager, Turner Construction Co. Stephanie Dorsey, SVP, Strategic Initiatives and Corporate Operations, Forest City Realty Trust Kevin Ennis, Business Representative, Indiana/Kentucky/Ohio Regional Council of Carpenters Susan Ertle, Executive Director, Friends of Breakthrough Schools William Michael Fleming, Executive Director, St. Clair Superior Development Corp. William Gary, Executive Vice President, Workforce, Community and Economic Development, Cuyahoga Community College Lisa Gavales, Chairman, President and CEO, Things Remembered James Geuther, Regional President, JPMorgan Chase Orlando Grant, Executive Director, The Life Exchange Center Janice Groza, Community Investment Leader and Executive Director, Westfield Insurance Foundation, Westfield Insurance Fr. Raymond Guiao, S.J., President, Saint Ignatius High School Stephen Hansler, Executive Director, Maximum Accessible Housing of Ohio Jeffrey Healy, Partner/Partner in Charge of Ohio/Practice Group Leader, Tucker Ellis LLP Jill Hennessey, Northern Ohio Market Leader, Commercial Banking, PNC Bank Susan Infeld, Mayor City of University Heights Carlos Jackson, Senior Director, Government Relations Cleveland Clinic David Jarus, Global R&D Director, PolyOne Corp. Ethan Karp, President and CEO MAGNET Katie Kelly, Executive Director, PRE4CLE The Educational Service Center of Cuyahoga County Timothy Knight, President, Advance Ohio Christopher Koehler, Partner, Frantz Ward LLP Heather Lennox, Partner-in-Charge, Jones Day David Loomis, Managing Director, Dix & Eaton Clyde Miles, Chief Marketing Officer, The Adcom Group, Inc. Michael Murphy, President, Gravitas Ventures Mark Olson, Principal, Bialosky + Partners Michael Parks, CEO, American Red Cross Jill Paulsen, Deputy Director, Cuyahoga Arts & Culture Daniel Peck, CEO, FileVault, LLC Lissy Rand, Program Officer, Deaconess Foundation Neal Restivo, Executive Vice President and Chief Financial Officer, Oatey Co. Kimberly Riley, President, Hylant Joseph Roszak, Chief Operating Officer, Cleveland Metroparks June Ryan, Commander, Ninth Coast Guard District U.S. Coast Guard John Scanlan, Chief Financial Officer, Cleveland Metropolitan School District Jack Schron, Jr., President, Jergens, Inc. Michele Scott Taylor, Chief Program Officer, College Now Greater Cleveland Thomas Snowberger, Chief Human Resources Officer, University Hospitals Health System Jon Steiger, Director, East Central Region Federal Trade Commission Adam Stern, President, Advance Partners Heather Stoll, Vice President of External Affairs, Sisters of Charity Health System Jason Therrien, President, thunder::tech Heather Thiltgen, Senior Vice President, Individual and Government Programs, Medical Mutual of Ohio Michelle Tomallo, President | CoFounder FIT Technologies David Turne, External Affairs Manager, FirstEnergy Theodore Wagner, Cleveland Office Managing Partner, Bober Markey Fedorovich Robert Walker, Managing Director, Central Region President, The PrivateBank Jeffery Weaver, Executive Vice President & Group Head of Credit Portfolio Management, KeyCorp Ken Weber, President & CEO, Goodwill Industries of Greater Cleveland and East Central Ohio, Inc. Calvin Williams, Chief of Police, City of Cleveland Sonali Wilson, General Counsel, Cleveland State University Thomas Wynne, Vice President, General Counsel & Secretary, The Interlake Steamship Co. Visit www.cleveleads.org/LC for more about the program and a link to a roster of the LC Class of 2017. DDR Corp. Headquarters - Beachwood OH.jpg DDR Corp., a publicly traded real estate investment trust, has its headquarters on Richmond Road in Beachwood. (DDR Corp.; Roger Mastroianni ) BEACHWOOD, Ohio -- DDR Corp. has fired its chief executive officer and elevated a board member to the top job, continuing a two-year run of executive-level churn for the Beachwood-based retail landlord. In a Monday morning news release, the company said that David Oakes is no longer its CEO, president and interim chief financial officer. He's been replaced by Thomas August, a longtime real estate executive who sits on publicly traded DDR's board. "Mr. Oakes' termination was not related to the company's financial or operating results or to any disagreements or concerns regarding the company's financial or reporting practices," the company said. DDR did not explain what, exactly, prompted the company to jettison Oakes. A spokesman declined to discuss Oakes' departure and August's appointment. A regulatory filing states that Oakes won't receive a severance payment. "Whatever happened, I don't think it was good," said Rich Moore, a Solon-based analyst who follows DDR for RBC Capital Markets. "It's too early for the rumor mill. The rumor mill will get going here pretty soon." Under an employment agreement he entered with DDR in May, Oakes was eligible for more than $2.4 million in cash if he left under favorable circumstances. DDR was paying the 38-year-old a base salary of $750,000 a year. "I don't think that anybody saw this coming, because this was event-driven, not a culmination of events" Jason White, a senior analyst with Green Street Advisors, said of Oakes' firing. "I don't know what that is," White said of the reason for Oakes' departure. "I can't even really speculate." August, who is 68, agreed to enter a three-year employment agreement with DDR. The terms of that deal are being finalized, according to a regulatory filing. A DDR board member only since May, he previously was CEO and president at Equity Office Property Trust, a real estate investment trust controlled by the Blackstone Group, a private-equity giant that has partnered with DDR on shopping-center deals over past few years. August holds a bachelor's degree from Brandeis University and an MBA from Boston University. White described August as "a grizzled veteran" who can bring stability to DDR, a real estate investment trust that owns and manages 349 shopping centers across the United States and Puerto Rico. "I think that the new CEO, who was a board member, is a well-respected member of the REIT community," White said. "He doesn't really have any retail experience. He's more of an office-product-type guy. But he's a very proven leader. He can marshal the troops." The shakeup at DDR extended to two other high-ranking positions. Christa Vesy became interim chief financial officer. Oakes had been juggling that role for the past few months, after CFO Luke Petherbridge left for another job. Vesy has been DDR's chief accounting officer since 2006 and an executive vice president since 2012. The company still is searching for a new chief financial officer. Vincent Corno, the former senior vice president of real estate for Dick's Sporting Goods, Inc., joined DDR as executive vice president of leasing and development, in a move that seems to forecast more changes. The company said Corno will work closely with Paul Freddo, senior vice president of leasing and development, in the "transition of Mr. Freddo's responsibilities, which the company anticipates will extend beyond the end of 2016." Freddo, who at 60 is approaching retirement, has been a consistent presence on DDR's management team since 2008, through multiple administrations. "Vince Corno ... has a very, very strong background," Moore said. "He was at Saks. He was at May Company. He knows retail real estate from the retailer side. I've been on a couple of panels with him, and he's really, really good." Monday's announcement marks DDR's third CEO change since 2009. Longtime chief executive Scott Wolstein stepped down late that year, in the wake of the financial crisis. Daniel Hurwitz, who took the helm in January 2010, left in early 2015. Oakes held the chief executive job for 17 months after a less-than-auspicious start, since the board took months to choose him as Hurwitz's replacement. A former portfolio manager and research analyst, Oakes joined DDR in 2007 as chief investment officer and became chief financial officer in 2010 and president in 2013. When the board finally tapped him as chief executive, onlookers and company insiders alike pointed to Oakes' experience as part of the team that brought DDR back from the brink after the recession. But Moore, who takes a lukewarm stance on the company as an investment, said Oakes never managed to spell out a clear, long-term strategy for the business. "I don't think the board was terribly happy with him," Moore said, noting that Oakes went several months without an employment contract early this year and that, ultimately, he was paid less than his industry peers. "You can look at it as good or bad," Moore added of Oakes' exit. "He had a troubled tenure and, as such, maybe it's a good thing, because they will get back on track. ... But I'm going to say that there's more confusion and more lack of certainty. So I don't know that it's really a positive." DDR's shares, listed on the New York Stock Exchange, closed trading Monday at $19.23, up 2.9 percent or 55 cents. CLEVELAND, Ohio - Cleveland has many cool spots to visit. The video above features my top five picks to get a flavor of the city. Consider it one travel guide as thousands of people descend on Cleveland for the Republican National Convention, or a tip sheet for visitors and locals wishing to explore the city in different ways afterwards. My picks, described in the video, range from my favorite building in town - the West Side Market - to my favorite street - Mayfield Road in Little Italy. Also included are the Great Lakes Brewing Co., University Circle and a patio restaurant along the Cuyahoga River. Share your ideas in the comment section below. CLEVELAND HEIGHTS, Ohio -- Lakewood and Cleveland Heights, one on each side of Cleveland. Both built of stately homes and sidewalks, parks and store fronts. The communities boomed in the era of streetcars. And despite a sometimes faltering central city and the siren sprawl of greener suburban frontier, they thrived for decades, as their houses and infrastructure aged, expressways were built and newer developments were erected farther and farther out. Now, roughly a century since the two became cities, both are attracting cyclists and foodies. They have walkable business districts and vaunted libraries. And their small business communities remain vibrant -- a coup when big box stores regularly shed their old hulks as they move outward. But while for years the two seemed even -- hip spots for liberals to live, suburbanites to see movies and eat at independent restaurants -- Lakewood in recent years seems to be surging ahead. Lakewood is a beacon for Cleveland's gay community and young professionals, who live in lakefront apartments and walk to trendy bars. It has weathered the recession and state cuts without raising taxes. Its schools are acceptable, its housing market is hot and its business community is booming, despite the loss of the beloved local hospital. Moreover, the city has a shiny patina of cool. Cleveland Heights was hit harder by the housing crisis. Its schools are struggling, its tax rates are higher and while its crime rate is not worrisome, it must battle the perception of a crime problem. It's also figuring out what to do with vacancies at Severance Center. After covering these single issues for years on cleveland.com, our series Inner-ring Divide will delve into them as a group. Over five days, reporters will chronicle at the general challenges inner-ring suburbs face in an era of shrinking resources and aging infrastructure, and bore into the specifics of two of Cleveland's oldest, most storied communities. We'll explain education, demographics, crime, geography, government, business climate and housing market: the basics that make up a community. We hope residents will chime in with tweets, comments, snaps and selfie videos about what they love about their towns: email ljohnston@cleveland.com, snap clevelanddotcom and tweet @clevelanddotcom using the hashtags #ThisisCleHts and #ThisisLwood. Cleveland Heights resident Chris Quinn, cleveland.com's vice president of content, Lakewood resident Mary Kilpatrick, a politics reporter, and I will answer questions about their towns Friday in an Ask Me Anything on Reddit. We live in these towns, and we love them, too. Adrine.jpg Cleveland Municipal Court Judge Ronald Adrine said judges could make better decisions when setting bail if they had more information about defendants. He hopes to implement a new bail system by the end of the year. (Plain Dealer file photo) CLEVELAND, Ohio -- Cleveland Municipal Court Judge Ronald Adrine wants to move fast to change a bail system that needlessly keeps some defendants in jail because of their inability to pay for their release. Adrine hopes to see reforms in place by the end of the year, he told cleveland.com in an interview for Impact 2016: Justice for All, a series that highlights the inequities of the bail system and looks for possible reforms. Adrine said he and other municipal court officials will meet Tuesday with the Arnold Foundation, an organization that helps courts revamp their systems, to discuss a risk-assessment method that could help judges make more informed decisions when setting bail. The goal is to release more defendants without making them post money. If Cleveland Municipal Court adopts the assessment, it will be the first court in the county to do so, and could be a catalyst for change in the Cuyahoga County Common Pleas Court, where Chief Judge John J. Russo is studying whether bail reform is needed. Under the current system, the county's 13 municipal courts are where people accused of crimes make their first appearances before judges and where they get their first chance at being released on bail. But municipal court judges have limited information to help them gauge whether a defendant poses a risk to society or is likely to skip court appearances, Adrine said. Without more information, judges often err on the side of caution and set bails at levels defendants can't afford, forcing some accused of low-level offenses to languish behind bars while their cases are resolved. "The way we set bond now has no nexus to anything real," Adrine said. "It's a guess. It's our best guess based on the information we have at the point the person appears. There is no science to it." The unnecessary jail stays cost taxpayers $120 a day for each defendant, according to the Cleveland Department of Corrections. Advocates for reform also contend that even a brief jail stay can upend defendants' lives, cause them to lose their jobs or interfere with child-care arrangements The Arnold Foundation has designed a highly regarded assessment method that calculates a defendant's risk of committing new crimes or failing to show up for court appearances based on nine factors, including their age, criminal history and previously missed court appearances. "This whole thing with regard to risk assessment tools and making bond decisions based upon evidence, is something that just makes all kinds of sense," Adrine said. "There are some people who no matter how much money they have, once you've arrested them probably should never see the light of day again. There are other people who don't have any money, but that we make post some form of monetary bond who were never going to be a risk." Russo agreed to study whether bail reform is needed after cleveland.com launched its series with a look at courts in the District of Columbia, where many defendants are released without bail and within 24 hours of their arrests. The chief judge has formed committees made up of people interested in the court system that will begin in August to collect data about the county's bail. Russo said his court would decide if bail reform is necessary once their work is complete. Adrine did not sign up for one of the committees, but said other judges from the municipal court, including Joe Zone and Suzan Sweeney, will represent the court. He said he believes the Cleveland Municipal Court can move ahead with bail reform without having to coordinate with the common pleas court. Typically, municipal court judges set bail within 48 hours for all arrests. They retain misdemeanor cases in municipal court while sending felony cases to common pleas court. Adrine said he realized the court needed to change last year, when he attended a seminar in which Arnold Foundation officials and District of Columbia judges spoke about how ending needless jail stays brings fairness to the criminal justice system The seminar "was transformative for me," Adrine said. "I looked at those things and thought to myself, 'Oh my God, I may have been doing this wrong for the last 35 years. "So when I came back home, I came back energized." Adrine hopes to begin by using the risk assessments on people charged with non-violent felonies, something he believes the court can do with its existing resources. If successful, the court will consider the system for all defendants. "My feeling is if we get a good risk assessment tool we can employ that, and it would allow us to make good decisions at least for some of the things we are currently keeping people incarcerated for." CLEVELAND, Ohio -- Court officials sent employees home early Monday as protesters gathered outside the Justice Center to protest police violence. Darren Toms, a spokesman for the Cuyahoga County Common Pleas Court, said that employees were told to go home around 3 p.m., and that judges are ending proceedings early. A skeleton crew will remain behind in order to keep essential functions running Toms said the closure is due to a march that could be disruptive to court activities. There is currently no protesting going on outside the Justice Center. The Solidarity March for Justice for Alton Sterling and Philando Castile began about 4:30 p.m. at Willard Park. The protesters marched to the Justice Center followed by a police bike patrol. Sterling was shot to death last week while selling CDs outside a Baton Rouge, Louisiana convenience store. Castile was shot the next day during a traffic stop in a suburb of St. Paul, Minnesota. An estimated 40 people walked through the streets of downtown on a day where protests continue to rage in Louisiana. Numerous demonstrations in Los Angeles, New York and other major cities took place over the weekend. The protesters shut down the westbound lane of the Detroit-Superior bridge shortly after 5 p.m. They shouted, No justice, no peace" as they marched. Follow the developing demonstration on Twitter: CLEVELAND, Ohio -- Alexandria Winegarner told her mother she was going out with some friends Friday night. Michelle Johnson said goodbye to her daughter around 8:30 p.m. It was the last time she saw her daughter alive. Alexandria Winegarner, Takia Jackson and Daija Wynne, all 17 of Euclid, died in a car crash later that night. Family and friends gathered Sunday evening at the site of the crash in the 1800 block of Kirby Avenue, where a memorial of candles, flowers and teddy bears lies at the foot of a tree damaged from the impact of the car they were riding in. "I just want answers," Johnson said. "I'm just trying to process this." The driver, 20-year-old, Kareem H. Walton, is charged with three counts of aggravated vehicular homicide, and two counts of aggravated vehicle assault, police said. Walton was driving westbound in a 2006 Pontiac G6 recklessly and well in excess of the 25 mph posted speed limit when he lost control, police said. Four of the passengers were ejected onto the roadway. Two unidentified passengers were hospitalized with severe head trauma. Johnson broke down in tears as family and friends hugged her. It was her first visit to the crash site, but she had to come to the place where her daughter "took her last breath, where they picked her up from the ground," Johnson said. Broken glass still laid on the street, and tire tracks were still visible in the roadway. Alexandria -- affectionately called "Poopie" by her family -- sent an Instagram message to a friend around 11:30 p.m. saying she was tired and she was getting ready to go home, Johnson said. That's where they may have been going when they crashed, she said. According to police, the accident happened around midnight. Alexandria and Daija were good friends and both just finished their junior year at Euclid High School, Johnson said. Alexandria was going to be a model. Jackson and Johnson said they didn't know Walton. Takia's grandfather, Jerry Jackson, said it still didn't feel real Sunday. "I still can't believe it; I can't believe she's gone," Jackson said. Daija's family members could not be reached. Johnson's son died of cancer two years ago, but this is different, she said. Johnson was so sick after identifying her daughter's body that she had to go to the hospital for treatment. She can't bear to stay at the family's home since Alexandria is gone. Johnson said, "Just trying to put it in my head that she's not there, she's not going to be coming there, it's just not home anymore." If you wish to discuss or comment on this story, please visit our crime and courts comments section. Like Chanda Neely on Facebook. Follow me on Twitter: AKRON, Ohio -- Michael Holmes just wanted to spend more time with his father. Ray Holmes worked as a bricklayer for more than a quarter-century. The toll it took on his body led to an 18-year prescription pain pill addiction. His 25-year-old son never said a word about it to anyone. "I didn't let the heroin or any of the partying take away from my time with my dad, as long as I still got to be with my dad," Michael Holmes said. "That's all that mattered to me. Now I regret not saying something a lot sooner. I should have got on his ass and told him how pissed off I was." Roy Holmes, 46, died Jan. 8 of a heroin and fentanyl overdose. He was the second of some 160 who died from overdosing on the drugs in Summit County in 2015. He started out as a bricklayer for Allied Bricklayers and Craftworkers Local 7 union when 20. The hard work broke down his body over the years and he eventually required surgery to have pins placed throughout his arm. That's when he started an 18-year pill addiction. Roy Holmes kept working and kept spending time with his son. He encouraged his sone to get into the construction business where the two sometimes worked side-by-side. He also encouraged his son to get into the bricklayers union. "One of the last things we talked about was that he was upset I hadn't really got my foot in the door in much of anything," Michael Holmes said. He also loved riding his Harley Davidson motorcycle and hanging out with his friends in the Kenmore bars. His best friend and motorcycle-riding partner died, he was stressed out from caring for his paralyzed mother and became depressed, Michael Holmes said. Doctors cut Roy Holmes off from his pain medication in 2014 and started using heroin. He overdosed 16 times that year but survived each time, his son said. After the last one before his death, he told his son he wanted to change both of their lives. They started working out together and planned more fishing trips. They also planned a trip to visit family in Florida. That's why his son never said anything to his mom or aunt. He wanted to spend time with his dad and didn't want people getting the wrong impression. "I didn't want people to look at my dad as a drug addict or a failure or that he didn't care about anything," he said. His dad stayed with him for two weeks around the Christmas holiday in 2014. He overdosed again about a week before his death. As Michael Holmes drove his dad home from the hospital, his dad called area rehab clinics trying to get into their programs. One said it could get him in later in the week, but weren't able to offer him any immediate help since he no longer had the drug in his system, Michael Holmes said. "After that we gave up," Michael Holmes said. He said his father's girlfriend could sense things were getting worse. She told him he needed to get sober. "She told him, 'Roy, I can't be the one to go to your son's house and tell him you're not alive anymore,'" Michael Holmes said. "And sure as s--t, that's what happened." A friend went to pick up Roy Holmes for work the morning of Jan. 8, 2015 at his apartment on Hancock Avenue. He found him dead on the floor and called 911. His son is still struggling to come to terms with his death. "It's hard to play all happy, like I'm doing good and have everything figured out, look at me, blah, blah, blah," Michael Holmes said. "For my family in Barberton, I have to act all right. I'm weird like that. I don't like acting like anything fazes me. So I just bottle it down." CLEVELAND, Ohio - Snapchat is cool and these users will prove it. Snapchat is said to be the most authentic or "real" social media platform because it encourages users to live in the moment. Users can also post stuff without worrying it'll be online for the rest of their lives because Snapchat's content either lives for a few seconds or 24 hours max. The video- and photo-sharing app allows you to see some really fascinating content, such as plastic surgery in real time, lots of cats, and Paris Hilton's incredible closet. Snapchat gives you a glimpse into the lives of your favorite celebrities and athletes. It takes you all over the world by following travel bloggers as they snap their adventures. And the app gives you another way to catch up on news you might have missed. Don't have the app and not sure how to get started? Here's a tutorial to get you signed up and following accounts (or watch the video above). As cleveland.com's reigning Snap Queen, here are 29 of my favorite accounts on Snapchat. Pro tip: The first five accounts on my list are important ones to follow the next two weeks for the Republican National Convention. Add cleveland.com on Snapchat. cleveland.com: Audrey Spencer: Mark Kaye: Ali Spagnola: Wysamx: WTFfacts: Dr. Miami: Paris Hilton: Kylie Jenner: Anna Victoria: Techcrunch: Sara Hopkins: The Verge: Jared Leto: Entertainment Weekly: Richard Jefferson: Chrisspy: The New York Times: The Washington Post: The Cavaliers: The Indians: Pouya!: Chris Pratt: Evan Garber: Jen Chae: NatGeo: Frankie: Usher: Joe Jonas: Honorable mention: Taco Bell. Yes, the fast-food joint where you can find menu items like the Quesarito and tacos filled with Doritos made my list. Why? T Bell snaps about love and tacos. Username: tacobell Need more accounts to follow? Here's a list of 27 celebrities to follow. Britain's vote to leave the European Union is adding to a world of uncertainty that could last years, BlackRock's global chief investment strategist told CNBC on Monday. "This feels more and more like we're in an environment of low returns and high volatility for some time," Richard Turnill said on "Squawk Box," citing slower growth in Britain and rest of the Europe. "There's [also] going to be some impact on the rest of the world." "The period of political [Brexit] uncertainty ahead of us isn't going to last for weeks or quarters, but potentially for years," he said. Some of the immediate political uncertainty may be abating, with U.K. Interior Minister Theresa May likely to succeed Prime Minister David Cameron as Conservative Party leader after lawmaker Andrea Leadsom pulled out of the race. And with near-term investment community concerns about the Brexit vote also fading, the was taking aim at record highs ahead of Monday's opening bell on Wall Street. But Turnill said the long-term forces were still stacked against investors. "It's very hard to find value in fixed-income anywhere. Today, yields are at exceptionally low levels," he said. But he recommended two areas to explore: investment-grade credit bonds with low default ratings and emerging market debt. To deal with what he expects to be slowing U.K. growth, Turnill sees an interest rate cut by the Bank of England later this week and possibly quantitative easing stimulus measures. "We're [also] expecting more QE in Europe ... more QE from the Bank of Japan later this year, and we now have the Fed, which looks like it's on hold for the foreseeable future," he added, predicting bond yields won't be rising anytime soon. Meanwhile, U.K. companies with a heavy exposure to exporting stand to benefit from the fall in the pound, Turnill said. A decline in Britain's currency makes goods sold by U.K. companies overseas cheaper, which can give them a pricing advantage over their foreign competitors. "Eighty percent of revenues from the FTSE-100 come from outside the U.K.," he said, which would explain why the key measure of the British stock market has recovered so sharply from its initial Brexit plunge. Boeing CEO Dennis Muilenburg told CNBC Monday he sees "significant opportunity" in selling aircraft to Iran, but noted that the company must follow the U.S. government's lead. Speaking at the Farnborough Air Show in London, Muilenburg said Boeing is simply asking for "equal treatment" if Boeing is denied a license to do business with Iran, no U.S. company should be allowed to sell planes to the country, he said. Ahead of this Thursday's one-year anniversary of the Iran nuclear deal, which ended international sanctions, the Republican-led House last week approved measures aimed at blocking U.S. companies from selling commercial passenger aircraft to Tehran. Last month, Boeing announced a deal to sell about 80 planes to Iran Air at list prices of $17.6 billion. In January, Iran Air agreed in to buy 118 jets worth $27 billion at list prices from the European consortium Airbus. The Airbus deal was also conditional on American export licenses because of the quantity of U.S.-built parts. A Bombardier C-Series CS-100, operated by Swiss Air, is taking to the skies for a demonstration flight at the annual aerospace showcase Monday. A new jetliner with wider passenger seats is wowing airplane fans at the Farnborough Airshow in the U.K. this week. According to Bombardier, the window and aisle seats in the plane are 18.5 inches (47cm) wide, while the middle seat is 19 inches wide, offering nearly 2 inches more room than found on similar-sized planes built by Boeing and Airbus. Alain Bellemare, CEO of Bombardier, says the concept of a 3-plus-2 seat aisle was about improved customer experience. "We wanted people to feel good about flying the aircraft. The concept was to provide a bit more room for people in the middle seat and the good news is we only have one middle seat," he told CNBC Monday. Bellemare also believes the wider aisle layout will allow for quicker embarking and disembarking of passengers. The CS-100, which can fly between 108 to 133 passengers, also comes equipped with taller lavatories than typically found on commercial jet liners. In April this year, Bombardier confirmed in a press release that Delta Airlines is to buy 75 CS-100 aircraft with an option to purchase 50 more. Based on the list price of the CS-100 aircraft, the firm order is valued at approximately $5.6 billion. The plane's development has run late, weighing on Bombardier's stock price and triggering the replacement of most of its executive team. It's that time again! Jim Cramer rang the lightning round bell, which means he gave his take on caller favorite stocks at rapid speed: Chegg : "The stock has moved up markedly since Dan [Rosensweig, CEO] was here last time. But I still think it's a wait-and-see situation given the fact that the last quarter was not all that hot." Teladoc : "Teladoc is my problem. Too much competition in the Teladoc world! No, thank you." Cal-Maine Foods : "People want to do this one because of the short position in the egg business. No! I don't want to touch it. Don't buy." First Solar : "I stick by First Solar, but right now I'm not looking good." Indonesian officials continuously characterise the ULMWP (United Liberation Movement for West Papua) as a group representing overseas Papuans. But in fact we know that the ULMWP has huge support in Papua. So there is a precedent within the MSG for the West Papuans to have membership. The author hasn't mentioned that New Caledonia's FLNKS (Kanak Socialist National Liberation Front) indigenous Kanak movement is the fifth full member of the Melanesian Spearhead Group (MSG) and has an aspiration for, and timetable towards, a possible decision on independence. THE opinion piece by Sade Bimantara, Melanesias spearhead strong man or sick puppy, seems rather misleading. In the last couple of months there have been mass demonstrations by Papuans in cities of Papua, and even elsewhere in eastern Indonesia, voicing support for the liberation movement. On one day of demonstrations - 2 May - police in Jayapura detained around 2,000 Papuans for their involvement - understood to be a record number of arrests. The most visible of the ULMWP's leaders are based in exile, yes, but there are obvious reasons why they must operate from abroad. As for the spurious claim about Indonesia having 11 million Melanesians, this was according to Indonesian specialist Richard Chauvel and was an old diplomatic strategy of Jakarta's which it used when it was arguing with the Dutch about who "owned" West Papua leading up to the transfer in the 1960s. It's become of use again since 2011 when Indonesia was brought into the MSG as observer under Fiji's watch. The claim is questionable. It is interesting that Roy Mickey-Joy is quoted in the context of the article referring to disruptive influences at the MSG. Rather than the ULMWP, Mickey-Joy was alluding to the kind of forces at play behind the scenes which resulted in Fiji "announcing" the new MSG chairman, Fiji diplomat Amena Yauvoli, shortly after the Fiji government had received a visit by Indonesian coordinating political minister Luhut Pandjaitan and his state cheque book. The announcement came before other member states were fully consulted. Both PNG and Vanuatu objected to the announcement, although since then the matter has been "resolved" and it seems Yauvoli will stay on in the role. MSG's chronic funding problems mean it is now more prone to outside influence than ever. Another thing: why is the author referring to the MSG's almost "one decade of existence"? The MSG was created in the 1980s. That makes it about 30 years old by my math. In one of his recent Papua opinion pieces, Mr Sade portrayed the Liberation Movement as a terrorist group. Did the full members of the MSG seriously think they were linking up with terrorists when they admitted the ULMWP into the group with observer status last year? My guess is we can expect more of these misleading articles because Indonesian officials are scrambling as the internationalisation of the West Papua issue grows. "I expect that to happen. I deal with reality and I firmly expect that application will be made," Merkel added when asked if she thought there was a chance that Brexit would not happen. "The decision (of Britons to leave the EU) has been taken and the next step is and Britain will do this only when they have a new prime minister to invoke Article 50 ," she told public broadcaster ZDF on Sunday, Reuters reported. Speaking to national broadcaster ZDF at the weekend, Merkel warned that Britain would have to accept the good with the bad in its future relationship with the union and reiterated that there would be no "cherry picking" with the U.K. able to pick and choose which aspects of EU life it wanted to keep. German Chancellor Angela Merkel has said she expects the U.K. to kick off its European Union (EU) exit negotiations as soon as a new prime minister is in place and that there would be no turning back from the decision to leave the bloc. As leader of Europe's most powerful nation Germany, Merkel is expected to be influential over the tone of talks with Britain when its negotiations over a Brexit take place. U.K. Prime Minister David Cameron resigned following the June 23 referendum when 52 percent of voters opted to leave the economic and political bloc. The vote caused turmoil in financial markets as well as the U.K.'s political establishment with a leadership race now underway to find the next leader of the ruling Conservative party and next prime minister. Two women are in the running for the position, Home Secretary Theresa May and Energy Minister Andrea Leadsom, and whoever wins will have the difficult task of overseeing potentially awkward Brexit talks. Problematically, the U.K. would like to maintain its lucrative access to the EU's single market and 500 million consumers but to do so must accept the EU's founding principles, known as the "four freedoms," that guarantee the free movement of goods, capital, services, and people. The latter freedom is a sore point for a portion of the population who voted for Brexit in order to limit immigration, however. There is also the so-called "Norway option." Norway is not a member of the EU but is a member of the European Economic Area (EEA) which gives it access to the single market. Norwegian Prime Minister Erna Solberg told CNBC that Norway has had to accept the EU's rules, however. "I think the Norway option is the best for Norway," Solberg told CNBC on the sidelines of the NATO summit over the weekend. "And I think for small countries it is a good agreement. I doubt that those who were arguing for leave will be satisfied with the fact that we have the four freedoms - we are, we are fully members of Schengen (a passport-free movement agreement), and we are in fact in some areas more integrated with the EU structures than Britain was before, especially on the Schengen part. But I think it's up to the Brits to decide what they want to opt for, what is the core elements that they would like to participate with, continue to work with the EU?," she said. "If you want freedom of trade and services, people are moving with the services," she noted. "It might be possible to have an arrangement where you can access parts of that market, but can you have it all? I think it's going to be difficult especially on the services side which Britain is big on. It's a big country when delivering services. But that's up to the Brits to decide what they want and of course the negotiation with the EU." Hamilton, Bermuda, July 11, 2016 (GLOBE NEWSWIRE) -- Golar LNG Partners LP advises that its 2016 Annual General Meeting will be held on September 28, 2016. The record date for voting at the Annual General Meeting is set to August 3, 2016. The notice, agenda and associated material will be distributed prior to the meeting. Golar LNG Partners LP Hamilton, Bermuda July 11, 2016 This information is subject of the disclosure requirements pursuant to section 5-12 of the Norwegian Securities Trading Act. HUG#2027672 CONTACT: Stuart Buchanan Stuart.Buchanan@golar.com +442070637911 Roger Swan roger.swan@golar.com +44 207 063 7913Source:Golar LNG Partners L.P. MCLEAN, Va., July 11, 2016 (GLOBE NEWSWIRE) -- Northrop Grumman Corporation (NYSE:NOC) has been awarded a $52.7 million contract by the Centers for Disease Control and Prevention (CDC) to provide a range of technical services for digital tools and systems in support of the National Center for Chronic Disease Prevention and Health Promotion (NCCDPHP). Chronic diseases account for most deaths in the United States. The CDC's Chronic Disease Prevention System brings together data, health care systems and communities to promote healthy choices and minimize health risk behaviors for all citizens. This work supports the Office of Informatics and Information Resource Management within the NCCDPHP, which is responsible for IT services, application development support, tools, procedures and portfolio management to support this prevention system. "As the CDC advances technology and informatics, we are proud of our continued collaborative partnership with this customer," said Amy Caro, vice president, health solutions, Northrop Grumman Technology Services. "As the incumbent contractor supporting NCCDPHP, Northrop Grumman is motivated by the better health outcomes that can be seen in the reduction of chronic disease and the impactful change within the health care systems as well as in the behaviors of citizens." The award is a base-year contract with four option years. This recompete contract is for the previous NCCDPHP Application Portfolio Support and Information Services work order. In the earlier task order, the team's focus was on reducing portfolio complexity. Today, Northrop Grumman's offering is designed to cultivate and grow value across the project portfolio by expanding on our tailored delivery and management processes to provide support that is responsive, flexible and innovative. Northrop Grumman is leading a team including TekSystems, AddiTech and St. John Group. Northrop Grumman is a leading global security company providing innovative systems, products and solutions in autonomous systems, cyber, C4ISR, strike, and logistics and modernization to government and commercial customers worldwide. Please visit www.northropgrumman.com for more information. CONTACT: Mike White 703-713-4555 (office) 703-475-9915 (mobile) mike.white2@ngc.com RICHMOND, Va., July 11, 2016 (GLOBE NEWSWIRE) -- Capital Square 1031, LLC, a leading sponsor of replacement property for Section 1031 exchanges, announced today that Will Powers has joined the firm as senior vice president of sales, responsible for the Northeast. Prior to joining Capital Square, Powers was a regional vice president with PTX Securities, a managing broker-dealer assisting sponsors in the design and engineering of securities programs. Previously, he was a regional vice president of sales with Preferred Apartment Communities, representing the New England territory. During his career, Powers has worked with several sponsors of non-traded real estate investment trusts, Delaware statutory trusts, and private placement programs. He also founded his own broker-dealer specializing in 1031 transactions. Will joins Capital Square with more than two decades of experience in investment sales and consulting, bringing with him strong relationships throughout the industry and the northeast, said Louis Rogers, founder and chief executive officer of Capital Square 1031. He has a deep understanding of DSTs and Section 1031 exchanges, and will be a great addition to our company as we continue our growth. As of June 30, Capital Square 1031s real estate portfolio includes 50 real estate assets valued at over $485 million, based on investment cost. Powers earned a bachelors degree from Emmanuel College. He is a registered representative with FINRA and holds Series 7, 24, 63, and 79 licenses. About Capital Square 1031 Capital Square 1031 specializes in the creation and management of commercial real estate investment programs for Section 1031 exchange and other investors using the Delaware Statutory Trust structure. As of June 30, 2016, the company oversees a growing national portfolio of 50 real estate assets valued at over $485 million, based on investment cost. Louis J. Rogers, founder and chief executive officer of Capital Square, has been involved in the creation and management of more than 125 investment offerings totaling over $3 billion, including DST, Tenant-in-Common, numerous real estate funds, and multiple publicly registered non-traded Real Estate Investment Trusts. To learn more, visit www.CapitalSquare1031.com. Contact:Julie LeberSpotlight Marketing Communications949.427.5172, ext. 703julie@spotlightmarcom.com BOSTON, July 11, 2016 (GLOBE NEWSWIRE) -- Winthrop Realty Trust (Winthrop) (NYSE:FUR), announced that it will release its second quarter 2016 results on Thursday, July 28, 2016 prior to the market open. Management will host a conference call to discuss the Companys results at 12:00 PM Eastern Time. Interested parties may participate in this conference call by accessing the following: Please access the website or call the conference center at least fifteen minutes prior to the start of the call to download and install any necessary computer audio software and/or register for the call. A replay will be available after the call through midnight Eastern Time on August 28, 2016 by accessing: Webcast: http://www.winthropreit.com Telephone: (877) 481-4010; conference ID: 10052 Winthrop, headquartered in Boston, Massachusetts, is a NYSE-listed real estate investment trust (REIT). Winthrops shareholders adopted a plan of liquidation pursuant to which Winthrop is liquidating and winding down and, in connection therewith, is seeking to sell its assets in an orderly fashion to maximize shareholder value. For more information, please visit our website at www.winthropreit.com. Contact:Winthrop Realty TrustCarolyn TiffanyInvestor or Media InquiriesPhone: (617) 570-4606Email: ctiffany@firstwinthrop.com Source:Winthrop Realty Trust It's now or never for the #NeverTrump campaign and the Super PAC Delegates Unbound to stop Donald Trump from obtaining the official nod as the GOP presidential candidate. Heading into next week's GOP convention in Cleveland, one of the staunch supporters of Delegates Unbound is a former New Hampshire U.S. senator and current delegate, Gordon Humphrey. Humphrey, previously a John Kasich supporter, said the Delegates Unbound organization is about removing a candidate who is not fit for the presidency. "Trump is a sick sociopath. He has no conscience. No feelings of guilt, remorse, empathy or embarrassment. He has never apologized to Carly [Fiorina], the disabled reporter or Senator McCain on the horrible things he said about them," said Humphrey, who served two terms in the Senate. "He has severe personality disorders and is not fit to be president." The Trump campaign did not immediately respond to a request for comment from CNBC. Working full-time to "educate" the delegates to stand up for their rights of being free and able to vote for whomever they want is Humphrey's fundamental goal. "Delegates were elected to choose the candidate that is a fit to be president. They were not elected to be a rubber stamp," he said. Photographer | Collection | Getty Images After a week of woes for U.K. commercial property funds that pushed the pound towards a 31-year low, analysts and industry experts have pointed to a flaw in the design of these funds. "Open-ended funds are simply not suitable for illiquid assets such as commercial property," Danny Cox, a chartered financial planner at Hargreaves Lansdown, told CNBC via email. Cox explained that during the good times the money floods in and managers buy properties that they may not want but as money washes out, they become forced sellers. "Close-ended funds are much more suitable to gain exposure to commercial property, but even then they aren't perfect," Cox said. An open-ended fund functions like a collective investment scheme that can issue and redeem shares at any time; however a closed-ended fund works like a publicly traded company that is a structured, listed and traded like a stock on a stock exchange. Seven U.K. funds have suspended dealing after receiving high demand from investors to cash in their investments due to volatile market conditions in the wake of the U.K.'s vote to leave the European Union. These belong to Aberdeen Asset Management, Standard Life, Aviva, M&G Investments, Henderson Global Investors, Columbia Threadneedle and Canada Life. All seven funds were open-ended with exposure to commercial property. Aberdeen Asset Management announced on Monday that it would keep the suspension in place for two more days. The seven funds hold a total of around 15 billion ($19 billion) in investor money. Guidance from the watchdog On Friday last week, the Financial Conduct Authority (FCA) the U.K.'s City watchdog released a guidance note reminding fund managers of their duty to act in the best interests of investors by using a range of tools through periods of market disruption. "It is the duty of the fund manager to ensure that assets are valued fairly and accurately and to ensure that any subscriptions or redemptions of units take place at a fair price. Failure to do so may lead to some investors gaining at the expense of other investors in the same fund," the FCA said. The FCA said fund managers that had suspended dealings would need to consider when to resume in the interest of investors. It added that fund managers must clearly communicate with investors on the range of options available to them during the fund's suspension. "Funds holding a large proportion of assets that may be, in certain circumstances, illiquid or hard to value, such as commercial property, may consider that the suspension should be lifted and investors given the opportunity to redeem at a revised valuation of the units in the fund," the FCA said. It requested that managers of authorised funds contact the FCA in advance of any proposed suspension. At a Bank of England media conference last week, FCA CEO Andrew Bailey said funds' ability to suspend dealings created a pause to allow an orderly process of revaluation without differential treatment of investors. He added that he believed the structure of open-ended real estate funds needed to be reviewed. watch now "Open-ended property funds work for those who are happy to take a long-term view of commercial property investment and accept that at times they may not be able to access their money," Hargreaves Lansdown's Cox told CNBC. Cox added that it was important to take financial advice when investing in these funds. "It is incumbent upon IFAs (independent financial advisers) and other distributers to ensure clients understand the liquidity risks. It is easy to lose sight of these risks when you are seeing funds delivering double-digit returns." While some analysts have said it is the responsibility of the fund manager to ensure appropriate measures are taken in difficult market conditions, others think financial advisers should clearly explain the risks to investors. "The responsibility should be passed onto the advisers," Kusal Ariyawansa, a certified financial planner at wealth management firm Appleton Gerrard told CNBC via email. "It is the adviser's job to decide the allocation (if any) to property funds. If the investor needs immediate access to the money, they shouldn't be investing in the first place. If they have made an execution only call, they can't expect sympathy from a fund manager, CEO or the regulator . . . the risks are clearly explained," he said. Harry's cofounders and co-CEOS Jeff Raider and Andy Katz-Mayfield in their New York City headquarters. Andy Katz-Mayfield and Jeff Raider, co-founders of high-end men's shaving company, Harry's Shave Club, grew their company out of a desire to give men the best shave ever. And it worked: Harry's is now a billion-dollar brand. "We were just talking to people incessantly about razors and shaving and grooming and what they were doing," Raider told TODAY. "I mean, we were pulling our friends together at parties to have focus groups." More from Today.com: Bethenny Frankel gets real about women in business First African-American woman to own a billion-dollar business offers tips for success Cinnabon empire builder shares her top tips "You stopped getting invited to parties," laughed Katz-Mayfield. The pair met as college interns, and always dreamed of starting a company together. Now in their 30s, that simple idea has led to their major shake-up of the shaving industry. After traveling to Germany to check out the razor manufacturing process, the two purchased a factory there for about $100 million after a year of tough negotiations. Managing 500 German workers (and not speaking their language!), along with handling every other task involved in running such an enormous business, certainly hasn't been easy. But this isn't Raider's first rodeo. He founded Warby Parker, a high-end eyeglass company that's now worth over a billion dollars. Below, their three tips for success: The price of Lockheed Martin 's F-35 fighter jet is coming down as commercial sales of the pricey aircraft ramp up, CEO Marillyn Hewson said Monday. The F-35 made its first appearance at the world's largest military air show on Friday. The $379 billion warplane project is the largest arms program in the world. Six F-35 jets, including one owned by Britain, were on display at the Royal International Air Tattoo, drawing cheers from huge crowds two years after engine trouble and a fleetwide grounding prevented their international debut at the show. Launched 15 years ago, the world's largest weapons program was plagued for years by cost overruns and technical challenges, but with more than 180 F-35 jets now flying it is finally hitting its stride. "Now with that many aircraft delivered, we are down 57 percent from the first aircraft that was delivered, and we're on a path to be down to a price of an $85 million jet by 2019, which is comparable to a fourth generation aircraft," Hewson told CNBC's "Worldwide Exchange" on the sidelines of the Farnborough International Airshow in England. Lockheed is building three versions of the plane for the U.S. military, Britain, Turkey, Australia, Italy, Denmark, Norway, the Netherlands, Israel, Japan and South Korea. It said it expects to sell 3,000 jets in coming decades, with production expected to peak at around 170-180 planes a year around 2023. Denmark recently decided to buy 27 jets, Hewson noted. She said countries around the world do not see global security challenges diminishing. "They continue to focus on defense. And so you'll see a lot of countries that are actually increasing their defense spending because they need to address the threats that are out there," she said. The U.S. Department of Defense and Lockheed Martin are in the final stages of negotiations about two contracts for 160 fighter jets, tandem deals valued at more than $14 billion, the Pentagon's F-35 program manager said Saturday. Buying larger numbers of jets at a time starting with the 12th production batch of planes could generate savings of $2 billion to $2.8 billion, even if the U.S. military were not able to join in until it receiving congressional approval, Air Force Lt. Gen. Chris Bogdan told Reuters. Sources familiar with the two contracts said they would likely be valued $14 billion to $15 billion. Reuters contributed to this report. HoloLens, Microsoft's augmented reality play, takes the form of a headset. That's different than Nintedo's Pokemon Go, which has exploded in popularity by using mobile phones to let users interact with virtual cartoon characters in the "real world." "This Pokemon interest will hopefully will translate into a lot of interest in HoloLens," Nadella said Monday on CNBC's " Squawk on the Street ." Microsoft CEO Satya Nadella said he's happy that Pokemon Go was successful for Nintendo because it will be good for him, too. That huge mobile phone audience helped send Nintendo shares up 24.5 percent in the Japanese trading session Monday. Still, Nadella doesn't think that Microsoft missed the chance to have the next Pokemon rather, he sees enterprise applications of augmented reality headsets taking off in the next two years, as people can use augmented reality hands-free for things like education and training. "I think it's fantastic to see these augmented reality applications getting built, because the best thing that can happen when you're creating a new category is for applications that are these killer apps, whether it be game or in the industrial scenario, to get invested in," Nadella said. Even General Electric CEO Jeffrey Immelt said that by helping field engineers fix machinery better the first time, augmented reality could be worth $50 billion to industrial companies like GE. "I'm not a great gamer, so I can't really say how much that's worth but the industrial applications of this are going to be billions of dollars of productivity," Immelt said. He sees uses from refineries, to jet engines, to utility plants, he said. "If you get sick of your iPhone you just throw it away and get another one. You don't do that with a jet engine or a gas turbine," Immelt said. "So our ability to deliver ... more productivity tools is like gold for our customers." Dr Momis said Micahs statement that Kumul Minerals will keep the shares until then was misleading and mischievous nonsense intended to give the impression that somehow he and Kumul Minerals are in control of the shares and concerned to look after Bougainvilles interests. Kumul, Micah, and the national government have no role in relation to the 36.4% of BCL shares available to the ABG, Dr Momis said. The ABG has two months in which to decide whether to accept the transfer of the shares. Dr Momis was commenting on a claim by Mr Micah (pictured) that PNG-owned company Kumul Mineral Holdings Ltd will retain the 36.4% of Rios shares in BCL until the Autonomous Bougainville Government (ABG) accepts them. BOUGAINVILLE president Dr John Momis has described a statement on the Tinto shares in BCL by PNGs petroleum and energy minister Ben Micah as misleading and mischievous nonsense. Nothing could be further from the truth, he said. The Bougainville president accused Micah of trying to get control of Rio Tintos shares in BCL for over two years. He has had secret dealings with Rio, Dr Momis said. In December 2015, he told me that the national government must buy the Rio shares for US$100 million, in order to stop Rio selling them to outside interests. When I subsequently questioned Rio representatives in February they denied any such deal. Dr Momis said he had no trust at all in Mr Micah having any role in relation to the shares, adding that it seemed an evil and irresponsible move to make PNG equal shareholder in BCL together with the ABG has been cooked up between Rio and Mr Micah. That deal must now be undone, he said Dr Momiss position is that the ABG must be the majority shareholder in BCL with the PNG government retaining a role but only if the ABG controls mining policy and the company that owns the Panguna mine. We prefer to work with the national government, he said, but that must be on a basis where the ABG is in control of Bougainvilles mining. Dr Momis also commented on the recent by-election victory in South Bougainville by Timothy Masiu, a candidate from Mr Micahs Peoples Progress Party. The result does not indicate strong support in Bougainville for PPP far from it, Dr Momis said. Instead it was a victory for a well-known person from a well-known Buin area family, who happened to have strong financial support from the PPP party. The policies of the PPP and the role of its leader Mr Micah do not have support in Bougainville. If the voters of South Bougainville had known at the time they cast their votes that Mr Micah was arranging with Rio Tinto for the national government to become equal largest shareholder in BCL, then Mr. Masiu would have been completely rejected as a PPP candidate. The prime minister has bluntly turned a blind eye and a deaf ear to issues not only on the current crises but also on a number of serious allegations levelled against him which are still outstanding, he said. If the prime minister does not step down within the 48 hours given, then he can expect all major sectors and industries in the country to stop work by Wednesday morning, said spokesman, lawyer Moses Murray. PETER O'Neill faces a new threat to his leadership as a coalition of senior professionals across a range of organisations in the aviation, maritime, telecommunications, education and transport sectors have given him until Wednesday to step down as prime minister. One of the group's leaders, pilot Captain James Makop said the group wanted Mr O'Neill to resolve the allegations to end political tension in PNG. "No man, not even the prime minister, who is mandated by us, by the people of Papua New Guinea, on borrowed power, can raise himself above the rest of us and say he's above the law," he said. Captain Makop called on workers to quietly protest by not going to work on Wednesday. He said all planes will be grounded and there will be no flights from Port Moresby, Lae and Mt Hagen if the prime minister does not take th call seriously. Moses Murray said the coalition of "concerned citizens" was motivated to act after police shot protesting students at the University of Papua New Guinea last month. "Citizens have woken up," he told the ABC. "The use of the guns on unarmed students calls for us to say 'it is time. It is time that Papua New Guinea must know that we need to do something now to save this country." Meanwhile, Mr O'Neill has just completed a visit to China where he met with the country's leaders and said PNG is open for more Chinese investment. This has been a very successful visit that is breaking new ground between our countries, he said. Brexit jitters are causing some firms to re-evaluate their British investments, but U.S. aerospace and defense sector giants Boeing and Raytheon say they're staying put. Speaking to CNBC at the U.K.'s Farnborough Airshow, John Harris, CEO of Raytheon International, said the outcome of the U.K.'s referendum on European Union (EU) membership wasn't prompting a scare. "We've been here in the U.K. for the better part of 100 years. We've got a strong company here, thousands of employees, fantastic capability and we intend to remain here," Harris said. Harris called Brexit a "long play," saying it would be years before the details of the U.K.'s exit from the EU were known. Meanwhile, "we're very, very enthused about the opportunities and see no meaningful change in the short term," he said. "While these changes are of import and concern, our job is to really understand the marketplace, make adjustments as needed, and remain a trusted partner," Harris added. KeyBank has a plan in place to consolidate several upstate New York branches, including two in Cayuga County, if its $4 billion merger with First Niagara Bank is approved by federal regulators. The banks announced Monday that KeyBank will close its office on Genesee Street in Auburn and a separate branch on North Seneca Street in Weedsport. The branches will be consolidated with existing First Niagara offices in both locations. The KeyBank branches will cease operations sometime in 2017. The exact date hasn't been determined, according to the bank. First Niagara branches that are staying open will be converted into KeyBank locations between October and early 2017. The consolidations are part of KeyBank's plan to expand its branch network, which will include 184 of its own New York offices and 304 existing First Niagara branches. The company also will maintain 683 ATMs at branches and remote locations in Connecticut, Massachusetts, New York and Pennsylvania. There will be 36 KeyBank and 70 First Niagara branches consolidated into existing offices. The consolidation plan, if the merger is approved, will be implemented beginning this year. Customers affected by the branch consolidations will be notified by the banks. "The new KeyBank branch network will be one way we offer clients more capabilities and more convenient access to banking services," said Beth Mooney, chairman and CEO of KeyCorp, KeyBank's parent company. U.S. Sen. Chuck Schumer, who was among the critics of the KeyBank-First Niagara merger, touted an agreement Monday that would preserve many of the banks' jobs in upstate New York. Schumer, D-N.Y., and other elected officials feared the merger could lead to massive job losses, particularly if the bank closed several branches in the region. KeyBank committed to retaining existing employees or placing them in similar roles. The bank is aiming to keep layoffs to a minimum no more than 250, according to Schumer's office. Once the merger is complete, KeyBank is planning to add as many as 600 new jobs over the next three years and possibly 300 to 400 more from 2019 through 2020. Schumer said KeyBank is committed to having its employment levels at least equal to the banks' existing workforce 6,117 jobs, his office said. "In the months and years to come, I look forward to making sure the terms of this plan, which is designed to save the maximum number of existing jobs, and create new ones, too, is lived up to," Schumer said in a statement. "I also plan to work closely with KeyBank and community leaders to continue to grow the state's economy and add even more jobs at KeyBank's Buffalo headquarters." Amazon Prime Day may be jam-packed with deals for the e-commerce giant's customers, but for Amazon's small business sellers, it's an opportunity to expand reach in a major way. The second annual retail event, which starts at midnight (PT) and ends at 11:59 p.m. (PT) on Tuesday, July 12, will feature more than 100,000 rolling deals for Prime members on household items, electronics, toys and more. It is also set to be a big sales day for the thousands of small businesses that Amazon invited to participate. Of the 34 million items sold on last year's Prime Day, 14 million came from small business retailers, and Amazon expects that number to be even higher this year. Entrepreneurs like Debbie Bean, who is participating for the first time, are hoping to turn those flash-sale customers into a new loyal following. Bean, who lives in Los Angeles, sells stained glass home goods and jewelry online via Etsy and Handmade at Amazon, Amazon's store that features invited artisans selling handcrafted goods. Bean has been selling on Handmade since its launch in 2015, and while she hasn't seen a big boost in sales from the site, she isn't willing to give up yet. Bean plans to discount her glass trays by 20 percent on Prime Day, which is the minimum discount retailers must offer, according to Amazon. Debbie Bean, a Los Angeles-based artisan, is planning to participate in Prime Day for the first time. Source: Katie Wigglesworth "I think more people go to Amazon for big-box items for the ease of it," Bean said but that's one reason why small businesses have a lot to gain by including their products on such a major stage. "We can let people know you can get other things on there as well." And while a 20 percent discount on top of the fees Amazon charges, which average around 15 percent depending on categories, may seem steep for a small company, retail experts like Jan Kniffen say it's well worth it. "It's not cheap to play on the internet," said Kniffen, the CEO of New York-based J. Rogers Kniffen WWE, which provides consulting services relating to retail companies. "The biggest growth for small local businesses is on Amazon, and on Amazon it's not as expensive as it would be on your own. The post-sale fees can be painful, but you can dramatically expand your ability to reach a new population." Handmade seller Madres Jewelry, based in Petaluma, California, has seen those fees offset by major reach. The nonprofit sells jewelry handmade by low-income women as a vehicle for change in their communities in the Dominican Republic, and will be participating its first Prime Day with 20 percent discounts. "We've seen sales grow 300 percent just by selling on Amazon," said founder Kara Klinge. Damian Davis watch now China is set to hear some major news on Tuesday, and it's likely to have a profound impact on the busiest commercial waterway on earth. A tribunal at the Permanent Court of Arbitration in The Hague, Netherlands, is expected to rule on a dispute between China and the Philippines, and that decision and the responses of both those countries and others could be one of the most significant geopolitical events in years, according to experts. What's happening The Philippines brought an arbitration case in 2013 over disputes in the South China Sea, eventually lodging 15 claims against China related to the U.N. Convention on the Law of the Sea (UNCLOS) a critical piece of international law that both countries have ratified. China claims almost all of the South China Sea, a massive body of water that stretches about 1,200 miles from the Chinese mainland. The sea comprises a massive 1.4 million square miles and is abutted by eight countries with a combined population of about 2 billion people. Those waters handle about half of the world's daily merchant shipping, a third of global oil shipping, two-thirds of all liquid natural gas shipments and more than a tenth of the Earth's fish catch. Many countries object to China's claims to the region. The Philippines essentially decided to take China to court over them. China has refused to to participate in the arbitration process although a 2014 position paper from Beijing was seen as an unofficial argument in the matter but UNCLOS specifically allows for a tribunal to make legally binding decisions even if one party is absent. watch now How China upset the Philippines in the first place China's maritime claim is intentionally ambiguous: Although the nation periodically presents maps with sketches of the boundary the so-called nine-dash line it has never explicitly given geographic coordinates, or even explained to what extent it is claiming ownership over the area. "China's goal has always been, and remains, to avoid any clarification of its claims," said Greg Poling, director of the Asia Maritime Transparency Initiative at the Center for Strategic and International Studies. "It doesn't want other claimants or the international community to know what it's claiming so it can change it at any point." The nine-dash line has allowed China to claim a right to do things ranging from drilling for oil to actually creating man-made islands without providing anything specific that other nations could argue against. Tuesday's arbitration ruling could make it increasingly difficult for Beijing to play that game. According to one theory, China's South China Sea island-building and its deployment of naval and air power in the area could signal that it is hoping to turn the entire zone into a Beijing-controlled "strategic strait." The Philippines claims that direct negotiations with China proved to be a dead end and the Philippines certainly can't persuade China with military might. Similarly, the other nations in the region have made little headway with China concerning their own competing South China Sea claims. Beijing insists on one-on-one negotiations, and no one can stand up to China by themselves. An international tribunal ruling against the nine-dash line would go a long way toward offering a framework for a unified front against China, and that is something that worries Beijing, experts said. Such a decision could "give more hope to the Philippines and other Asian countries that claim territory in the South China Sea," according to Andrew Scobell, a political scientist at the Rand Corp. Beijing fears a blow to its global reputation if it is seen as flouting international law, but on the other hand the Chinese Communist Party would have a hard time explaining any acquiescence to a Chinese population that's been drummed into a nationalistic fervor over the issue. "The power of international law is primarily reputational and measured in terms of legitimacy," Mira Rapp-Hooper, a senior fellow in the Asia-Pacific Security Program at the Center for New American Security, told CNBC earlier this year, when China's island-building in the region came under scrutiny. "My speculation would be that China has basically calculated that it will take some near-term, rather assertive actions in the South China Sea, and pay short-term reputation costs in exchange for what it believes to be longer-term strategic gains." Many Chinese, politicians and regular citizens alike, see opposition to their South China Sea claims as opposition to their ascendance on the world stage. "China is a rising power and it is feeling restrained by U.S. military presence in the Western Pacific," said James Keith, former China director for the National Security Council. "China is fighting back against American dominance as it tries to carve out a place for itself in the region." Why it matters in the US and beyond In general, many nations are watching the ruling and China's reaction to it as a watershed moment for international law. Most experts who spoke with CNBC said they expect the tribunal to rule against China although others have said that the ruling may be more limited than is widely expected. But no matter what the tribunal decides, China has said that it will not abide by it. Still, experts said a big legal victory for the Philippines could serve as a bargaining chip against Beijing in any future disputes. "Big picture: The ruling is going to be critical in the long term, but it doesn't change anything on July 13," Poling said. "China's not going to suddenly roll over and say, 'You got me.' But if you're looking for how to pressure Beijing in the long term, (China will) have it hanging over its head." About 40 countries, including the United States, have indicated officially that they expect China to abide by the ruling, by Poling's count, so China would presumably lose political capital with those nations if it continued to act in opposition to any tribunal decisions. Washington recognizes the commercial and strategic importance of the South China Sea itself, but foreign policy experts and politicians themselves emphasize that the most critically important element of the South China Sea dispute is maintaining a rules-based approach to international conflict. "This is a tremendous source of frustration for the U.S. government: How do we counter what China is doing?" Scobell said, adding that the White House has not come up with "an effective solution" to the South China Sea dispute. President Barack Obama has spoken of a "strong commitment to a regional order where international rules and norms and the rights of all nations, large and small are upheld," but Chinese disobedience of the tribunal ruling would disregard those principles. "This dispute will likely impact the U.S.-China bilateral relationship," Keith said, echoing most other experts interviewed by CNBC. What Beijing is saying Choosing a college is one of the most important financial decisions families ever have to face. Not only does it represent a huge up-front expense one year at an "affordable" public university can easily set you back $20,000 a year but where you go to college can also affect your salary for years to come. To help families make smart choices, MONEY's annual college rankings examine dozens of data points to measure the costs and payoffs of thousands of schools. Colleges are judged on how much families really pay after financial aid, how many students go on to graduate, and how well those graduates fare in the workplace according to salary data collected by PayScale.com. MONEY's 2016 Best Colleges list, released today, offers a mix of public and private, large and small, uber-selective and lesser-known gems. All 705 of the colleges on the list (chosen from the roughly 2,000 four-year colleges in the U.S.) provide an above-average value for students' and parents' money. Here's a peek at some of this year's highest-ranked colleges. For the full list, check out MONEY's College Planner. 10. Stanford University Source: Leah Fasten Location: Stanford, Calif. Estimated price for 2016-17 without aid: $65,300 Estimated price for 2016-17 with average aid: $22,000 Average student debt: $12,224 Early career earnings: $68,800 Stanford isn't one of the eight members of the elite Ivy League, but the university would fit right in. It's the hardest school in the country to get into and has generous financial aid policies much like Princeton and Harvard. But here's a key difference: Recent Stanford graduates report average annual salaries that are $8,000 higher than those of Ivy League grads. Read more here 9. University of Virginia University of Virginia Source: University of Virginia Location: Charlottesville, Va. Estimated price for 2016-17 without aid: $28,100 Estimated price for 2016-17 with average aid: $12,000 Average student debt: $19,500 Early career earnings: $55,400 UVA boasts the highest graduation rate of any public university in the country, at 93%. The university's academic strengths are wide-ranging, and the campus is the only U.S. college to be designated a UNESCO World Heritage Site, thanks to its connection to founder Thomas Jefferson. Read more here 8. Cooper Union for the Advancement of Science and Art Cooper Union for the Advancement of Science and Art Source: Cooper Union Location: New York City Estimated price for 2016-17 without aid: $62,800 Estimated price for 2016-17 with average aid: $22,200 Average student debt: $17,570 Early career earnings: $63,200 Cooper Union is unique a small, urban school that offers degrees only in art, architecture, and engineering. Though Cooper Union no longer has the free tuition policy it was founded with, it's still much more affordable than other elite private colleges. Read more here 7. Amherst College Amherst College Source: Amherst College Location: Amherst, Mass. Estimated price for 2016-17 without aid: $66,600 Estimated price for 2016-17 with aid: $18,000 Average student debt: $11,186 Early career earnings: $53,400 An elite liberal arts college, Amherst is one of a small group of schools that will meet 100% of the demonstrated financial need of students. As a result, more than two-thirds of its students graduate without taking out loans. Read more here 5. Brigham Young University-Provo (tie) Brigham Young University Source: Brigham Young University Location: Provo, Utah Estimated price for 2016-17 without aid: $18,500 Estimated price for 2016-17 with average aid: $14,700 Average student debt: $11,000 Early career earnings: $51,800 BYU-Provo is the main campus of a private college system specializing in educating members of The Church of Jesus Christ of Latter-day Saintsthe Church of Latter-day Saints. Non-Mormons can attend, but they are charged more for tuition and must obey the school's strict code of conduct. The university has a high graduation rate, competitive salaries for recent graduates, and a very affordable price for a private education. Read more here 5. University of California-Berkeley (tie) University of California Berkeley football game Source: University of California Berkeley Location: Berkeley, Calif. Estimated price for 2016-17 without aid: $35,700 Estimated price for 2016-17 with average aid: $25,300 Average student debt: $14,667 Early career earnings: $60,300 UC-Berkeley, or Cal for short, ranks the highest of eight University of California system schools that made MONEY's rankings. In fact, Cal is one of the most selective public colleges in the country. More than 90% of freshmen graduate within six years, a rate well above even other elite public universities. Read more here 4. Rice University Rice University Source: Rice University Location: Houston, Texas Estimated price for 2016-17 without aid: $58,600 Estimated price for 2016-17 with average aid: $24,300 Average student debt: $8,413 Early career earnings: $63,700 The typical Rice student majors in engineering, economics, or biology, and the university is also well known in the field of political science. Graduates of the selective private school fare well in the workforce: Recent grads out-earn their peers from similar schools by 16%, according to salary data from Payscale.com. Read more here 3. Harvard University Harvard University football team during a game. Source: Harvard University Location: Cambridge, Mass. Estimated price for 2016-17 without aid: $64,800 Estimated price for 2016-17 with average aid: $18,900 Average student debt: $19,500 Early career earnings: $54,500 Harvard may be the most recognizable college in the world, and it's practically synonymous with the prestigious Ivy League. Like many of its peers, Harvard excels in MONEY's rankings not only because of the outstanding education it provides but also thanks to its generous financial aid program. Read more here 2. University of Michigan University of Michigan Source: University of Michigan Location: Ann Arbor, Mich. Estimated price for 2016-17 without aid: $28,100 Estimated price for 2016-17 with average aid: $14,300 Average student debt: $22,000 Early career earnings: $59,000 The University of Michigan accepts less than a third of the nearly 50,000 students who apply annually, and the university is nearly as popular with out-of-staters as with Michiganders. State residents who do get in enjoy an especially good deal: Michigan is one of only 11 colleges in MONEY's top 50 where the average in-state cost of a degree totals less than $100,000. Read more here 1. Princeton University Princeton University Source: Princeton University Employees at a Walmart in Los Angeles. Robyn Beck | AFP | Getty Images Wal-Mart 's old bag of tricks just wasn't working anymore. Over the course of several decades, the world's largest retailer grew into a $400 billion behemoth using two basic strategies as the crux of its playbook. The first of those tactics dated back to Sam Walton's foundation for Wal-Mart in the 1960s: If you charge less than your competitors, shoppers will spend their money at your stores. The second part of its plan was to build a large network of cavernous stores that were within a few minutes' drive of nearly everyone in America and at which customers could purchase just about anything they wanted. And for years, it worked. Fast forward to the start of the 21st century, however, when Amazon 's sales growth regularly topped 25 percent. With the introduction of its subscription Prime service in 2005, shoppers no longer needed to worry about finding the closest store to buy a roll of paper towels they could buy them online instead. Prices on the website were low, to boot effectively taking away two of the major advantages to Wal-Mart's business. Amazon's breakneck growth was one reason why in 2013, Wal-Mart's 2014 fiscal year, comparable sales at its U.S. stores dropped every single quarter. And so began the reinvention of Wal-Mart. Under a new leadership team CEO Doug McMillon joined the retailer in February 2014, followed by U.S. President and CEO Greg Foran six months later Wal-Mart is finding a new reason for shoppers to come into its stores. The company shocked Wall Street in 2015, when McMillon announced that his company would invest $2.7 billion over the course of two years to improve its training processes, and give a two-stage, broad-based pay increase to millions of workers. That included boosting their pay to a minimum of $9 an hour, with the promise of moving to $10 in 2016. The idea was that a happier and more motivated workforce would help create cleaner, more customer-friendly stores for shoppers to visit. But there were caveats to the company's promises and in true Wal-Mart style, its critics did not keep quiet. Organizations dedicated to workers' rights, some of which are specifically focused on Wal-Mart, argued the raises were still not enough, given the comparatively astronomical salaries of the company's executives. In the following months, they contended that Wal-Mart was finding new ways to keep its costs low. Those tactics included requiring new hires to complete an exhaustive training program that lasted a minimum of six months before they would be boosted to $10 an hour, they said. The first of the 150,000 employees enrolled in that program will complete it this month. Still others questioned the content Wal-Mart is teaching its workers, arguing the material it chose to explain economics pushes its corporate views on capitalism. Yet regardless of which side you take, the benefits of Wal-Mart's investments are already showing up on the top line. Since its stumbles in 2013, Wal-Mart has been steadily building momentum, including seven straight quarters of domestic same-store sales gains. That includes a 1 percent lift in the most recent quarter, a time when many of its competitors flailed. And on Monday, the company's shares rose to a 52-week high above $74. Shoppers are also happier when they visit the retailer's stores. For the past 84 weeks, customers have reported higher satisfaction on their stores' cleanliness, speed and customer service through an internal survey. And while the investments have taken a significant bite out of Wal-Mart's profits during the first quarter, its domestic operating income slid 8.8 percent experts say they could eventually turn into a positive for the company's financials, as they may lead to lower turnover among its employees. That would result in fewer expenses tied to hiring and training. "The economics can absolutely work out in Wal-Mart's favor if it reduces turnover and increases the average tenure of employees," said Kathy Gersch, executive vice president at Kotter International, a leadership consulting firm. The path to $10 an hour Though much of the attention surrounding Wal-Mart's investment has been on its pay increase, both the company and labor experts agree it's just one piece of the puzzle. The other piece is the dramatic changes it's made to its training programs, they say. The first of these programs, called Pathways, is for its roughly 660,000 entry-level workers. Employees who joined Wal-Mart after the system went live in February are automatically enrolled in the course, which takes between six and 18 months to complete depending on how many hours a week they spend on the computer-based training modules and their role. (Employees who were already with the company are not required to go through the training; Wal-Mart is still evaluating whether these workers will go through it once all of its new hires have completed it.) The sessions cover topics that are specific to an employees' role a cashier, for instance, would learn about money laundering and universal skills such as customer service. They are also taught basic economics including the difference between revenues and profits. We're looking for behavior change. ... We know that the real learning happens when you're out on the sales floor. Pippa Pomeroy Wal-Mart's senior director of HR strategy and training To "graduate" from the program, employees must first complete between six and 18 hours of training (again, depending on their role), which includes compliance. They then move into nine retail modules that take a combined 4 hours to complete. After finishing three modules, the system locks down for six weeks, during which time employees are expected to demonstrate to their supervisors what they've learned while on the job, said Pippa Pomeroy, Wal-Mart's senior director of HR strategy and training. "We're looking for behavior change," Pomeroy said, explaining the time lag. In the past, Wal-Mart's training was comprehensive, but more akin to a massive "download of information." "We know that the real learning happens when you're out on the sales floor," she said. After completing the computer-based training, a manager must observe the employee's behavior for at least a week, and up to three. At that time, the manager also reviews the worker's attendance record, which ensures they came to work when they were supposed to, and called in when they were unable. Finally, workers are given a 40-question multiple choice test that covers scenarios they would encounter on a day-to-day basis, Pomeroy said. Wal-Mart's critics argue that the length of training required to complete the program and therefore, be bumped to a minimum wage of $10 an hour is too long for an industry with such high turnover. According to the latest data from the Bureau of Labor Statistics, 4.4 percent of retail workers left their jobs in April, higher than the 3.5 percent average among all industries. That is a very pricey proposition for retailers, as the cost to replace a $10-an-hour retail employee equates to roughly 16 percent of their annual pay, or about $3,328, Gersch said, citing a report by the Center for American Progress. That adds up quickly for a company with more than 660,000 entry-level employees. "The cost of turnover and hiring and training people is astronomical," Gersch said. "Even if you move that needle a slight bit it's impactful." Wal-Mart does not release turnover statistics; but industry experts say the program's length may mean many of the people going through Pathways are unlikely to complete it. "Is it possible Wal-Mart may use the training program to delay [a wage hike]? Anything's possible with Wal-Mart," said Kate Bronfenbrenner, director of labor education research at Cornell University's School of Industrial and Labor Relations. Wal-Mart was very public about touting this $10 an hour that employees were going to be getting at the beginning of the year, but the barriers to getting that $10 weren't as public. Jess Levin a spokeswoman with Making Change at Walmart The danger is that there's potential for Wal-Mart managers to find any reason they see fit to not graduate a student in the program, Bronfenbrenner said. She likened that possibility to a strategy used by Henry Ford back in 1914. While most people remember the automotive executive's bold move to raise his workers' wage to $5 a day, few remember that it came with character requirements. That meant the company could use any reason it liked to decline a worker that higher pay. "Wal-Mart was very public about touting this $10 an hour that employees were going to be getting at the beginning of the year, but the barriers to getting that $10 weren't as public," said Jess Levin, a spokeswoman with Making Change at Walmart. That group that lobbies for the rights of Wal-Mart workers. Wal-Mart's Pomeroy emphasized that the length of the program and its subsequent requirements are designed so employees can reap the full benefits of their training, work directly with and build a relationship with a supervisor, and show their higher-ups what they've learned. Bronfenbrenner agreed that there's something to the theory that you can't measure how much a person has absorbed until you see that learning in action. "As someone who's a teacher ... my giving [students] training doesn't do anything," she said. "It's when they go out in the field and try to do the work, that's when you see it." A screen from Wal-Marts Pathways training. Source: Walmart However, that workers learn beyond the basics of the safety requirements and skills necessary for their jobs is questionable, Bronfenbrenner said. As part of the Pathways program, employees are taught basic economic principles including profit, loss and margin. One example is if an item is sold at the register for $1, the company does not profit $1; it simply rang up $1 in revenue. These additional topics are meant to encourage workers to learn broader retail principles and get them interested in moving forward in the industry, Pomeroy said. She added that the company works closely with a professional education partner to build the program and questions, and runs the content by both its legal team and a performance management specialist to ensure it's relevant and validated. For Bronfenbrenner, however, the profit versus loss example runs deeper than face value. "What they're [doing] is trying to teach their employees that they shouldn't be asking for more wages," she said. "They're trying to teach capitalism in a way that justifies what Wal-Mart pays." The second step in Wal-Mart's training The retailer's investment in training doesn't stop with its entry-level workers. Through its Academies program, Wal-Mart's department managers and hourly supervisors are likewise sent through a two-week training course as they're hired into the company or put up for promotion. The program is a complete overhaul from its previous method of computer-based learning, and is more in line with how its international markets operate. That includes its U.K.-based Asda stores. The first three of these training facilities opened in the spring in Carrollton, Texas; and Fort Smith and Fayetteville, both in Arkansas. The company expects to have 90 to 100 of these centers open by the end of the year, with plans to be live nationwide by the end of the second quarter of 2017. Once the rollout is complete, Wal-Mart expects to have roughly 200 of these locations, which will be attached to an existing store or built as a stand-alone site. All Wal-Mart workers will be within 40 to 50 miles of one of these facilities, said Tom Ward, the company's vice president of central operations in the U.S. They are also compensated for additional travel costs they incur to participate. Already, some 2,000 people have graduated the two-week program, which caters to new hires, recently promoted managers and people who were already serving in this role (in the latter case, employees go through a shortened program). Source: Walmart Similar to Pathways, participants in Wal-Mart's Academies training program spend part of their time on the sales floor and part in the classroom. For example, they could spend the first part of their day out in the store checking whether the shopping carts are properly maintained and put away, and then head into the classroom for a discussion. Teachers are former managers whose only role is teaching at the centers. Each of the Academies, which serve an average 30 stores, requires an additional 15 to 17 employees. "[They're training in] a real working store with real customers," Ward said. Unlike Pathways, graduating the Academies program does not translate into an automatic compensation boost for participants. However, once it's fully rolled out, managers would need to complete the program for their title to change. "Department managers are broadly one of the most important positions we've got in the field because ... they're closest to the shelf edge and the customer," Ward said. A 'major concession' from Wal-Mart Wal-Mart's wage increase and investment into training was a "major concession" for the company and one that was very costly, Cornell's Bronfenbrenner said. She noted that the retailer was even willing to take a hit in lower-income states where there was no chance the local government would have forced it to raise its wage. Indeed, Wal-Mart's shares took a beating in October when the company detailed the price it would pay on its bottom line. At that time, Wal-Mart slashed its long-term forecasts and said its earnings per share would likely take a 6 to 12 percent hit in its next fiscal year. In the first quarter, the company's net income per share declined 4.9 percent. Still, some argue that the retailer is finding ways to lessen the blow to its bottom line. One way its doing so is by switching the way it doles out its annual raises, said Levin, of Making Change at Wal-Mart. Whereas the company used to give its best performers a merit raise of between roughly 40 cents and 60 cents an hour, it switched over to a percentage-based increase prior to this February's wage rise, offering a 2 percent lift. For some associates who were already making more than Wal-Mart's new minimum wage, that meant they received a smaller pay increase this year, Levin said. To reach the former 40 cent raise, an employee would have had to make $20 an hour, she said; yet even after Wal-Mart's wage increase, the average hourly full-time wage for one of the company's workers is $13.38. For someone earning that wage, a 2 percent pay increase would equate to roughly a quarter. Wal-Mart hasn't yet decided how it will determine its annual raises next year, spokesman Kory Lundberg said. watch now Flight delays are arguably one of the biggest annoyances of air travel. But technology designed to automate everything from air traffic control to baggage check-in could make this a thing of the past. On Monday, at the U.K.'s Farnborough air show, Swedish defense company Saab showed off a system that could allow air traffic controllers to direct the flow of airplanes at an airport even from hundreds of miles away. Plus, it would allow traffic controllers to decide more efficiently which planes should land first, meaning fewer delays. Air traffic controllers use Saab's remote system to help planes land in an airport hundreds of miles away. Saab "In terms of efficiency, we see this will help to support air traffic controllers ... and get a much smoother throughput for aircraft," Anders Carp, head of Saab's traffic management unit, told reporters during a briefing at Farnborough. Traditionally, air traffic controllers would be in a large concrete tower overlooking the runway. Each airport would have one of these towers to control the flow of traffic. Saab wants to make these towers obsolete by 2025. Its new system allows air traffic controllers to sit in one office and control several different airports. A circular room is equipped with screens covering the wall 360 degrees around to replicate a traditional tower. Cameras can relay what is happening on the landing strip to the remote control room. The software automatically motion tracks the incoming planes, and infrared technology allows controllers to see all of the runways, even in the night when light is low. Saab formed a joint venture with the Swedish Civil Aviation Administration last year and implemented the technology into Ornskoldsvik Airport, with the remote control tower 93 miles away in Sundsvall. It has been up and running since April 2015. A plane flying past one of Saab's towers, which contains the cameras that overlook the runway Saab With the hitting both all-time intraday and closing highs, investors may wonder if it makes sense to buy into stocks at these levels. The "Fast Money" traders said that there may still be some opportunities left in the market. Trader Pete Najarian said many traders are interested in Cisco Systems , which may break through $30 a share soon. It closed Monday at $29.43. After looking at the dividend yields and balance sheets of companies like Intel and Cisco, the stocks look very interesting at this point in the market, according to trader Tim Seymour. He said, however, that Clorox is "largely a one-trick pony" and that stocks like Kellogg and General Mills are still expensive. Trader Guy Adami said he would rather own Cisco than Clorox. Jim Hasbrouck's latest creation will bring Thanksgiving early to New York State Fair attendees. Hasbrouck, who owns Fried Specialties and operates booths at the fairgrounds, will serve the New York Harvester at this year's fair. The 2.5-pound sandwich is made with New York products, most of which is deep fried. The core of the entree: Deep-fried turkey, deep-fried mashed potatoes, deep-fried stuffing and deep-fried bacon. Cranberry sauce and cheese are added as toppings. The core is then stacked between slices of sourdough bread. Gravy will be offered on the side. Hasbrouck, a Wayne County resident, said he spent the winter working on his new concoction. "I went through a lot of food to get this just right, and it's good," he said. "Crazy good." Acting State Fair Director Troy Waffner said he's looking forward to sampling the new sandwich. "This is one of the fun reasons people wait all year for the fair," he said. "They want to taste something they've never tasted before. As for me, I can't wait to dig in to one of these. Who doesn't love Thanksgiving dinner?" Fried Specialties also will serve a creation unveiled last year, The Defibrillator. It's a burger with deep-fried Angus beef, deep-fried pickles, deep-fried cheese curds, deep-fried bacon and cheddar cheese. Instead of a traditional bun, the burger is stuffed between two grilled cheese sandwiches. Fair officials said they plan to announce other new food options leading up to the 12-day event. The fair will run from Aug. 25 through Sept. 5. Mass shootings. Violence. Protests. Racial strife. Vicious intra-party political fighting. All amid a presidential election. The year is 2016 but it could just as well be 1968. And what happened in that presidential election should serve as a valuable guide to this year's candidates, especially Donald Trump. The narrow winner in 1968 was Richard Nixon, who threaded a difficult needle in a three-way race against Democrat Vice President Hubert Humphrey and segregationist breakaway Democrat Governor George Wallace. It should go without saying that Nixon didn't win over voters with his charm; he was never personally popular. He didn't really offer any solid policy proposals that resonated with the public either. Instead, Nixon won by playing an extremely cautious hand in the midst of a nation seemingly in chaos. He emphasized a return to law and order, but he also promised to "bring us together." And for the war in Vietnam, he was even more cautious, only saying that he had a "secret plan" to end it. He appeared like he was a man in control of his emotions as opposed to the firebrand Wallace and overwhelmed-looking Humphrey. The result was that just four years after the worst popular vote defeat of the 20th century the Republicans were back in the White House. That kind of cautious and even quiet campaigning is definitely the polar opposite of what we've seen from Donald Trump during most of the campaign so far. He's been loud, politically incorrect, and the opposite of cautious in the management of his extremely popular social media accounts. His rallies have been marred by violence committed both by his supporters and by anti-Trump protesters who have targeted his supporters at those locales. But with four months to go before Election Day and the Republican National Convention still a week away, Trump still has a chance to effectively change his demeanor and the tenor of his campaign. Trump's longer statement about the Dallas shootings early Friday morning was probably the most restrained and presidential comments from him or his entire campaign since he began running last year. He called for restoring law and order, but he also urged the country to repair its divisions. He even included mournful comments about the police shootings of civilians earlier last week in Louisiana and Minnesota. This was not the same guy who jumped on Twitter barely an hour after the San Bernardino shootings and blamed the incident on terrorism. This was not the same guy who quickly blamed President Obama and Hillary Clinton for their immigration and terror policy failures quickly after the Orlando massacre. Instead, that post-Dallas statement was vintage 1968 Nixon, almost to the letter. And at the same time, Hillary Clinton seems to be forced to follow Humphrey's 1968 losing lead. Since the Dallas shootings, Clinton has done as Humphrey did and directed her attention to catching up to a Democratic Party base that's moved to the left of her. In the last few days, her comments about how "white people need to listen better" and her capitulation to a groundbreaking anti-death penalty plank in the party platform will be popular among the most liberal voters and minorities. But they aren't likely to help her appear like a unifying leader who can attract moderates and even some conservative voters who don't like Trump and could be convinced to back her. Trump seems positively unifying compared to that. The big question is can Trump keep it up? Based on all the data we have from the past 13 months, no sane person could confidently make that bet. The only real argument is whether it would make any difference if he did. You can answer that question with a "yes" with a little more confidence based on the fact that a decent chunk of the voters are still undecided about Trump even after all the bombast we've heard so far. It's clearly not too late for them to make a new judgment about Trump or Hillary Clinton for that matter. This is hard for most voters who made up their minds long ago to fathom, but it's true all the same. Trump's sometimes outrageous comments and messages were a key facet of establishing his image as a man not under the control of the usual political handlers and big donors. That won him the GOP presidential nomination despite very steep odds. But to win over moderate and undecided voters who just don't respond as well to bluster, Trump needs to strike a different tone or at least appear much less out of control. He did that in his response to the Dallas atrocity. Now, let's just see if he can keep it up. ROME, N.Y. PAR Government Systems Corp., a subsidiary of PAR Technology Corp. (NYSE: PAR), announced it has hired John M. Mancuso as senior director of business development for mission systems. Mancuso will lead PAR Governments business-development efforts in core and adjacent markets and clients, reporting to the units president, Matt Cicchinelli. I have confidence that John will spearhead a growth oriented culture while navigating the challenges of the Government acquisition landscape, Cicchinelli said in a news release. Mancuso most recently was director of business development for Sciolex Corp., a service-disabled. veteran-owned small business located in Chantilly, Virginia that focused on delivering systems engineering and technical assistance for the intelligence community. Mancuso also previously was director of operations and business development for SilTek, Inc. a woman-owned small business in Herndon, Virginia supporting the Department of Defense. Before that, he spent more than 11 years at General Dynamics Information Technology, the release stated. PAR Government, headquartered in Rome, provides intelligence, surveillance, and reconnaissance products and services to the U.S. Department of Defense, U.S. government agencies, and private industry. PAR Technology, based in New Hartford, also has a hospitality business segment that provides restaurants and retailers with point-of-sales systems and other technology. Contact The Business Journal News Network news@cnybj.com Elmira and Geneva are among the winners in New Yorks $100 million Downtown Revitalization Initiative, according to separate news releases that the office of Gov. Andrew Cuomo issued Thursday. The program seeks to transform communities ripe for development into vibrant neighborhoods where the next generation of New Yorkers will want to live and work, Gov. Andrew Cuomo says. The program, first presented in Cuomos 2016 State of the State address, will invest $10 million into 10 downtown neighborhoods across the state. New Yorks regional economic-development councils are selecting the winning downtown areas. Elmira is the Southern Tiers contest winner of the $10 million prize, while Geneva captured the $10 million prize in the Finger Lakes region. In each case, the award will include up to $300,000 in planning funds for private-sector experts to work with a local planning committee to draft a strategic-investment plan that will identify specific economic development, transportation, and housing and community projects. Other winners in recent announcements include Plattsburgh in the North Country; Westbury in the Long Island region; and Middletown in the Mid-Hudson region. The regional winners With its award, Elmira will focus on attracting new businesses and skilled workers; strengthening arts and cultural attractions, expanding housing options for all income levels, and developing amenities to support and enhance downtown living and quality of life. The plan will include a greater variety of retail and housing, improving the aesthetics of downtown and capitalizing on natural assets such as the Chemung River. Elmira Mayor Daniel Mandell called it a great day for the city of Elmira, thanking Cuomo and the regional economic-development council for the opportunity to further develop our downtown area. This $10 million will help us build on what makes this city so special by investing in our dynamic and lively downtown community and transforming it into an attractive destination for residents, businesses, and college students, Mandell said in Cuomos news release about Elmiras win. With its funding, Geneva will focus on the rehabilitation of key buildings; diversification of housing and retail options; access to healthy food; and building entrepreneurship in the downtown area. Genevas downtown area is not only its most central business district but a major employer of the citys residents, Geneva Mayor Ronald Alcock said in Cuomos news release about Genevas win This award is a critical investment that will bolster our citys job growth, promote diversity and entrepreneurship, and transform Geneva into an economic engine for the entire Finger Lakes region. I thank Governor Cuomo for his leadership and look forward to witnessing the coming transformation of our city as we move forward, said Alcock. Contact Reinhardt at ereinhardt@cnybj.com The Royal Mint marks the centennial of the Battle of Somme with a Proof .925 fine silver 5 coin. Originally offered as part of a six-coin set, it is now available individually, and it is presented in an informative pictorial case. The Royal Mint marks the centennial of the Battle of Somme with a Proof .925 fine silver 5 coin. Originally offered as part of a six-coin set, it is now available individually. Nearly 100 years after the Battle of the Somme, the Royal Mint is marking the anniversary with a Proof silver 5 commemorative coin depicting poignant scenes from the terrible event. The coin was initially released in a six-coin set as part of this years release in the Royal Mints five-year program commemorating World War I. Now a portion of the overall mintage of this coin is being offered for individual sales. The battle took place in the summer of 1916, when British and French forces attempted to break through the German lines. The offensive would exact a terrible human cost. Connect with Coin World: Digging into the design Produced in collaboration with the Imperial War Museum, the coin is designed by sculptor and coin designer John Bergdahl, and portrays infantrymen trudging through muddy terrain in no mans land, as a tank rolls alongside them. This new piece of military technology the tank would eventually help to change the nature of the conflict, and marked the battle as a turning point in the war, according to the Royal Mint. The coins edge lettering, DEAD MEN CAN ADVANCE NO FURTHER, is a quotation taken from Maj. Gen. Sir Beauvoir de Lisle, commander of the 29th British Division. Bergdahl explained his approach at the design: Tanks added protection as well as firepower. Rolling across no mans land, troops could advance behind them, a vital breakthrough. Getting the look right in low relief was a challenge, along with accuracy and detailing. This particular tank has a lot of rivets, but look closely and theyre all there. The Jody Clark effigy of Queen Elizabeth II appears on the obverse. The Proof .925 fine silver 5 coin weighs 28.28 grams, measures 38.61 millimeters in diameter and has a mintage limited to 4,000, of which 1,916 are available individually for 80 each. To order, visit the Royal Mint website. July 11, 2016 A celebrated Brazilian street artist will paint a colorful mural of Neil Armstrong, honoring the late Apollo astronaut in downtown Cincinnati, Ohio. It could be described as one not-so-small-step for a public art project, one giant tribute for the first man to walk on the moon. Eduardo Kobra, whose earlier kaleidoscope-themed works have covered buildings in New York City, Los Angeles and Minneapolis, as well as Sao Paulo, Brazil, was selected by ArtWorks in Cincinnati to create their largest mural to date. Kobra decided to honor Armstrong, who taught aerospace engineering at the University of Cincinnati and was living in the city at the time of his death in August 2012. A Naval aviator and NASA research pilot prior to becoming an astronaut in 1962, Armstrong achieved the first docking between two spacecraft on Gemini 8 three years before he landed on the moon in July 1969. Kobra's mural of the first moonwalker will cover the facade of the Fifth Third Bank headquarters' parking garage along Walnut Street. The artwork will measure 7,632 square feet (709 square meters) when complete. Eduardo Kobra's mural is based on this April 1969 NASA photo of Neil Armstrong training for the Apollo 11 mission. (NASA) "Celebrating Neil Armstrong is perfect in so many ways," Tim Elsbrock, the president of Fifth Third Bank (Cincinnati) said in a statement released by ArtWorks noting the bank's sponsorship of the mural. "Throughout his life, and notably as command pilot for Gemini 8 and spacecraft commander of Apollo 11, Armstrong embodied the core values our Fifth Third community champions." "Kobra's compelling design conveys a universal aspiration to reach for the stars," Elsbrock said. Kobra's concept for the mural embraces his signature style of depicting historical figures in a dynamic combination of photorealism, bold colors, and kaleidoscopic patterns. Armstrong will be shown in his lunar spacesuit, holding the Hasselblad camera that he used while exploring the moon. His face, his helmet visor and the camera will be rendered in black and white. Armstrong's suit will be painted in an exuberant patchwork of brightly colored triangles and stripes, and a spectrum of bright colors will form a rainbow effect emanating from the camera lens. The Earth, which Armstrong once described as "that tiny pea, pretty and blue," will be depicted in true- to-life colors. Eduardo Kobra's mural of Neil Armstrong combines photorealistic elements with his signature kaleidoscope style. (ArtWorks) "In depicting Armstrong so vividly at that amazing moment in time when innovation, creativity, and a pioneering spirit put men on the moon, Kobra has created a masterwork that is genuinely inspirational," said Tamara Harkavy, CEO and artistic director of ArtWorks, a non-profit that employs and trains local youth to create art. The mural will be painted by Kobra, assisted by four teen apprentices selected by ArtWorks. "Our goal was to create an iconic image that complements the site," said Harkavy, adding it was "destined to become a beloved landmark." Kobra's tribute to Neil Armstrong will be one of 23 murals ArtWorks creates this summer, bringing the organization's total mural count to 125. "Fifth Third Bank is excited [to] serve as the canvas for this very special ArtWorks project," Elsbrock said. "[It] engages our community and animates an already vibrant downtown space." The painting, which ArtWorks will stream live as it happens on its website, will take place Aug. 8 through Aug. 20, with a public dedication scheduled for Aug. 21. Baskin-Robbins relaunches its cake range Baskin-Robbins Australia is relaunching its ice cream cake range. Its signature cake range now has new additions and its custom design range has undergone updates. The entire Baskin-Robbins franchise network has completed re-training in preparation of the relaunch. David Jordan, Baskin-Robbins General Manager, said that a focus group of franchise partners was critical in providing insight on how to best execute the training program and relaunch of the cakes. In September last year we formed a focus group, which consisted of both self-elected members of our Franchise Partner network as well as Franchise Support Centre staff, all working collaboratively to achieve the outcomes on product range, pricing strategies, training requirements and the relaunch plan, Jordan said. All Baskin-Robbins stores will now sell the range of signature ready-to-go ice cream cakes come in a variety of different sizes, from a full slab to 6 and 9 options. The signature range will also be accompanied by a range or their newly designed and inspired Tuxedo cakes which are made fresh in store or can be ordered as per a guests choice. The Missourians Opinion section is a public forum for the discussion of ideas. The views presented in this piece are those of the author and do not necessarily reflect the views of the Missourian or the University of Missouri. If you would like to contribute to the Opinion page with a response or an original topic of your own, visit our submission form Danone acquisition of US organic food leader takes organic into the mainstream French food giant, Danone, has acquired US organic food leader, WhiteWave, for approximately US $10.4 billion. Danone owns numerous dairy, water, infant nutrition and medical nutrition brands including Apatamil and Karicare infant formulas which are widely sold across Australia. Its Danone branded yoghurt is also available in Australian supermarkets. WhiteWave sells plant based milks, organic and non-genetically modified food. Its brands include Silk, So Delicious, Vega and Alpro. A Perfect match Danone said White Wave was a perfect match as it is already catering to the greater number of consumers after healthier and more sustainable products. The acquisition was unanimously approved by the Board of Directors of both companies and Danone said it will now use WhiteWave to build a strong North American presence. Chief Executive Officer of Danone, Emmanuel Faber, said WhiteWave will help the company provide healthier and more sustainable food products. To that extent, we found in WhiteWave the perfect alliance as we both believe in a healthier future and are conscious of our power to lead society forward, he said. Next phase Chairman and CEO of WhiteWave, Gregg Engles, described the acquisition as an exciting next chapter for WhiteWave. We believe this is a compelling transaction that delivers significant cash value to our shareholders, he said. Danone is a unique company with distinctive capabilities that will enable WhiteWave to reach its next phase of growth. The acquisition is expected to be completed by the end of the 2016 calendar year. 'Wendell & Wild' is great to look at, not much fun to watch movies 25 Cool Hotels and Dazzling Destinations a Days Drive from Columbus Give your Airbnb app a break and discover these magnificent places to stay, from a foodie paradise in Tennessee to a hipster hotel in Toronto. July 10, 2016 - Protesters from the group Black Lives Matter gather on the Hernando Desoto Bridge Sunday evening blocking traffic as they protest against recent police shootings Baton Rouge and Minnesota. (Jim Weber/The Commercial Appeal) Black lives matter. It's not a threat. It's a declaration. Like "I am a man" and "We the people." It was a declaration made loudly, boldly and clearly Sunday by hundreds of protesters who blocked a Memphis bridge and woke a city. It was a powerful demonstration of the racial and cultural divide we can't seem to cross but somehow must. It moved like a wave from a few dozen people at the National Civil Rights Museum, to more than a thousand at the I-40 bridge over the Mississippi, and eventually back to Beale Street. "Just because we're saying black lives matter does not mean that nobody else matters," Rev. Dr. Andre Johnson said on Facebook Live Sunday evening as he walked from the bridge back to Beale. "But it means we have some issues that need to be dealt with." It was a peaceful demonstration. No one was arrested or hurt. Older people were calming younger ones. Younger people were inspiring older ones. Protesters were hugging police officers. Bystanders were passing out bottles of water and other signs of support. "We didn't want to tear up the city," said DeVante Hill, a minister and Black Lives Matter leader who organized and led the demonstration. "This is not an attack on police officers. This is not an attack on the Caucasian culture or any culture. This is an attack on the spirit of oppression that the African-American culture has faced for years." It was a poised demonstration. Protesters defiantly blocked interstate traffic on the bridge for hours, but made way for a car taking a child to St. Jude Children's Research Hospital. "The narratives of peaceful protests pivot too heavily on behavior of police and not enough on the passion and poise of protesters," said Rev. Earle Fisher, who worked Sunday evening to encourage protesters to let their voices be heard and police to let them. "Yesterday was the best interview any interim police director could have had, and Director Rallings showed leadership, but he was led by the protesters." It was prayerful demonstration. In fact, Rallings, who met protesters on the bridge in full uniform and a Kevlar vest, ended up marching and praying with them in civilian clothes. "I came from church," Rallings said Sunday evening on Beale. "I took off my body armor. I have the armor of God. I'm not scared of my people. My mother and father taught me to love. I'm going to let that love come and out, and that love is going to make some changes." Rallings, who is an African-American police officer, understands that "black lives matter" isn't a threat. It's an angry, anguished response to a threat that didn't end at Gettysburg or Appomattox, or in Montgomery or Selma, or even in Chicago in 2008. The demonstration came a few days after the seemingly unwarranted killings of two more black men by police officers in Louisiana and Minnesota. It came 51 weeks to the day after an unarmed black teenager named Darrius Stewart was shot and killed by an armed white police officer in Memphis. It came after countless black men and boys have been killed by law enforcement officers across the country with legal impunity. In the past five years, Memphis police officers have been involved in the fatal shootings of 26 men. None of the officers involved have been indicted. "We're 0 for 26," Johnson said as he walked with fellow demonstrators Sunday evening down Danny Thomas Boulevard, bearing witness. "We don't understand why certain people can't get indicted or convicted." Black lives matter isn't just a statement. It's a question. Do black lives matter as much as other lives? If so, then do something about the racial biases so embedded in our DNA we aren't able or willing to acknowledge them. Do something about the racism that is built into our system and society and upon which our system and society was built. Do something about the fact that black men in this country are arrested and incarcerated, and shot and killed by police and others, at wildly disproportionate rates. Listen to what the Black Lives Matter protesters are saying, not just how they're saying it. "Maybe instead of lamenting the fact that Black Lives Matter protesters are out in the street and shutting down traffic," Johnson said Sunday evening as he walked from the bridge, "maybe you should ask yourselves why ... instead of trying to blame Black Lives Matter for trying to bring attention to a problem that nobody really seems to want to try to solve." The demonstrators didn't block the bridge because they don't care about the law. They blocked it because they still do. After losing a tooth, 6-year-old Noah Ross celebrates the event with a cookies and cream popsicle at La Michoacana. According to Noah, "It's the best popsicle of the day, one more inch better then the ones at home." The Summer Avenue shop has a rotating selection of 75 ice pops. (Stan Carroll/The Commercial Appeal) SHARE July 7, 2016 - Melanie Kerr, center, with her daughters Courtney (left) and Madison pose for a selfie while having a popsicle at MemPops after driving from Arlington to visit a local dogpark and then a cold, refreshing treat. (Stan Carroll/The Commercial Appeal) At MemPops, located on Ridgeway, Matt Starnes (left) pours a mix of watermelon and lemon grass into popsicle molds. Owner Chris Taylor is seen at right. July 7, 2016 - Patricia and Alfonzo Molina, owners of Frutana's paleta and ice cream shop in Olive Branch, work as a team preparing a Mangonada with tamarind candy. (Stan Carroll/The Commercial Appeal) July 7, 2016 - An assortment of frozen treats is available at Frutana's in Olive Branch. The Molina family owns the paleta and ice cream shop that has product shipped in from Houston. Although they don't make them, they have paletas in traditional Mexican flavors like spicy mango (called "Little Devil"). (Stan Carroll/The Commercial Appeal) By Jennifer Biggs of The Commercial Appeal Caroline Schaefer is a predictable customer at La Michoacana on Summer Avenue. "I get the cantaloupe. Every time," she said. But she's a good one. "I come in and buy about 50 to take back to Knoxville with me," said Schaefer, a student at the University of Tennessee. "We go buy dry ice, and they make the trip fine, but then they go pretty quick because I have to have everyone in Knoxville try one." La Michoacana, the immensely popular paleta and ice cream shop, has just moved a few doors down to a space almost four times the size of the former one. "Maybe we'll go to Knoxville next," said co-owner Rafael Gonzales. "We'll put her in charge of marketing." Gonzales and his brothers, Ari Gonzales and Alberto Gonzales, opened the first La Michoacana in Southaven in 2006. "I'm 28 years old, and I've been in this business for 20 years," he said. "But my dad has been doing this for 45 years. He taught us everything we know." The Gonzales brothers make all their paletas (ice pops), and ice cream at a central commissary in their Walls location. ("It's Walls on one corner, Southaven on one and Horn Lake on one," said Gonzales. "It's the Tri-Cities.") Their shops are stocked with 24 flavors of ice cream and a rotating selection of 75 paletas. "We just do all natural stuff," Gonzales said. "In our ice cream we start with a base of Mexican vanilla and butter, then add things to it. For the cream paletas, we use the same thing, and for the fruit ones, we use all fresh things." Over at MemPops in East Memphis, owner Chris Taylor and his staff make their pops in an open kitchen. "As soon as they're done, we change the board," he said. "It changes daily, if not hourly." Some flavors are regulars. The coffee pop doesn't leave the board. "One day six people left when we didn't have it," Taylor said. "So it's always on now." Taylor started making MemPops in his home and selling them from a food truck last year. This spring, he moved into a small shop at Park Place Center. The truck still makes the rounds he's at Memphis Made Brewing Co. on the weekends, at the Levitt Shell during some shows, at Cooper-Young Farmers Market on Saturday mornings and he has an Airstream trailer he takes to Saddle Creek. Taylor worked at Felicia Suzanne's and at Central BBQ, so he went into MemPops with restaurant experience but no special skills in pop making. "We'd been to (Birmingham) Alabama and went to Steel City Pops," he said. "On the way back home, my wife said, 'You know, you should do that. I bet you could make awesome popsicles.'" So he started experimenting, and it turns out she was right. "We have a strong commitment to using local, so our flavors change. Jones Orchard had cherries earlier this year, so we had cherry MemPops for about a week. They called today and said they have white peaches, so now we'll use those." Down in Olive Branch, the Molina family owns Frutana's, a paleta and ice cream shop that has product shipped in from Houston. Although they don't make them, they have paletas in traditional Mexican flavors like spicy mango (called "Little Devil"), cucumber, mojito and so on. Dee Moore, who owns Mama D's Italian Ice, is selling her pops at area farmers markets and plans to have her new shop open in Collierville around Aug. 1. She offers handmade, all-natural pops of flavors such as hibiscus-mint, chocolate sea salt, cookies and cream and banana pudding. Besides the Summer Avenue and Walls stores, La Michoacana has locations on Winchester and Getwell, and in Southaven and Little Rock. Popular flavors such as avocado, lime, mango, papaya, strawberry, cookies and cream and coffee stay in the mix, and there are plenty of paletas to go around, as they produce about 9,000 each week. "We make them every day, and we taste every batch of everything," Gonzales said. "My father should be healthy, but he has diabetes and he asks, 'Why do I have diabetes? I take care of myself.' And I tell him that he's tasted ice cream and paletas every day for 45 years. "He says back to me, 'I have to taste it. If I don't taste it, how do I know if it's good? Would I serve something to my family without tasting it? No. And not my customers either.'" Where to find them MemPops: 1243 Ridgeway Road; 901-421-5985 (call store, or visit MemPops on Facebook to locate the truck) Mama Ds Italian Ice: soon to open at U.S. 72 East in Collierville; visit the Facebook page to find Mama Ds at area farmers markets Frutanas: 9113 Miss. 178 in Olive Branch; 662-895-0363 La Michoacana: 4091 Summer, 901-590-1901; 6635 Winchester Road, 901-590-1902; 2733 Getwell Road, 901-417-6513; 1038 W. Goodman Road in Southaven, 662-253-0351; 7885 Highway 301 in Walls, 662-781-7712. July 11, 2016 - Defense attorney Craig Morton (second from right) talks with family members before a hearing for Shanynthia Gardner, a 29-year old Memphis woman charged with killing four of her children. Gardner who is being held at the Memphis Mental Health Institute, is undergoing an evaluation and was not in court. (Jim Weber/The Commercial Appeal) By Katie Fretland of The Commercial Appeal Shanynthia Gardner, the 29-year old Memphis woman charged with killing four of her children, remains at the Memphis Mental Health Institute, Shelby County Sheriff's spokesman Earle Farrell said Monday. Gardner's attorney, Craig Morton, and prosecutor, Assistant District Attorney Eric Christensen, appeared in court Monday and said a mental evaluation will begin on her competency at the time of the killings she is charged with and her competency for the legal proceedings in court. "We have to know what her mental state was," Christensen said outside the courtroom. Deputies were called July 1 to the Greens at Irene apartments in southeast Shelby County where the four children were found dead with severe throat lacerations. Gardner was detained in the apartment with what looked like "superficial cuts to her neck and wrists," according to an affidavit. Another child, 7-year-old Dallen, fled the apartment. The funeral for the slain children, Yahzi who was 22 weeks old; Sya, 3; Sahvi, 2; and Tallen; 4, was held Saturday where their father, Martin Gardner, urged people to remember how they lived. "It was a happy home," he said. "We were happy. Our children were happy." Morton on Monday said the mother is grieving and did not understand the consequences of her actions at the time. "We hope that she gets the help that she needs," he said. The case was reset for two weeks. Cautious optimism likely moved to more straight-forward optimism this week among local cultural organizations when the Arizona Commission on the Arts announced 239 statewide grants with total funding nearly doubled from last year. Twenty-four of those grants came to Coconino County, mostly in Flagstaff with some in Grand Canyon, Page and Sedona. Reports show that 15 of those grantees saw increased funding year over year and five are new additions from last year. The total grants received for Coconino County amounted to $192,500, up significantly from the $98,000 in 2015 a bottomed out year for funding following the recession and ongoing cuts. Across the state, more than $2.2 million was dispersed this time, compared to last years $1.2 million. Even with the unprecedented funding challenges Arizona has faced in recent years, leaders in the House and Senate, on both sides of the aisle, fought for our communities, persistently making the case that a vibrant arts sector is a key ingredient of a healthy and improving economy, said Mark Feldman, Chairman of the Arizona Commission on the Arts, in a release this week. Of the 15 arts organizations locally that saw bumps in grant funding, five in particular saw big leaps in either actual dollars or percentage increases. The largest increase in actual dollars is Sedona Arts Center, which received a $28,000 grant this year, up $18,000. The heftiest percentage increase went to Flagstaff Symphony Association, which received a $22,000 grant, a 77 percent increase over last years funding, according to Commission reports. Flagstaff Arts Council picked up a $28,000 grant, up $13,000 from last year. Museum of Northern Arizona received $47,000, an increase of $22,000. Theatrikos was awarded a $10,000 grant, doubling the $5,000 it received from the state last year. Along with these grants, local organizations will see increases in municipal-level funding, as an uptick in the Bed, Board and Booze, or BBB Tax is leading to more dollars going to the arts based on the purpose and structure of the tax. For the state grants, the full list of grantees and awards appears below. Parenthesis note last years grant award for the organizations that saw increases. Artists Coalition of Flagstaff: $2,000 ($1,000) Canyon Movement Company: $5,000 ($2,000) Childrens Chorale of Flagstaff: $4,500 (Not listed last year) Coconino County Superintendent of Schools Arts Learning Collaboration: $2,500 Dry Creek Arts Fellowship: $3,000 ($1,500) Flagstaff Arts Council: $28,000 ($15,000) Flagstaff Community Band: $2,000 (Not listed last year) Flagstaff Dance and Film Festival: $2,000 (Not listed last year) Flagstaff Friends of Traditional Music: $5,000 ($2,000) Flagstaff Master Chorale: $5,000 ($2,000) Flagstaff Symphony Association: $22,000 ($5,000) Grand Canyon Guitar Society: $2,000 ($1,000) Marshall Magnet Elementary School Arts Learning Collaboration: $2,500 Museum of Northern Arizona: $47,000 ($25,000) Northern Arizona Book Festival: $2,000 (Not listed last year) Orchestra Northern Arizona: $3,000 ($1,500) The PEAK School Arts Learning Collaboration Flagstaff: $2,500 ($2,000) Theatrikos Theatre Company: $10,000 ($5,000) Willow Bend Environmental Education Center: $2,000 (Not listed last year) Grand Canyon Music Festival: $7,500 ($3,000) Grand Canyon USD Arts Learning Collaboration: $2,500 ($2,200) Page High School Arts Learning Collaboration: $2,500 Sedona Arts Center: $28,000 ($10,000) SHARE By Richard Gardner/The Commercial Appeal Nat D. Williams in Handy Park in a photograph dated July 12, 1974. A pillar of modern Memphis music, Nat. D. Williams played no instrument; however, he played a major role in ushering many rising talents into the musical world. He was host of the Palace Theater's famed Beale Street Amateur Night and director of Booker T. Washington High School's talent show. One of his most repeated refrains on the radio, in print and in theater was a line made famous by W.C. Handy in his "Beale Street Blues" : "I'd rather be there than any place I know!" July 11 25 years ago: 1991 NASHVILLE Reaching the first level of Tennessee's "career ladder" merit pay program for teachers is so easy that 95 percent of eligible teachers make it, a new state audit says. The landmark program has a legal mandate to award bonuses only for "outstanding performance." But the standards for educators to qualify for the Career Level 1 pay supplement the bottom step on the three-step ladder have been so diluted they are identical to those for obtaining a basic teaching license. The first level bonus is $1,000. 50 years ago: 1966 GRENADA, Miss. Highway patrolmen chased about 175 Negroes away from the Grenada County Courthouse late Sunday afternoon, pursuing them down streets and prodding stragglers with billy clubs and shotgun butts. Sheriff's deputies cleared the area of about 100 jeering whites. Sheriff Suggs Ingram called in about 25 patrolmen after local officers had arrested a group of Negroes for ignoring police orders and told them to stand by while a van was brought up. Instead of waiting for the van, the Negroes tried to edge away. The melee began when Negroes converged in cars on the courthouse-jail building to visit 43 other Negroes arrested in a demonstration Thursday night. 75 years ago: 1941 Instructions clearly informing traffic police what to do and what not to do in the current traffic violations drive were issued yesterday by Commissioner Boyle as a move towards "correcting the bad taste several recent traffic arrests have brought about." 100 years ago: 1916 The Juvenile Court was declared constitutional by Judge J.P. Young in division one of the Circuit Court yesterday afternoon when he dismissed the habeas corpus suit brought by Henry Harwell, who sought to regain the custody of his children. 125 years ago: 1891 The moon is said to be 20,000 miles nearer the Earth than ever before. We are having floods and earthquakes, storms and cyclones all around us. Vesuvius is belching forth a stream of lava. There is more insanity and we are having December weather in July. The times are surely out of joint. SHARE By Ron Maxey of The Commercial Appeal Gov. Phil Bryant, as he gave every indication he would, is appealing federal Judge Carlton Reeves' June 30 decision striking down Mississippi's "Religious Freedom" law. The governor, noted attorney Roberta Kaplan of the Campaign for Southern Equality, has every right to appeal on an individual basis as one of the defendants. Many citizens who backed the law are no doubt glad he is. All that said, it seems fair to ask if it's the right course of action, especially since his appeal doesn't officially speak for the State of Mississippi. Bryant can only appeal on behalf of himself; only the attorney general can sue on behalf of the state. More on that in a minute. Bryant is probably a smarter guy than me when it comes to spending public money, but I still can't help but wonder if his fiscal judgment in this instance isn't clouded by stubborn determination over personal beliefs. A number of experts on constitutional law people with no vested interest in the outcome say the measure is on shaky ground. Reeves, they say, offered a well-reasoned opinion designed to stand up to appellate review. Even the state's attorney general, Jim Hood, seems reluctant to press the issue. Hood, in a lengthy rebuttal to the rush to appeal, said shortly after Reeves' decision that it was "straightforward and clear." (Hood's complete statement here.) "I can't pick my clients," said Hood, who as attorney general is the one who would have to appeal on behalf of Mississippi, "but I can speak for myself as a named defendant in the lawsuit." What Hood said in "speaking for himself" was that he thought the public had been duped into believing the measure protects religious freedoms when, in fact, existing law already provides the protections touted by the bill. It should be noted that Hood, the lone Democrat to hold statewide office, may be maneuvering for a run at governor. Politics aside, Hood's quick and strong response coupled with the legal opinions of many others who question an appeal's chances should give pause to a governor seemingly on a personal crusade and to the taxpayers financing it in a state with limited money and many needs. Kaplan, who argued some of the lawsuits opposing the bill on behalf of Campaign for Southern Equality and its clients, says what is particularly troubling for her is Bryant's request that the injunction against the law be lifted in other words, the law be allowed to go into effect until an appeals court decision. She says the more common approach would be maintaining the status quo until a decision is made. It's not surprising, however, that Bryant who promised an "aggressive" defense of the law would go full guns in his appeal effort. He, after all, has made clear that he sees mission as a higher calling. In May, when receiving the Samuel Adams Award from the conservative Family Research Council for signing the "Religious Freedom" measure into law, Bryant indicated he would go to any lengths to defend it. "If it takes crucifixion, we will stand in line before abandoning our faith and our belief in our Lord and savior, Jesus Christ," Bryant said. Not only is it unlikely there will be any crucifixions, many people of good will are sure to say it isn't abandoning faith to oppose spending time and money on an arguably superfluous attempt to defend religious freedom. Gov. Phil Bryant talking about the LGBT equality movement to the Family Research Council in May: They would say if you are in the industry of dealing with weddings, the solemnization of marriages, then at the point of a bayonet were going to force you to abandon your deeply held religious beliefs and become involved in that ceremony. No accommodation will be offered to you .... Do they think we will simply abandon (religious freedom)? They dont know us very well, do they? They dont know that Christians have been persecuted throughout the ages. They dont know that if it takes crucifixion, we will stand in line before abandoning our faith and our belief in our savior Jesus Christ. So if we are going to stand, now is the time and this is the place. JESSICA CHAMBERS UPDATE Quinton Tellis, the man charged with capital murder in the death of Jessica Chambers, faces a new murder charge. Authorities in Louisiana last week charged Tellis, and details in the indictment describe another death nearly as gruesome as that of Chambers, who was set afire in December 2014 and left to die beside her vehicle not far from her Courtland home in Panola County. In the Louisiana case, authorities contend Tellis tortured a Taiwanese exchange student until she gave up the PIN number for her debit credit, which Tellis has already pleaded guilty to using. According to the indictment, the victim was stabbed more than 30 times. Tellis has an initial court appearance in the Chambers case Friday in Hernando, at which he is expected to enter a plea. If convicted on both murder charges, it's unclear if Tellis would serve his sentence or await execution, depending on the verdict at Mississippi's Parchman prison or at Louisiana's Angola. Either way, he has a 10-year Louisiana sentence awaiting first on the debit card fraud to which he's already pleaded guilty. ALSO THIS ... The newest novel from Oxford, Mississippi, author Ace Atkins draws on the Chambers case for one of its characters -- a girl, on fire, found walking down a highway at night. "Living in this area, you can't help but be affected by the Chambers murder," Atkins said. Read Beth Gooch's conversation with Atkins about his novel, The Innocents, here. OLIVE BRANCH SMACKDOWN Without question, the most unusual story of the week involved state Sen. Chris Massey of Nesbit. Massey, chairman of the Senate Ethics Committee, became embroiled along with other family members in fight with two lawn service workers in an Olive Branch subdivision. When it was over, Massey (a homebuilder) and his father were charged with aggravated assault. One of the lawn service workers also was charged with aggravated assault, and his boss was charged with simple assault. All are due in court Thursday, and Massey says he expects to be exonerated. Going by the police report, it looks an embarrassing argument that got out of hand. As tends to happen in these kinds of matters, each side has its own spin on a common set of facts facts that included threats, use of a shovel and tire iron in ways never intended, and fighting on the ground. The lawn service workers even contend the lawmaker threatened use of a gun. Perhaps in the end, Massey will be exonerated as he contends. Even if he is, it's hard to believe the incident won't tarnish the image of an elected official who, by definition, is supposed to exercise discretion and good judgment. AND FINALLY ... For our Mississippi music of the week, how about some ZZ Top? SHARE U.S. Supreme Court justices sent a powerful message recently by ruling that domestic violence is such a serious crime that a perpetrator's fundamental Second Amendment rights are at stake. In a 6-2 vote, justices agreed to uphold a federal law that denies a person's right to bear arms if they are convicted of misdemeanor domestic violence offenses. This is a powerful indictment of a crime that is still pervasive in communities across the country. Nearly one in four women in the U.S. reports that a current or former boyfriend or spouse has committed violence against them, according to the Tennessee Coalition to End Domestic and Sexual Violence. Tennessee is tied for sixth among states with the highest incidences of women killed by men in domestic violence homicides, according to the Violence Policy Center. The problem has inspired work by agencies such as the Metro Nashville Police Department and the YWCA of Nashville and Middle Tennessee to create a lethality assessment that helps connect victims to counselors. The problem is serious, especially when firearms are involved. An analysis by The Associated Press found that 68 men and 190 women were killed with a gun used by a partner or significant other between 2006 and 2014. The Tennessee General Assembly in recent years has passed an array of legislation to expand gun rights. However, this year, legislators also passed a bill that requires the Tennessee Bureau of Investigation to report to local authorities when a dangerous person, such as a domestic abuser facing a final order of protection, fails a background check while attempting to buy a gun. On June 9, Gov. Bill Haslam signed that bill into law. The law was described as a "baby step" to strengthen public safety, said Kathleen Wright, spokeswoman for the Tennessee chapter of Moms Demand Action for Gun Sense in America. In the heated debate since the June 12 massacre of 49 people at the Pulse gay night club in Orlando, Florida, the gun control and the gun rights arguments flared anew. While it is logical to assert that a gun on its own cannot kill or harm someone, when in the hands of someone dangerous, the consequences can be severe. The high court ruled that people who commit crimes of domestic violence are dangerous enough to deserve to lose their Second Amendment rights. That ruling has the potential to save lives, empower victims and bring further attention to a scourge that mars families and society. The Tennessean SHARE By Clarence Page I have never been entirely comfortable with the name that the Black Lives Matter movement chose for itself. I get their point. The group's founders didn't mean to imply that other people's lives don't matter. Their hashtag? BlackLivesMatter aims to protest how black lives didn't seem to matter in a growing list of scandalous police killings. But right-wingers easily pushed back, dismissing the movement with the retort, "All lives matter." I used the term "right-wingers," not conservatives, because true conservatives deplore abuses of state power against individuals. It is the grumpy right-wingers who want those black protesters and their uppity liberal allies to shut up and go away. To them, "All lives matter" isn't a slogan or a movement. It is a dismissal. It is an attempt to end dialogue before it has begun. But the tragic events of recent days should sober all of us Americans up to the need to show that all lives really matter and take action to show it. The first casualty of the week was Alton Sterling, who police in Baton Rouge busted early Tuesday for selling bootleg CDs. A viral cellphone video shows police forcing him to the ground and restraining him. An officer further away from the camera shouts that the restrained man has a gun. The closer officer draws his weapon and shoots the man on the ground at close range. Shocking. We might have had better quality video if both officers' cameras had not fallen off in the scuffle, according to police. What a sorry coincidence. The following evening, another black man Philando Castile, 32, was fatally shot by the St. Anthony Police Department in Minnesota, apparently during a traffic stop. His girlfriend, Diamond Reynolds, turned on the one tool she had available, her cellphone. Talking to the officer and repeatedly addressing him as "sir," she feeds video and her agitated narration to her Facebook page. As her boyfriend bleeds to death in the driver's seat and her 4-year-old daughter cries in the back seat, we can't see the officer's face but we can see his gun, still aimed at Reynolds as she speaks. Castile was a licensed gun carrier, according to Diamond, and alerted the officer in advance that he had a gun on his person as he reached for his wallet. Where, I wonder, is the National Rifle Association when a gun owner like Castile has his concealed-carry rights violated? The news turned even more tragic during nationwide protests on Thursday night. A peaceful Dallas protest march turned violent. Sniper fire killed five police officers and wounded seven more, police said. Two civilians also were wounded. Two suspects were taken into custody and a third was killed by police. Ironically, earlier in the day, President Barack Obama told reporters in Warsaw that the shootings of black men in Louisiana and Minnesota were "symptomatic of a broader set of racial disparities that exist in our criminal justice system." He cited statistics that showed that blacks and Hispanic Americans were far more likely to be arrested and shot by police and, once charged, to receive longer sentences for the same crimes. When people feel they have been treated unfairly and don't trust the police, the president said, it makes the job harder for "those law enforcement officers who are doing a great job, and are doing the right thing." So, when people say "black lives matter," he said, "it doesn't mean 'blue lives' don't matter, it just means all lives matter." Indeed, President Obama could have mentioned a recent case that most major media overlooked. Video shows Dylan Noble, an unarmed 19-year-old Fresno teen, was fatally shot June 25 by police as he was lying on the ground after a traffic stop for speeding, according to the Los Angeles Times. Release of the video led to a large protest vigil. Mourners, not too surprisingly, planted protest signs. Appropriately, they read, "White Lives Matter." Indeed, they do. So do the lives of people of color and police lives, too. the Dallas massacre of innocent police officers hurts everyone. So do misbehaving cops who make it harder for honest cops to do their jobs properly. Those of us who truly believe that "all lives matter" need to elect leaders who can put some action behind those words. Clarence Page is a columnist for the Tribune Content Agency. Contact him at cpage@tribune.com. SHARE By Daniel J. Stone The drug companies are ripping us off, pill by pill, shot by shot. Instead of working to earn reasonable returns by relieving our suffering and saving lives, they now focus on profits above all. Their main targets are insurance companies. But when insurance companies take a hit, they bump up premiums to employers or the government. So we all pay in taxes, reduced take-home pay, co-payments and deductibles. This problem brought an 84-year-old patient to my office in tears. She had been diagnosed with hepatitis C years ago when the virus was first recognized. An old interferon-based treatment caused months of severe side effects and ultimately failed. Her lab tests and physical exam showed advancing cirrhosis. Sovaldi, a new drug that eliminates the virus in about 90 percent of such patients and reduces the risk of hepatitis-related liver cancer and other complications, seemed to offer an answer. The cost turned out to be huge, more than $80,000 for the three-month course of treatment. She was covered by a Medicare HMO plan, but not well enough. Her co-payment would be $3,000 per month. The $9,000 total would wipe out her remaining life savings. When Sovaldi hit the market it set a new standard for drug pricing. The $80,000-plus treatment charge meant that if every American who could benefit received the drug, the annual cost would exceed that of all other drugs prescribed in the U.S. combined. The road to this break-the-mold pricing started when Gilead Sciences Inc. acquired the drug's developer, Pharmasset, for $11 billion in the fall of 2011. Pharmasset's chief executive made an estimated $255 million on the deal and its 82 employees each averaged an estimated $3.3 million. Sovaldi was not yet on the market, and Gilead took a double markup on the drug, charging enough to more than cover not only Pharmasset's costs of research and development, but also the high price paid for the company. The pharmaceutical industry has learned to expect such windfall profits because it knows insurance companies can't say no to unique, patented drugs that have no competitors. Insurance becomes a lever that releases massive payments to the drug companies. If Gilead had to sell its drugs to individuals using their own dollars, how many $80,000-plus treatments could it sell? The drug companies defend their pricing practices by stoking fears that curbing exorbitant profits will kill the golden goose of drug development. The fallacy of this claim can be seen in pricing shenanigans in the post-patent and generic drug market, where research costs have long since been paid for. Turing Pharmaceuticals, for example, attracted attention when it acquired sole rights to the post-patent drug Daraprim, the first-line treatment for toxoplasmosis. Finding itself with a monopoly, Turing promptly marked up the price 5,000 percent. The transparency of its actions and the striking magnitude of the increase prompted criticism even within the industry. But Turing's profiteering was merely a more extreme version of the standard industry practice "gotcha pricing" on unique drugs that maximizes profit at the expense of all other values. Gilead and others point out that Sovaldi is cost effective compared with paying for other health care services that the drug might prevent. Compared with having a liver transplant, for instance, Sovaldi's price tag still allows for some overall savings. This argument is a lot like a plumber billing a customer $20,000 to fix a leaky pipe under the sink. Considering the costs of a possible flood, it might seem defensible. In the real world, any plumber charging based on "what you saved" by preventing a potential catastrophe would lose business to competitors. Gilead's Sovaldi doesn't have competitors; insurance just pays the bill. Fortunately, my patient's story ends well. After many anxious weeks she qualified for help from the Patient Assistance Network, a nonprofit that has funded care for more than half a million underinsured patients. She's nearing the end of her treatment and has no detectable virus in her blood. The outcome represents a miracle of American biotechnology, but the back story is a travesty. Americans who paid into Medicare shouldn't have to depend on charity for the treatment they need. And philanthropy should not support windfall profits for drug companies. With other new drugs in the pipeline set to impose Sovaldi-like prices, drug costs will continue to lead the growth of America's economy-killing health care expenditures. In the area of generic and post-patent drugs, the Food and Drug Administration needs to start regulating pricing practices to protect the public. Reforming the financing of drug development will require more creativity. The government should consider subsidizing research and development to reduce the industry's risk, in return for oversight on pricing that would allow reasonable returns on investment. Sovaldi's price tag is an unmistakable warning sign the drug pricing system is a danger to the health of the nation. Dr. Daniel J. Stone is an internal medicine and geriatric medicine specialist in Los Angeles. He wrote this for the Los Angeles Times. SHARE By Kathleen Parker WASHINGTON Horror. Shock. Disbelief. Numbness. Grief. Anger. And terrible sadness. These fractured thoughts were all I could muster upon waking Friday to news of the ambush on Dallas police. They were still fresh in my mind from the night before when I'd turned in early, exhausted by the images of 32-year-old Philando Castile dying in Minnesota after a police officer shot him. As we all know by now, Castile was African-American and the officer was not. It started as a routine stop for a broken taillight and ended in what has become a routine shooting followed by a routine headline. Black man shot by police officer. How many times must we read those words? Just 24 hours earlier, another black man, Alton Sterling, 37, was shot to death by police while being restrained in Baton Rouge, Louisiana. Like Sterling, Castile did have a gun. Castile also had a conceal permit, which he apparently told the officer as soon as he was stopped. Why would someone tell a police officer he had a gun if he intended to use it? Castile was reaching for his driver's license and registration when the officer opened fire, says his girlfriend, Diamond Reynolds. Reynolds used her cellphone to film the aftermath of the shooting, careful to address the officer as "sir" and follow his instructions. Over and over I watched the video, trying to imagine being in that car, while at the same time feeling shame about watching a stranger who is mortally wounded. Nothing is more intimate than death, which we all hope to face with dignity in the comforting presence of loved ones. Castile had no such luck. Instead, he was surrounded by millions of onlookers, most of whom, I feel certain, suffered with and for him. "Expletive!" "Expletive!" "Expletive!" On the video, we hear the officer repeating the F-word as he realizes what has happened. Reynolds is saying, "Please don't tell me this, Lord. Please, Jesus, don't tell me that he's gone," she said. "Please, officer, don't tell me that you just did this to him." My God. Friday morning, Castile's mother bore into the television camera. She said people can look into her eyes, at that point 48 hours without sleep, and know that she's not going away until justice is served. Across the country, protesters had gathered peacefully Thursday evening to demonstrate against the shootings. Enough. Then suddenly in Dallas, the peace was shattered when shots were fired from a high vantage point. A shiver. Not again. When it was all over, five officers were dead and another seven were wounded. A suspected shooter is dead, too, killed by a police bomb robot. Why not? An unhuman kills the inhuman. Before he died, the man told officers he was upset about Black Lives Matter. He wanted to kill white people and white policemen, reported Dallas Police Chief David Brown at a news conference. In Minneapolis, Gov. Mark Dayton said he thinks that if Castile had been white, the officer wouldn't have shot him. A retired New York City police detective wept as he spoke to CNN's Chris Cuomo about the bravery of the Dallas officers who, carrying only pistols, were wearing protective vests they knew couldn't deflect the bullets of the shooter's weapon. Imagine. Then, too, imagine being a young black man who is taught early on that he has to be extra careful around the police. The worst will be expected of him. "He shot his arm off," we hear Castile's girlfriend saying on the video. We see Castile's blood-soaked shirt; we hear him groan and watch his head drop. Black lives matter. White lives matter. Blue lives matter. Does anything matter anymore? What is happening to this country? A wall-mounted gun manufacturer's video ad welcomes visitors to the Columbia, South Carolina, airport. In Chicago today, no one will be surprised if a child is killed in gang crossfire. Will another black avenger try to kill another white cop? Will police still give black neighborhoods protection? "We're being hunted," said Castile's mother. Madness. For now we grieve with the families of the dead and talk of ways to stem the violence. But there's really only one way to stop the killing and it lies in changing our culture, beginning with recognizing every single person's humanity the black youth's, the white officer's, and every other in between. As Charles Blow, The New York Times columnist put it: "Everybody deserves to go home." Kathleen Parker is a columnist for The Washington Post Writers Group. Contact her at kathleenparker@washpost.com. Omni Hotels & Resorts has reported that point-of-sale systems at some of its properties were hit by malware targeting payment card information. The attack on the systems of the luxury hotel chain follows similar breaches of point-of-sale systems at various hotels and retailers like Hyatt Hotels, Target, Starwood Hotels & Resorts Worldwide and Hilton Worldwide Holdings. Omni in Dallas, Texas, said in a statement Friday that on May 30 this year, it discovered it was hit by malware attacks on its network, affecting specific POS systems on-site at some of its properties. The malware was designed to collect certain payment card information, including cardholder name, credit/debit card number, security code and expiration date, Omni said. There isnt evidence that other customer information, such as contact information, Social Security numbers or PINs, was compromised, it added. The chain did not disclose how many of its 60 properties were affected and the likely number of cardholders that could have been affected. As there is no indication that reservation or select guest membership systems were affected, users were unlikely to be affected unless they physically presented their payment card at a POS system at one of the affected locations. The malware may have been in operation between Dec. 23 last year and June 14 this year, although most of the systems were affected during a shorter timeframe, according to the hotel. The hotel chain, which offers hotels and resorts in the U.S., Canada and Mexico, could not be immediately reached for comment over the weekend for further details. Omni said after discovering the malware attack, it had immediately hired IT investigation and security firms and has now contained the intrusion. It did not specify why it had delayed to inform customers. Hackers have been using information stolen in the breach to make fraudulent purchases since late February, Andrei Barysevich, director of cybercrime research at Flashpoint, which worked with payment card issuers and payment processors to investigate the hack, told the Wall Street Journal. One hacker who went by the moniker JokerStash sold more than 50,000 payment card numbers related to the breach, Barysevich told WSJ. Omni informed customers than even if they had used their cards at any of the affected properties of the hotel, they may not be affected by the issue. However, it advised users to in abundant caution review and monitor their card statements if they used it at an Omni Hotel between Dec. 23 and June 14. Over a dozen types of malware were found last year that target point-of-sale systems, as cybercriminals redouble efforts to steal payment card information from retailers before new defenses are put in place, FireEye said in March. Apple has put together plenty of accessibility enhancements across all its operating systems for release this fall. Inspired by a great story about Apple engineer, Jordyn Castor, I thought I'd explain some of these today. Apple has consistently dedicated itself to ensuring its core products are accessible for no extra cost for decades. This means access is a core system component, rather than being an add-on accessory. This philosophical differenc sets Apple apart. So, what accessibility improvements can you expect in Apples new operating systems when they ship this fall? Taptic time Taptic time allows you to silently feel the time instead of hearing it. Its available with three time telling options including Digits, Terse and Morse Code, meaning blind users dont have to ask VoiceOver to tell them this. VoiceOver is widely used in the partially sighted community. iOS 10 Magnifier Magnifier lets you use your camera as a magnifying glass. You can access things like the camera flash and grab freeze frames. You can also adjust color filters to get a better view. This is going to be a must use feature for anyone who is partially sighted. Enabled in Settings>General>Accessibility>Magnifier. Siri Dont underestimate how useful Siri will be to help make all Apples platforms accessible to more users. Apple TV, Switch Control People of limited mobility will be able to use Switch Control with Apple TV to navigate the system with a single hardware switch. This provides a cursor to navigate on-screen elements and an optional on-screen version of the Siri Remote. Whats even better is that you can use your iPhone (or Mac) as a Switch from which to control your TV using the new Control other devices option in the iOS Switch Control menu. macOS, Dwell control Dwell hardware uses a headset or eye movements to control events on-screen. This lets users with limited mobility to control the mouse, set timers and much more. Color control All three operating systems gain a feature that lets users tint the color of the entire display, which can assist people who are color blind. You access this (on iOS) in General > Accessibility > Display Accommodations > Color Filters. iOS 10: Software TTY This is an important tool for the hearing impaired. Like Hardware TTY devices, it lets users use their iPhone to communicate even though they cant hear well, using text. The feature is supplemented with TTY-specific QuickType predictions, such as GA for the commonly used Go Ahead prompt that lets people know its time to respond. Transcripts are saved in the Phone app. Take a few moments to understand the benefits of accessible design with deaf blind disability rights activist, Haben Girma, who spoke at WWDC 2016 (transcript). macOS/watchOS Automatic log-in to your Mac has to be useful to every user. iOS: Voicemail transcripts Voicemail transcripts on iPhone may be useful to everyone who can use them, but could open up new communication opportunities for those who are deaf or hard of hearing. For dyslexia Apple is improving speech selection in the OS in order to help those with dyslexia. Apple has implemented improvements to Speak Selection and Speak Screen to help people better understand the text that's already been entered. The company has also implemented new audio feedback for typing to help people catch mistakes. Wheelchair fitness Apples new Wheelchair Fitness Activity tracker is an impressive and original piece of tech, explored in far more detail here. VoiceOver A digital DJ app called djay Pro won an Apple Design Award this year, partially because its great software for any digital DJ, but also because of its outstanding support for VoiceOver. That support means blind or partially sighted DJs can mix like a pro watch this video from the 5-minute mark for more on this. Developers, developers, developers Whats critical about Apples accessibility tools is that it also provides APIs developers can use to make sure their own apps are fully accessible to everyone, as is detailed in this extensive WWDC transcript. Are you aware of any other newly-revealed accessibility features Ive not spotted yet? Please let me (and everyone else) know in comments below. If you use accessibility solutions how do you use them? Google+? If you use social media and happen to be a Google+ user, why not join AppleHolic's Kool Aid Corner community and join the conversation as we pursue the spirit of the New Model Apple? Want Apple TV tips? If you want to learn how to get the very best out of your Apple TV, please visit my Apple TV website. Got a story? Drop me a line via Twitter or in comments below and let me know. I'd like it if you chose to follow me on Twitter so I can let you know when fresh items are published here first on Computerworld. Want to have a group trivia contest on Skype? Microsoft announced updates to the bot functionality in Skype on Friday that let digital quizmasters and other automated participants take part in group text conversations. Developers that use the functionality can open their robotic conversation partners to groups of friends and coworkers, similar to what Slack offers today. Skype also now supports visual cards that let users see pictures, receipts and more, similar to what Facebook offers bot makers through tools for Messenger. It's all part of Microsoft's push to promote conversations as a computing platform. CEO Satya Nadella laid out the vision at its Build conference in March, describing a future in which intelligent assistants like Cortana work with special-purpose bots to help humans perform tasks like making hotel reservations and booking flights. Developers building Skype bots can also now allow users to log into a service, like a hotel loyalty program, making it easier for them to keep interacting with a business without having to log in constantly. The Skype-specific news comes alongside updates to Microsoft's Bot Framework, a set of developer tools that make it easier for people to create conversational bots for Skype and other services. The Bot Framework is now better integrated with Skype so developers can automatically configure bots made with the platform to work on Skype, rarther than having to copy and paste configuration data. In addition, the Bot Framework supports new controls for developers, so it's easier for their bots to send messages that include clickable elements like cards and carousels. That's important as more messaging services allow bots to incorporate those rich elements, which make it easier for people to interact with them. Tech companies all over the place are taking part in a massive bot arms race. Facebook, Slack, Telegram, Google and a host of others all want to host the new bot invasion, since that could put them in a lucrative position, similar to where mobile app store owners are right now. A mobile chip faster than the one in flagship smartphones like Samsung's Galaxy S7 and LG's G5 will start appearing in handsets this quarter. The Snapdragon 821, announced by Qualcomm on Monday, is an incremental upgrade to the Snapdragon 820. In addition to mobile phones, it's also aimed at tablets, drones, robots and virtual reality headsets. The Snapdragon 821 is about 10 percent faster than its predecessor. It is also more power-efficient, meaning batteries in smartphones and phablets will last longer. Smartphone buyers can look at smartphone specifications to see if a device has a Snapdragon 821 or 820. Qualcomm is now the top dog of mobile chip companies, with Intel exiting the race. The company routinely releases incremental upgrades to its top-line chips. It released the Snapdragon 801 chip in 2014, with performance and graphics improvements, as an upgrade to the Snapdragon 800. The Snapdragon 821 will boast minor graphics improvements, so smartphones with the new chip will be better equipped to handle Google's DayDream mobile VR platform, for example. The chip can also process 4K video in smartphones to show on external displays. The Snapdragon 821 will have an integrated modem that can provide download speeds up to 600Mbps (bits per second), which is the same as its predecessor. The CPU will operate at speeds of up to 2.4GHz. The chip is based on a homegrown architecture code-named Kryo. Qualcomm didn't provide further details, saying they would be shared at a later date. But it's safe to assume the new chip will support LPDDR4 memory, and even Windows 10 Mobile, which works with Snapdragon 820. The best interests of our country inspired me to stand for the leadership. I believe that in leaving the EU a bright future awaits, where all our people can share in a new prosperity, freedom and democracy. The referendum result demonstrated a clear desire for change strong leadership is needed urgently to begin the work of withdrawing from the European Union. A nine-week leadership campaign at such a critical moment for our country is highly undesirable. For me personally, to have won the support of 84 of my colleagues last Thursday was a great expression of confidence for which I am incredibly grateful. Nevertheless, this is less than 25% of the parliamentary party and after careful consideration I do not believe this is sufficient support to lead a strong and stable government should I win the leadership election. There is no greater privilege than to lead the Conservative Party in government and I would have been deeply honoured to do it. I have, however, concluded that the interests of our country are best served by the immediate appointment of a strong and well-supported prime minister. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success. I assure her of my full support. Thank you very much. Apparently, there have been informal talks between moderate Labour and Conservative MPs about forming a new, centrist party if Andrea Leadsom wins the Tory leadership. Not just a centrist party, a pro-EU centrist party. These rumours denied on this site by Nick Boles, who seems as opposed to Leadsom as any make great column fodder, so theyll doubtless receive a lot of attention. Such talk also fits into other stories such as legal challenges to the referendum or a rediscovered enthusiasm for Parliamentary sovereignty that offer comfort to our dazed and disoriented Europhiles. But lets actually consider what launching a Centre Party would necessitate. Who would join it? Its obviously great for the Liberal Democrats, who we can expect to be (almost) wholly in favour. A new party would offer transfusion of fresh talent, a replenishment of their numbers on the green benches, and maybe an out from a much-damaged brand not to mention a vehicle to resist Brexit, which seems to be the plan. (Can we imagine MSPs uniting into a new pro-UK party in the event of a Scottish vote for independence, to continue the struggle?) Then we have the Labour right, presumably if they were unable to shift Jeremy Corbyn. Theres enough intellectual crossover between Blairism and certain shades of Lib Dem thinking for a match to work. Yet there are problems, not least of which is that Labour is most directly scarred by the traumatic experiences of the SDP in the 1980s. That had a lot going for it high profile leaders, a hard-line Government, and shambolic opposition yet failed to take off. Nearly all the Labour MPs who defected to it crashed out of Parliament at the next general election. Then you have the very strong sense of tribal loyalty: being a Labour person seems to matter in a way that being a Conservative doesnt. Even if an MP did defect, would their local activists? Or would they be left adrift with no campaign machine? Finally, and perhaps most importantly, why would Labour MPs want to abandon the political left to Jeremy Corbyn by joining an explicitly centrist group co-founded with ex-Tories? A bid to try to dislodge Labour with a new centre-left vehicle, such as the Co-operative Party, seems more likely, were a split to occur. Lastly, whilst Conservative MPs on the party left might see the appeal of a new party in theory theres certainly that same intellectual crossover with Blairism they face many of the same challenges as would-be Labour defectors. With the possible exception of those who do hold strongly pro-Remain constituencies, most do not hold seats which we might expect this parti du lancien regime to win in a general election. Indeed, as this helpful guide from Buzzfeed shows, the 48 per cent are concentrated in substantially fewer than 48 per cent of Parliamentary seats, and relatively few of those are Tory. Factor in the loss of local activists and the money and machinery of the national Conservative Party and most defectors will likely have a very tough fight on their hands. This matters, because not every decision can be taken from a point of high principle. Losing their seat means not only that an MP loses their income and the support it provides their families but that all their staff are out of work too. Politics is also a social business, and this sort of floor-crossing can and does sunder relationships. Its nice to have made some new friends over at Britain Stronger In, but how many old ones are you prepared to lose for their sake? Finally, the more thoughtful liberals amongst whom we can count Boles will probably realise that their philosophy will be much weaker if it is drawn out of the two major parties. With the brief exception of the Coalition, and Tim Farrons new line of euro-revanchism, the Lib Dems have mostly spent the past quarter-century keeping talented liberal politicians on the margins of British political life. How much more good might they have done had they instead bolstered the liberal wings of the two power-wielding parties? None of this means that a new party and a wholesale realignment of British politics is impossible that would be a fools pronouncement after the last few weeks. But it does remain monumentally unlikely. The two major parties, for all their faults, are broad churches, and our electoral system buys them the space to re-position to reflect the key divisions of the day. New ideas in familiar packages remains by far the most likely, if less exciting, result of this post-Brexit upheaval. SUBSCRIBE Sign up with your email address to receive news and updates straight in your inbox. TUCSON A kerfuffle erupted last week between Benson city leaders and the state parks department over how a massive development proposed in the tiny Cochise County town could affect one of the county's biggest tourist draws, Kartchner Caverns State Park. Following a furious letter from Benson's city manager to Gov. Doug Ducey who appoints the director of the Arizona State Parks a parks official initially backed away from the agency's demands for assurance that the Villages at Vigneto won't harm the caverns' fragile ecosystem. Jim Keegan, deputy director of Arizona State Parks, said in an interview Thursday that the city has already addressed the agency's concerns including Kartchner's water levels, water quality and traffic patterns aired last week at a public hearing. "The vast majority of it has all been cleared up," he said. But Arizona State Parks director Sue Black said Friday that the parks department is still negotiating with developer El Dorado Holdings and Benson city leaders over protections for the caverns. "It's a work in progress right now," she said. "Im just pleased theres collaboration and cooperation." The proposed master planned community could bring 28,000 homes and 70,000 people to Benson. Opponents worry the project's groundwater pumping could harm precarious ecosystems in and around the federally protected San Pedro Riparian National Conservation Area. Environmentalists say too much is still unknown about Vigneto's potential impact on Kartchner for all water concerns to have been addressed. Geologist Norm "Mick" Meader has studied the caverns alongside Kartchner's park scientist Bob Casavant. He said the statement given at the Benson meeting "doesn't really do justice to (Casavant's) scientific acumen." The statement, prepared by other park officials, was "alarmist" in its suggestion that the park might shut down, undermining Casavant's valid concerns about the caverns' vulnerability, Meader said. NEW REPORT Meader, co-president of the Cascabel Conservation Association, which is involved in a lawsuit related to Vigneto, recently released a report on the relationship between Kartchner Caverns and the development. While Vigneto pumping would not directly affect the caverns by draining water from them it could indirectly do so by affecting the water table beneath them, the report said. But without further research, it's impossible to know how Vigneto would affect the caves, Meader said. Benson City manager Bill Stephens said the city has committed to connecting Kartchner Caverns State Park with city water lines that would be extended to the Vigneto development, so the park wouldn't have to draw from the same aquifer that feeds the caverns. That would make the park system "more resilient and better able to withstand possible water losses from Vigneto," Meader said. "That addresses one of the central concerns that we can do something about," he said. The biggest threats to Kartchner's water supply are the effects of climate change, such as the ongoing drought, and groundwater pumping from the park itself, he said. STIPULATIONS FOR SUPPORT The dust-up started July 5, when three parks officials voiced concerns about Kartchner's future during a Benson zoning commission public hearing on the Vigneto project. The commission ultimately recommended that the city council vote to approve the project, which could happen on July 18. Park officials listed six "stipulations" they said should be added to the final master plan for Vigneto before the parks department would support the project, including assurance that Vigneto's groundwater pumping wouldn't affect water levels and water quality at the caverns. Some Benson officials were outraged by the public way in which the parks department presented its concerns. "They blindsided us," City Councilman Jeff Cook said. "Im in agreement with everything they're saying. I just don't think it falls firmly in our jurisdiction." Water issues are regulated by the state departments of environmental quality and water resources, he said. Jill, i was hoping to attribute this to him, just because opponents could argue that the city should make more efforts to force the issue with the state on some water issues and they're passing the buck to the state... On Wednesday, Benson City Manger Stephens wrote in a letter to Gov. Ducey that the park officials' presentation was an "ambush." Presenters ignored "intergovernmental courtesy" and embarrassed the city by failing to discuss their concerns with city leaders before the public meeting, he wrote. By Thursday, tensions had cooled. Stephens told the Star that Benson leaders met with park officials to fill them in on how some of the park's concerns had already been addressed, such as traffic concerns that the city worked out with the department of transportation. Stephens said he plans to send another letter to Ducey saying the parties are now on good terms. UNKNOWN IMPACT Vigneto supporters tout the project's expected economic benefits, which developer El Dorado Holdings says could spur "unprecedented economic activity" in Benson. El Dorado spokesman Mike Reinbold declined to comment on the concerns about Kartchner. Kartchner Caverns is one of the few living caves that is accessible to the public. It contains still-growing formations created by water seepage leaving behind mineral deposits that reach up from the caverns' floors and down from the ceiling. The caverns are also an "economic engine" for Cochise County, said Kartchner scientist Casavant. A 2015 economic impact report found that visitors to Kartchner brought nearly $10 million to Cochise County in fiscal year 2014. The sprawling limestone caverns a maternity roost for throngs of bats every summer are about six miles upstream from the planned Vigneto development and the two are separated by a ridge. But surface topography does not reflect what's going on underground, Casavant said. The park's internal research indicates the fractured, water-holding bedrock surrounding the caverns is connected to other underground limestone caverns throughout the area. "Our models show were linked more than people think," even to areas downstream, he said. Kartchner's latest research hasn't been included in any scientific evaluations of the potential impacts of Vigneto, Casavant said. A recent study commissioned by the Center for Biological Diversity which opposes the development didn't incorporate Kartchner's models. The study concluded Vigneto could harm the St. David Cienega, but was unlikely to affect Kartchner because its water system appears "isolated." The study was "spot-on in many aspects, but youll notice in that report it excludes Kartchner, because the literature out there is outdated," Casavant said. A U.S. Geological Survey study that would have explored how groundwater pumping affects the San Pedro River was defunded in 2010. It could take $1 million to finish the study. "My request is to slow down," Casavant said. "The concerns we raise, and the concerns others raise, are valid. We do not want the caverns to become high and dry." The following editorial appeared in Sunday's Arizona Daily Star: The beautiful wall Republican presidential candidate Donald Trump envisions would be 35 to 40 feet tall and 1,000 miles long, covering roughly half of the U.S.-Mexico border. Along the rest, natural barriers like rivers and mountains would continue to divide the two countries. Trump estimates the project would cost $12 billion, which he says hell make Mexico pay by confiscating money its residents living here send back to their families. Its tough to find anyone living in the borderlands even one who agrees with Trump that the international line is not secure who thinks a wall is the solution. Instead, border residents want fencing that makes sense for their area, agents and surveillance technology closer to the border, and a way for migrants to come here legally and work. More than half of the 1,000 miles where Trump wants to build a wall are already fenced with a hodgepodge of steel plating, heavy-duty mesh, towering metallic slats and barbed wire. In remote areas, where the Border Patrol wants to stop cars loaded with illegal goods, chest-high vehicle barriers line the border. In cities, where crossers try to scale the fence and run, the poles are high and smooth. Trump has given few details about his plan, but his August 2015 reference to it as beautiful nice precast plank indicates a solid wall one that could take the place of the various fences that divide the border now. Weve been here before. In 2006, six Arizona Daily Star journalists traveled the roughly 2,000 miles of the U.S.-Mexico border, analyzing the feasibility of the 700-mile double-pedestrian fence proposed through the federal Secure Fence Act. The teams conclusion was that it would not work natural barriers like rivers, canyons and mountains made a continuous fence impossible. Congress tweaked the law in 2008, requiring the Department of Homeland Security to build fencing where and however the agency felt it was needed. This spring, with Trumps build the wall message resonating so powerfully that he became the presumptive Republican presidential nominee, a new Star team went back to the border. Their goal: to go beyond the political rhetoric and talk with people who live and work along the international line. They found that some stretches that the Border Patrol considered 10 years ago to be unfenceable a canyon in California, for example, and shifting sands near Yuma were, indeed, fenced, but at great financial and environmental costs. The border now has 703 miles of barriers: 653 linear miles and 50 miles of double and triple layers, most of it built in the past decade at a cost of $2.3 billion. Apprehensions of illegal crossers are down, but its impossible to say how much of that is due to enforcement, since the drop coincided with an improved economy in Mexico and a recession in the United States. On a series of border trips this spring and summer, four Star reporters and two photographers talked with about 100 people on both sides of the border ranchers, business owners, retirees, residents, environmentalists, Border Patrol agents and researchers about the impact and feasibility of a solid wall. With the changes in border enforcement in the past decade, much of the border fence is already built and most of the rest is either not needed or not feasible: The jagged mountains, deep canyons and winding rivers that remain unfenced pose a more effective natural barrier than humans could build. Illegal traffic has shifted to rugged areas where building a fence would be tremendously expensive and would slow smugglers and border crossers down only by seconds on a multi-day journey. In Texas, the state with the least fencing, the border runs along private land. Forcing landowners to sell would lead to drawn-out and costly lawsuits. And even the tallest wall would not solve the most serious problems at our border: Hard drugs, a chief reason Trump said he wants a wall, mostly do not come over the fence but rather through the southern borders legal ports of entry. Those crossing stations see $500 billion in trade every year and are used by many of the 15 million border residents in the United States and Mexico. A wall wouldnt stop the current wave of Central American families and children who turn themselves in rather than evade capture. It wouldnt keep out people who overstay their visas a group that makes up about half of the unauthorized population in the United States. In short, the fence we already have has done most everything a fence can do. Editor's note: For more on the border wall, visit www.tucson.com The Tin Man Brewing Co. logo. By Mike Hartz of the Courier and Press Tin Man Brewing Company is looking to assimilate Central Indiana. The Franklin Street business, which uses a robot-themed logo and a slogan of "You will become one of us," is opening a tap room and brewery in Kokomo. "We've been looking for a way to expand our presence in Central Indiana. After visiting Kokomo and witnessing the growth and progression in the downtown area, we thought it would be a perfect fit," says Andy Davidson, the company's vice president and owner. "We're excited to bring a new facet of Tin Man Brewing Company to the area and hope to continue to bring our craft beer culture to central Indiana." The Kokomo tap room is scheduled to open later this year and the brewery will come online in 2017 according to the news release. The new facility will employ 15-20 people. "We hope to produce around 500 barrels of beer in the first year of production," says Nick Davidson, Tin Man's president. "We want to use the Kokomo location to do some unique and interesting things, but we definitely plan to have our core styles available as well." Tin Man Brewing produced 3,000 barrels in 2015 and hopes to double that in 2016. "Kokomo will be a smaller facility and give us the opportunity to do some fun and creative small batches," said Sara Davidson, Tin Man's director of marketing. SHARE Fall Prevention Seminar: Seminar and balance screening by ProRehab at 10:30 a.m. July 28 at Oak Meadow Country Club. The cost is $15 per person covering the cost of lunch that will be served following the seminar. Reservations and payments must be received by July 21. Checks can be made out to Fall Prevention Seminar, 68 Oak Meadow Road, Evansville, IN 47725. Alzheimer's Association Program: "Healthy Living for Your Brain and Body," 9:30-10:30 a.m. Tuesday at the Henderson County Public Library, 101 S. Main St., Henderson, Kentucky ($5 donation suggested, $15 fee for professionals). Registration is required by calling 800-272-3900. Alzheimer's Association Memory Cafe: For people with memory loss and their caregivers, 2-3:30 p.m. Wednesday at Audubon State Park in Henderson, Kentucky. Registration required by calling 800-272-3900. FA (Families Anonymous): a 12-step fellowship for the family and friends of those individuals with drug, alcohol or related behavioral issues. Meetings are at 10 a.m. Saturdays at Methodist Temple, 2109 Lincoln Ave. Use the Kelsey Avenue entrance, second floor. Information: 812-550-5777. Bereavement support group: Meeting 5:30-7 p.m. the fourth Tuesday of each month in the large group meeting room, second floor of Central Library, 200 SE MLK Blvd. Men's bereavement support group: Meeting 9-10:30 a.m. the second Monday of each month in Room 204 at Deaconess VNA Plus, 610 E. Walnut St. Support group for bipolar/manic-depressive disorder: Meeting 7 p.m. the first and third Wednesday of each month, Kempf Bipolar Wellness Center, third floor of St. Mary's Rehabilitation Institute, 3700 Washington Ave. Information: 812-485-4934. Survivors of Suicide support group: Meeting 6:30 p.m. the first and third Monday of each month, Methodist Temple, 2109 Lincoln Ave. Information: Mental Health America at 812-426-2640. Mending Hearts pregnancy loss support group: Meeting 6:30 p.m. the first Tuesday of each month, Gift Conference Room, off the lobby of St. Mary's Hospital for Women & Children, 3700 Washington Ave. Information: 812-485-4204. Men's cancer support group: Meeting 5:30 p.m. the second Tuesday of each month, St. Mary's Epworth Crossing Community Conference Room, 100 St. Mary's Epworth Crossing, Newburgh. Information: 812-485-5725. Stroke support group: Meeting 10 a.m. the fourth Wednesday of each month, St. Mary's Community Education Room at Washington Square Mall, 5011 Washington Ave. Information: 812-485-5607. ALS support group: Meeting 6:30 p.m. the second Tuesday of each month, Meeting Room E, Deaconess Gateway Hospital. The support group is for patients, caregivers and survivors who have lost someone to Lou Gehrig's disease. Women's cancer support group: Meeting 5:30 p.m. the second and fourth Monday of each month, St. Mary's Epworth Crossing Community Conference Room. Information: 812-485-5725. Pulmonary fibrosis support group: Meeting 4 p.m. the second Tuesday of each month, Room 1420, Deaconess Hospital, 600 Mary St. Information: 812-450-6000 or deaconess.com/calendar. COPD/asthma support group: Meeting 4 p.m. the fourth Tuesday of each month, Room 1420, Deaconess Hospital, 600 Mary St. Information: 812-450-6000 or deaconess.com/calendar. Parkinson's support group: Meeting at 5:30 p.m. the first Tuesday of each month, Room 350, Deaconess Physician Center, 600 Mary St. Information: 812-450-6000 or deaconess.com/calendar. Tri-State Multiple Sclerosis Association support group meetings: 10 a.m. the second Saturday of each month, Tri-State MS Association Office, 971 S. Kenmore Drive, Evansville (contact Nita Ruxer at 812-479-3544 or Sharon Omer at 270-333-4701); 10 a.m. the fourth Saturday of each month, Gibson General Hospital, fifth floor, first room on the right, 1808 Sherman Drive, Princeton, Indiana (contact Alice Burkhart at 812-782-3735); 11 a.m. the second Tuesday of each month, Twilight Towers, in the cafeteria, 1648 10th St., Tell City (contact Terri Hasty at 812-649-4013 or Gayle Taylor 812-719-2417); 10 a.m. the third Saturday of each month, Daviess Community Hospital, Washington, Indiana (contact Cindy Kalberer at 812-254-6735 or Fran Neal at 812-259-1565); 10 a.m. the first Saturday of each month, Calvary Missionary Baptist Church, 2360 Green River Road, Henderson, Kentucky, (contact Meg Burnley at 270-826-9507 or Debbie Whittington at 270-827-8298); 6 p.m. the second Monday of each month, Owensboro Health Healthpark, 1006 Ford Ave., Owensboro, Kentucky; and 11 a.m. the first Saturday of each month, Fairfield Memorial Hospital in the board room of Horizon Clinic, 303 NW 11th St., Fairfield, Illinois (contact Kathie Hill at 618-847-8452). Compiled by Leah Ward, leah.ward@courierpress.com. SHARE Mathew McCallister By Mark Wilson of the Courier and Press Jury selection filled the first day of the Mathew McCallister murder trial in Warrick County on Monday. The trial in Warrick Superior Court No. 1 is expected to last at least all week. If McCallister is convicted, the trial will shift to a separate penalty phase in which the jury will hear testimony and decide whether or not to recommend a life without parole sentence. He is charged with murder, conspiracy to commit murder, unlawful possession of a firearm by a serious violent offender and being a habitual offender in connection with the fatal shooting of Joseph Nelson, 35, of Martinsville, Indiana, on Feb. 17, 2014. McCallister is the only one of the four people charged in Nelson's death to stand trial. Codefendants Shawn Grigsby, David Lackey Jr. and Jade Stigall have already pleaded guilty and been sentenced. Grigsby pleaded guilty to conspiracy to commit murder and received a 20-year sentence in September 2014. Lackey and Stigall received four-year sentences after pleading guilty to charges of assisting a criminal in return for their cooperation in the investigation. Investigators believe the four met Nelson at a Fairfield Inn hotel in Evansville, then drove him to a location in Northern Warrick County. There, according to probable cause affidavits, Nelson was made to kneel with his hands behind his back near a railroad track and was shot once in the head. His body was then believed to have been dumped into a coal car. Employees at Alcoa's Warrick Operations found the body in a coal shipment. A fifth defendant, Kelli Wyrick of Indianapolis, was sentenced to four years after pleading guilty to assisting a criminal, as well as to three drug-related charges. However, she was never charged with murder or conspiracy in the case. Grigsby, Lackey, Stigall and Wyrick are listed as witnesses for both the defense and prosecution in McCallister's trial. The City Council meets on January 4, 2016. (Video capture) SHARE By Zach Evans Should the Evansville City Council be able to stop a person from speaking at its meetings? Thats what debate about an ordinance to revamp the structure of City Council meetings turned into Monday night. The ordinance was tabled for the next meeting after a lengthy discussion that included exchanges between council members, a responsive audience and several public comments. The proposed ordinance would do away with committee meetings that take place before City Council meetings. Most of the discussion on ordinances, resolutions and other items are debated during committee meetings, which start and end at irregular times. City Council Attorney Josh Claybourn said the idea is to have public comment, council discussion and councils vote on a matter all back-to-back. But the sticking point for two members of the City Council and most of the public at Mondays meeting was a section that stated the council could override the council presidents decision to stop someone from talking with a simple majority vote. The rule has been in place for at least 30 years, Claybourn said, but it wasnt pointed out to the rest of council until Council President Missy Mosby, D-2nd Ward, mentioned along with the updated ordinance. The purpose of that provision is to expand and enshrine protections for public input, Claybourn said during the meeting. The public and some on council interpreted it differently. Councilwoman Connie Robinson, D-4th Ward, said getting rid of the old committee meeting system was an excellent idea, but added that council members shouldnt have the power to decide who from the public can speak. Regardless of if we want to hear it or not, I think we ought to listen, Robinson said. Councilman Dan Adams, D-At-Large, proposed an amendment that would allow the council president to cut someone off from speaking, but only after a warning and only for profanity, campaigning for office and other reasons. Councilman Dan McGinn, R-1st Ward, who co-sponsored the ordinance with Mosby and Jonathan Weaver, D-At-Large, said he agreed with Adams amendment. However, Councilwoman Anna Hargis, R-3rd Ward, said even if the council approved Adams amendment, theres still a provision that would allow the presiding officer to deny someone the right to speak for their own reasons. Adams tabled the ordinance to the July 25 City Council meeting. Several members of the public spoke out against the ordinance, as well. Rita Brown said everyone should be heard. I would just say that I believe that if anyone wants to speak and a council member wants to hear what they have to say, it should not be put to a vote, Brown said. Jayne Buthod spoke during public comment but didnt speak for or against the ordinance. Instead, Buthod applauded the work of the council and the passion of the public attending the meeting. If we just continue to work together it may be loud, it may be messy but if we can keep on track with what were trying to do, thats when this community wins, she said. SHARE Evan Bayh By Zach Osowski, zach.osowski@courierpress.com INDIANAPOLIS In a twist that could influence control of the U.S. Senate, Baron Hill announced Monday he is dropping out of the race, presumably to make room for Evan Bayh, who served as both a senator and governor of Indiana. Hill did not mention Bayh by name in his announcement, only saying that a nominee with better name recognition would help the Democratic Party secure a victory in November. "Democrats have a very real chance at winning this Senate seat, especially with a strong nominee who has the money, name identification and resources to win," Hill said. "I do not want to stand in the way of Democrats winning Indiana and the U.S. Senate." Hill was set to take on Republican nominee, Rep. Todd Young. In a statement of his own, Bayh said he has talked with Hill but didn't confirm that he is indeed interested in running for the Senate seat. "Baron and I have spoken and we both believe that we must send leaders to Washington who will put Hoosiers' interests ahead of any one political party," Bayh said. A report from CNN stated Bayh is expected to sign on for the race. Hill said, despite the progress his campaign had made, the influence of "out-of-state special interest and dark money" was too much for him to overcome. Hill, who was unopposed in the May primary, said he made the decision to withdraw from the ballot after talking to his family and party leaders. "I have never run away from tough decisions or tough fights," Hill said. "But I am a pragmatic person who will always put my country and my state first." If Bayh is picked to replace Hill, and is successful in beating Young, both of Indiana's senators would be Democrats for the first time since 1976. Bayh's return to politics will shake up the race for Indiana's open U.S. Senate seat, which is being vacated by Sen. Dan Coats at the end of the year. Coats took over the seat when Bayh announced he wouldn't run for re-election in 2010. In a statement, Young's campaign lashed out at Bayh's career after politics, accusing him of voting for the Affordable Care Act before running off to reap the benefits as a lobbyist for insurance companies. "This seat isn't the birthright of a wealthy lobbyist from Washington," Young's campaign manager Trevor Foughty said. "It belongs to the people of Indiana." A fair amount of Young's message during the election so far has been his ability to beat Hill in 2010, and his belief that he could beat him again. He has also said previously this election could determine which party controls the U.S. Senate. Republicans currently have 54 of the 100 seats. It will be up to the State Democrat Central Committee, comprised of 33 voting members, to officially name Hill's replacement. In accordance with state law, a 10-day notice of the meeting was sent out Monday morning, after Hill's resignation paperwork was received. The meeting is expected to take place on July 22. Interested candidates have until 72 hours before the meeting to submit the necessary paperwork to the state party and Indiana's Election Commission. MIKE LAWRENCE / COURIER & PRESS Monday afternoon snow showers obscure the U.S. 41 Twin Bridges as temperatures around the area fall with lows expected in the low 20's overnight, February 8, 2016. SHARE U.S. 41-North Twin Bridges. (Gleaner photo by Mike Lawrence 831-8346 or mlawrence@thegleaner.com) By Zach Osowski, zach.osowski@courierpress.com INDIANAPOLIS Plans to move forward with an Interstate 69 bridge linking Indiana with Kentucky and how to fund the bridge will be discussed by Indiana's new road funding task force. Sen. Luke Kenley, R-Noblesville, said the plans for a new Interstate 69 bridge fit right into the task force's plans. Gov. Mike Pence entered into an agreement with Kentucky on July 1, saying the bridge is a priority for both states. Although the agreement came prior to the road funding committee's first meeting, Kenley said the I-69 bridge was already high on their priority list. "Our plan is to try and develop a pretty comprehensive plan of the state's major infrastructure needs," Kenley said. "My feeling is that bridge fits into that category." He said most of the committee members he has talked to share his feeling that a bridge connecting Indiana and Kentucky is a "priority" and that figuring out a way to fund the project will be on their agenda. Rep. Holli Sullivan, R-Evansville, is also on the task force. Although the main objective of the task force is to find a way to maintain what the state already has, she said the bridge is an extension of the interstate in her mind. She said the announcement told lawmakers that the bridge is indeed a stated priority for Indiana going forward and a part of the overall economic plan. "The agreement was the initial step forward that was needed," Sullivan said. "It didn't kick it further down the road, it's now a piece of the puzzle." As far as funding for the bridge and any other transportation needs, Kenley said it's too soon to rule any funding sources out, including tolling. He said all options are on the table and will be discussed at length to find a solution that makes the most sense. "It's too early to decide how to fund these things at this point," Kenley said. "We've got a lot of funding decisions that we're going to have to make." Sullivan said she's excited to get into the data and see what Indiana needs in order to be successful in the future. She said getting an idea of what the future looks like via data will show lawmakers the best path forward. As part of the first step for the 69 bridge, Indiana is on the hook for $8.5 million as part of a economic and environmental study. That's a tiny drop in the bucket compared to the overall cost of the bridge, which one bridge advocacy group, BridgeLink, conservatively priced at $850 million. Advocates for the bridge have previous been fine with tolling the bridge, similar to what officials did in Louisville, to help offset some of the cost. Lawmakers created the road funding committee after a plan to raise Indiana's fuel tax stalled in the Senate. Kenley, who chairs the Senate Appropriations Committee, decided the state needed a little more time to decide how to fund Indiana's roads for the long-term future. The committee will get started July 21 and has meetings scheduled for August and September as well. Kenley said more meetings will be added as needed. The committee needs to come up with a suggestion for the Indiana General Assembly in time for the two-year budget which will be approved during the 2017 session. Indiana Gov. Mike Pence shakes hands with Donald Trump after meeting at the governor's residence for around an hour before Trump left for his April rally at the Indiana State Fairgrounds. (Photo: IndyStar photo) SHARE By Chelsea Schneider and Kaitlin Lange, IndyStar Gov. Mike Pences political future could take a dramatic turn this week, with presumptive GOP presidential nominee Donald Trump coming up against an apparent deadline to decide whether Pence or someone else will be his running mate. Hoosiers and the nation are expected to know by Friday whether Pence will serve as Trumps No. 2. Or Trump could make his choice known earlier, on Tuesday, when he visits Central Indiana for a rally and campaign fundraiser. Trump arrives in the Hoosier state with Pence considered in the top tier of potential vice-presidential picks. Competition still exists, especially from Newt Gingrich, a former House speaker, who rallied with Trump this past week in Ohio. But one news outlet, The Washington Times, reported Sunday that Pence has a "95 percent probability" of being asked to join the ticket. The report cited unnamed sources close to the Trump campaign and the governor. Speculation over vice presidential choices is "like an Olympic sport," said Marc Lotter, a Pence campaign spokesman. "Gov. Pence remains focused on his job working for Hoosiers as governor and his re-election in November," Lotter said in a statement late Sunday. Pence is widely expected to appear with Trump at the 7:30 p.m. rally on Tuesday at the Grand Park Events Center in Westfield. However, the Republican governor would not confirm Friday whether he would attend, saying only that he would release details of his schedule soon. For now, Trump's ultimate choice for a running mate remains unclear. Trump will campaign Monday with another potential choice, New Jersey Gov. Chris Christie, in Virginia, according to NJ.com. Reports indicate Trump also is considering retired Gen. Michael Flynn, a former member of the Obama administration who has been critical of presumptive Democratic presidential nominee Hillary Clinton. Asked about Pence's potential in joining the ticket, the governor and his campaign staffers have stuck to this line: Nothing has been offered. Nothing has been accepted. Trump appears to be relishing in the speculation about his choice. At his Ohio rally last week, Trump hinted at the potential for picking Gingrich, according to The Washington Post. Im not saying anything and Im not telling Newt anything. But I can tell you, in one form or another, Newt Gingrich will be involved with our government, okay," Trump said according to the newspaper. "Hes tough. On Tuesday, the Trump spotlight will shine on Pence. Prior to the rally, Pence will join Trump at a private fundraiser at the Columbia Club in Downtown Indianapolis. Then Trump will travel to Westfield. Doors to the rally at the events center, 19000 Grand Park Blvd, will open at 4:30 p.m. Pence declined to answer political questions at his most recent public appearance on Friday, citing the nation's somber mood following the shootings in Dallas that left five police officers dead and seven officers injured. This is not the time for politics, Pence said. Well share our schedule next week. This is really the time for all of us to pause, be reflective, to remember those who came before us. The possibility of Pence becoming Trumps running mate is reminiscent of when Dan Quayle, a then-U.S. senator from Indiana was selected as President George H.W. Bushs vice-presidential candidate in 1988, said Marsha Coats, a Republican national committeewoman and wife of U.S. Sen. Dan Coats. Though Pence's potential selection carries a different dynamic, Coats said. Bush choosing Quayle largely was unexpected. (Pence) would bring the more conservative, evangelical, traditional wing of the party in the sense of the heartlands, a lot of the Middle-American states, would really like what Mike Pence stands for, and I think Donald Trump needs someone who has Washington experience, which Gov. Pence has, Coats said. Some national Republican strategists have gone as far to call Pence the most likely vice presidential pick. And Pence has emerged as an ardent Trump supporter, despite endorsing one of his competitors, U.S. Sen. Ted Cruz, before Indianas May primary. I think its important for every Republican to get on board (the Trump campaign), Pence said at a campaign stop this past week. At the end of the day, Im confident our party is going to come together. Look, we need change in this country. We truly do. Trump is expected to finalize a vice presidential nominee by week's end, according to one potential pick who withdrew from the process. Sen. Bob Corker of Tennessee in an interview with The Washington Post indicated Trump's plans to name a running mate by Friday. The possibility of Pence becoming Trumps running mate could send the Indiana governor's race into chaos. State law would require Pence to withdraw his name from the ballot by Friday because he can't run for two offices at the same time. If Pence withdraws from the governor's race, the 22-member Indiana Republican State Committee would have 30 days to select a replacement meaning the selection of a successor to run against Democrat John Gregg could draw out until August. Two high-profile Republicans, House Speaker Brian Bosma and U.S. Rep. Todd Rokita, have said theyll run if Pence leaves the race. Lightning has sparked a wildfire southeast of the Village of Oak Creek, according to a press release from the Coconino National Forest. Forest and fire officials have been monitoring a seven-acre lightning-caused wildfire in Bias Canyon three miles southeast of the Village of Oak Creek. According to the press release, steep and rocky terrain has limited access and influenced the decision to monitor the Bias Fire rather than to actively suppress itwhich limits hazardous exposure to firefighters on the ground while allowing the fire to play its natural role on the landscape. While the Forest Service has managed several larger fires for multiple resource objectives this summer, the Bias Fire is not likely to involve the kind of active ignitions and smoke that the public saw with the Cowboy and Mormon fires. However, motorists along Interstate 17, state Route 179 and in surrounding communities, such as the Village of Oak Creek, will likely notice smoke rising from this fire. Rattlesnake Mesa, where the Bias Fire has been slowly burning for several days, is a rocky, thickly-vegetated area where lightning fires seem to occur every year. These fires are natures way of maintaining a mix of woodlands and open grasslands in this ecosystem, and Rattlesnake Mesa has lost that balance, said Red Rock District Ranger Nicole Branton. Our community has been heavily impacted by smoke this summer. We have heard your concerns and that is why I have decided to take a conservative approach to the Bias Fire, with crews actively monitoring the fire on the ground each day but holding off on operations that may create additional smoke. Only if the fire moves toward I-17 or the Village of Oak Creek will we take more assertive actions to protect those values, which may include aerial and ground ignitions. Natural resource managers said they expect minimal fire activity as we move closer to monsoon conditions. However, northern Arizona is experiencing an unseasonal drying trend which has increased movement of the fire, resulting in visible smoke. Critical fire weather conditions return for a second day to northeastern Arizona as the forecast continues hot and dry for Flagstaff through the week. The National Weather Service has issued a Red Flag Warning today for the Little Colorado River Valley, the Chuska Mountains and the Defiance Plateau. Winds will gust above 40 mph and temperatures will top 90 degrees while humidity stays below 15 percent. In the Flagstaff region, winds will blow at 15 to 20 mph and gust to 40 mph, although temperatures will not rise out of the low 80s, just below the threshold for a Red Flag Warning. Residents are nevertheless urged to use extreme caution around open flames and other high heat sources. Two wildfires burning on the Kaibab National Forest south and east of Tusayan will send up smoke that may be noticeable from the communities of Tusayan, Valle, Cameron, Tuba City, and from both rims of the Grand Canyon. The 2,660-acre Scott Fire 11 miles east of Tusayan is 25 percent contained and crews were successful in holding the fire despite winds in excess of 40 miles per hour. The Airstrip Fire is 50 percent contained and has reached a size of 679 acres. Crews are moving close to full containment. A temporary flight restriction remains in place over the Scott Fire vicinity and a 9-mile section of the Arizona Trail in the fire zone remains closed. The Weather Service says the next round of monsoon moisture is not expected to arrive in the Flagstaff region until Sunday. Until then, winds will decrease but temperatures will hover in the mid- to high 80s, with rising humidity by the end of the week. Sussex News Story Saved You can find this story in My Bookmarks. Or by navigating to the user icon in the top right. And here is another article with similar notionsthat Egypt and Israel are cooperating against the Sinai terrorist. The attacks have been carried out in recent years with Egypt's okay, former Israeli official tells Bloomberg. Israel's deputy army chief says cooperation between Israel and Egypt has never been better. Israel has launched several drone strikes on militants in Egypt's Sinai Peninsula in recent years, a former senior Israeli official told Bloomberg on Monday. The attacks were carried out with Egypt's blessing, the official, who spoke on condition of anonymity, said. Israel's deputy chief, Maj. Gen. Yair Golan, also quoted in the article, said cooperation between Israel and Egypt has never been better. Its not about love, its not about common values," Golan told Bloomerg. A file photo of an Egyptian policeman on an observation tower in Sinai, February, 2016. Reuters "I wouldnt describe it as the relationship we have with the United States of America, but I think its a good starting point. The report cites "dozens of militant attacks by an Islamic State affiliate" as a reason for the tighter security cooperation between the two countries. The article also details economic opportunities presented by Israeli, Egyptian ties, as the countries have also been laying legal groundwork for an energy contract following the discovery of natural gas at Israel's Leviathan and Tamar sites in the Mediterranean. In this time of turmoil and instability all around the Middle East, its very important for reasonable countries to keep some kind of cooperation, Energy Minister Yuval Steinitz is quoted as saying. The report also covered the visit to Israel by Egypt's Foreign Minister Sameh Shoukry on Sunday and his meeting with Prime Minister Benjamin Netanyahu. "Returning as a key power broker in the region would help burnish Egypts international image as it struggles to revive its economy," the report says. "Potential rewards would mitigate any increased risk of attack by militants because of closer ties to Israel." read more: http://www.haaretz.com/israel-news/1.730167# read more: http://www.haaretz.com/israel-news/1.730167# Channel programs News Synnex Snaps Up BPO Provider, Boosting Concentrix Business Jimmy Sheridan Share this Synnex, aiming to boost its Concentrix global services division, will acquire business process outsourcing company Minacs Group for $420 million, the company announced Monday. This is the first acquisition since 2014 for the Fremont, Calif.-based distributor, which is coming off its first quarter of year-over-year revenue growth following four consecutive quarters of decline. The deal is intended to increase Concentrixs capabilities in the automotive industry and boost its marketing and Internet of Things solutions, Synnex said in a statement. Minacs, based in Oshawa, Ontario, Canada, has U.S. operations based in Farmington Hills, Mich. [Related: Synnex To Offshore Some Customer Care Work, Close 3 U.S. Delivery Centers] "Minacs has been able to establish itself as a high-value, unique player in business services," Chris Caldwell, president of Concentrix, said in a statement. "Their investments in IoT and marketing optimization stand out as solutions with growing market demand, which we believe we will be able to leverage across our combined client base." Concentrix, which focuses on customer care, renewals management, technical support and demand generation, has particularly been an area of focus for Synnex. Throughout the last three years, Synnex has invested in its services unit through acquisitions and facility expansions. That focus makes sense, according to Leo Bletnitsky, president of Las Vegas Med I.T., a Synnex partner based in Las Vegas. He said the profit margins are much higher for the services side of Synnex's business than they are on its the distribution side, which he said he has been working with for more than 12 years. With the deals they make with thousands of companies like mine, they are probably making two2 points [of margin on product distribution] versus something like 50 points for services. From a profitability standpoint, it is good for them, he said. However, Bletnitsky said that even as Synnex is doing larger deals through its Concentrix business, it still doesn't represent a large chunk of the business. In 2015, Concentrix reported $1.4 billion in revenue, nearly 10 percent of Synnexs overall annual revenue. The acquisition of Minacs will complement Synnexs 2014 acquisition in of IBMs customer relationship management (CRM) unit, which was folded into Concentrix. That boosted the units revenue more than 475 percent that year over 2013. For its fiscal 2015 cycle, revenue increased again by nearly 30 percent. Synnex has been reorganizing Concentrix over the past several months. In Synnex's first fiscal quarter of 2016, which ended Feb. 29, the company laid off at least 868 customer care workers by closing three Concentrix delivery centers acquired as part of the purchase of IBM's CRM business, and offshored the work to lower-cost locations in Central America, South America and Asia. But in the second quarter, which ended May 31, Synnex added two customer care centers in India and The Philippines. It also announced that Concentrix will continue to add staff to those two sites during the second half of 2016 and into the first quarter of 2017, with about 8,000 expected to be hired during the current third quarter, Caldwell told analysts on Synnex's earnings call on June 23. Synnex currently employs about 78,000 people worldwide. During its second fiscal quarter, Synnex's revenue jumped nearly 4 percent year over year, from $3.25 billion to $3.38 billion, beating its expectations by $150 million. That upturn broke a string of followed four consecutive quarters of revenue declines. Investors reacted positively Monday after news of the Minacs acquisition broke. Synnex stock advanced nearly 3 percent on the New York Stock Exchange, closing at $96.76 a share. Synnex expects the Minacs acquisition to close late in the current quarter. It also said that Minacs Group CEO Anil Bhalla will stay on and join Concentrix' senior executive staff. "Across industries, clients can expect our shared vision and strategic scale to help them solve bigger, more complex business challenges," Bhalla said in the Synnex statement. We can't seem to find the page you are looking for. You may have typed the address incorrectly or you may have used an outdated link. This is a carousel. Use Next and Previous buttons to navigate More than half of Connecticut small businesses are hiring this year, but the majority of them still view the states business development efforts negatively, according to a new report from the Connecticut Business & Industry Association released last week. The 2016 Survey of Connecticut Small Businesses, which was conducted in March and April, aimed to better understand and respond to the needs of Connecticuts small businesses. Questionnaires were sent to top executives at about 3,800 small businesses throughout Connecticut; 232 questionnaires were returned. The businesses ranged in size, from two employees to more than 320, representing industries like construction, manufacturing and professional services. Peter Gioia, vice president and economist for the CBIA, said the overall results were positive, but challenges still exist when it comes to profitability, government regulations and the cost of doing business. The survey found that 92 percent of businesses believed Connecticuts public policies do not facilitate the efforts of small businesses in the state. Nearly two-thirds of small business owners or their employees have communicated their needs and challenges to state legislators over the last year, the survey found. There is still a disconnect with what policymakers and legislators are doing in the business arena and how they feel about it, Gioia said. Theyre sharing wants, needs and concerns, but still feel that legislators just dont get it or care to get it. The personal income tax, followed by corporate and property taxes, ranked as the biggest drawbacks for small firms. Many respondents noted that the combination of all these taxes was the real problem. Thirty-three percent of those polled said taxes were what they liked least about being located in Connecticut, with policymakers ranking second with 16 percent. Certainly, people want to see tax relief, Gioia said. Small businesses got hammered with taxes over last couple of years. There was also some good news for small businesses. The study found that 60 percent of small businesses are hiring in 2016, though most expect to hire no more than four workers. The overwhelming majority thought their business would expand or stay the same this year, with only 13 percent believing their business would shrink. Were getting a feel for where small business was and where it is going, to see what is happening, Gioia said. The results in terms of how their own business was doing was positive, with three times as many saying it would expand this year. Megan.Dalton@scni.com; 203-625-4411 Joe Matarese is never at a loss for comedic material, thanks to his family and personal habits. And thats a good thing, because his act is pretty much autobiographical. The New Jersey native pokes fun at his subtly dysfunctional Italian clan, his neurotic tendencies, life with two young kids and his marriage to a psychologist the woman he calls his perfect match and the smartest person he knows. I talk about my wife wanting to change a lot of things about me and how it was immediate, as soon as we were flying home from our honeymoon, he said. She had a notebook of things she observed that she wanted me to work on. Matareses talent for turning real-life struggles into comedy has led to appearances on programs such as The Late Show With David Letterman and Americas Got Talent. Hes also been on late-night shows with Chelsea Handler and Craig Ferguson, had his own half-hour special on Comedy Central, and was a guest on The Howard Stern Show. The one thing (careerwise) Im most proud of, but that definitely was not the most fun because there was a lot of stress involved, was being on Howard Sterns show. I was really excited and proud and scared, all at the same time. Howard has almost become the Johnny Carson of our day. There are not many things anymore that a comedian can do, where the next day a lot of your peers are impressed, but being on Howard Stern is (one of those things). Hes a very good interviewer. After nearly three decades as a comedian, Matarese has found his jokes are stronger when hes in a good mood. With certain comics, like Sam Kinison, if Kinison is pissed off, its hilarious, he said of the late comedian. But if Joe Matarese is pissed off and I dont always talk in the third person, but I am here and I say something in a pissed-off way, its not as funny. More Information Comix Mohegan Sun, 1 Mohegan Sun Blvd., Uncasville. Thursday, July 14-Saturday, July 16, 8 p.m. "Nasty Show" Saturday 10:30 p.m. $40-$15. 860-862-7000, comixcomedy.com See More Collapse This week he plans to be in a great mood, especially when performing at Comix Mohegan Sun, where hell be from Thursday, July 14, through Saturday, July 16. During his act hell riff on relationships, raising little children, and what he calls his Italian flair, among other subjects. I tell a lot of stories about my life, and I talk about my medications. I find everybody can identify with that. Either youre on meds, your kids are on meds, you thought about it or your spouse is on it. Everyone has some sort of connection, and I talk about it in an open, free and enlightening way. lkoonz@newstimes.com; Twitter: @LindaTKoonz In hospitals across Connecticut and nationwide, workarounds to compensate for medication shortages are daily routines for treating patients and health experts say its not about to change anytime soon. Some acute-care drugs in short supply nationally are antibiotics, antipsychotics, intravenous saline and morphine, according to the latest shortage list from the U.S. Food and Drug Administration. In Connecticut, hospital officials said they are turning to alternative drugs, rationing supplies or seeking new suppliers to work around the shortages. Bridgeport and Greenwich hospitals are facing shortages of antibiotics, including Cefepime, Tigecycline and Ceftin; anesthetics used in surgeries; and intravenous bags and saline solutions, according to Stacy Vaeth, director of corporate pharmacy services for the hospitals. That is on top of ongoing shortages of various cancer drugs, she said. At St. Francis Hospital and Medical Center in Hartford, Dr. C. Steven Wolf, chief of emergency medicine, said doctors have been dealing with shortages of dextrose, used to treat dehydration and low blood sugar, as well as intravenous saline and other basic medications. The hospitals cant get 150-milligram doses of amiodarone, which is used to treat irregular heart rhythms. Instead, they can get 18-milliliter vials of the medication, but that is not a ready-to-administer dose, Vaeth said. Hospitals sometimes go months without the medications. A study of drug shortages led by Yale University found the median duration of shortages was 210 days overall, and 242 days for acute-care drugs. It really affects everybody, said Wolf. There have been times when we have not had (certain) medications. I dont think patients have any clue that this is occurring. It definitely risks patient care. The situation has become so urgent that U.S. Sen. Richard Blumenthal, D-Conn., wants pharmaceutical companies subpoenaed and investigated to determine whether drug shortages are naturally occurring or being artificially created. The Pharmaceutical Research and Manufacturers of America, the trade group that represents branded biopharmaceutical makers, referred a reporter to a website statement regarding shortages. The statement reads, Patient access to medicines is vital for the health of patients and the economy. The issue of drug shortages demands attention and collaboration from everyone involved in providing life-saving medicines. Health experts say drug shortages have not improved in recent years, despite a law enacted in 2012 giving the FDA broader authority and regulatory powers to react to drug shortages. The FDA, which tracks shortages, listed 58 medications as being in short supply as of July 8, but that number has topped 200 at times. Big pharma The Yale study, which used data from the University of Utahs Drug Information Service from 2001 to 2014, found the number of drug shortages since the federal act passed has declined. But shortages remain, more than half involving acute-care drugs used to treat critically ill patients. Drug shortages have more than tripled in the past decade, the study found. Our key finding was that, up to 2012, shortages were rising for both non-acute and acute drugs, said Dr. Arjun Venkatesh, senior author of the study and assistant professor of emergency medicine at Yale School of Medicine. But while shortages for non-acute drugs are decreasing and getting shorter, the shortages for acute drugs are increasing and getting longer since the 2012 law took effect, Venkatesh said. Vaeth said drug shortages often trace back to mergers or acquisitions by pharmaceutical companies. If two drugmakers that manufacture a certain medication merge, typically only one of the medications will be produced, said Vaeth. Shortages result from production disruptions, such as when FDA inspectors order a drugmaker to suspend manufacturing because of quality concerns. At Bridgeport and Greenwich hospitals, Vaeth said staff members do their best to find alternatives, with pharmacists working closely with physicians. In 2012, the Academy of Managed Care Pharmacy surveyed pharmacy directors at hospitals, ambulatory centers and other facilities about drug shortages. Of 193 respondents, 101 said an adverse event at their site was possibly/probably related to shortages, two attributed patient deaths to shortages, three said shortages caused a disabling adverse event, and 46 said events requiring intervention were spurred by shortages. Blumenthal, who helped craft the FDA Safety and Innovation Act, said a much deeper examination is needed of all pharmaceutical companies reporting shortages. Blumenthal has questioned whether drug distributors are hoarding certain medications to create shortages, increase prices and make more money. Its also possible, he said, manufacturers of certain drugs are colluding to create artificial shortages to justify raising prices. There have to be subpoenas, investigations and document production, so that the potential antitrust violation is explored and documented, if it exists, he said. Hospitals cannot forever triage. There are real health threats. This story was reported under a partnership with the Connecticut Health I-Team (www.c-hit.org). BRIDGEPORT - The manager of a city apartment building who took in a homeless family was convicted Monday of repeatedly sexually assaulting their 14-year-old son. Anibal Bobe, 49, faces up to 50 years in prison after a Superior Court jury found him guilty of second-degree sexual assault and two counts of risk of injury to a minor after about an hour of deliberation. Six local health departments including Bridgeports will get $90,000 each over the next three years to expand efforts to battle opioid addiction. Gov. Dannel P. Malloy and Connecticut Department of Public Health Commissioner Dr. Raul Pino announced Monday that the districts will get $30,000 during each of the next three years. The funding is being distributed as part of a grant the state received from the federal Centers for Disease Control and Prevention to tackle opioid-related overdoses. This is a devastating trend with prescription painkiller and heroin overdoses that is impacting so many communities across our country, Malloy said in a release. Connecticut is not immune. At the state level, we are continuing to build upon our efforts to prevent and fight addition. Its too important lives are at stake. In addition to Bridgeport, the other health districts receiving grant money are Hartford, New Haven, North Haven, New London and Waterbury. According to the state Office of the Chief Medical Examiner, there were 208 accidental overdose deaths in the first quarter of 2016 14 of them in Bridgeport. We are grateful for the assistance, said Av Harris, director of communications for Mayor Joe Ganim. Opioid addiction is a serious problem in Bridgeport, as we have seen several overdose deaths this year. With these funds we will be able to treat those who are suffering from addiction and try to prevent more overdose occurrences this year. The beneficiary communities were chosen based on their countys rate of heroin and prescription drug overdose deaths, the rate of prescription drug overdose hospitalization, the availability of treatment facilities, the availability of pharmacies that carry the medication naloxone used to treat overdoses and the availability of medication drop boxes. The grants will help each of the six districts implement some of the recommended practices that are anticipated to be released in a strategic plan being developed in partnership among the governors office, several state departments, the Yale University School of Medicine and Connecticuts insurance carriers. It seems like every week brings more news of trouble in Rio. The run-up to the Winter Olympics in Sochi were hardly rosy, but the problems in Brazil go beyond infrastructure. There are health concerns, safety concerns and dead bodies washing up on popular beaches. STORY LINK Weekly Central Bank and Global Data Outlook for the Pound Sterling - May becomes PM and BoE Decision Due This Week Chinese Growth Data Forecast to Provoke Volatility Bank of England (BoE) Predicted to Cut the Base Rate Like this piece? Please share with your friends and colleagues: The near-term is unlikely to see any decline in the Pound's appeal, on account of the Bank of England (BoE) interest rate decision not being due until noon on Thursday.The Pound has shot up against most peers recently, thanks to the news that Theresa May will be becoming Prime Minister by late Wednesday. The Pound could be in for a shock on Thursday, however, if the Bank of England slashes the interest rate below 0.50%.Several major data sets from far flung corners of the globe are forecast to trigger price action in the currency markets this week. Two central bank monetary policy announcements stand out, but theres potential that these may be overshadowed by other tier one releases. Our leading data analyst takes a look at the stand-out publications and the effects they may wreak below All has been quiet on the Chinese front during recent weeks as investors switched their focus elsewhere; however, this is likely to change during the early hours of Friday morning with the publication of official Q2 Gross Domestic Product figures. The counterpart Q1 numbers caused a flurry of activity in the markets when they were published earlier this year, with their revelation that Chinese economic activity has slumped to its lowest level for years at a year-on-year 6.7%.If this weeks Q2 numbers point to another drop in GDP, then expect investors who are already edgy following the UKs Brexit vote, to abandon risk-laden assets. Such a move would favour the safe haven Japanese Yen (currency : JPY) and the Swiss Franc (currency : CHF) while damaging the Commodity Dollars (CAD, AUD, NZD).Elsewhere, Thursday mornings Australian jobs data for last month will provide the Dollar from Down Under with further direction. Overall joblessness in Australia stands at a relatively manageable 5.7% - if this weeks official stats point to a further decrease in this figure, then the Aussie Dollar will record renewed gains against the Pound Sterling (currency : GBP).Meanwhile, the Canadian and UK central banks provide the other points of note this week. The world has changed since the last policy decision from these two lenders of the last resort thanks to the Leave result in the UKs European Union referendum on 23rd June. Analysts believe that the Bank of Canada (BoC) will maintain its benchmark lending rate at 0.50%, but a pre-emptive cut to shield Canadas economy from an attendant dip in global growth cannot be ruled out.On the other side of the Atlantic, it is considered a likelihood that the Bank of England (BoE) will decide to cut its Base Rate from a current record low of 0.50% to 0.25% on Thursday. BoE Governor Mark Carney dropped heavy hints that he would support such an action during the days following the referendum result. Such a decision by the Banks nine man policy committee is forecast to trigger heavy selling pressure on Sterling. International Money Transfer? Ask our resident FX expert a money transfer question or try John's new, free, no-obligation personal service! ,where he helps every step of the way, ensuring you get the best exchange rates on your currency requirements. TAGS: American Dollar Forecasts Australian Dollar Forecasts Canadian Dolla Forecasts Windber-Portage renew rivalry in Week 10 Heritage-WestPAC crossover Check out what to watch on Friday night in Somerset County as the high school football regular season comes to a close in Week 10. Can Florida lottery winners remain anonymous? What you need to know Opinion Wordle The next day I woke to find myself in a WhatsApp group titled Quordle is Awesome!! A small group of three. There was no getting out of it now. A tendency to downplay our chances of success is one of this country's most-observed characteristics. We almost enjoy anticipating disaster. It might be something to do with the weather. But this attitude can also make us too susceptible to economic pessimism, and which too often finds morbid confirmation in the news bulletins. If you listen to or watch such broadcasts, you will have been given the impression that the British people's decision to leave the EU has been a sort of economic suicide. True, the pound has fallen by about 10 per cent since the referendum vote of June 23. But despite what the BBC's blood-curdling reports from the City might say about that, a 2.25 billion tranche of Government debt issued last week by the Bank of England met what the FT described as 'the strongest investor demand in six years'. Scroll down for video Dominic Lawson writes that the FTSE 100 index fell by just a few per cent after the Brexit vote and then recovered to rise above where it had been beforehand I also heard a BBC announcer saying the FTSE 100 had fallen to 'its lowest since the stock market crash of 1987'. This was complete nonsense: that would mean it had lost more than two thirds of its value. In fact, the index fell by just a few per cent immediately after the vote for Brexit and then more than recovered to rise above where it had been beforehand. This was a recognition that most of the earnings of those biggest companies are in dollars and therefore the sterling value of the profits they earn will increase to the benefit of the UK pension funds which hold those shares. But the sharp fall in sterling should also help the export performance of companies based predominantly in the UK. Obviously, such a devaluation also makes imports more expensive, which will be felt by consumers. But, by happy coincidence, we are in a period of unprecedented competition in the supermarket industry. Last month, grocery prices fell below what they had been a year ago. The British Retail Consortium said this was 'an extraordinary run of deflation'. Of course, it's not the cost of the weekly food bill causing concern among the highly-paid City pundits. Their worry a reasonable one is that uncertainty about what sort of trade deal Britain eventually reaches with the EU will slow down the rate at which large overseas companies invest in the UK. That is clearly happening: to what extent is not yet measurable. Nevertheless, it is yet more scaremongering to suggest that such investment has come to a juddering halt. Last week, the shareholders of the London Stock Exchange confirmed that its long-planned merger with its German counterpart would go ahead. The head of Deutsche Boerse, Joachim Faber (pictured), said the Brexit vote made no difference to the industrial logic of a merger deal with the London Stock Exchange The head of Deutsche Boerse, Joachim Faber, said the Brexit vote made no difference to the industrial logic of the deal and, as things stand, the merged company will have its HQ in London. Also last week, the London-based waste management group Shanks agreed terms for a 510 million merger with a Dutch rival. Such deals are based on a simple point: whether the UK is in or out of the EU will have no dramatic effect on our ability to trade with each other. During the referendum campaign, the virulently anti-Brexit minister for Small Business, Anna Soubry, claimed on Radio 4's Any Questions that if we left the EU, our exports to the continent would fall to 'almost absolutely zero'. Since I would not accuse Ms Soubry of lying, I can only conclude that she either knows nothing whatever about trade or thinks our companies are utterly useless. Even if we were to abandon any attempt to strike a preferential trade deal with the EU, the worst Brussels could do under World Trade Organisation rules would be tariffs averaging around 4 per cent on what we sell into the 'single market': yet the fall in sterling has already given our exporters a price advantage of more than twice that. And Ms Soubry seems unaware that during the near quarter century of the European Single Market's existence, countries such as the U.S., Canada and Australia have enjoyed a more rapid increase in exports to the EU than Britain has achieved while being inside it. One of the economic arguments for Brexit was that once outside the EU, we would be free to negotiate our own free trade deals with those English-speaking countries and also with the rapidly growing economies of the East. Within the EU, we have to wait until all the other 27 countries are happy with the terms an enormously complex affair which explains Brussels' inability to reach agreements with countries such as China and India. During the referendum campaign, the former EU Trade Commissioner Lord Mandelson sneered at the idea that the UK could strike such agreements: 'Why would anyone want to do a trade deal with the UK? India would laugh in our faces if Britain tried to do a trade deal outside the EU.' Really? Last week, Naushad Forbes, the president of the Confederation of Indian Industry (CII), described a bilateral trade agreement between the UK and India as a deal 'that would be almost made in heaven'. The pound has fallen by around 10 per cent since the EU referendum vote on June 23 He complained that talks over an India-EU trade agreement, in progress for nine years, had been held up by concerns about European exports of wine and cars. The director general of the CII, Chandrajit Banerjee, also told the Financial Times he believed a UK-India trade deal could be reached in 'not more than 12 months'. This is, admittedly, not a statement of policy from the government in New Delhi. But what of China, soon to become the world's largest economy? Last week, Xing Houyuan, an official in the state-backed Chinese Academy of International Trade and Economic Co-Operation, told China Daily that the country was being 'frustrated' in its attempts to cut a trade deal with Brussels and that 'the situation in Western Europe will push China and the UK to make a trade treaty'. China Daily is effectively the voice of the government in Beijing, so this does amount to a policy statement. It will take time for the UK to realign our patterns of trade away from the stagnating economies of the eurozone and towards the more dynamic markets of the East. This is why the economist Dr Gerard Lyons described the effect of Brexit as 'like the Nike tick': we would suffer an initial loss of growth, before 'whooshing' upwards. But whoosh upwards I believe we will. I'm sorry if that is an unBritish thing to say. Dave and a China swansong Given the need for a new prime minister to get cracking on discussions with the EU about our terms of departure, it seems absurd that the remaining candidates, Theresa May and Andrea Leadsom, have to spend two months battling to win the votes of around 130,000 Conservative Party members. The finishing line is not until September 9. I gather it had been decided to foreshorten the contest, but then David Cameron's old university chum Lord Feldman whom he appointed party chairman insisted that it required a full two months. Of course, he can argue that this gives the contenders the chance to have some rubbery chicken with every single party member. But could there be a hidden reason? I've been told Cameron wanted a prime ministerial swansong at the G20 summit on September 4-5. This world leaders' summit is being held for the first time in China and Cameron plans to fly out and back on the spanking new Air Force jet commissioned for prime ministerial use. I understand this will be a convenient way for the PM to say farewell in person to President Obama and the rest of the global elite, but wouldn't it be a better opportunity for his replacement to introduce herself? I was not in the least surprised by the report in the scientific journal Biology Letters showing that domesticated goats are like pet dogs in their ability to make eye contact with humans and 'look beseechingly when they need a hand'. Some years ago, we had a pygmy goat called George. He had a peculiarly close affection for my wife. George was so jealous that he saw me as a rival and would butt me whenever he got the chance. Unfortunately, he also had such a ferocious running battle with our terrier that one of them had to go. If the warring Tories need an illustration of how quickly acrimony and internal division can devastate a political party, they should look no further than the disaster currently engulfing Labour. Little more than a year ago the party was expecting to emerge from the general election with the largest number of seats and maybe lead a coalition government. Today, ravaged by internecine strife, it has not only made itself virtually unelectable but even its very existence is in doubt. The vast majority of Labour MPs despise their hapless leader Jeremy Corbyn and are desperate to force him out. But because he enjoys strong support from tens of thousands of Left-wing activists who joined the party over the last year for the token annual subscription of 3, they can't. Labour leader Jeremy Corbyn (pictured) is under pressure after he lost a no confidence motion with more than 80 per cent of MPs voting against him So we now have the absurd situation where the parliamentary party is trying to launch a leadership contest without Mr Corbyn while he threatens to take them to court if they do. There is a salutary lesson here for the Tories. Although nowhere near as terminally riven as Labour, the referendum and leadership contest have left them more divided than at any time in recent years. If they are to avoid lasting damage, the bickering has to stop. After a weekend of rancour and backbiting over Andrea Leadsom's ill-judged remarks questioning whether a woman without children could ever be a good prime minister, it's reassuring to see Theresa May bringing the campaign back to the one issue that really matters how to take Britain forward in the post-Brexit age. Already overwhelming favourite with the support of 199 MPs to Mrs Leadsom's 84, Mrs May is positioning herself squarely as the unity candidate. In a speech today, she pledges to forge a party, a country and a democracy that 'works for everyone', rather than the privileged few. Theresa May (pictured with her husband Philip) is the favourite to become the next Conservative leader with the support of 199 MPs 'We can bring people back together rich and poor, north and south, urban and rural, black and white,' she says. It's the kind of reconciliation the nation is crying out for and, as a daughter of modest Middle England rather than a member of any social or political elite, Mrs May can appeal to ordinary people in a way that perhaps Old Etonian David Cameron couldn't. A former Conservative chairman, she understands grassroots concerns and unlike her largely untested opponent after six years as Home Secretary has a wealth of experience at the highest levels of government. The Mail has repeatedly stated its support for Mrs May as the leadership candidate to restore stability and salve the Tories' self-inflicted wounds. Now she has begun to outline her mission, it's clearer than ever that she's the right choice for the party and the right choice for the country. A Mail investigation reveals today how easily foreign patients jump the queue for NHS cataract operations. Cataracts are a condition that mainly affect the elderly, restricting their sight and blighting their quality of life. To prioritise 'health tourists' many of whom fail to pay for their treatment over British pensioners who have paid into the NHS for decades, is nothing short of a scandal. There have been many days in recent weeks when Emma Green would have found it considerably easier to commute to her desk in the City of London from Belgium rather than from her home in Arundel, West Sussex. The other morning, she was beaten in to work by a colleague who had set off at the same time from Paris. Indeed, you could fly to parts of Africa and Asia in the time that it has taken some of these commuters to get to and from the Home Counties of late. Thousands of businesses and millions of people have structured their entire lives and those of their families around the simple assumption that a train like the 07.33 from East Grinstead to London Victoria is going to arrive roughly on schedule most of the time. There have been many days in recent weeks when Emma Green (left) would have found it considerably easier to commute to her desk in London from Belgium rather than from her home in Arundel, West Sussex Protest: Commuters angered by delays to their train journeys have staged a demonstration at Victoria Station. One protester holds up a sign which reads: 'Southern is making our lives a misery' Over the last couple of months, however, no one using Southern Rails services in and out of the capital has had the faintest idea when anything is going to turn up if indeed it turns up at all. And all because a ludicrous spat about pressing a button on a new train has escalated into a toxic dispute between Neolithic union bosses, bumbling railway managers and dithering ministers. Last night, having been goaded beyond endurance by the absurdity of it all, the long-suffering travelling public finally entered the fray. In the muggy mayhem of a summer rush hour, a couple of hundred homebound city workers decided not to fight their way on to the next available train. Instead, they organised a protest on the main concourse of Londons Victoria Station. Gathered beneath a large banner saying Commuter Hell, it was very well-behaved, of course. Much of the chanting was rendered inaudible by a public address system many times louder than the demonstrators puny hand-held megaphone. What do we want? shouted one of the ring-leaders. Platform 14 for the 18.00 Gatwick Express, boomed the station Tannoy by way of reply. Most banners seemed to heap the blame on the management of Southern Rail or the railways minister, Claire Perry, rather than the RMT union. Perhaps it was easier to attack those perennial villains fat cat managers and Tory politicians rather than ordinary train guards and their shop stewards. In private conversation, however, many in the crowd were equally scathing about the conduct of the RMT. After all, the root cause of all this chaos is the unions objection to new trains which will open their doors when the driver presses a button. At present, that button is pressed by the guard. '#Southernfail': Activists take part in a protest against Southern Rail at London Victoria train station on Monday Demonstrations: Passengers have complained about not getting home from work in time to see their children Many passing commuters making their way home on Southern services signalled their approval to protesters The union argues that the new system is unsafe and is a precursor to doing away with guards altogether. The management and the Government have pledged that no jobs will go and that there will be no reduction in pay. As for safety, the doors on a large part of Britains rail network and the entire London Tube network are opened by drivers rather than guards. No one is saying that those trains are unsafe. Nonetheless, there has been a surge of guards calling in sick due to the stress of it all. Hence the mayhem on the network. To hear about real stress, however, it is more instructive to listen to the passengers, not to RMT members worried about fingers on buttons. Like camels, British commuters boast formidable powers of endurance. But like the proverbial straw on the camels back, there comes a breaking point. For Emma Green, 36, it came three weeks ago when her 90-minute journey home turned into a four-and-a-half-hour epic. Id promised my seven-year-old son Id be home in time to pick him up from my mothers but I never made it, says Emma. I just sat on the train crying and that was that. Someone else on the train had to call a doctor. She handed in her notice the following day. Making a point: More than 100 disgruntled rail users took to the concourse of the central London train station to protest after months of chaos caused by frequent cancellations by Southern Railway Commuters strike in Victoria Station in protest that their trains are often delayed on the Southern Network A fortnight hence, she will start a new job in Portsmouth. Last night, she was happy to delay her trek home for an hour to register her anger. So who is to blame for this mess? As a lawyer, I can see both sides, she explained diplomatically. But now that the guards have been told their jobs are secure, I am starting to have some sympathy for the management. And the rail minister Claire Perry yesterday announced that it would be a precondition for any future franchise-holder on these misery lines to maintain the same number of guards. In other words, it is now Government policy to compel one rail network to employ nearly 500 guards even though other companies get by perfectly well with driver-only trains. But these were footling details as far as last nights protesters were concerned. These are people who are not furious about cuts or Brexit or Trident. They just want to get home on time to the Tory heartlands in time to read a bedtime story. We've all seen bad hair extensions - clumpy, straggly and ill-fitting - but what separates them from the envy-inducing Rapunzel locks adorning the heads of so many celebrities? Top hair extension artist Tatiana Karelina says that while a hefty price tag plays a part, most important of all is the source of hair. Tatiana, a 34-year-old mother-of-one, originally from Kashin, Russia, sources human hair from her native country to extend the tresses of clients including Lindsay Lohan, Stacey Solomon and Tara Reid at her London salon - charging up to 1,500 a session. Scroll down for video Annabel Fenwick Elliott, from London, already had fairly thick hair past her shoulders, left, but had half a head of Tatiana's standard Russian hair, costing 675, right Tatiana pictured with reality star Stacey Soloman - one of her first celebrity clients Annabel Fenwick Elliott, 29, from London, put her much-sought-after services to the test and learnt the secrets of her success for FEMAIL... I have always had a yearning for ridiculously long hair and, while I've had extensions in the past, I was keen to find out if Tatiana was worth the rather eye-watering price tag. My hair is already fairly long, falling several inches over my shoulders, and pretty thick - with honey highlights. Tatiana took my request - 'really long hair, like a mermaid princess please' - and handed me over to Anna, who scurried off into the back and came back after ten minutes or so with a fistful of 24-inch tresses. The hair Tatiana uses is collected in individual ponytails (pictured) from Russian donors who have never dyed, bleached or chemically treated their hair, and comes in a range of natural shades Tatiana, pictured with a client, actress Tara Reid, is so sought-after for her Russian hair extensions, a head of tresses at her London salon comes with a price tag of up to 1,500 She sources the hair from a selection of different Russian ponytails - some brown, some blonde - to create a natural-looking blend of shades. I think this really gave it the edge over other extensions I've tried, which never matched my colour 100 percent. Tatiana explained that most human hair for extensions typically comes from China and India. 'Chinese hair is thick and generally not suitable for Western womens finer hair,' she explained. 'And Indian hair is amassed in such huge quantities, all mixed up from different donors, that it tangles.' 'Chinese and Indian hair is heavily processed before being distributed for hair extensions. 'First, it is bathed in acid to strip the cuticle layer. Then, it is commonly bleached to lighten the shade, before being coated with silicone.' Other devotees to Tatiana's Kensington salon include TV personality Sam Faiers (left) and Made in Chelsea star Ashley James (right) She added: 'The silicone makes the hair look beautifully shiny at first, but with continued washing, fades to leave it dull, dry, tangled and brittle.' The hair Tatiana uses, however, comes from women who have never dyed, bleached or chemically treated their hair, in a range of natural colours from blonde to dark. It is collected in individual ponytails from Russia donors, who sell it to her network of hair dealers -meaning it is more ethically sourced. Tatiana says that many Indian women voluntarily 'sacrifice' their hair to temples as part of religious ceremonies. While they receive nothing, the hair is sold on for thousands of pounds per kilo. So how did Tatiana - much like the glamorous hair she fits - get from rural Russia to the top of the celebrity hair food chain? In the early days of her career - Tatiana, pictured with client Lindsay Lohan - sourced her ponytails in Russia herself, but now relies on dealers who go from village to village buying them from women who wish to sell Stars including Jenny Frost are die-hard fans of the hair, which lasts for several years if cared for properly She moved from the then the Soviet Union to the UK aged 20,' she explained. 'It was a massive change from my tiny hometown in Russia.' Tatiana initially worked for a salon doing hair extensions for the likes of Cheryl Cole and Katie Price, before starting her own part-time operation from her home in High Barnet. Realising she could source her own superior-quality hair from Russia rather than rely on the mass-produced offering, her business quickly grew by word of mouth. Today, Tatiana who has an 18-month-old son, Xander, with her husband Shawn Frazer, 43, runs a busy salon in Manchester and one just off London's Kensington High Street - which is also home to her two resident chihuahuas, Foxy and Stroganoff. The stylist offers her clients two types of hair; Russian, which costs from 475 to 1,160 according to how much is used, and European hair, which ranges between 425 and 975, and is sourced from former Soviet countries which are a little further south, so the hair is generally thicker and darker. Emma Thompson, who is playing a 'dreadful alcoholic' in her next film Yen Din Ka Kissa (pictured filming), relied on Tatiana to create a mess of 'frizzy, neglected hair' - a definite departure from her usual brief She also stocks an 'exclusive' range, the priciest at 625 to $1,515, which is 'double drawn' so that all the shorter hairs in the ponytail are combed out to result in a chunky, blunt effect. Working in sections from the nape of my neck to my crown, Anna then deftly attached the hair in tiny chunks using micro-rings in varying sizes - again to result in a more realistic effect. Anna also made sure that she placed the extensions in just the right way so that they can't be seen when I tie my hair up in a high ballet bun, which I often do. It's clear that I'm in good hands and her reputations says it all, with an impressive list of celebrity clients to her name - including Lindsay Lohan, Tara Reid, Stacey Solomon. I ask her what is the strangest request she's ever received? Tatiana and her team creating 'unicorn braids' for models walking the Felder Felder runway at London Fashion Week In addition to traditional micro-ring extensions, the salon also hand-crafts clip-in hair pieces (pictured) 'Bad hair. Really, really bad hair, for actress Emma Thompson,' she answered. 'Emmas character in film Yen Din Ka Kissa was described to us as a "dreadful, passive-aggressive alcoholic", so the hair had to look frizzy, unruly and rather neglected. 'We met with Emma and went through a fair few different hair pieces before we settled on the right style. Then we crafted it into clip-ins for her to wear throughout filming.' Thankfully the look I have plumped for is rather more refined. The process took around three hours in total and cost 675. At the end Anna trimmed and layered the extensions to better amalgamate them with my own hair - that is until I begged her to stop. The process, which took place at the small Kensington salon (pictured) took around three hours in total and cost 675 The salon's resident chihuahuas Foxy and Stroganoff (pictured) were there to provide canine cuddles Cutting the Russian hair down from 24 to 22 inches would make them look far more natural, she points out. But I don't care. I know it looks ridiculously long, but ridiculously long is what I want! I dream of it swishing around my elbows and falling onto my keyboard, and generally getting in the way all the time with its princess mermaid quality. Because the Russian hair has never been treated, it can be used and re-used for a good few years. Every month, the micro-rings just need to be moved back up to the scalp as your natural hair grows - a process which costs half the original price of the fitting. I had half a head of Tatiana's standard Russian hair, and it cost 675. Far from cheap. But if you can possibly afford it, seriously worth it. WHAT'S NOW AND HOW TO DO IT... HOLIDAY TOES Bold colours on the catwalk dictated the look this summer, but an easier way to try the trend is on your toes. Bold colours on the catwalk dictated the look this summer, but an easier way to try the trend is on your toes Essies Braziliant Pick a statement nail varnish, such as Essies Braziliant from the summer 2016 collection. The bright orange will flatter sunkissed skin Shop Models Own polish in Sarong Wrap Or go for a vivid yellow, such as Models Own polish in Sarong Wrap. Shop Orly Nail Polish in Lola Electric pink also does the job. Try Orly Nail Polish in Lola. Sun and chlorine can make bright nail varnish fade faster. Protect it with Nails Incs Neon Activator base coat (8, nailsinc.com). Shop IS BLOW DRYING AGEING YOUR HAIR? If you are still using the same hairdryer you had 20 years ago, its time for a change. Thanks to the latest in heat technology, there are a host of new tools that are less damaging and ageing than traditional dryers. If you are still using the same hairdryer you had 20 years ago, its time for a change. Thanks to the latest in heat technology, there are a host of new tools that are less damaging and ageing than traditional dryers This week, celebrity hairdresser Andrew Barton launches a hairdryer designed to create smoother, softer and, therefore, younger-looking hair. His Vita Revive Age-Defying Dryer (35, Argos, out this week) boasts super-ionic technology, which releases a stream of ions to surround and condition each strand of hair. Or if you want something more flash, Dysons Supersonic (299.99, Selfridges) checks the temperature 20 times every second to control heat distribution while making minimal noise. THREE OF THE BEST... EYE PALETTES In your 50s... Tartelette In Bloom Clay Palette A real day-to-night palette, this collection from Tarte Cosmetics is highly pigmented, with colours to suit every occasion. Shop In your 60s... Eyes Are The Window Palette in Soul The beauty of this Stila selection is that most of the shades are matte. Use the darker colours around the lash line for definition. Shop In your 70s... Marks & Spencer's Summer Palette With 12 eye shadows plus a highlighter, this summer palette from Marks & Spencer is a bargain at just 15, with shades that will lighten and brighten the complexion. Shop RACE YOU THERE The newest addition to make-up artist and YouTube sensation Tanya Burrs bestselling beauty range is a collection of matte liquid lipsticks. The Soft Luxe Collection The Soft Luxe Collection features her most grown-up products yet, with elegant packaging that reminds me of Tom Fords range of make-up. With three tones of pink - a deep rose, a coral and a bubblegum - they are all very wearable. And thanks to their superb staying power, a thin layer will stay on all day. Shop SUMMER TAN IN THE SHOWER St Tropez Gradual Tan In Shower Golden Glow For the fastest way to achieve a glow, apply fake tan in the shower. When it launched last year, St Tropez Gradual Tan In Shower Golden Glow revolutionised the tanning industry. This new version has a deeper, more noticeable colour, but still looks natural. After applying the gel, simply wait for three minutes before showering. And voila! An instant tan with no orange hands. Shop THIS WORKS Pure Clay Masks from LOreal Paris LOreal Paris has jumped on the trend for multi-masking with three new Pure Clay masks to purify, detox and brighten the skin. Use all three on different areas of the face to see the benefit. The Purity Mask works wonders across the cheeks. Shop SHAMELESS INDULGENCE It's common for toddlers to attend swimming lessons and safely float around the pool with their parents. But 22-month-old Tucker Ardo, from Scottsdale, Arizona, is not only confident in the water but is able to jump in the pool and swim to the side without any assistance. Little Tucker's mother, Kristy Ardo, 24, started working out with her little boy when he was four-months-old and started bringing him to the pool with her when he was just six-months-old. Scroll down for video Starting early: 22-month-old Tucker Ardo, from Scottsdale, Arizona, is not only confident in the water but is able to jump in the pool and swim to the side without any assistance Bonding time: Little Tucker's mother, Kristy Ardo, 24, started working out with her little boy when he was four-months-old and started bringing him to the pool with her when he was just six-months-old Always a positive experience: 'From a very young age he loved the water and was always splashing and giggling,' Mrs Ardo said 'From a very young age he loved the water and was always splashing and giggling. I got him comfortable in the water by always making it a positive experience,' Mrs Ardo told Daily Mail. 'I taught Tucker to hold his breath using the Bradycardic reflex - when you blow in a baby's face they automatically hold their breath. 'At six months I would blow in his face and give him a quick dip under the water. That is how he got comfortable with getting his face wet which is normally a big hurdle with teaching kids to swim.' Simple trick: 'I taught Tucker to hold his breath using the Bradycardic reflex - when you blow in a baby's face they automatically hold their breath,' Mrs Ardo said Getting comfortable with the water: 'At six months I would blow in his face and give him a quick dip under the water,' Mrs Ardo said WHAT IS THE BRADYCARDIC REFLEX? Babies are born with a Bradycardic reflex-meaning that when you blow in they're face they automatically hold they're breath and close they're eyes. That is how I taught Tucker to hold his breath underwater. Advertisement When Tucker was nine-months-old Mrs Ardo would briefly let go of him underwater which taught him how to kick and float on his own. 'Tucker has always been a natural in the water and one of his first words was "pool"... he has never cried or been scared,' Mrs Ardo, a former competitive springboard diver, said. 'At 12 months he could swim two to three feet and safely get to the side. I was shocked how fast he picked it up.' Always close by: When Tucker was nine-months-old Mrs Ardo would briefly let go of him underwater which taught him how to kick and float on his own Speedy: 'At 12 months he could swim two to three feet and safely get to the side. I was shocked how fast he picked it up,' she said Mrs Ardo said the main challenge in teaching Tucker how to swim was that he didn't know his own limits. 'He has no fear which can be scary at times and sometimes he swims out to far and knows he'll get picked up for air. That makes me nervous!' She said. Tucker can now swim completely unassisted, can come up for air by himself and is confident jumping off the side. Brave: 'He has no fear which can be scary at times and sometimes he swims out to far and knows he'll get picked up for air. That makes me nervous!' Mrs Ardo said Family fitness: Mrs Ardo boasts over 178,000 followers on her Instagram page, Baby Fit Gym, due to her active, playful snaps with Tucker Active: Tucker regularly gets involved when his mother is exercising around the house HOW DO YOU TEACH YOUR BABY HOW TO SWIM? The Timeline of what I did with Tucker: Six months - Splashing/bouncing in water - Practice floating - Bradycardic reflex- quick dunks under water Nine months - Blowing bubbles - Letting go of him underwater - Kicks and arm circles 12 months - Practice grabbing side of pool 18 months - Jumping off edge and swimming to the side safely - Practice coming up for air Advertisement 'He is water safe but I still never take my eyes off him,' Mrs Ardo said. For those wishing to help their children become water safe, Mrs Ardo advises parents to be aware of how they respond to their kids in the pool. 'Don't overreact! Even if you as a parent have fear don't let your child sense that. Always stay calm and make them feel safe,' Mrs Ardo said. Safety first: 'He is water safe but I still never take my eyes off him,' Mrs Ardo said Keep it relaxed and fun: 'If you are comfortable then your child is going to pick up on that. Make it fun,' Mrs Ardo said 'If you are comfortable then your child is going to pick up on that. Make it fun. 'Learning to swim is essential for a child's safety. There is water all around us, even if it is a small bathtub, so at a young age children normally don't have as much fear so it is easier to teach them and get them comfortable.' Not only does learning to swim at an early age boost a child's confidence and prevent fear of water later in life, it has also been proven to boost their visual-motor skills and ability to follow instructions. A father has won praise for supporting breastfeeding mothers with an amusing new video in which he tried to eat his lunch from beneath a blanket. Brock Smith, from Florida, filmed himself dining in a restaurant with his head covered with a white cover-up, mimicking how women are expected to shield their babies while nursing in public places. The father-of-two, posted the video on his Facebook page where it has been viewed more than 6,400 times. Scroll down for video Under cover: Father-of-two Brock Smtih tries to eat his lunch with a blanket over his head in a restaurant Enough is enough: Mr Smith, pictured with his wife D Lauren Smith, say they are 'starting a movement' for breastfeeding mothers The short clip appears to take a jab at critics of breastfeeding mothers who argue that women should cover up while feeding their infants in public spaces. Mr Smith captioned his video: 'As a dad and a husband...I've had enough of this asinine argument. D Lauren Smith (his wife) and I are starting a movement....' In the clip he has a baby-sized white sheet over his head and takes a sip from his drink and a bite of his jacket potato. 'I wish I didn't have to eat with a blanket over my head, maybe I should just go sit in the toilet,' Mr Smith said. 'I can't see anything,' he added. 'I can't see anything!' In the video Mr Smith wears a white baby blanket over his head while he takes a bite of his jacket potato (right) and ice cream cone (left) The video received plenty of positive feedback from his Facebook friends that it was also shared on the Breastfeeding Mama Talk (BFMT) Facebook page where it received over 144,000 views and 4,500 likes. Owner of the BFMT page, Kristy Kemp, wrote that she asked Mr Smith why he made the video. He responded: 'We are just so passionate about breastfeeding moms and the selflessness that the act calls for.' 'For people to be ignorant enough to harass a mother trying to have a normal life while breastfeeding a child just infuriates us.' He added: 'We have never been harassed ourselves but we hear all the horror stories from friends and social media... just something we thought to do!' Positive feedback: Mr Smith has to feel around for his drink and clumsily search for potato on his plate The short clip has hundreds of comments from breastfeeding mothers sick of the judgement they receive for feeding their baby. One mother wrote: 'Yay! Love it when men take a passionate stand as well. My hubs stood up to his mom who shamed me for public breastfeeding and he's a HUGE mamas boy so it meant a lot.' Another commented: 'I think this is a great video. People wouldn't eat with a sheet over their head so why do they expect us to do that to our babies while we breastfeed' One mother said: 'This guy needs a damn medal. Love it and his response!' Kate Mosss party-girl reputation didnt stop the Marquess of Cholmondeley from deeming her good enough to be godmother to his first daughter, Iris. The 42-year-old supermodel, far right, pitched up to her christening on Saturday in an off-the-shoulder floral dress at his Houghton Hall estate in Norfolk. Kate Moss (third from right) attended the christening at the Marquess of Charmondeley's Houghton Hall estate in Norfolk David, pictured holding four-month old Iris, stands next to his wife, Rose, with whom he has six-year-old twins Alex and Oliver. The 32-year-old brunette is an old friend of Kates, having met her in her modelling days before a brief stint in Parliament as a researcher for Michael Gove. Katie Reed, a blogger from Salt Lake City, gained weight after giving birth to three children in four years, but never thought too much about it. But when her five-year-old son Dexter called her 'fat' one evening, Mrs Reed was 'left reeling.' 'As I bent over to kiss his forehead, Dexter started laughing. I asked him what was so funny. He said, "Mommy you look like youre having a BABY!"' Mrs Reed, 34, wrote on her blog, It's a Mother Thing. Scroll down for video Shocked: Katie Reed, a blogger from Florida, gained weight after giving birth to three children in four years, but has never thought too much about it... but recently, her son called her 'fat' Surprised: Mrs Reed said her mind was left racing after the comment and that while she has a 'mom bod' and 'is overweight' due to health issues, she has always felt 'okay' when she looks in the mirror '"Dont worry. Its just 'cause your belly is so FAT!"' She continued, adding that she had also gained weight due to a number of health issues. Mrs Reed said her mind was left racing after the comment and that while she has a 'mom bod' and 'is overweight' due to health issues, she has always felt 'okay' when she looks in the mirror. The blogger drove to Target with her sons to choose an XL bikini (with the assistance of Dexter), but when she arrived at the checkout with a rainbow bikini, Mrs Reed was faced with another blow to her confidence. Slide me A shock: After purchasing a bikini from Target, Mrs Reed had her sons take photos of her in it... she later Photoshopped the picture to show how she thought she looked in a bikini versus how she really looked 'The young checkout girl rang us up, looked at me in wonder and said, "How do you find the confidence to wear this?" I could never let my flab hang out,' Mrs Reed continued. 'I just kind of looked at her. I dont think she meant to be mean, but I was definitely thrown. I realized pretty quickly that she was not insulting me, but rather sharing her own insecurity about her body. 'In the end, I just kind of smiled and said, "Im teaching my three boys that women are beautiful no matter their size, and I dont want them to think its funny or weird or unnatural to see a woman in a bikini at any age or weight".' Mrs Reed arrived home, put the bikini on and handed her kids the camera to take photos of her. Emotional: 'Yep. The reality hit hard. I wanted to cry. I wanted to delete the photos. I wanted to put on a cover up and run back inside. Most of all, I wanted not to care,' she wrote 'I look good, right?? This is how I thought I looked. I had curves and a banging body. Overweight, yes, but not too bad,' she wrote next to a heavily Photoshopped version of one of the photos. 'But alas, the reality was actually this,' she wrote next to the original. 'Yep. The reality hit hard. I wanted to cry. I wanted to delete the photos. I wanted to put on a cover up and run back inside. Most of all, I wanted not to care. I wanted not to worry about how I looked and focus instead on how I felt.' Mrs Reed said she was at war with herself. Staying positive: Mrs Reed, a size 14, concluded her post by saying that while she is not 'currently happy' with her size and weight, she plans to 'celebrate' her body for what it is now 'I saw only my cellulite, my lumps and bumps, my body that was so far removed from what I thought it was,' she wrote. 'I had so many negative words going through my mind - Fat, Ugly, Gross, Disgusting, Fat, Fat FAT. But I knew this was an opportunity for teaching. I knew that how I acted now would be how my kids learned what is normal.' Mrs Reed, a size 14, concluded her post by saying that while she is not 'currently happy' with her size and weight, she plans to 'celebrate' her body for what it is now. 'It has done more than I ever thought it could. It has seen me through 34 years, three kids and two marriages. Ladies, I GREW HUMANS! From SCRATCH!' She wrote. 'It's beautiful': 'It has done more than I ever thought it could. It has seen me through 34 years, three kids and two marriages. Ladies, I GREW HUMANS! From SCRATCH!' She concluded 'So while I know that I need to lose some weight to better my health, I am going to work very hard to remember that this is the body I have. Its a miracle. Its beautiful. And it is the example for my boys of what one version of a "real woman" is.' Mrs Reed's post has been viewed over 6,000 times and many have praised her for sharing the story. 'What an awesome way of looking at things. Those boys of yours deserve a mama who is strong and confident. Great job!' One woman wrote. Going for a poo, putting mustard on a burger before the ketchup and attending the funeral of a grandparent are just some of the gob-smacking things that have cost people their jobs. The unbelievable anecdotes have been shared online by wronged employees on secrets app Whisper. Other eye-opening reasons for getting the sack include breaking up with the boss's daughter - even though she had cheated - and taking more than two weeks to recover from back surgery. 'I went for a poo at work and there was no toilet paper and I had to wait for a colleague to bring me some and then I got fired for being away from my desk for too long. 'My boss fired me because I broke up with his daughter,' posted one worker. Then added: 'He said I wasn't man enough to just get over the fact she cheated' 'I went for a poo at work and there was no toilet paper and I had to wait for a colleague to bring me some and then I got fired for being away from my desk for too long.' 'My boss fired me because I broke up with his daughter,' posted one worker. Then added: 'He said I wasn't man enough to just get over the fact she cheated.' Another former-chef posted: 'I was a cook and was fired because I out mustard.' But perhaps the most unreasonable was the employee fired for attending their grandfather's funeral after receiving a text from their boss saying: "You aren't reliable enough" One girl was fired for spelling a guests name wrong 'I was unreliable because I was not recovered from back surgery in two weeks,' posted one worker. While another poorly worker shared an equally unreasonable experience: 'I was in the hospital with pneumonia and couldn't find someone to cover my shift.' One social media user posted: 'A friend was fired for repeatedly failing to run spell check on her reports...' The problem? The programme she was using didn't have a spell check function.' Another equally put put worker divulged: 'My manager fired me because she wanted to hire her friend.' One user said: 'A coworker got fired of someone stealing her lunch so she put laxatives in it.' The culprit was the security guard but she was the one who got fired - for putting laxatives in her own food! 'I got fired once because I was the only waitress to show up for my shift and a customer complained that I wasn't attentive enough,' shared another While another revealed: 'I got fired because my work pants "weren't the right shade of black".' 'I got fired once because I was the only waitress to show up for my shift and a customer complained that I wasn't attentive enough,' shared another. One post said: 'A coworker got fired of someone stealing her lunch so she put laxatives in it.' The culprit was the security guard but she was the one who got fired - for putting laxatives in her own food! But perhaps the most unreasonable was the employee fired for attending their grandfather's funeral after receiving a text from their boss saying: "You aren't reliable enough". Another former-chef posted: 'I was a cook and was fired because I out mustard' One bakery worker was fired for eating a cookie - that was going to be thrown away anyway! Another got fired for wearing the 'wrong shade of black' - whatever that means One boss simply wanted to hire a friend One worker got fired for proofreading her colleagues work, even though the computer did not have spell check It's the latest in a series of brain baffling puzzles that have been sending the internet into overdrive. And BBC Earth's zebra/badger creation might be the hardest one yet. Hidden within this picture of zebras exposing their nether regions is a cheeky badger. But can you spot him in all the black and white? Scroll down for the reveal! Hidden within this picture of zebras exposing their nether regions is a cheeky badger. But can you spot him in all the black and white? Many have taken to Facebook to express how difficult this puzzle is. 'Been staring so long I'm starting to forget what a badger is supposed to look like,' wrote Flaykie Shas. 'I spent an hour looking for it and couldn't find it. Then I googled pictures of badgers, then found it in 30 seconds. Turns out I didn't know what a badger looked like haha,' added Sam Frain. And John Crump wrote: 'Ok. I thought that this endeavor was a stupid waste of time, until I spotted the badger.' Many have taken to Facebook to express how difficult this puzzle is. 'Been staring so long I'm starting to forget what a badger is supposed to look like,' wrote Flaykie Shas Do you have the observation skills that will help you solve this tricky quiz? There are five pictures in this Playbuzz creation, each one containing a hidden image. According to the quiz creator, introverts are more likely to spot the disguised pictures than extroverts are. It's up to you to find all the hidden sketches - but be careful, as some are far easier to hunt down than others. In each image, a drawing is hidden - and it's up to you to find them all The first image at first looks as though it's just a plain orange painting. However, after careful examination a figure can be seen in the left hand side of the rectangle. In the second image, a similarly faint drawing can be deciphered in the middle of the screen. And the third, fourth and fifth parts of the puzzle are particularly tricky. In the third, a drawing is hidden within a monochrome spiral, and in the fourth it's disguised within a mustard-coloured screen. In the fifth, a lion can be spotted in the bottom left hand corner of the green rectangle. As you will have noticed, some images are far trickier to find than others It's the latest teaser that tests the relationship between your brain and eyesight. Another one asked readers to test how good they are at spotting people hidden in a crowd with a busy illustration on Playbuzz that definitely gave Disney fans an advantage. In this crowded scene, princesses Snow White, Cinderella, Belle, Jasmine, Pocahontas,and Ariel are all hidden. But how quickly can you spot them? In this crowded scene, princesses Snow White, Cinderella, Belle, Jasmine, Pocahontas,and Ariel are all hidden. But how quickly can you spot them? This quiz is certainly a tricky one. Although some princesses - including Belle and Pocahontas are fairly easy to spot, Snow White, Ariel, Jasmine and Cinderella are a little bit trickier. Some Playbuzz users have even noticed that there are more princesses than the six you have been challenged to find - Auroa from Sleeping Beauty can be spotted in the top and centre, as well as Kida from Atlantis and Mulan. How many did you spot? Some Playbuzz users have even noticed that there are more princesses than the six you have been challenged to find - Auroa from Sleeping Beauty can be spotted in the top and centre, as well as Kida from Atlantis and Mulan Puzzle put film buffs have also been put to the ultimate test with a scene in which every image references a movie. The challenge is whether you can identify the clues and name the cinematic work that inspired it. Take the test to see how many you get right... The crowded scene created by Playbuzz is set on a tarmac foreground with buildings to the left and right and a backdrop of the Hollywood sign, over which birds wheel through the sky and starships appear to be fighting each other. This crowd scene is filled with clues but can you identify them and name all 50 films it references? A lone turret is up in flames, while a chasm appears to be opening up in the hillside while the rest of the image appears to depict chaos. Some clues are more literal than others, for instance a man playing a violin atop a building brings to mind the famous musical starring Topol. Fingers tightly closed around some US currency, also accurately depicts a classic US film. In fact, the test will appeal to those with a wealth of knowledge about Hitchcock films (five clues) and famous directors Martin Scorese hits (three films) and George Lucas (two). Three cartoons pop up, along with two classic Second World War flicks, musicals also have a place, while a Audrey Hepburn film is included along with one of Patrick Swayze's most loved works. Ridley Scott films are also featured, with one of them providing one of the easiest visual aids. HOW MANY DID YOU SPOT? 1 Cat On A Hot Tin Roof 2 The Towering Inferno 3 The Birds 4 Star Wars 5 Breakfast At Tiffany's 6 Fiddler On The Roof 7 The Piano 8 Ghost 9 42nd Street 10 Jamaica Inn 11 Gone With The Wind 12 The Last Picture Show 13 School of Rock 14 The Dam Busters 15 Chariots of Fire 16 Gaslight 17 Mean Streets 18 A Fistful Of Dollars 19 The Sting 20 Blazing Saddles 21 Wall-e 22 The 39 Steps 23 Dances With Wolves 24 The Graduate 25 Singing' In The Rain 26 Batman 27 Seven Brides For Seven Brothers 28 Lady And The Tramp 29 Guys And Dolls 30 Toy Story 31 The Black Dahlia 32 Clockwork Orange 33 North By Northwest 34 Gladiator 35 Blade Runner 36 Jaws 37 Shakespeare In Love 38 Bad Santa 39 The Lion King 40 American Pie 41 Top Hat 42 Happy Feet 43 Shane 44 Raging Bull 45 Taxi Driver 46 The Eagle Has Landed 47 All About Eve 48 American Graffiti 49 The Queen 50 Edward Scissorhands Advertisement This ultimate film test is just the latest in a slew of optical illusions that are driving the internet wild. Another brain teaser revealed how even photographs are not always what they seem. A picture emerged this week that appears to show a beautiful scene of a lake, with mountains in the distance. But can you spot what is really going on? The image appears to show a beautiful scene of a lake, with mountains in the distance. But can you spot what is really going on? If you look extremely closely you'll see that the landscape doesn't include any water at all. What appears to be a the lake is in fact a concrete wall obscuring part of the scenery, with the shrub growing on the other side. It is one of the trickiest optical illusions on the web, that baffled many who simply couldn't spot what was really being pictured in the image. If you look extremely closely you'll see that the scene is not, in fact, of a lake. Instead, the photograph is showing a concrete wall Back in 2015, the infamous 'dress' divided internet users, who simply could not agree over whether it was black and blue or gold and white - with even Kim Kardashian and Taylor Swift joining in on the debate. One year later, the Adidas jacket did the same, with people saying that they saw numerous colour combinations, including blue and white, green and gold, black and brown, and green and brown. And this week a new colour spectrum illusion emerged to frustrate the nation, proving once again that our perceptions of colour can be very different from each other. Is this colour blue or green? When asked to name the colour above, 64 per of respondents said that it was green, with 32 per cent believing it to be blue Optical Express surveyed the UK public with the seemingly simple task of identifying shades of blue and green, as part of a study into our perception of colour. When asked to name the colour, 64 per of respondents said that it was green, with 32 per cent believing it to be blue. However, when asked to name the same colour adjacent to two distinctly blue images, many changed their minds - with 90 per cent then stating that it was green. The shade is indeed more green than blue. According to the RGB colour spectrum, the values of the colour are 0, 122 and 116. However, when asked to name the same colour adjacent to two distinctly blue images, many changed their minds - with 90 per cent then stating that it was green Back in 2015, the infamous 'dress' divided internet users, who simply could not agree over whether it was black and blue or gold and white - with even Kim Kardashian and Taylor Swift joining in on the debate. One year later, the Adidas jacket (right) did the same WHY DO WE SEE COLOURS DIFFERENTLY? Every single person is unique and as a result, our brains process information differently. Depending on how you interpret colours, one person might see it one way, while the very next person who looks at it might see it differently. Light enters the eye and hits the retina, which is the light sensitive tissue at the back of the eye. The light is converted to an electrical signal which travels along the Optic Nerve to the Visual Cortex in the brain. The brain makes its own unique interpretation of this electrical signal. It is not surprising that many respondents changed their mind when seeing the colour in contrast to the two blue shades, as we perceive an objects colour based on a comparison to its surrounding shades, not on the actual colour itself. Assessing colour vision is just one of the myriad of examinations that can be undertaken during a routine sight test. Its possible for colour blindness to go undetected depending on severity - as its impossible to see the world through another persons eyes. Conditions such as protanomaly, deuteranomaly and tritanomaly can range from inconvenient to quite dangerous - often preventing those inflicted from pursuing certain careers. If you have any concerns about your colour perception, you should seek an appointment with a registered optometrist. Stephen Hannan, Clinical Services Director at Optical Express Advertisement People also had their brain tested by the 'perception test', that challenged quizzers to answer a series of quickfire questions. The test began by setting out the rules: 'Answer the following questions loudly and as quickly as possible. Don't think too much and don't cheat!' The challenge, tjhat was also created by Playbuzz, went through a series of animal pictures, asking you to name what each one is. Internet users are being challenged to test their brain power in a new quiz - which the majority of people will fail The quiz goes through a series of animal pictures, asking you to name what each one is Quiz takers are required to answer the following questions loudly and as quickly as possible The rules stated at the beginning of the quiz add: 'Don't think too much and don't cheat!' The test surprises the quiz taker by throwing out a completely different question: 'Name one city in the USA' The photographs feature a cute-looking cat, a guinea pic and a cow. The test then surprises the quiz taker by throwing out a completely different question: 'Name one city in the USA.' So what did you say? According to the creators of the quiz, 92 per cent of people answer New York under pressure. But if you're one of the few people that named a different city, it shows you've got a creative and innovative mind. Riddle number one - how quickly can you answer it? Riddle number two is 'What is the ancient invention that allows people to see through walls?' A series of riddles posted on Bored Panda also sought to test lateral thinking as well as general knowledge. Five conundrums included: 'What was the largest island in the world before Australia was discovered?' and 'What can you hold in your right hand, but not in your left?' Riddle number three: Which room is the safest out of one full of raging fires, another with assassins with loaded guns and another with lions who haven't eaten in years? And riddle number four asks 'What rock group has four met that don't sing?' And the fifth riddle is 'What can you hold in your right hand, but not in your left?' Riddle number one is 'What was the largest island in the world before Australia was discovered?'. While riddle number two asks 'This ancient invention allows people to see through walls. What is it?' A third question asks: 'Which room is the safest out of one full of raging fires, another with assassins with loaded guns and another with lions who haven't eaten in years?'. And the fourth riddle reads: 'What rock group has four men that don't sing?' Riddle number five is: 'What can you hold in your right hand, but not in your left?'. Scroll down to read the answers and to see how many you got right. Number 1: The answer to 'What was the largest island in the world before Australia was discovered?' Number 2: 'What is the ancient invention that allows people to see through walls?' - a window The answer to riddle number three, 'Which room is the safest out of one full of raging fires, another with assassins with loaded guns and another with lions who haven't eaten in years?', is the third one, as the lions died of starvation Answer 4: The riddle 'What rock group has four men that don't sing?' has 'mount Rushmore' as the answer The answer to 'what rock group has four men that don't sing' is a pun on the faces carved into Mount Rushmore. They are indeed a group of men in a rock group, but they definitely don't sing! And the answer to the fifth riddle, 'What can you hold in your right hand, but not in your left?', is 'Your left hand' Answer one is that the island was still there, just undiscovered, while number two is a window, number three is the third room as the lions couldn't survive without food, the fourth answer is Mount Rushmore, while the fifth riddle's answer is your left hand. The answer to 'what rock group has four men that don't sing' is a pun on the human faces carved into Mount Rushmore. They are indeed a group of men in a rock group, but they definitely don't sing. Sometimes the easiest-sounding brain teasers are the most difficult ones. And one of the latest puzzle to sweep the web certainly plays by those rules. Created by a PlayBuzz user, this colour photo depicts a grey car seat with a mysterious object hidden on it that it's up to you to find At first, the secret object is impossible to find. However, after a more detailed search you can spot a grey iPad lying flat on the back on the seat Created by a PlayBuzz user, this colour photo depicts a grey car seat with a mysterious object hidden on it that it's up to you to find. At first, the secret object is impossible to find. However, after a more detailed search you can spot a grey iPad lying flat on the back on the seat. The iPad is well-camouflaged because it's the same colour as the seat, with similar markings. Another brainteaser to have swept the web depicts a happy-looking holiday scene. The latest brainteaser to have swept the web depicts a happy-looking holiday scene A dad can be seen peacefully reading a newspaper while his two children fish beside him - one successfully managing to reel in a big one. But within the scene, six holiday-themed words have been hidden, and the challenge is to spot them all. Four of the six words, including 'tree' and 'boy' are relatively easy to spot. However, the other two are slightly more difficult. The version of the picture with rings around the words shows how many you got right - or simply failed to spot. Within the scene, six holiday-themed words have been hidden, and the challenge is to spot them all Year five pupils at a primary school in Glossop, Derbyshire, were left as stumped as their parents by a question which asked them to 'calculate the perimeter of these composite rectilinear shapes'. One dad, 43, was so baffled that he turned to social media, appealing for help in solving the question. As the Manchester Evening News reported, he wrote on Facebook: 'My sons grandma had spent a while helping him with his homework and most of it was straightforward but this one question left her stumped. The maths puzzle was given to year five pupils at a school in Glossop, Derbyshire 'I then spent an hour or so trying to work it out but found it impossible. 'I really do think it is impossible and it is certainly not something a ten-year-old can answer.' On social media, many have claimed that the answer is 44cm for both - but not everyone is in agreement. Another puzzle recently swept the internet, with many trying to solve it using advanced mathematics then kicking themselves when they realised the real solution. Antley Lamont Staten posted this brainteaser on Facebook, which has been shared more than 370,000 times. The puzzle shows a grid of nine numbers and a sign next to it asking people to share the image when they find the error. Yet another puzzle is sweeping the internet, this time boggling the minds of everybody with its deceptively simple answer, above Lots of people have been trying but failing to solve what they think is a mathematical equation on the right side. One wrote: 'It' s 4 and 5. 3 + 6=9 2+5=7 not 8 and 1+4=5 not 7. That's how I looked at it.' However, the answer is that 'mitsake' is spelled wrong. Theodore O'Connell II wrote: 'This is funny. Most people will pay more attention to the numbers and not the spelling of the sign.' Pat Ireland said: 'Just shows that it's true - most of us only see the first and last letter of a word.' Many have been trying to solve the riddle with advanced mathematics, but were probably left kicking themselves when they realised the real solution. The answer is that 'mitsake' is spelled wrong It came after another very tricky puzzle challenged the internet to find a gherkin hidden among a whole host of burger ingredients. The brainteaser features a solitary gherkin mixed in with beef burgers, fries and other tasty-looking garnishes. The challenge is made even more difficult because of all the other green items featured, including salad leaves, cucumber and avocado slices. The brainteaser features a solitary gherkin mixed in with beef burgers, fries and other tasty-looking garnishes The visual puzzle was created by illustrator Sally-Ann Heron for food delivery service Deliveroo. The 25-year-old said: 'I kept forgetting where it was myself, while I was drawing it. I was really hungry by the time I'd finished it.' The gherkin is actually hidden towards the bottom left of the image, behind an onion ring and a beef burger. It's not the only food-themed puzzle to have internet users scratching their heads in recent weeks. The gherkin is actually hidden towards the bottom left of the image, behind an onion ring and a beef burger In April, popular high street bakers Greggs posted a pasty puzzle that showed a lone cheese and onion bake in a pile of steak slices. The brainteaser was inspired by the Where's Wally-style puzzles challenging people to spot animals amongst throngs of creatures that have been sweeping the net in recent months. For those not familiar with the baker's offerings, picking out the pasty proved difficult. This optical illusion has had pasty lovers scratching their heads - and rubbing their stomachs The eagle-eyed spotted that the difference lies in the patterns of the pasties. While the steak bakes feature diagonal lines, the cheese and onion bake is scored with a V-shaped design. The lone cheese and onion bake is hidden at the bottom right corner of the puzzle. The cheese and onion bake is tucked away in the bottom right hand corner (circled in red) Optical illusions have also been messing with people's heads, playing with the way that the brain processes colour. This psychedelic pattern appears to show green, blue and pink swirls - but not all is as it seems. The blue and green spirals are actually exactly the same bright green colour, as shown by a close-up picture. If you test it out yourself on Photoshop, you will find the colour's RBG code is R=0, G=255, B=150. The optical illusion was created by Akiyoshi Kitaoka, a Japanese professor of psychology. Most of us think the spirals are blue and green because of the Munker Illusion. Simply put, our brains process an object's colour based on what's next to it. It is not the only optical illusions that has been taking the internet by storm in recent weeks. The geniuses at Playbuzz have challenged brain teaser boffs to see if they can solve four colour-based puzzles. The second puzzle shows a list of colours, written in five different colours. The words do not correspond with the colour they are written in, for example 'green' is written in blue But all is not what it seems and, as the creators say, 'only the keenest eyes can pass!'. The first optical illusion shows 12 coloured squares. Participants are asked how many different colours they can see - excluding white. They are asked to solve the challenge in fewer than seven seconds. The second puzzle shows a list of colours, written in five different colours. The words do not correspond with the colour they are written in, for example 'green' is written in blue. Participants are asked how many colours are named, and have to solve the challenge within nine seconds - which is far less straightforward than it seems. The big reveal: Participants are asked how many colours are named, and have to solve the challenge within nine seconds In the third puzzle, brain teaser boffs are given an image of 25 black squares, with a white space between them - and asked how many colours they can see In the third puzzle, brain teaser boffs are given an image of 25 black squares, with a white space between them - and asked how many colours they can see. Some challengers may see grey marks at the intersections between the squares. However, the grey is an optical illusion and the only colours there are black and white. Some challengers may see grey marks at the intersections between the squares The task in the fourth and final puzzle seems simple enough - to ascertain which orange dot is bigger The task in the fourth and final puzzle seems simple enough - to ascertain which orange dot is bigger. At a first glance, it appears as though the dot on the right-hand-side is larger than the one on the left. However, this brain teaser is all about perspective and in fact the dots are exactly the same size. At a first glance, it appears as though the dot on the right-hand-side is larger than the one on the left. However, this brain teaser is all about perspective and in fact the dots are exactly the same size This is the latest brain-teaser taking the internet by storm, inviting people to take on the challenge in fewer than five seconds Internet users were also challenged to see if they could spot what was wrong in this sentence, above, that listed a colourful series of numbers above - in fewer than five seconds. Reading both text and numbers at a quick pace can result in skipping bits out - which many people who failed to spot the mistake have fallen foul of here. The numbers, which are in colour, attract the eye and the reader may automatically find themselves checking those for a mistake. In fact, the error is hidden in the text informing you that there is a mistake to spot. The results, circled in red, show that the mistake is the fact that the word 'the' has been written twice Those with a keen eye for detail, and practised in the art of speed-reading, will have noticed that the word 'the' is written twice. The puzzle is a slight detour from the current trend of Where's Wally-style quizzes. After a spate of illustrations in which a panda was hidden amongst snowmen, Star Wars figures, elephants. The craze was sparked by Hungarian illustrator Dudas in December 2015 with he drew a group of snowmen and sneaked in a lone panda amongst them. Six months later the craze for puzzles shows no sign of abating, Dudas, or Dudolf as he is known when drawing, spawned the Where's waldo-style internet puzzle craze back in December last year when he asked fans to find the panda hidden in these snowmen The image of the panda was shared hundreds of thousands of times as it captivated internet users who eventually found him here Dudolf followed up with a series of wide-eyed owls and challenged viewers to spot the cat hidden amongst them. The birds are depicted in bow ties, and top hats and they're drawn looking in a variety of directions. With their big eyes and pointy feathers it's almost impossible to locate the lone feline in the group. Capitalizing on his new-found fame, Dudolf quickly followed up with this image of wide-eyed owls, this time challenging people to find the cat concealed among them The key to tracking the elusive feline down proved to be the difference between the owls' beaks and the cat's Y-shaped mouth (pictured) Reddit user Oneste stuck with the panda theme, but this time drew the fuzzy creature hiding among a group of Stormtroopers to mark the release of Star Wars: The Force Awakens. There amongst Imperial troops including Stormtroopers, Speeder Biker riders and Snowtroopers, is a single panda with the same black and white colouring as the other figures. Then, in February this year to mark Oscar season, illustrator Michael Rogalski hid a golden statuette among a group of C3PO droids from the Star Wars films and drove the internet mad looking for it. Reddit user Oneste also got in on the act by hiding another panda in among this group of Stormtroopers Salim Mehajers niece looked confused and a tiny bit overwhelmed as she entered her $10,000 first birthday party to beating drums and a clapping crowd. Fatima Elkheir, the sister of the infamous former deputy mayor, spared no expense throwing the lavish princess-themed party for her daughter Sophia-Rose. The tot arrived at the bash in true princess style, held aloft by father Jamal while a band of drummers danced around her - but video of the grand entrance showed her looking more bewildered than overjoyed. Scroll down for video Princess style: Sophia-Rose is carried into her first birthday bash by parents Jamal and Fatima while a band of drums bangs in the background Fatimas sister Aiisha Mehajer posted a video of her nieces grand entrance to Instagram, saying, this is how a true princess enters her first birthday. Patriarch Mohamad Mehajer makes an appearance later in the procession, bouncing his granddaughter in the air as her parents laugh and clap around her. About 80 guests and 30 children were invited to the extravagant event, which featured karaoke, dancing, face painting and a mouth-watering dessert table. Family affair: Sophia-Rose is carried into her first birthday bash by grandfather Mohamad while her parents Jamal and Fatima laugh and clap The event planner behind the bash, Zena Zaidi, told Daily Mail Australia there was 'no budget' for the Royal Princess themed party but she estimated it cost between $8,000 and $10,000. The undisputed centre-piece of the celebrations was the five-tiered cake which was almost a metre high. Sophia-Rose's name was written on the cake in silver cursive, and the top tier was topped with a gold crown. Beautiful birthday: Fatima Elkheir, the sister of Salim Mehajer, threw an extravagant first birthday for her daughter Sophia-Rose (pictured). Mrs Elkheir is pictured with her husband, Jamal Delicious: A mouth-watering dessert table sported a five-tier cake by Sydney business Holy Suga, which was topped with a gold tiara Luxe event: Photos of the luxe event show a balloon and flower wall, dessert table and a fruit cart While the cake was pride of place at the dessert table, there were more than 100 different desserts provided by Sweet Treats by Alanna. There was cheesecake, mousse, chocolate strawberries and macarons, all in the theme of pink, lavender and gold. The table was placed in front of an incredible balloon wall by Floating Designs with more than 200 soft pink, orange and lilac balloons combined with floral arrangements. 'No budget was set, whatever it took to make her first birthday amazing,' Ms Zaidi said. Decadent: The family stood together in front of the cream and soft pink floral arrangement, an incredible spread of fruit in front of them Little princess: Sophia-Rose was dressed in a soft peach tutu and white lace top. She had a gold crown and pink floral headpiece on her head, and on her back were pink and white fairy wings Centre-piece: The five-tier cake has rose icing and gold embellishments, it was more than 80cm tall and was chocolate mud flavour A mother's love: Mrs Elkheir, who was dressed in a figure-hugging white lace gown, stood happily in front of the dessert table with her adorable daughter Vogue Australia's Fashion Director, Christine Centenera, is used to mixing with fashion and Hollywood royalty. But Ms Centenera recently flew to Copenhagen, Denmark, to meet with true royalty - Crown Princess Mary and Crown Prince Frederik of Denmark. The stylish fashion guru shared a behind-the-scenes snap from her time with the Danish royals on Instagram, where they were seen taking some time off from their Vogue Australia photoshoot. 'Everything you would hope for and expect': Vogue Australia's Fashion Director, Christine Centenera (second from left), shared a snap from her time with the Danish royal couple and photographer Mario Testino Ms Centenera donned a black outfit for the occasion as she posed alongside the royal couple and high fashion photographer, Mario Testino. Ms Centenera and Vogue Australia's Editor in Chief, Edwina McCann, travelled to Denmark together for the shoot and had an unexpected encounter with Princess Mary the following day. The pair bumped into Princess Mary and her guards while they were out exercising, Ms McCann explained in the Vogue Australia feature. Homegrown designer: Princess Mary wore a jaw-dropping gown by Alex Perry to stroll through the grounds of Amalienborg Palace with Frederik 'I am not sure who I felt more sorry for: the royal guards who, dressed in their regalia, raised their guns in salute until HRH left the square, which we delayed because of our chatter; or us, dressed in our sweats. The usually impeccably dressed editor said that as she spoke to Mary in her trainers, she couldn't stop thinking about her grandmother telling her to 'always leave the house looking my best.' Princess Mary, 44, dressed in an array of stunning outfits for the exclusive photoshoot - from designer gowns and modern coats to more casual get-ups. Glam: Ms Centenera and Vogue Australia's Editor in Chief, Edwina McCann (right), travelled to Denmark together for the shoot and had an unexpected encounter with Princess Mary following the shoot In the beautiful photoshoot at the Amelienborg Palace in Copenhagen, Crown Princess Mary posed both alone and with her husband and children. The special double cover sees the crown princely couple walking through the palace grounds arm in arm, Mary in her jaw-dropping black gown from Perry's Le Chat Noir collection, Frederik dapper in a tuxedo. In one black and white portrait, the Princess wore a cream KitX wool wrap coat with a statement pearl and aquamarine choker - a favourite of the Australian-born royal, which she has worn on numerous occasions - primarily for State Dinners. 'HRH Crown Princess Mary, supporter of human rights, empowerment of women and sustainable fashion,' KitX wrote on Instagram next to Ms McCann's behind-the-scenes snap. 'I am not sure who I felt more sorry for: the royal guards; or us, dressed in our sweats': Ms McCann and Ms Centenera bumped into Princess Mary while they were out exercising KitX founder Kit Willow is known for her sustainable and ethical collections - each of her pieces made with 'consciously sourced' materials that 'minimise harm' to the planet's resources. Mary also posed for photos in her grand reception room inside the palace, wearing a blush pink shirt with a voluminous skirt, and also in a chic dress, at work in her study. The whole family, including Prince Christian, 10, Princess Isabella, nine, and five-year-old twins Vincent and Josephine, were also pictured in relaxed mode at their kitchen counter. 'The Crown Princess is making her mark as a world leader': Princess Mary's first Vogue Australia cover was in 2004, shortly after her wedding to Prince Frederik The magazine, which went on sale on Monday, focused on the Crown Princess' famed charitable work, rather than her exquisite fashion. In conversation with Ms McCann, she explained why she chose the focuses of her Mary Foundation - domestic violence, bullying and loneliness. 'One thing I have always found difficult from as early as I can remember is seeing people who appear to be alone,' the Crown Princess said. 'It has always affected me deeply and I can't explain why. To see people standing on the outside looking in, who can't understand why they aren't part of a community or group.' 'We all have this innate fear of not belonging... We all have a right to belong.' Stunning: The special double cover sees the crown princely couple walking through the palace grounds arm in arm, Mary in her jaw-dropping black gown from Perry's Le Chat Noir collection (similar to the one pictured) Royal favourite: The pearl and aquamarine choker worn by Mary throughout the Vogue shoot is a favourite - the Crown Princess has worn it several times, including at State Dinners in 2006 (left) and 2012 (right) In a statement, Ms McCann said: 'I have enormous admiration for both HRH Crown Prince Frederik and HRH Crown Princess Mary and the work they do. 'The Crown Princess kindly welcomed Vogue Australia back into her home this month, 12 years after she was first photographed for our cover, soon after her wedding. A mother who was told to get mouthwash after visiting her doctors complaining of a sore throat almost died when it turned out to be a deadly blood infection. Stephanie Jennings, 25, from Worthing, West Sussex, has been left wearing a colostomy bag after her body was ravaged by pneumonia, which lead to sepsis. But doctors thought she was suffering from a common cold - despite her throat being so sore she couldn't eat as she lost two stone in three weeks. Despite visiting her GP five times, she claims she was sent away with a prescription for antibacterial mouthwash on each visit. Stephanie Jennings, 25, from Worthing, West Sussex, has been left wearing a stoma bag (right) after her body was ravaged by pneumonia, which led to sepsis (left) She has since overcome her life changing ordeal and the self-employed nail technician wears her stoma bag with pride, pictured with her son, Elban But exhausted and struggling for breath, Miss Jennings was later rushed to hospital by her boyfriend, Michael Joseph, 32. Her body was so weak that she was just hours from death before being admitted as doctors revealed she had suffered from pneumonia which lead to her developing sepsis. Miss Jennings was given a large dose of antibiotics to cure her sepsis, but they triggered ulcerative colitis leaving her colon so badly damaged she had to have it removed. She has since overcome her life changing ordeal and the self-employed nail technician wears her stoma bag with pride. Miss Jennings said: 'I was told the harsh reality that if I hadn't of gone there when I did then things could have been a lot different, I was hours away from my organs failing. 'I was treated on two separate occasions for septic shock, but due to the high dose of antibiotics it triggered ulcerative colitis and I had to have my colon removed, I was terrified and in complete shock. 'I still can't believe that a sore throat led to such drastic consequences to my health, I now have a stoma bag and will wear it for the rest of my life, but I know how lucky I am to be here today.' With sepsis, the body's immune system goes into overdrive, causing a dramatic drop in blood pressure and reducing the blood supply to vital organs such as the brain, heart and kidneys. She added: 'After a while I knew it couldn't just be sore throat, I felt like I was dying, that is the only way I can describe it. 'But after receiving my diagnosis I was given two courses of antibiotics as I went into septic shock for a second time. Her colon was so damaged it was close to rupturing and, at the age of just 24, she had to have it removed Her partner was unable to bring her son, Elban, see to her while she was in intensive care, pictured with her son before her illness (left) She was diagnosed with ulcerative colitis - a form of bowel disease which causes inflammation and ulceration of the colon - which was caused by the extremely large doses of antibiotics she was given to cure her sepsis Exhausted and struggling for breath, Miss Jennings was rushed to hospital by her boyfriend, Michael Joseph, 32 (right) as doctors revealed she had suffered from pneumonia, pictured after her ordeal 'Eventually the antibiotics worked but a few weeks later I still felt really poorly, I had extreme stomach cramps and diarrhoea, and I put it down to the high dose of drugs.' Over the next two months Miss Jennings' symptoms gradually got worse - forcing her to go back to her doctors where she was referred for more tests. She was diagnosed with ulcerative colitis - a form of bowel disease which causes inflammation and ulceration of the colon - which was caused by the extremely large doses of antibiotics she was given to cure her sepsis. Her colon was so badly damaged it was close to rupturing and, at the age of just 24, she had to have it removed. Miss Jennings said: 'I was terrified and my whole body felt numb, I still thought I wasn't going to make it. 'When I woke up all I wanted to do was see my boys but because I was in intensive care, Michael was unable to bring Elban to see me as he was so young, that was the hardest part of it all. 'I now wear a stoma bag, which I wanted to rip off at first, but I realised life is just too short and a year on from my operation I now embrace it and realise how lucky I am to be here today. 'It's hard to believe that a sore throat could completely turn your life upside down, but I now urge others to trust their instinct and always ask for help.' Dr Ron Daniels BEM, Chief Executive of the UK Sepsis Trust, said: 'Sepsis, or blood poisoning, is the reaction to an infection in which the body attacks its own organs and tissues and, if not spotted and treated quickly, it can rapidly cause organ failure and death. 'In its early stages, sepsis can look like a bad case of the flu. Symptoms might initially include a very sore throat, achy muscles and fatigue. We've all looked in the mirror at some stage and wished for a different reflection. Now it seems people's perceptions of both their own and other people's body weight can change in a short space of time, scientists have discovered. Codes in the brain make you think you are bigger or smaller than you really are after briefly looking at images of other people. The results add another piece to the puzzle towards the understanding of mental health problems involving body image disturbance, experts believe. The results will help scientists to better understand mental health problems involving body image disturbance, researchers from Macquarie University believe (file photo) The researchers found that while there were different brain mechanisms controlling a person's perception of their own and other people's body sizes, the two can also affect each other. After two minutes of being shown images of thinner versions of themselves or others, participants began to see the thin images as 'normal' while the original-sized body shots looked bigger to them. The opposite was also true - exposure to fatter body shapes made participants see original body sizes as skinny. Associate Professor Kevin Brooks, from Macquarie University, Sydney, and lead author of the study, described how the brain adapted. 'After two minutes of being exposed to images of thinner versions of themselves or others, we saw that the neural mechanisms controlling participants' perceptions actually adapted to see thin images as normal,' he said. 'Original sized body images now looked fatter to them.' Scientists found while there were different brain mechanisms controlling a person's perception of their own and other people's body sizes, the two can also affect each other and change how we view things Dr Ian Stephen, co-author, said it showed how easily people could be manipulated by images. 'This means that being exposed to images of skinny people doesn't just make you feel bad about your own body size, which has been known for a while, it actually affects the perceptual mechanisms in your brain and makes you think you are bigger or smaller than you really are,' he said. 'Duration and frequency of exposure definitely play a role, but the fact that the brain adapts after such a short exposure time suggests we are incredibly susceptible to being manipulated by images of different sized bodies.' Scientists hope they could be potentially used in the development of treatments for conditions such as anorexia and muscle dysmorphia - or 'bigorexia' - when people think they look puny when, in fact, they are muscular. Grapefruit juice and some herbs may cause the heart to become weaker Ibuprofen, cold and flu remedies and indigestion pills may worsen a heart condition which affects millions of people, experts warn. They are also urging patients with heart failure to avoid green tea, grapefruit juice, liquorice and some herbs as this may also weaken the heart. The American Heart Association is publishing guidelines urging doctors to check the medications of all patients thoroughly to ensure they arent inadvertently putting their lives at risk. The organisation is one of the largest and most influential in the world and the recommendations are being closely heeded by medical professionals here in the UK. The American Heart Association is publishing guidelines urging doctors to check the medications of all patients thoroughly to ensure they arent inadvertently putting their lives at risk Around 900,000 British people have heart failure. It occurs when the heart muscle is too weak to pump blood around the body, causing extreme tiredness, breathlessness and swelling of the legs. It usually develops in patients who have previously suffered a heart attack or stroke, and symptoms tend to deteriorate with time. But experts are concerned that some common medications cause the body to store excess fluid and salt, which means the heart has to work harder to pump blood through. This is a particularly problematic in patients with heart failure, whose hearts are already very weak. Research has shown that heart failure patients taking ibuprofen or similar pills are ten times more at risk of experiencing a flare-up of symptoms and third more likely to be admitted to hospital. The American Heart Association is urging patients with heart failure not to take ibuprofen or any of a common group of painkillers including ibuprofen known as Non-Steroidal Anti Inflammatory Drugs, (NSAIDs). They should also avoid any remedies that contain ibuprofen such as Nurofen cold and flu relief and some indigestion relief, including Gaviscon, which causes a similar effect. British experts urged heart failure patients not to be alarmed but to take proper notice of the warning Patients should also steer clear of green tea, grapefruit juice and natural remedies such as liquorice, St Johns Wort and ginseng, particularly if their symptoms worsen. Todays statement reminds doctors not to prescribe a wide range of pills for blood pressure, depression, arthritis and certain other conditions which are already known to aggravate the heart Dr Mariell Jessup, professor of medicine at the University of Pennsylvania in the US and member of the American Heart Association said: Its very concerning that patients who have heart failure, if they take NSAIDS, it clearly aggravates sodium and fluid retention. If the patient holds on to more salt and water, then its more work for the heart, and will aggravate the heart failure condition. Theres a wide variety of people who should be aware these arent harmless drugs. Referring to her own advice to patients, she added: We in general tell patients not to take these drugs. If they want to take them on a regular basis we ask them to discuss it with us and be mindful of the potential for salt and water potential. When asked about green tea and liquorice, she said: The most important thing is that heart failure patients monitor themselves every morning. We ask them to weigh themselves every day and be aware that food and other substances can cause them to hold on to salt and water. British experts urged heart failure patients not to be alarmed but to take heed of the warning. Professor Tony Fox, an expert in pharmaceutical medicine at Kings College London, said: While heart failure patients should not be alarmed, this statement emphasises a few common sense things. They are also urging patients with heart failure to avoid green tea, grapefruit juice, liquorice and some herbs as this may also weaken the heart Make sure your doctor knows about all of the drugs that you take including all herbal remedies. Do not start taking some new drug or herbal medicine without checking with your doctor first. Be alert for mild adverse effects, and tell your doctor about them before they get any worse. Professor Peter Weissberg, Medical Director at the British Heart Foundation, urged patients not to self medicate with over the counter pills or alternative remedies and herbs. Fertility clinic using Barry White hits to boost the chances of IVF embryos His distinctive bass-baritone voice has no doubt helped may couples to conceive. Now hits from superstar Barry White are being used to boost the chances of IVF embryos. A renowned fertility clinic has carried out a scientific study which claims musical vibrations increase the success of IVF. Songs from the late soul legend such as 'Can't get enough of you baby' have become the most streamed music through the iPods fitted in the incubators where embryos are developing. Dr Hans Arce, a fertility consultant at the Institut Marques Ireland, in County Kildare, said there is science behind the built-in music system which plays the velvet tones of Barry White. Songs from Barry White have become the most streamed music through iPods fitted in the incubators at the Institut Marques Ireland, Co Kildare, where embryos are developing He explained how the music vibrations help to mimic the process the embryo goes through in the first five days of life when it travels through the Fallopian tubes of the mother until it gets to the womb. 'The embryo is never static in the Fallopian tubes in a woman. It's like being in a river where the water is constantly washing your skin away,' he said. 'The thing is in the lab, embryos spend five days in a petri dish without being washed away. The reason for the music is not just that it is beautiful, it's the vibrations the music causes. 'There is also a theory that possibly these acoustic vibrations can cause a mechanical effect in the embryo itself, enhancing its growth. 'We studied to see how it improved to see how much it improved the fertilisation of eggs and it did. It does work. 'An iPod has been built inside the incubator. We have the music and also we have photos the patients can see of their embryos live. It's a really beautiful process.' Barry White's seductive bass baritone has long been one of the most popular choices for couples to play and his name was even mentioned in a report on the US birth-rate boom of the mid-1970s. The new Kildare clinic of the group - which reports a high success rate of 89 per cent in In-Vitro Fertilisation with donor egg treatments - will have a specialist medical team from the group's headquarters in Barcelona join 23 professionals already working here. Dr Arce said the Institut, which has treated thousands of patients, says the idea came about after looking at studies into how babies respond to music in pregnancy. In a study carried out on pregnant mums, Mozart and Bach topped the list for reactions from babies growing in the womb, but Queen's Bohemian Rhapsody was third on the list, with eight out of 10 foetuses reacting to the rock song. Dr Hans Arce said the Institut, which has treated thousands of patients, says the idea came about after looking at studies into how babies respond to music in pregnancy Six out of 10 babies responded to Adele's biggest hit, Someone Like You, while a similar number reacted to the Grammy-winning guitarist Carlos Santana. He said: 'It's a funny thing. They open their mouth. The kids are listening to music and opening their mouths so it looks like they are singing.' The scientific study, carried out in more than 1,000 patients, discovered foetuses can hear from week 16 - when they measure 11cm on average. The clinic has also invented a device called a Babypod, which is inserted internally into the mother so that a baby can hear music. The Babypod is also being used by couples after they have an embryo implanted to help the pregnancy process. 'I think around 10 per cent of my patients are using this as part of the fertility treatment process. We are using it as a study right now,' said Dr Arce. Experts stress the importance of stopping kids from getting fat at school May depend on weight of parents at conception not just gene inheritance Obesity in children can be traced back to the pre-pregnancy weight of both the mother and father, a new study claims. While most research in the past has focused on the mother, scientists in the US warn the father's waistline is also an important factor as a couple plans for a family. They say the chances of a child becoming obese go beyond simple genetic inheritance and may depend on the weight of his or her parents around the time of conception. With obesity rates among children escalating, experts stress the importance of preventing youngsters from putting on extra bodyweight at primary school. Researchers say the chances of a child becoming obese go beyond simple genetic inheritance and may depend on the weight of his or her parents around the time of conception There are 23 genes known to increase the risks of becoming obese in infancy and during middle childhood. 'Acquired factors influence gene expression,' Dr David Ludwig, an obesity specialist at Children's Hospital Boston, told the New York Times. 'Being heavy alters DNA in the father's sperm that changes gene expression and can be passed down to the next generation.' In a study published in the journal Clinical Epigenetics, authors Adelheid Soubry and Lisa Guo concluded obesity can have an effect on a small number of sperm cells. The results came from sperm DNA analysis from 69 male volunteers who were quizzed on their weight, marital status, whether they were already fathers, the number of hours of intensive exercise per week, number of hours spent watching TV or being seated or inactive per week. 'The contribution of paternal obesity towards fetal and later adult development is especially relevant due to the global obesity trend and merits further exploration,' they wrote. 'Fortunately,' they added, 'studies have also indicated that paternal effects on future offspring can be prevented by weight loss and exercise.' The 'obesity genes' can alter the usual weight trajectory of children who are born lean, get chubby as infants and then shed the weight as they grow and become more active. Twenty-three genes are known to increase the risks of becoming obese in infancy and during middle childhood At around 10 or 11, the body fat can increase again in readiness for puberty, a process known as 'adiposity rebound'. 'Adiposity rebound occurs earlier and higher,' among children with obesity-prone genes, Dr Daniel Belsky, an epidemiologist at Duke University School of Medicine, told the New York Times. 'They stop getting leaner sooner and start putting on fat earlier and put on more of it.' The child obesity experts say it's key for adults to model healthy eating habits, both before and after birth. He added: 'If we want healthy kids, we need healthy mums before pregnancy and during pregnancy. Baby boys are much more likely to face potentially life-threatening outcomes at birth than girls, new research today suggests. Newborn boys are at greater risk of being born spontaneously premature, while their mothers are at greater risk of gestational diabetes and pre-eclampsia. The study, the first of its kind, examined data on more than 574,000 births in Australia over a 30-year period, from 1981 to 2011. Lead researcher and senior author of the paper, Professor Claire Roberts, from the University of Adelaide, said: 'The major conclusion of our study is that the evidence is there and it is very clear: the sex of the baby has a direct association with pregnancy outcomes.' Baby boys are much more likely to face potentially life-threatening outcomes at birth than girls, new research today suggests. The study, the first of its kind, examined data on more than 574,000 births in Australia over a 30-year period, from 1981 to 2011 The findings, published today in the journal PLOS ONE, include: Boys are more likely to be born spontaneously pre-term. Boys show a 27 per cent higher risk for a pre-term birth between 20-24 weeks' gestation, 24 per cent higher risk for a pre-term birth between 30-33 weeks, and 17 per cent higher risk for pre-term birth between 34-36 weeks. Mothers carrying boys are 4% more likely to suffer gestational diabetes. Mothers carrying boys are 7.5% more likely to suffer pre-eclampsia at term. However, pregnant women carrying a girl have a 22% higher risk for early onset pre-eclampsia requiring a pre-term delivery. The researchers, who worked with colleagues at the University of Groningen in The Netherlands, and the Pregnancy Outcome Unit of SA Health, examined the relationship between a baby's sex and adverse outcomes, including pre-term birth, pregnancy-induced high blood pressure disorders, and gestational diabetes. Lead author, Dr Petra Verburg, from the University of Groningen, also based at the University of Adelaide, said: 'Our results indicate there may be a need for specific interventions tailored to male and female babies, to prevent adverse outcomes for both child and mother. Newborn boys are at greater risk of being born spontaneously premature, while their mothers are at greater risk of gestational diabetes and pre-eclampsia, scientists at the University of Adelaide discovered 'We're investigating other factors that may predict pregnancy complications, taking fetal sex into account.' Professor Roberts and her team have previously published research on sex differences in the expression of 142 genes in the placenta from normal pregnancies. 'The placenta is critical for pregnancy success,' Professor Roberts said. 'We believe that sex differences in placental function may explain the differences we're seeing in outcomes for newborn boys and girls, and their mothers. After two confirmed U.S. cases of a superbug that thwarts a last-resort antibiotic, infectious disease experts have warned they expect more cases in coming months. This is because the bacterial gene behind it is likely far more widespread than previously believed. In May army scientists reported finding E.coli bacteria that harbor a gene which renders the antibiotic colistin useless. The gene, called mcr-1, was found in a urine sample of a Pennsylvania woman being treated for a urinary tract infection. On Monday, researchers confirmed preliminary findings that E.coli carrying the same mcr-1 gene were found in a stored bacterial sample of a New York patient who had been treated for an infection last year, as well as in patient samples from nine other countries. After two confirmed U.S. cases of a superbug that thwarts a last-resort antibiotic, infectious disease experts have warned they expect more cases in coming months. In May army scientists reported finding E.coli bacteria (illustrated) that harbor a gene which renders the last-resort antibiotic colistin useless The report came from a global effort called the SENTRY Antimicrobial Surveillance Program, led by Mariana Castanheira of JMI Laboratories based in North Liberty, Iowa. The mcr-1 superbug has been identified over the past six months in farm animals and people in about 20 countries, including China, Germany and Italy. The bacteria can be transmitted by fecal contact and poor hygiene, which suggests a far wider likely presence than the documented cases so far, according to leading infectious disease experts. Health officials fear the mcr-1 gene, carried by a highly mobile piece of DNA called a plasmid, will soon be found in bacteria already resistant to all or virtually all other types of antibiotics, potentially making infections untreatable. 'You can be sure (mcr-1) is already in the guts of people throughout the United States and will continue to spread,' said Dr Brad Spellberg, professor of medicine at the University of Southern California. You can be sure (mcr-1) is already in the guts of people throughout the United States and will continue to spread Dr Brad Spellberg, University of Southern California Dr David Van Duin, an infectious disease expert at the University of North Carolina in Chapel Hill, said he expects more documented U.S. cases of mcr-1 in coming months because it is already here and will spread from abroad. He warned: 'We will see a lot more of this gene.' Colistin causes kidney damage, but doctors have opted for it as other antibiotics increasingly fail. Its overuse, especially in overseas farm animals, has allowed bacteria to develop resistance to it. To track the mcr-1 gene, U.S. hospitals are working together with state and federal agencies to test bacteria samples of patients that have recently been treated for infections. Many of the largest research hospitals are examining samples of antibiotic-resistant bacteria that have long been stored in their freezers. Gautam Dantas, associate professor of pathology at Washington University Medical Center in St. Louis, has tested hundreds of U.S. samples of archived bacteria in recent months and has not yet detected mcr-1. The mcr-1 superbug has been identified over the past six months in farm animals and people in about 20 countries, including China, Germany and Italy. The bacteria can be transmitted by fecal contact and poor hygiene, which suggests a far wider likely presence than the documented cases so far, according to leading infectious disease experts But he expects dozens of confirmed cases of the gene will be documented by next year in the country, mostly among current patients. The concern of many disease experts is that mcr-1 could soon show up in bacteria also resistant to carbapenems, one of the few remaining dependable classes of antibiotics. In that event, with colistin no longer a last-ditch option, some patients would have to rely on their immune systems to fight off infection. 'Within the next two to three years, it's going to be fairly routine for infections to occur in the United States for which we have no (effective) drugs available,' Dr Dantas said. Within the next two to three years, it's going to be fairly routine for infections to occur in the United States for which we have no (effective) drugs available Dr Gautam Dantas, Washington University Medical Center in St. Louis Castanheira also believes mcr-1 will find its way into carbapenem-resistant bacteria, formally known as carbapenem-resistant enterobacteriaceae (CRE). In an interview, she said the resulting virtually impervious bacterium would likely spread slowly inside the United States because CRE themselves are not yet widespread in the country, giving drugmakers some time to create new antibiotics. Beginning in August, the U.S. Centers for Disease Control and Prevention will use $21 million to expand surveillance at laboratories operated by all 50 state health departments and seven larger regional labs. The federal funding will help pay for more-sensitive equipment to test for antibiotic resistance in bacteria samples provided by hospitals. Jean Patel, deputy director of the CDC's Office of Antimicrobial Resistance, said the effort will provide the CDC improved national surveillance of antibiotic-resistance trends, including any spread of mcr-1. E-cigarettes should not be recommended to smokers who are trying to quit their habit, experts today said. Existing treatments are more effective than the devices in helping people stub out their cigarettes, and there is no strong evidence that e-cigarettes are safe, new research suggests. The health benefits of quitting smoking are widely accepted. But, researchers at the University of North Carolina at Chapel Hill have taken issue with the suggestion that doctors should routinely recommend e-cigarettes as an alternative. In a commentary published in today's Annals of Family Medicine, they say there are professional ethical concerns about doctors who do recommend the devices - warning there is no evidence they are safe. Experts at the University of North Carolina said today that e-cigarettes should not be recommended by doctors to their patients who are trying to quit smoking. They warn existing smoking cessation treatments are more effective than the devices, and warn there is no strong evidence the devices are safe Professor at the UNC School of Medicine, Adam Goldstein, said: 'Providers should not routinely recommend e-cigarettes to patients until we have far more data on their safety and effectiveness compared to established, FDA-approved medications. 'There are very few therapeutic devices that we recommend that aren't regulated, that have potential and real side effects, and that are addictive. 'There are safer and more effective smoking cessation products for the same condition.' The researchers warned of notable safety and health concerns linked to e-cigarettes. Batteries inside the devices have caught fire or exploded, and particulate matter from e-cigarettes, which has been shown to be present in similar numbers to cigarettes, can increase the risk of cardiovascular and respiratory diseases. The new commentary served as a counterpoint to a paper in the same journal issue by Professor of tobacco addiction, Ann McNeill, at King's College London, that suggests e-cigarettes are a less harmful way for smokers, including those trying to quit, to use nicotine. There are very few therapeutic devices that we recommend that aren't regulated, that have potential and real side effects, and that are addictive. There are safer and more effective smoking cessation products for the same condition Professor Adam Goldstein, University of North Carolina at Chapel Hill 'Though e-cigarettes are likely not as harmful as conventional cigarettes, a growing number of studies report that they are by no means harmless,' said Clare Meernik, MPH, a research specialist in the UNC Department of Family Medicine. 'Short-term effects include exposure to toxins, reduced respiratory and lung function and burn-related injuries from exploding devices.' The researchers also noted that e-cigarettes have been less effective than existing treatments to help people quit smoking. 'People are focused on should they use e-cigarettes or not. We can have a conversation about that, but part of the bigger picture is being lost,' Professor Goldstein said. 'And that is that we need be using the tools that we currently have available, including seven FDA-approved medications in combination with behavioral treatment. 'We have quit lines that provide free counseling and physician counseling to help patients come up with concrete plans for quitting and developing the skills necessary to quit permanently and to increase social support - all of which significantly increase cessation.' In May the U.S. Food and Drug Administration announced it was extending its authority over all tobacco products, including e-cigarettes. Greater regulatory oversight of e-cigarettes, the researchers said, will be a significant step forward toward ensuring higher safety standards. 'We need more data on effectiveness, we need more data on safety, we need technology that's safe so the products don't explode, we need to ensure they're childproof,' Professor Goldstein said. Batteries inside the devices have caught fire or exploded, and particulate matter from e-cigarettes, which has been shown to be present in similar numbers to cigarettes, can increase the risk of cardiovascular and respiratory diseases, the new research warns 'Right now, we don't know the different amounts of ingredients in these products. We don't know about the nicotine levels that patients are getting.' In addition to examining existing research on e-cigarettes, Professor Goldstein said their views came from clinical observations from helping tobacco users through the UNC School of Medicine Department of Family Medicine Nicotine Dependence Program. A branch of the program exists in the N.C. Cancer Hospital to help cancer patients and survivors safely quit tobacco products, he said And while they advise against the routine recommendation of e-cigarettes, Meernik and Professor Goldstein know firsthand that smoking cessation for individual patients is rarely black and white, and that providers must look at each patient's unique situation. 'The emergence of any intervention or product promoted as a smoking cessation aid excites many providers, but such tools need to be proven safe and effective before providers routinely utilize them,' Meernik said. Authorities at the National Drug Dependence Treatment Centre (NDDTC), AIIMS, are thinking of screening Hindi film Udta Punjab on the hospital campus to make the resident doctors aware of the different aspects of drug abuse and addiction. The movie depicts the health issues that arise due to drug use and the risks of overdose. It also shows how drug dependence can lead to criminal activities, and the links between the criminal elements and pharmaceutical industry. Recently-released Hindi film Udta Punjab raises issues about drug use and its toxic impact It shows how powerfully addictive these drugs are that even if forcibly injected one might get addicted to them, as was in the case of Alia Bhatt in the movie. So almost all possible aspects have been captured in the movie, said a senior doctor. The objective, the doctor said, is to make the resident doctors aware of all the aspects of substance use which can help them in treating a patient. Knowing the issues related to substance abuse well will help them understand and treat a patient in a better way. We receive thousands of cases of drug abuse every year, with the majority of them being opioid dependent. Dealing with such patients requires a fair degree of clinical skills, patience and perseverance, the doctor added. It was recently decided that the AIIMS, in collaboration with the Ministry of Social Justice and Empowerment, will conduct a survey to compile national and state-level estimates of people involved in drug abuse. The two year-long survey will map the presence of services and interventions for drug dependent individuals and identify the gaps in service delivery. Monika Khangembam from Manipur has accused an immigration officer at Delhi airport of saying she "didnt look Indian" A woman from Manipur has accused an immigration officer at Delhi airport of saying she didnt look Indian, in the latest incident of racial prejudice and ignorance about people from the Northeast. According to Monika Khangembam, a corporate communications professional who was travelling to South Korea for a conference, she was asked, How many states are there in India?" Monika took to social networking site Facebook to express her anger over the alleged racist behaviour of the immigration official. The country has in recent years seen a spate of attacks in major cities targeting people from the Northeast, whose physical appearance is often different from people in the rest of the country. Monika added: He goes on, Pakka Indian ho? with a smirk. I still don't react. What really got me was when he said, See... You yourself need to know your Indianness. How many states are there in India? "The lady at the next counter is giggling. I tell him I am really running late. He goes, nahi nahi bolo bolo, she wrote in the post. The immigration official also asked details about Manipur even though she was carrying an Indian passport. I helplessly answer him as he is not proceeding with the process. And then he continues, kaha se ho. "I said Manipur and he is like, so tell me how many states Manipur shares borders with. Name them. "I totally lose it and I look away and roll my eyes and don't answer. And he is still insisting me to answer. I said I was really getting late and he goes like, aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do (the aircraft will not fly without you). What a bully and never felt so humiliated. It was like it was his mission to make me realise my 'Indianness' and he thought he was being funny, Monika wrote on her Facebook page. Monika took to Facebook to express her anger over the alleged racist behaviour of the immigration official Monika is not the only person from the Northeast to have faced racism at the airport, and others shared their experiences on her Facebook post. Monika is planning to file a complaint once she returns from Seoul. Authorities, including Delhi Police and its nodal officer for the northeastern special cell, were not aware of the incident. A top official of the Bureau of Immigration said that an inquiry will be set up if the passenger lodges a formal complaint. We have not received any complaint. If we get any such complaint, we will take action as per the law, DCP IGI airport DK Gupta said. Monika is not the only person from the Northeast to have faced racism at the airport, and other posters shared their experiences after her encounter. Monika is planning to file a complaint once she returns from Seoul. In another post, Monika wrote that she and a lot of people from the Northeast have constantly faced subtle racist jibes, whether in the form of a sarcastic remark, smile, or attitude. Pakistani terrorist groups are gearing up for a massive infiltration drive, according to intelligence reports. The warning comes as India's security forces grapple with spiralling unrest in Kashmir after the killing of Hizbul Mujahideen commander Burhan Wani. Operatives from Jaish-e-Mohammed and Lashkar-e-Taiba may attempt to sneak into India and head to areas where locals are coming out in large numbers to protest against the death of 22-year-old Wani from south Kashmirs Tral, who became a poster boy for militancy in J&K. Clashes in the Valley have resulted in 20 deaths and left over 150 protesters wounded in the past few days Inputs also indicate that the terror launch pads these attackers are being pushed in from are merely 2-3 kilometres from the border. LeT, backed by the Pakistani forces, has set up base in these areas so that fidayeen fighters can cross over in a matter of minutes. At a time when the forces are facing frenzied mobs and there have been clashes, Pakistani terror groups will definitely try to make the most of it. There are also inputs that they can penetrate local protests and attack forces, said an intelligence official. Clashes in the Valley have resulted in 20 deaths and left over 150 protesters wounded in the past few days. Finding new routes for infiltration, Pakistani groups have succeeded in pushing through more terrorists this year. Curfew-like restrictions continued in parts of Kashmir on Sunday, amid ongoing tensions Police carry out patrol duties during a curfew in Srinagar. Officers have come under fire from shells in recent days, raising fears that militants have infiltrated the crowds of protesters. According to intelligence reports, there are 26 terror training camps across the Line of Control (LoC) being run by LeT, Hizbul Mujaihideen and JeM. Specific locations of terror camps in Muzaffarabad, Muridke Mansera, and Bahawalpur, where possible infiltrations could be launched, are part of the intelligence report. Attackers can sneak in not just through the LoC but also the international border in Jammu. "Eventually they will all look to head to areas where there is unrest, an official said. With grenades being lobbed at the security forces, there are fears that terrorists are penetrating mobs of protesters. Intelligence reports suggest that with more infiltration from across the border, the situation can worsen. Three policemen were injured by a militant attack on 10 July (file picture) On Sunday, three policemen were injured as militants struck. They were targeting the superintendent of police for Kashmirs Shopian district, though the IPS officer escaped unhurt. The gunmen fired on SP Shailendra Mishra near his office and followed it by hurling a hand grenade, but the explosive went off on an escort vehicle. Home Minister Rajnath Singh met senior officials from security forces to review the situation in Jammu and Kashmir, which has been on the boil. He also spoke to Chief Minister Mehbooba Mufti, assuring her all possible help from the Centre. During a 30-minute meeting, the Home Minister was briefed about the law and order situation in Kashmir Valley following the killing of Burhan Wani in Kokernag area, 83 km from Srinagar. Paramilitary forces have been asked to provide assistance to the state government for restoration of peace and normalcy in the Valley as early as possible, a home ministry official said. While there has been a 100 per cent spike in killings of terrorists this year, the situation in the state continues to be grim with the success rate of infiltrators higher than last year. A security official also pointed out that most of the killings have taken place near the LoC and not in areas controlled by militants. In this context, the killing of Burhan Wani is being seen as a major success as he had been active in south Kashmir. Around 145 militants are active in Kashmir as of now, and the number of local recruits is 91, sources said. Terrorist groups used Parliament attack convict Afzal Gurus hanging in 2013 to radicalise and recruit young men. Sources said that even the ruling PDP-BJP alliance was used as a rallying point to inflame tempers and lure the youth to terror. All roads leading to the Civil Secretariat at Srinagars Jehangir Chowk are deserted. Only security personnel can be seen on the streets. Tourism takes a hit as guests cancel 'every few hours' By Naseer Ganai in Srinagar Hundreds of tourists are fleeing from Kashmir as unrest over the killing of militant commander Burhan Muzaffer Wani is growing in the Valley. Tour operators and officials in the tourism department lament that they are getting cancellations every few hours. We were bracing for the best season. We had best-ever March, best-ever April, best-ever May and June. And we have lost everything as tourists are fleeing from the Valley, a senior official of the tourism department said. The killing of Hizbul Mujahideen commander Burhan Wani led to the protests and violence in the Valley He added that all hotels in tourist destinations like Gulmarg and Phalgam were booked, and there was no space available in Srinagar hotels. However, since Saturday tourists are desperate to move out of the Valley - causing huge losses to the tourism industry. Nasir Shah, chairman of the pilgrim and leisure tourism forum, said that in the past month Kashmir has been getting 6,000 tourists per day, arriving by air. However, since unrest has broken out in Kashmir after the killing of the militant commander there have been mass cancellations. Shah said the tourists who were stranded at Phalgam were made to travel by night so that they would be safe. All tourists are perfectly safe and those who were trying to move out, we ensured they reach their destination safely. Tourists didnt face any problem anywhere, Shah said. State government seeks Hurriyat and NC support By Naseer Ganai in Srinagar Facing a crisis after the killing of Hizbul Mujahedeen militant commander Burhan Muzaffer Wani, which claimed the lives of 18 protesters in security forces firing, the J&K government on Sunday urged police and paramilitary forces to exercise restrain while dealing with the growing dissent. In a rare move, the government also sought the support of the separatist Hurriyat Conference and the National Conference. After the Cabinet meeting chaired by CM Mehbooba Mufti, government spokesman and senior PDP leader Naeem Akhtar said the government was fully aware of its duty towards the people. Kashmiri protesters clash with the J&K police in Srinagar on Sunday, 10 July Whatever steps we will have to take, we will take to restore peace. The Cabinet has decided that the security forces should exhibit restrain and endeavor that no collateral damage takes place, Akhtar, who is also Minister for Education, told reporters at the Civil Secretariat. The government has imposed restrictions and a curfew in Srinagar and other districts of the Valley since Saturday, as massive protests rocked Kashmir after the killing of the 21-year-old militant commander. The government appeals to all political forces, who have expressed concern that lives shouldnt be lost, for support. We appeal to the mainstream political parties including National Conference and also to those who are not in the mainstream, the Hurriyat Conference. We need support of Hurriyat Conference as well, Akhtar said. A local resident of Newa Pulwama said a 14-year-old boy, Irfan Sofi, was killed by the forces firing on Sunday morning. And when we started protesting against it we were directly hit with bullets by police and the CRPF and four of our youth were wounded, a local resident said. Akhtar said additional flights will be arranged for tourists who are leaving Kashmir, to help them move out of the restive region. He said that as the situation returns to normal the Amarnath yatra, suspended since Saturday, will be resumed. However, Akhtar walked out of the press conference when asked whether Mehbooba Mufti will resign. Authorities in Gurugram have put on notice a number of prominent private schools, after they allegedly disregarded a state law that requires them to allocate 10 per cent of seats for children from economically weaker sections of society. The education department has identified 19 institutes accused of refusing to admit Below Poverty Line (BPL) students this session, at a time when the city's private schools are facing criticism over frequent fee hikes and irregularities in the admissions process. The Haryana law is on the lines of the landmark RTE Act that gave children from disadvantaged families in India the right to free and compulsory education to the age of 14, and to seek admission to privately-funded schools, with the government footing the bill. Authorities in Gurugram have put on notice a number of prominent private schools that have allegedly failed to allocate 10% of seats to needy children Mange Ram, a resident of Madanpuri area and one of the complainants, told Mail Today: I have five children and am unable to bear the expenses of private schools. So, under rule 134A, I applied for admission of two children this session - but my application was turned down without verifying the source of monthly earning. The block elementary education officer has written letters to these schools for clarification and also informed the higher authorities. We have received complaints from parents about these 19 schools accused of turning down applications, and Atul Kataria International School, SN Sidheshwar Senior Secondary Public School, Drona Public School and Sheetla Vidyapeeth have given clarification so far, said Sushil Gaur, block elementary education officer and nodal officer of monitoring private schools during admission. The management of Atul Kataria International School alleged that the complainants have the capacity to bear the educational expenses, while Drona Public School and Sheetla Vidyapeeth have sought more time to verify the income of the complainants. Only Sidheshwar Public School has given unconditional admission after the government action. The schools have been given additional time to admit BPL, or EWS category students, and the department has also sought status reports from schools on how many such children have been given admission in this session under section 134A of the Haryana Education Rules 2003. Beside these four institutes, Amity International School, Royal Oak International School, Green Land Public School, RMS international School, Royal Public School, Lady Florence International School, SD Memorial High School and Gyan Devi Public School have not submitted status reports before the department. Former Indian Premier League (IPL) chairman Lalit Modi is set for a change of scene after applying for citizenship in Saint Lucia, and is looking to settle down in its capital, Castries. The move begs a number of questions: Has Modi's business situation changed after Brexit? Does he now fear for his security in the UK? According to Interpol documents disclosed by India Today, Modi has applied for citizenship in Saint Lucia under an investment scheme, whereby he will invest at least $2.5 lakh to attain citizenship along with his wife and children below the age of 25 years. Former Indian Premier League (IPL) chairman Lalit Modi is set for a change of scenery after applying for citizenship in Saint Lucia, and is looking to settle down in its capital, Castries. His application for citizenship is for himself, his wife Minalani Modi, and children Ruchir and Aliya. The application mentions them as Indian nationals presently residing in the UK. Saint Lucia is a small but beautiful country in the Caribbean Islands, and if he gets citizenship of the country, Modi will be able to travel to at least 100 countries without any visa requirements. Saint Lucia is considered to be a 'tax haven' as it maintains high standards of banking secrecy. Saint Lucia is a small but beautiful country in the Caribbean Islands. If Lalit Modi gets citizenship of the country, he will be able to travel to at least 100 countries without any visa requirements. However, the island recently started a verification process, and now runs a thorough background check on any person applying for citizenship. As per Saint Lucias procedures, this due diligence or background check is done by its Central Intelligence Unit, which seeks the help of the Interpol - which in turn writes to the Central Bureau of Investigation. The CBI is the nodal agency for Interpol in India, and the CBIs Interpol wing is running the background check on Modi. Though there are no cases against his family members, there are criminal proceedings pending against Lalit Modi. There is a registered case against him with the Chennai Police, and a PMLA case against him with the Enforcement Directorate. Lalit Modi has reportedly applied for visas for himself, his wife, and children Ruchir and Aliya The Enforcement Directorate has issued at least three show-cause notices to Modi and even sought a non-bailable warrant and permission to initiate his extradition. They have also asked Interpol to issue a red corner notice against him. But this has been challenged by his lawyer on the grounds that he is being victimised due to a 'political rivalry'. Taking into account these situations, Interpol India is duty-bound to report that a number of criminal proceedings are still pending against Lalit Modi. However, sources say he enjoys political clout in Saint Lucia and is very well-connected with the political leaders there. Saint Lucia will now have to make a call on whether it wishes to grant Modi citizenship under the present circumstances. Controversial Islamic preacher Zakir Naik, who was expected to return from Saudi Arabia on Monday, said he would be abroad for another 2-3 weeks and that he is ready to cooperate with any Indian agency investigating the accusations against him. Naik, who is facing allegations of inspiring terrorism through his provocative speeches, also cancelled his scheduled press conference via Skype on Tuesday. He claimed that he has become a victim of a media trial. Islamic preacher and 'televangelist' Zakir Naik is facing questions in India, while Bangladesh has recently announced a ban on his Peace TV channel In a statement, Naik said that so far, no Indian government agency has contacted him for any clarification. It would be my pleasure to cooperate with any Indian government investigation agency for any information they might require from me, Naik said. The cleric accused the media of twisting the truth, and mutilating statements to serve their own agenda. If time permits, in the next few days I will give replies to some of the major allegations on video and give it to the media, and put it up on social media as well and other public platforms so that the original answers are also available, in case the media misutilises them, Naik said. He reiterated that he does not support terrorism or violence, and neither does he support any terrorist organisation. A 17-year-old Hindu boy who went missing from Delhi two years back has been traced in Srinagar - after assuming a Muslim identity. Police say he was living with a local family with a changed name, and was found when he started using his Facebook account after two years. Police will now keep a close watch on him to check if was radicalised. Police say the boy was living with a local family under a changed identity, and was tracked when he started using his Facebook account to talk to his brother. (File picture) The teenager was staying with a local family in J&K, and working in a general store. Neighbours were told that his parents have died and he was a Muslim by religion. We will probe if there is a terror angle, said a source. A senior Delhi police officer said that the boy went missing aged 15, and as he was a minor back then, a kidnapping case had been registered. A missing FIR was registered at Khajuri Khas police station. The boy was tracked in Jammu and Kashmir, said Ajit Kumar Singla, Deputy Commissioner of Police (northeast). Police were initially clueless about the boy's location, but managed to trace him when he started communicating with his elder brother via Facebook six months ago. When the boy first contacted his family they informed us and we started tracking his account. He never used to have long conversation but always used to send messages like hi and hello. It is suspected that he only wanted to inform his family member that he was alive, a senior officer associated with the case told Mail Today. North-East District police kept a close watch on his account as it was being accessed from Kashmir, and after getting his location there a police team recovered the teenager and brought him back to Delhi on Sunday night. As he is a minor we will have to do his counseling to know the exact sequence of events. We also have to find if he went willfully or was kidnapped to Kashmir as usually kids who run away do not go that far, said a police officer investigating the case. In recent times security agencies have come across many young people who are leaving their homes to join terror organisations. They are also found to have attended jihadist camps. The government is set to begin work on Indias first pod taxi service in Gurgaon, despite security concerns raised by the Urban Development Ministry (UD). After the Centre gave its nod to the project, the UD Ministry has asked which specific ministry will be responsible for the taxi service's safety audit. Sources said the UD Ministry has claimed that since the pod scheme is part of the mass rapid transit system, it cannot be executed without the ministrys clearances. The government is set to begin work on Indias first pod taxi service in Gurgaon despite the security concerns raised by the Urban Development Ministry Sources say the contract may be awarded by the end of July or next month, and added that Nitin Gadkari and Venkaiah Naidu - ministers of the Union Cabinet - would ensure that the project is not delayed. Gadkari is currently on a US visit to invite investment in the road and maritime sectors. We have received four bids from several companies, including two foreign firms. The bids are being scrutinised. The contract will be awarded after a formal decision is taken, said a transport ministry official. Transport Minister Nitin Gadkari had previously announced that work would begin on the Metrino pod taxi service by July this year. The Rs 4,000-crore public transport project will enable travelling in driverless pods suspended on a ropeway in the NCR. The first project will be from Dhaula Kuan in Delhi to Manesar in Haryana. The 70-km stretch from Dhaula Kuan to Manesar will decongest the NCR and ease traffic on NH-8, offering great relief to airport passengers and lakhs of daily office-goers. The first phase will include a pilot project covering a 13-km stretch from the Gurgaon-Delhi border to Badshapur Mod, with 16 stations. The Transport ministry has earmarked Rs 850 crore for the pilot project. The ropeway-like system runs on electricity and driverless pods and comes down at designated stations, thus removing the traffic burden from already congested roads. Luxury fashion retailer Burberry has replaced Christopher Bailey as its chief executive, as it battles against falling sales and profits. The fashion chain is handing the top job to Marco Gobbetti, who has been in charge of luxury French brand Celine since 2008. All change: Burberry has appointed Marco Gobbetti as its new chief executive Burberry's shares are up 4.86 per cent or 56.50p to 1,218.50p. Bailey has been moved to the role of 'president' and will focus on the group's 'brand and design' work. Famous friends: Christopher Bailey and Victoria Beckham As chief executive, Gobbetti will head 'commercial, operational and financial elements of the business.' Burberry had been criticised for appointing Bailey as dual chief creative and chief executive officer. Last month, it was revealed that Bailey has seen his pay cut by 75 per cent. Bailey's pay package for the year is almost 1.9million, down from 7.5million a year earlier, with missed profit targets prompting the cut. For the year to 2016, Mr Bailey's base salary remained flat at 1.1million, although it was boosted by 464,000 of allowances and pension payments of 330,000. The luxury chain's share price has fallen by 35 per cent in the past year and last month the retailer posted a 10 per cent drop in annual profits to 421million. Sir John Peace, Burberry's chairman, said: 'I am delighted that Marco will be joining us to work alongside Christopher in his new role as we embark upon the next chapter in our 160-year-old success story. He has an outstanding track record of delivering growth in the luxury industry and his vision for the sector and how it will evolve is extremely impressive. 'The Board firmly believes that these new leadership roles coupled with actions, identified in the recent business review, will significantly enhance our ability to deliver long-term sustainable growth and sector outperformance over time.' Generating around a third of its sales from the Asia Pacific Region, Burberry has been hit hard by a fall in spending by Chinese consumers, particularly in Hong Kong. Everywhere you look there are men in uniforms generals and air marshalls, colonels and commodores from all of the worlds superpowers, and beyond. They wear blue, green, beige, black formal dress. Medals cluster on their chests. Theres more gold braid than youd find in a jewellers. Some greet each other with salutes, others with a formal handshake. Being in this arena with all these military types would be intimidating if it werent for the Japanese and Chinese officials whose formal masks slip when they snap away with their cameras at almost every stall they visit, as if they were tourists. One group stops in front of a stand bearing the logo of Rolls-Royce. Hot dog: One of Britain's new F-35B Lightning II stealth fighters soars above the aviation fair A giant Trent AWB engine the kind youd normally see on a transatlantic plane is on display. Click. Click. Click. They snap photos. Its a scene repeated in all four of the vast conference halls on the first day at the Farnborough Air Show the worlds most important aviation fair. No public are allowed on the Monday. It is for trade only. And billions of pounds is changing hands. Today 191 orders orders for civil aircraft worth 12billion, and 2.5billion for the UK aerospace industry, are being done. By the end of the week firms will have announced an expected 100billion in contracts. Thousands of executives from Boeing, Airbus, Cobham, BAE and industry lobby group ADS are here. Virgin Atlantics Sir Richard Branson flies in on an Airbus A350-1000 to announce hes just ordered 12 of the planes. Photographers crowd him when he gets off the plane. But even he stands around like any other visitor while everyone waits for the Prime Minister to arrive. When David Cameron makes the keynote speech he announces a further 365million of funding for aerospace research and development, to be jointly provided by industry and the Government. Interest: Members of the Chinese military take photographs on the opening day of the Farnborough Airshow Welcoming committee: The Red Arrows perform a fly past with one of Britain's new F35 Lightning II fighters He said after the EU referendum vote for Leave: We have got to focus on trade and investment as never before. He neednt have bothered. People here arent thinking about Brexit. Theyre thinking about money. Farnborough isnt about politics. Its about opportunity. Its a place to do business. Jeremy Greaves, vice-president for Airbus communications in the UK, said: Farnborough is a heady mix of politics, kerosene and cocktails that is unrivalled anywhere else in the world. It is a fantastic melting pot for the international aviation community and has been so successful in the past years that Airbus has signed more orders in three days than Britains annual defence budget. At the Airbus stand, champagne is flowing. Glasses are being handed out to VIP customers who quaff the finest vintages while a waitress hands around canapes. One industry experts said: When you are selling hundreds of millions of pounds of kit to representatives they need to be hosted properly with elegance and sophistication. Buyers expect to be treated in an appropriate manner. And Airbus has reason to celebrate. As well as announcing the Virgin deal it raised its forecast for demand over the next 20 years to 30,070 aircraft. Its a whopping deal for Farnborough, a place that is normally so innocuous. Spectacle: Virgin Atlantic's Sir Richard Branson arrived on an Airbus A350-1000 to announce he's just ordered 12 of the planes Final flight: Prime Minister David Cameron and Secretary of Defence Michael Fallon speak to crew members of the Boeing P-8 Poseidon aircraft The town of 65,000 people in Hampshire is the birthplace of aviation in the UK. In 1904 the Army Balloon Factory was based in the town and it also saw the first flight of an aeroplane in the UK by the showman Samuel Cody in 1908. One of the first wind tunnels, used to test the aerodynamics of airplanes, was built nearby. The UKs Air Accidents Investigation Branch is based close to the town. And both BAE Systems and QinetiQ have offices in the area. The air show is 70 years old and almost every breakthrough technology has made its debut at the event. Paul Everitt, chief executive of ADS, which lobbies on behalf of the defence industry and also runs Farnborough, says: History is very important its what people associate in the UK This is a competitive industry and people want to make announcements where people will notice them. Market place: By the end of the week firms will have announced an expected 100bn in contracts Today, Farnborough is a showcase for an industry worth 55billion a year, the fifth-largest industry in the UK that employs 340,000 people. Indeed, the last show in 2014 saw a record 157billion worth of orders being placed. There arent expected to be nearly that many this year but nonetheless it is a place where all the major names in global aviation will showcase their new aircraft. Once through security, tailfins of commercial airliners, fighter planes, the blades of helicopters and, unmistakeably, the tops of some kind of missiles, jut above the convention halls. This is what the attendees have come to buy. Of course, you cant see these deals being done. They happen behind closed doors or, to be precise, beyond the large secured doors at the rear of every stand. They lead to another world, one of swish conference rooms with TV screens and lavish corporate hospitality, with the structures known as chalets. And in these chalets, billion dollar deals are made. Its not just about defence contracts, though, even if many of these will be made. While the air show is the place for major announcements on contracts, myriad smaller deals are struck in the four convention halls. Small businesses can tout their wares. Start-ups such as SurePilot, which allows airlines to vet potential pilots, can show off their new technology. What sets Farnbrough apart from other trade shows is that the site is big enough to host all the products. Airbus is able to park its flagship A380 jet in front of its hospitality, while Leonardo shows off its helicopters and Rolls-Royce its engines. The proximity of the runway means different aircraft are also put through their paces in aerial demonstrations, which make the products come alive. Two years ago, the UK government introduced flexible working legislation that gave all employees the legal right to ask for flexibility in where and when they work. This, in theory, should have brought about a change in the workforce, enabling workers to balance their professional and personal lives better, while improving productivity. Instead, many industries still ignore, or resist, their employees' needs for more flexibility - leading to a disenfranchised workforce. Shift: The UK skills shortage and rise of digital technology means there is more demand for flexible working With competition for skilled staff increasing and digital technologies constantly creating opportunities for more favourable working patterns, businesses need to wake up to the fact that power is shifting. Those that don't could risk losing their greatest asset: their employees. New expectations for the workplace A change in workplace expectations has taken place as Millennials - the generation that came of age around the year 2000 - begin to take over the workforce. The new generation carries with it new ways of thinking and demands that are very different to the generations that preceded it. For instance, research from the US indicates 81 per cent of Millennials believe they should be allowed to make their own hours at work, compared with 69 per cent of babyboomers. Lawrence, 25, is a classic example of the Millenial worker. After just a year working in the banking sector, he shunned staring at a computer screen for eight to ten hours a day in favour of working for himself. He says: 'The hours were really long and very boring. You're at your computer all day, and you don't speak to anyone. I wanted something where I could be out, meeting and speaking to people.' Lawrence now balances his career across his hometown of Birmingham and London, taking time out during his visits to see family and friends in the city. And while the new 'wanderlust' generation has higher expectations for their work/life balance, it's a theme close to the heart of workers across the board. Results from the Investors in People's Job Exodus Poll from earlier this year revealed that a third of all employees would pick flexible working over a 3 per cent pay rise. Simply put, expectations are changing, and employers need to pay attention to that. Johan Lilja:'Businesses are simply failing to meet the desires of the workforce they have' Businesses need to redefine their relationship with their employees The UK is facing a skills shortage, with around a quarter of vacancies in 2015 put down to the country's widening skills gap. In this environment, retaining staff is of the utmost importance, but businesses are simply failing to meet the desires of the workforce they have according to last year's Timewise Flexible Jobs index, almost nine million workers don't have the flexibility they want. Conversely, emerging digital sectors such as the 'sharing' and 'on-demand' economies are driving a rise in companies such as Uber, Task Rabbit and Airbnb, which offer the flexibility of self-employment. The sharing economy alone is predicted to grow from $15billion (11.1billion) to $335billion globally in the next decade, according to PwC, so this is a trend that is likely to continue. Staff turnover is a huge issue for business owners, especially when it can cost them up to 30,000 per employee a cost that many companies may struggle to justify in the wake of the recent vote to leave the EU. This is why companies need to start changing the way they engage with their employees. Otherwise, more people will begin to find alternatives. Different approach: The likes of Uber and Airbnb offer flexible working hours and self employment Flexible working should be standard practice for businesses Although all employees have the legal right to ask for flexible working in the UK, almost half feel uncomfortable asking for it. Instead, businesses should be looking to proactively offer flexible working as standard, finding ways that suit their specific business model. For businesses that worry offering flexibility will negatively affect them, there is countless evidence to the contrary. In a survey by Regus, 63 per cent of managers linked a growth in revenue directly to flexible working practices; 70 per cent of managers also said flexible working boosted staff productivity. Meanwhile, a survey by the Chartered Institute of Professional Development (CIPD) reported 76 per cent of managers adopted flexible working to retain staff and cut turnover costs which the organisation says can cost anything between 40 to 400 per cent of an employee's salary. So employers shouldn't fear flexible working hours. They should seize the benefit with both hands and shout about it to their employees. It will, in turn, help them compete and retain their staff at a time of intense competition and economic uncertainty. Want to ask for flexible working? Three key factors to include in your pitch Almost half of employees don't feel comfortable asking for more flexibility at work. But with flexible working offering so many benefits to businesses, employees need only frame their argument in the right way - by telling their employer what's in it for them - for their request to be seriously considered. Here are three key themes you can highlight to convince your boss that flexible working makes good business sense: Engaged staff equals higher productivity Staff engagement isn't just a fluffy term, it has real impact on output. According to the HR trade body CIPD, 72 per cent of managers found flexible working had a positive impact on staff engagement. This in turn has been shown to boost performance, increase productivity levels by 38 per cent, and ultimately improve profitability. So emphasising these numbers is a good starting point for a negotiation. It makes economic sense In addition to driving productivity, a more flexible structure can also create savings when it comes to the costs of running a business. Flexible working allows employers to accommodate more employees with the same or less space, and can also save money on things like utilities and staff travel. More output versus less input makes for very happy reading in the balance sheets. It gives businesses a competitive edge in hiring and staff retention With the country facing a skills shortage, it's becoming much harder to seek out the talented individuals needed to drive business success. With so many UK businesses failing to embrace flexible working, it's a differentiator that will help your employer attract the cream of the crop, especially from the millennial generation who have high expectations for their work/life balance. Johan Lilja is the chief executive and co-founder of Universal Avenue, a global 'on demand' sales platform that helps businesses acquire customers through flexible 'brand ambassadors'. A man jailed for murder in the burning death of Jessica Chambers is now accused in a new capital murder indictment this time for torturing a woman in Louisiana before eventually killing her. A warrant served on Quinton Tellis last week alleges that shallow knife wounds on Meing-Chen Hsiao's face and body probably were inflicted to get her to reveal the pin number for her debit card. Scroll down for video Quinton Tellis (left) is accused of torturing and eventually killing Meing-Chen Hsiao (right), a 34-year-old Taiwanese graduate student at the University of Louisiana at Monroe Tellis, 27, pleaded guilty in Louisiana to using the debit card and was sentenced to 10 years before he was extradited to Mississippi to face the death penalty if convicted of burning 19-year-old Jessica Chambers to death in 2014. Chambers was discovered on a Mississippi roadside with burns all over her body. According to the new Louisiana indictment, Hsiao, a 34-year-old Taiwanese graduate student at the University of Louisiana at Monroe, was stabbed more than 30 times on July 29, 2015. Her body wasn't found until Aug. 8. Court papers note that evidence is circumstantial, because cleaning apparently removed all DNA and other physical evidence of her killer, according to the indictment. However, they state, the circumstantial evidence 'points to only one suspect, Quinton Tellis.' Tellis was already behind bars for the burning death of 19-year-old Jessica Chambers in December 2014 That evidence includes Wal-Mart security video showing them together on July 28, and a neighbor who said she had heard Hsaio arguing with a man she later identified as Tellis. The man, she said, gave her a 'creepy feeling.' Search warrants for phone and bank records showed calls to Hsiao's bank from Tellis' phone on the day she died, and GPS records showed Tellis probably was inside her apartment. Chambers' heartbroken dad, Ben, speaks to reporters in the aftermath of his daughter's murder. Joining him are sister Ashley, mother Lisa, and stepmother Debbie Chambers Eric Hill, whose cousin Chikita Jackson married Tellis in early August 2015, told investigators he had been told that Hsiao was stabbed and sliced to make her reveal the access code After first trying to frame another man for the killing, court documents said, he said he had learned those details from Tellis. The new indictment in Louisiana's Ouachita Parish won't affect Mississippi's trial, currently scheduled for next spring, said Panola County, Mississippi, District Attorney John Champion. Tellis' first court appearance in that case is set for July 15 in Hernando. Yellow crime scene tape marks the location where 19-year-old Jessica Chambers was found severely burned Chambers was found lying barely alive on the side of the road next to her Kia Rio sedan by a passing driver at 8.15pm on the night of her death. The teen was then taken to hospital where her parents rushed to see her before she succumbed to the burns, which covered 98 percent of her body. Tellis posted this photo (left) just days after Chambers' death. Right, another photo on his Facebook page She had spoken to her mother on the phone little over an hour earlier, at 7pm, to say: 'I'm cleaning my car and getting something to eat and I'll be home.' Police spent more than a year scouring the area and interviewing locals before arresting Tellis for capital murder. A group of four teenage boys have been arrested after an early morning police chase in Melbourne that ended with one of the suspects being taken to hospital. Police allege the boys, aged between 14 and 17, carried out a home invasion at Williams Landings, in Melbourne south-east, about 4.30am on Sunday when they stole a car. Terrified residents at the home told 9News they were confronted by three teenagers of African appearance armed with shovels who 'calmly' demanded the keys to a silver Nissan sedan. Scroll down for video A group of four teenage boy have been arrested after an early morning police chase in Melbourne that ended with one of the suspects being taken to hospital. Pictured is a suspect being led away by police Police allege the boys, aged between 14 and 17, carried out a home invasion at Williams Landings, in Melbourne south-east, about 4.30am on Sunday when they stole a car They say the teenagers were at the home for about 40 minutes before taking off with three phones and an iPad. Police then spotted the stolen car at Sanctuary Lakes Boulevard at nearby Point Cook about 12.20am on Monday. They followed the sedan to Carlton where it stopped in Palmerston Street about 1.20am. The chase ended in a minor crash as police tried to catch the suspects. The Nissan had minor damage. Terrified residents at the home said they were confronted by three teenagers of African appearance armed with shovels who 'calmly' asked for the keys to a silver Nissan sedan. Above police search the stolen car Officers put one of the suspects into a police van following the minor crash in Carlton A 14-year-old Carlton North boy, a 14-year-old South Morang boy and a 17-year-old Keilor Downs boy were taken into custody and are being questioned by police. A 15-year-old Richmond boy was taken to a hospital with minor injuries received during the arrest. Footage has emerged showing the moment a police officer drew his gun on a man he had pulled over on suspicion of drink driving. Tendered to the ACT Magistrate's Court on Friday, the video was filmed on a NSW Police officer's dashboard camera on January 22, 2015 outside Canberra. The footage shows the officer running to the door of the man's car with his gun pointed at the driver, before flinging the door open as the man emerges with his hands up. Scroll down for video Dramatic footage has emerged of a NSW Police highway patrol officer pulling his gun on a man The highway patrol officer had been carrying out roadside breath tests on Canberra Avenue in Queanbeyan last January when the motorist slowed down when he saw the set up, Fairfax reported. The policeman then followed the driver before pulling him over on Stephen's Road nearby. He got out of his car and flung the motorist's door open, gun drawn. With his firearm pointed at the man's head, the police officer then appears to tell the driver to get down onto the ground and put his hands behind his back, and the man complies. The officer then holstered his gun and kicked him in the back while he handcuffed the man, who according to the senior constable later returned a positive blood-alcohol reading. The actions of the cop were heavily criticised by a magistrate on Friday who said she was 'appalled' by them The driver, pictured here on the ground, had his drink driving offence overturned by the court The actions of the highway patrol officer were heavily criticised by a magistrate on Friday who said she was 'appalled' by the policeman's response. Special Magistrate Margaret Hunter said it had been 'clearly unnecessary' for the officer to draw his gun and point it at the man's face. However the senior constable involved told the court he was concerned about his own safety, to which the magistrate responded that he could have waited for backup. The man's drink driving offence was successfully overturned on Friday after it was revealed the device used to carry out the test was not an approved breathalyser. 'NSW Police are aware of the courts decision and are reviewing the outcome,' a spokeswoman told Daily Mail Australia. Theresa May pledged to deliver 'serious social reform' in a major break from David Cameron's premiership just an hour before she was handed the keys to Number 10 by Andrea Leadsom's dramatic decision to pull out of the Tory leadership contest. The Home Secretary, who will be appointed prime minister on Wednesday, made a clear pitch for the centre-ground of British politics as she set out her 'bold, new, positive vision for the future of our country'. And she dismissed any fears that as someone who campaigned for Britain to stay in the EU she would seek to dilute the terms of withdrawing from the EU, declaring: 'Brexit means Brexit'. In a speech in Birmingham Mrs May promised new laws to block fat cat pay and bonuses as she promises to stand up for ordinary workers. She was speaking just an hour before her leadership rival Mrs Leadsom announced she was dropping out of the race. Mrs May was named the new Tory leader this afternoon and David Cameron announced she will replace him as prime minister on Wednesday after he travels to Buckingham Palace to hand in his resignation. Despite saying earlier this month she would not call a general election before 2020, Mrs May is coming under increasing pressure to change tack now there won't be a leadership contest. Scroll down for video Theresa May (pictured in Birmingham today) pledged to deliver 'serious social reform' in a major break from David Cameron's premiership as she was handed the keys to Number 10 by Andrea Leadsom's dramatic decision to pull out of the Tory leadership contest She is under particular pressure because of comments she made when Gordon Brown took over from Tony Blair in 2007. Mrs May said he had 'no democratic mandate' and must call a general election, declaring the Tories were 'ready for him,' adding: 'Bring it on'. Labour, the Lib Dems and the Green party have already demanded Mrs May hold an election in the autumn, saying it was 'crucial' the country has a 'democratically elected prime minister'. In her speech this morning Mrs May also vowed to give consumers and staff seats on company boards in a bid to crack down on 'corporate irresponsibility'. The changes are intended to show Mrs May can reach out to the blue-collar workers who were the bedrock of Margaret Thatcher's electoral success. They also show her determination to be the candidate who can reunite the country as well as the Tory party. Launching the second phase of her bid for No 10 minutes before Mrs Leadsom dropped out, she vowed to build a Britain 'that works for everyone not just the privileged few'. Mrs May said she hopes to 'bring people back together rich and poor, north and south ... young and old, male and female, black and white'. Theresa May (pictured in Birmingham today) pledged to deliver 'serious social reform' in a major break from David Cameron and George Osborne's premiership as she launched her vision for Britain today Theresa May will be appointed prime minister within days after Andrea Leadsom's dramatic decision to pull out (pictured) of the Tory leadership contest this afternoon Aides claim her mission as prime minister will be to rebuild public trust in politics which has plummeted in the wake of the MPs' expenses scandal, the banking crash and the failure to address worries over immigration. Signalling a major change in the direction of the Conservative party, Mrs May, who famously described the Conservatives as the 'nasty party' amid the darkest days of opposition in 2002, said this morning: 'This is a different kind of Conservatism, I know. It marks a break with the past. But it is in fact completely consistent with Conservative principles. 'Because we dont just believe in markets, but in communities. We dont just believe in individualism, but in society. We dont hate the state, we value the role that only the state can play. 'We believe everybody - not just the privileged few - has a right to take ownership of what matters in their lives. 'We believe that each generation - of politicians, of business leaders, of us all - are custodians with a responsibility to pass on something better to the next generation. Above all, we believe in Britain - and in the British people.' Mrs May also sent out a very clear message about her commitment to Britain withdrawing from the EU. She said: 'Our country needs strong, proven leadership - to steer us through this time of economic and political uncertainty, and to negotiate the best deal for Britain as we leave the EU and forge a new role for ourselves in the world. Because Brexit means Brexit and were going to make a success of it.' The rules on fat cat pay will allow shareholders to block a remuneration package they believe is undeserved. Currently, they have a binding vote on general company pay policies but can be overridden if they decide that an individual deal is too generous. Mrs May said: 'The people who run big businesses are supposed to be accountable to outsiders, to non-executive directors, who are supposed to ask the difficult questions. 'In practice, they are drawn from the same, narrow social and professional circles as the executive team and as we have seen time and time again the scrutiny they provide is just not good enough. The changes are intended to show Mrs May, pictured on Tuesday, can reach out to the blue-collar workers who were the bedrock of Margaret Thatcher's electoral success 'So if I'm prime minister, we're going to change that system and we're going to have not just consumers represented on company boards, but workers as well.' Outlining her plan to get tough on 'corporate irresponsibility', she added: 'We're the Conservative Party, and yes, we're the party of enterprise, but that does not mean we should be prepared to accept that 'anything goes' ... I will make shareholder votes on corporate pay not just advisory but binding.' 'Right now, if you're born poor, you will die on average nine years earlier than others. If you're black, you're treated more harshly by the criminal justice system than if you're white. If you're a white, working-class boy, you're less likely than anybody else to go to university. 'If you're at a state school, you're less likely to reach the top professions than if you're educated privately. If you're a woman, you still earn less than a man. If you suffer from mental health problems, there's too often not enough help to hand. If you're young, you'll find it harder than ever before to own your own home. 'If you're from a working-class family, life is just much harder than many people in politics realise. You have a job, but you don't always have job security. You have your own home, but you worry about mortgage rates going up. You can just about manage, but you worry about the cost of living and the quality of the local school, because there's no other choice for you. 'These are the reasons why, under my leadership, the Conservative Party will put itself completely, absolutely, unequivocally at the service of working people.' The changes will show the Home Secretary's determination to be the candidate who can reunite the country as well as the Tory party. May is pictured with her husband Philip at Henley Festival on Friday Aides last night said of the pay reforms: 'This isn't about putting a limit on executive pay, but making sure that it is linked to the performance of a company.' Mrs May, who was the favourite to become prime minister after securing the support of 199 Tory MPs, also won the overwhelming backing of Tory Party association chairmen. Of 127 who answered a poll by the BBC's The World This Weekend, 70 said they were definitely voting for the Home Secretary. This compared to 27 for her rival Andrea Leadsom. In addition, two of the party's most senior Brexit campaigners Chris Grayling and David Davis have backed Mrs May to secure Britain's borders and take the country out of the EU. Mr Davis said she had re-assured him that controlling immigration was more important than retaining access to the single market. The former Tory leadership contender who was last night being tipped for a major job in a May government was exiled by David Cameron and has not held a ministerial post in the past six years, despite remaining popular with members. Liam Fox, the ex-defence secretary who threw his weight behind Mrs May after being knocked out of the race himself, is also tipped for a job. Cabinet minister Chris Grayling said he was confident Mrs May would have Britain out of the EU by 2020 at the latest. He told the BBC: 'She's said that we will trigger Article 50 around the end of this year. There is then a two-year time frame and the next general election is 2020. So I can't see any circumstances in which we wouldn't have [left] by 2020.' North Korea threatened Monday to take 'physical action' after Washington and Seoul announced they would deploy a sophisticated US anti-missile defence system to counter the growing menace from Pyongyang. Seoul and Washington on Friday revealed their decision to deploy the Terminal High Altitude Area Defense (THAAD) system in the South following recent North Korean missile and nuclear tests. The two allies have not yet revealed exactly when and where the system, which fires projectiles to smash into enemy missiles, would be deployed but said they were in the final stage of selecting a potential venue. The test-fire of a submarine-launched ballistic missile at an undisclosed location in North Korea in April 2016 News of North Korea's latest Musudan missile test is broadcast at a railway station in Seoul on June 23 'The DPRK will take a physical counter-action to thoroughly control THAAD... from the moment its location and place have been confirmed in South Korea,' the artillery bureau of the North's military said in a statement, according to the official Korean Central News Agency (KCNA). North Korea's military, which has 'sufficient latest offensive strike means', will take 'more merciless and powerful successive corresponding measures against the US keen to ignite a war by deploying THAAD', it said. It also warned the South of 'miserable self-destruction' as a consequence of deployment of the THAAD system. 'We once again warn the enemies that it is the steadfast will of the KPA to make merciless retaliatory strikes to reduce South Korea to a sea in flames, debris once an order is issued,' the statement said. Seoul denounced the 'ridiculous threats' by the North, which had staged serious provocations including a nuclear test in January and a long-range rocket launch in February. 'North Korea must recognize who is threatening peace and stability on the Korean Peninsula and first apologies for its provocations,' defense ministry spokesman Moon Sang-Gyun told reporters. Pyongyang also test-fired what appeared to be a submarine-launched ballistic missile a day after the announcement by Seoul and Washington, sparking swift international condemnation. Saturday's launch followed Pyongyang's back-to-back tests of a powerful new medium-range Musudan missiles on June 22 - theoretically capable of reaching US bases as far away as Guam. Tensions are high since Pyongyang carried out its fourth nuclear test in January, followed by a series of missile launches that analysts said showed the North was making progress toward being able to strike the US mainland. People watch a news report on North Korea's first hydrogen bomb test at a railroad station in Seoul on January 6 Anti-war activists protest against the planned deployment of the Terminal High Altitude Area Defense (THAAD) system outside South Korea's defense ministry in Seoul on July 8 The planned deployment of the powerful anti-missile system has angered the South's neighbors including China, which said Friday the move would 'seriously damage' regional security in northeast Asia. South Korean President Park Geun-Hye however defended the move as a 'purely defensive' action aimed at protecting the South. 'The international community will be aware that we have no intention to target or threaten another country... we are taking a purely defensive measure to protect our country and our people,' Park said in a meeting with advisors. She also urged support from South Koreans over the deployment of powerful weapon, in the face of growing protest in the areas said to be potential venues. On Saturday, some 3,500 residents of Chilgok county in the southeast protested against the possible deployment, saying the region had not been properly developed since US troops were stationed there in 1960. Nearly 30,000 US troops are permanently stationed across the South - a legacy of the 1950-53 Korean War that ended with a ceasefire instead of a peace treaty. on Sunday just after 1pm in the afternoon A pilot of a helicopter has been critically injured after his helicopter went down west of Phoenix. Maricopa County Sheriff's officials said Sunday afternoon that deputies were called to respond to a downed aircraft in Waddell. The crash occurred in the area of Olive Avenue and Sarival Road, which is just east of the Loop 303 freeway. Crash: A crop dusting helicopter has come down in fields west of Phoenix. The pilot remains in critical condition (file photo) Sheriff's spokesman Chris Hegstrom says the pilot was transported to a hospital with a life-threatening injury. Initial reports had indicated the pilot only suffered minor injuries. Hegstrom described the helicopter as a crop-dusting helicopter. There were no other occupants. Texas Governor Greg Abbott sustained 'extensive second and third-degree burns' on both legs and feet and may miss next week's Republican National Convention as a result, his office said Sunday. Spokesman Matt Hirsch said Abbott was with his family in Jackson Hole, Wyoming, on Thursday when he was scalded in an accident involving hot water. He declined to provide further details. Hirsch has since addressed the Dallas shooting at a press conference on Friday with his legs bandaged underneath his suit, although his trip to the RNC in Cleveland remains uncertain. Scroll down for video Texas Governor Greg Abbott (pictured July 8) sustained 'extensive second and third-degree burns' on both legs and feet and may miss next week's Republican National Convention as a result Abbott (pictured in 2015 with Cruz right), who originally endorsde Texas Senator Ted Cruz's presidential campaign, held a press conference on Friday to address the tragedy in Dallas Abbott has used a wheelchair since a tree fell on him while jogging in 1984, paralyzing him from the waist down, although he still has functioning nerve receptors in his legs and feet The governor was treated at St. John's Medical Center when news broke that a gunman had opened fire in downtown Dallas killing five police officers and wounded seven others. 'His first words to us were, "I've got to come back,"' Hirsch said. Abbott, the chairman of Texas' delegation to the RNC, supports Donald Trump (pictured) The governor, who originally endorsed Texas Senator Ted Cruz before supporting Donald Trump, is also the chairman of Texas' delegation to the Republican convention in Cleveland. Abbott's trip to the convention, which begins July 18, now hangs in the balance due to the accident. But the politician persevered in the face of the tragedy in Dallas, and held a press conference on Friday, where he did not disclose being burned. 'For him it was important not to distract from what was happening in Dallas,' Hirsh said. The only hint anything was wrong, Hirsch said, was that the governor was wearing orthopedic shoes that his staff purchased that morning rather than the dress shoes or boots he usually dons in public. Surgery won't be required immediately, but Abbott will be heading to Brooke Army Medical Center in San Antonio on Monday afternoon to see specialists. He continues to get his legs wrapped daily and should be required to do so for two or three weeks. Abbott released statements about the attack and wrote an open letter to Texans on Thursday, urging them to unite in the face of tragedy. Abbott has used a wheelchair since a tree fell on him while jogging in 1984, paralyzing him from the waist down, although he still has functioning nerve receptors in his legs and feet. A cyclist was 'deliberately killed by a hit and run driver' in Brooklyn during the early hours of last Saturday. Matthew von Ohlen, 35, was killed after a black Chevrolet Camaro slammed into his bike on Grand St. near Manhattan Avenue in Williamsburg at around 2.30am on Saturday July 2, say police. Surveillance footage from the crash and statements from the NYPD suggest that the driver deliberately killed von Ohlen before driving off, while a neighbor told New York Daily News: 'He was killed like a dog in the street.' The New York Police Department were later slammed for cracking down on 'irresponsible cyclists' the morning after the incident. Scroll down for video Matthew von Ohlen, 35, (left and right) was killed after a black Chevrolet Camaro slammed into his bike on Grand St. near Manhattan Avenue in Williamsburg The 35-year-old bike enthusiast, who had co-founded BikeStock, had been travelling on the cycle lane when the Chevy driver slowed down and the pair exchanged words. Pictured: Grand St. near Manhattan Avenue in Williamsburg But the morning after the fatal incident, police were on the Brooklyn street canvasing cyclists and passing out pamphlets about their 'obligations and responsibilities', reported The Village Voice. Paul Steely White, the director of Transportation Alternatives, told the Voice in a statement: 'This is a particularly egregious example of the NYPD's skewed priorities when it comes to traffic enforcement, and of the victim-blaming mentality that pervades the department.' The 35-year-old bike enthusiast, who had co-founded BikeStock, had been travelling in the cycle lane when the Chevy driver slowed down and the pair exchanged words. Investigators told Pix-11: 'The driver then hit von Ohlen's rear tire and as the victim fell off his bike, the driver slammed into him again, running over him and dragging him about twenty to thirty feet. 'The driver then sped off, heading east on Grand Street.' The motorist is believed to have intentionally hit von Ohlen, according to investigators, who are yet to find the driver. A witness called 911 and when officers arrived, they found von Ohlen lying in the street with 'severe trauma' to his body, police told Pix 11. He was pronounced dead in hospital. Von Ohlen was the twelfth cyclist killed in New York City this year, despite Mayor Bill de Blasio's Vision Zero campaign, which is designed to eliminate pedestrian and cyclist fatalities in New York. Surveillance footage from the crash (pictured) and statements from NYPD suggest that the driver deliberately killed von Ohlen before driving off The 35-year-old bike enthusiast, who had co-founded BikeStock (pictured) had been travelling on the cycle lane when the Chevy driver slowed down and the pair exchanged words. Von Ohlen was the twelfth cyclist killed in New York City this year, despite Mayor Bill de Blasio's Vision Zero campaign, which is designed to eliminate pedestrian and cyclist fatalities in New York. Pictured: Stock image of ghost bike, signifying death of a cyclist. According to the government site, 'approximately 4,000 New Yorkers are seriously injured and more than 250 are killed each year in traffic crashes. 'Being struck by a vehicle is the leading cause of injury-related death for children under 14, and the second leading cause for seniors. On average, vehicles seriously injure or kill a New Yorker every two hours.' Under the Vision Zero campaign, 50 dangerous intersections have been redesigned and 33 new slow zones have been introduced around the city. But according to the Voice, de Blasio has a negligible influence on the NYPD, who have generally been fairly reluctant to enforce laws against motorists who injure or kill pedestrians and cyclists. Americans are mourning the deaths of five police officers killed last Thursday during a protest in Dallas as funeral arrangements take shape. The attack hit close to home for one deputy with the Gage County Sheriffs Office, who once served as an officer of the Dallas Police Department, and worked with one of the victims. Spencer Behrens, a native of Adams, was a member of the Dallas Police Department for six years beginning in 1999. At his patrol station, Behrens worked with Sr. Cpl. Lorne Ahrens, who was killed last week. I remember him being a real big guy and someone you could always count on, Behrens said. He was super nice, super friendly and somebody you knew would be there for you. Behrens is traveling to Dallas for Ahrens visitation Tuesday and funeral Wednesday. He knows all of Ahrens pallbearers, one of whom, Greg Garcia, was Behrens partner when he patrolled in Dallas. After learning of the attack in Dallas, Behrens, still in disbelief, began contacting his former fellow officers to see if they were injured during the shooting. I started texting my buddies down there making sure they were OK, Brehrens recalled. Then I had heard that Ahrens was one of the guys that was shot and that it wasnt good. Somebody had texted me late after I went to bed that he had died. To recognize the Dallas officers who lost their lives last week, Gage County deputies will wear the mourning band on their badges through July. Civilian staff members have been asked to wear a blue ribbon on their left chest while on duty. The bands demonstrate the departments solidarity as law enforcement honor the fallen in Dallas and as a reminder to the families of the slain that they are not alone, said Sheriff Millard Gus Gustafson. The recent tragedy in Dallas is heart wrenching in every way, Gustafson wrote in a press release. This is especially true every time we lose a law enforcement brother or sister in the line of duty as it impacts each of us in very personal ways. Those losses are occurring far too frequently in recent history. Members of our office deputies and civilians go out every shift to stand the thin blue line. Despite being more than 500 miles away, Behrens added when a law enforcement officer is killed, it always hits close to home. I think it does have an impact on us because we have the same job they do, he said. Of course we have a different demographic of people here. I think it makes all us local and smaller departments think that these people are out there and it could happen here just as easily as it did happen there, I think anyway. "Theres people like that everywhere. The only thing that stops people from doing something is their mindset. Once seen as a possible future Labour leader, Chuka Umunna MP is struggling to find a role on the backbenches. Gone are the days when he was a regular on political TV chat-shows because of his articulacy and Hollywood looks. Now, hes tackling the problem of Labour being infiltrated by people with anti-Semitic views. Umunna identifies a key reason: the ascendancy of hard-Left group Momentum, set up to keep Jeremy Corbyn as party leader. Chuka Umunna used to be a regular on political TV chat-shows because of his articulacy and Hollywood looks. Now, hes tackling the problem of Labour being infiltrated by people with anti-Semitic views At last weeks Commons Home Affairs select committee, which is investigating the issue, the South London MP said there was a direct correlation between recent outbursts of anti-Semitism and Momentum. He said: Do you notice that time and time again . . . [in] incidents of offence . . . against Jewish people, Momentum seems to pop up quite frequently? But critics have pointed out that Umunna has links with a rising star in the party, Jawad Khan who featured in a party political broadcast during the May local elections. Khan once said in a tweet (condemned by Corbyn and which Khan has since deleted) that there are similarities between Israel and Nazis. Umunna has been photographed with Khan and is on record as having said how inspiring he is. A pity he didnt follow the noble example of Corbyn and appear before the Commons committee to reject Khans poison. Yet another Labour class-warrior (in the footsteps of John Prescott and Neil Kinnock) seems set to be seduced by the idea of wearing ermine and red robes. Jacqui Smith, the former Home Secretary whose dodgy expenses included her husbands claim for a porn film, says: I probably wouldnt turn the House of Lords down if I was offered it. Now even Fry savages Blair . . . In those Cool Britannia days, Tony Blair was feted by luvvies such as Stephen Fry. Now, the roly-poly actor, who recently left these shores to live in California, makes an appearance in a soon-to-be-released hatchet job film documentary titled The Killing$ Of Tony Blair. Narrated by arch-Blair vilifier George Galloway, it spotlights the former prime ministers lucrative post-No 10 busines$ career. Stephen Fry , who recently left these shores to live in California, makes an appearance in a soon-to-be-released hatchet job film documentary titled The Killing$ Of Tony Blair The editor of BBC2s Newsnight Ian Katz has risked the accusation of being too closely allied with Labour by joshing with Ed Milibands former spin doctor. He responded to a tweet by Tom Baldwin, which mentioned there had been a vote in one ward in Jeremy Corbyns constituency (Islington North) about whether to support him as Labour leader. It was only 12-10 in favour. Baldwin noted: Corbyn has lost some lustre with his members. Katz, who lives in Islington, replied, asking which ward it was. Baldwin replied: Highbury East. Surprised you werent there. How very revealing! A Radio 4 documentary tonight was originally titled The Corbyn Story: Year One. But with his leadership only ten months old and at risk of not lasting a year, BBC producers have changed the title to just The Corbyn Story. The editor of BBC2s Newsnight Ian Katz has risked the accusation of being too closely allied with Labour by joshing with Ed Milibands former spin doctor. He responded to a tweet by Tom Baldwin, which mentioned there had been a vote in one ward in Jeremy Corbyns constituency (Islington North) about whether to support him as Labour leader. It was only 12-10 in favour. Baldwin noted: Corbyn has lost some lustre with his members. Katz, who lives in Islington, replied, asking which ward it was. Baldwin replied: Highbury East. Surprised you werent there. Joke of the week After widespread ridicule of several exaggerations on Tory leadership hopeful Andrea Leadsoms CV, one of her allies quipped: She didnt have to put up with this nonsense when she was an astronaut. After widespread ridicule of several exaggerations on Tory leadership hopeful Andrea Leadsoms CV, one of her allies quipped: She didnt have to put up with this nonsense when she was an astronaut Dan goes Dutch Tory MEP Daniel Hannan, whose razor-sharp mind did so much to win over wavering voters to support Brexit, has seen a bulk order in Holland for his book Vote Leave. The popularity of this bible for Eurosceptics suggests that a referendum on EU membership in the Netherlands could come soon. Is Corbyn like steely Stalin? Unite union chief Len McCluskey was only trying to be helpful when he compared Comrade Corbyn with a man of steel. But does he know the Russian despot Josef Vissarionovich Dzhugashvili chose the moniker Stalin, which means man of steel? Unite union chief Len McCluskey was only trying to be helpful when he compared Comrade Corbyn with a man of steel. But does he know the Russian despot Josef Vissarionovich Dzhugashvili chose the moniker Stalin, which means man of steel? Meanwhile, four Tory MPs were overheard on the Commons terrace discussing their leadership contest. One said: Jeremy Hunt [the Health Secretary] should have gone for it. He would be brilliant at negotiating Brexit with Brussels. He did such a good job with the junior doctors. An idyllic island in Panama boasting white sand beaches attracted a community of American expatriates - but it also became the perfect place for one man to carry out an alleged killing spree. William Dathan Holbert confessed to murdering seven people before taking over their properties and businesses, prosecuting attorney Angel Calderon said. Holbert, along with his partner Laura Reese, took advantage of the community in Bocas del Toro, where many of the expats lived under fake names and cut ties from their homes, knowing their disappearances would go unquestioned. A new book, the Jolly Roger Social Club, written by journalist Nick Foster, details Holbert's transformation from a married father of three to 'Wild Bill' Cortez. William Holbert (pictured in 2010), along with ex-wife Laura Michelle Reese, confessed to murdering seven people before taking over their properties and businesses, prosecuting attorney Angel Calderon said Holbert took advantage of the community in Bocas del Toro, where many of the expats lived under fake names and cut ties from their homes, knowing their disappearances would go unquestioned Holbert was married with three children when he met Laura Reese (pictured), who worked at a gym in North Carolina where he worked as a manager before the owner learned he wrote about $20,000 in company checks for his personal use Holbert ran a landscaping business to support the three children he had with his first wife, whom he married at the age of 18 shortly after high school in Hendersonville, North Carolina. But the business failed, and Holbert worked as a gym manager before the owner learned he wrote about $20,000 in company checks for his personal use. When his wife discovered he was having an affair with a gym employee Laura Michelle Reese, the two divorced and Holbert began living with his mistress. Holbert opened a white supremacist book store in Forest City, North Carolina, where he stood outside spreading xenophobic messages through a loudspeaker and held meetings in the shopfront. Holbert then posed as a doctor who owned an abandoned vacation home, forging the deed in order to receive $200,000 from a property developer for a house that was never his. By the time he was 26, Holbert, along with Reese, were on the run and named on the television show America's Most Wanted for real estate fraud. The two spent a brief period in Costa Rica, where Holbert later admitted to killing a lawyer named Jeffery Kline, before setting up a fake psychiatric practice in Panama, where Holbert reprised his role as a doctor. A new book details Holbert's transformation from a married father of three to 'Wild Bill' Cortez 'The islands of Bocas del Toro had become a hangout for the type of expat who appreciates anonymity and who might want to disappear from ex-spouses, tax authorities, the police', Foster wrote. In 2007, Holbert answered an advertisement posted by fellow American Michael Brown, who had escaped from prison to live in Panama with his wife and son and was looking to sell his three-story house. Holbert spent three days with the Browns, before he and Reese moved into the home and told people he purchased it from them. The pattern continued, and prosecuting attorney Angel Calderon said: 'He picked out his victims after making their acquaintance. Knowing that nobody would ask about them, he got rid of them.' Holbert had struck a deal with retired gallery owner Bo Icelar, who was looking to sell his home in Big Creek when he disappeared. And when Cheryl Hughes split up with her husband, she, too, considered selling her house to the conman before disappearing. Both Icelar and Hughes were later found buried in a shallow grave. When Holbert and Reese were finally captured in Nicaragua and extradited back to Panama City, Holbert appeared chipper and told reporters: 'The people of Panama are very friendly, and I like living here.' He confessed to the crimes, and Calderon said: 'He has told us how many people he killed, why he killed them, how he killed them and where he buried them.' Foster interviewed him in prison while writing his book, and the conman reflected on his image saying: 'In America they think of me as Charles Manson or Hannibal Lecter. A homeless man who was badly beaten and then set on fire during a random attack in San Diego has died in hospital, police say. Dionicio Derek Vahidy, 23, who became the fourth victim in a wave of violence against vagrants after being found on Wednesday, is now the third person to die from their injuries. Anthony Alexander Padgett, 36, has been arrested and charged with murder, attempted murder, and arson over the attacks, which began a week ago today. Scroll down for video Anthony Alexander Padgett, 36, has been arrested on suspicion of murder and attempted murder in the attacks on mostly sleeping men, some of whom were set on fire, police said Vahidy was found badly beaten near a downtown apartment block, police said, covered in a burning cloth that the attacker placed on him before fleeing. He was taken to hospital in critical condition and had not been expected to survive. Vahidy was discovered three days after the badly burned remains of Angelo De Nardo, 53, were discovered between Interstate 5 and some train tracks. Nardo was beaten to death before his body was set on fire. The next day, officers responding to a 911 call found Manuel Mason, 61, who suffered life-threatening injuries to his upper torso. He remains in hospital. A few hours later, police discovered the body of Shawn Longley, 41, who was found bleeding heavily from the upper torso and later died. Police believe most of the men were sleeping at the time they were attacked, while Vahidy and Nardo were set on fire. A tip led investigators to Padgett, who was born in the suburb of Chula Vista and was arrested there, San Diego Police Capt. David Nisleit said. Padgett is believed to be a homeless man himself, and police say they found him after searching homeless camps in his home town. A fourth man attacked by Padgett (left and right) named Dionicio Derek Vahidy, 23, died in hospital today, bringing the death toll to three, while a fourth and final victim clings to life in hospital Padgett (left and right) is believed to be a vagrant, describing himself as homeless while committing an almost identical crime six years ago in the same area Padgett committed a nearly identical crime six years ago, admitting to setting a man on fire and leaving him badly burned in a supermarket parking lot in the San Diego suburb of National City. He said in a note to a judge at the time that he was drunk and high on drugs when he did it and had only meant to scare the victim, who he knew. In the note, Padgett also called himself a 'homeless citizen,' asked Jesus to forgive his sins and expressed remorse for the victim, saying, 'I'll never be so stupid again.' The manager of Johnny V restaurant in Pacific Beach, where Padgett used to work, told The San Diego Union-Tribune that he was a quiet person who kept to himself. 'No body language ever indicated that he would ever do something like that,' he said. The attacks left San Diego's homeless population on edge. Ron Shatto normally sleeps under a tarp stretched over two shopping carts. But on Wednesday night he joined other transients in a small camp and never closed his eyes. 'I don't want to wake up on fire,' said Shatto, 51, who has been living in the streets since February 2015, most recently under a freeway bridge. Vahidy was found underneath a burning blanked after being attacked, while another victim, 53-year-old Angelo De Nardo, was also badly burned (pictured, police collect charred evidence) The region's homeless population - an estimated 10,000 people - is banding together at night to avoid giving the suspect another opportunity. 'Strength in numbers,' Shatto said as he picked through trash bins on the outskirts of downtown. Many heeded the advice of homeless advocates to sleep in groups and in populated, lighted areas. Adrienne Handley, who has slept on the streets for the last three years, nudged closer to other tents after the killings began. 'There's no doubt our city has been shaken by these gruesome attacks,' Mayor Kevin Faulconer said at a news conference. 'We've got to watch each other's backs,' Handley said Thursday at her small encampment next to a freeway ramp. 'Right now it's safer that way.' 'The last few days have been particularly harrowing and emotional for those who struggle with homelessness. 'These crimes are being committed against some of our city's most vulnerable people. These crimes are reprehensible.' Advertisement Dozens more demonstrators have been arrested overnight in cities across America as protests against the killings of two black men raged on for a sixth night as authorities warned they would not tolerate violence. At least 48 people were taken into custody in Baton Rouge, Louisiana, where Alton Sterling was shot dead on Tuesday last week, amid clashes with officers following an earlier peaceful march. Meanwhile in Minnesota, where Philandro Castile's shooting death by cops was broadcast on Facebook live on Wednesday, around 200 people shut down Interstate 94 in St Paul for hours as officers reported being hit by rocks, bottles, concrete, construction materials and fireworks. Scroll down for video Demonstrators took to the streets of Baton Rouge, where Alton Sterling was shot dead on Tuesday, for the sixth day in a row as police say 48 were arrested overnight amid clashes with officers Meanwhile activists also took to the streets of Minnesota to protest the killing of Philandro Castile. Scenes turned ugly after protesters occupied a roadway and began throwing bricks and fireworks at officers Hundreds of people also shut down the Hernando Desoto Bridge in Memphis, Tennessee, meaning traffic was stopped on the highway for hours before they were persuaded to disperse Demonstrations also spread to Newport News, in Virginia, where marchers pumped their fists in to the air as they walked down the city's main thoroughfare on Sunday night Oklahoma City also saw hundreds of people take to the streets to protest the killings of black suspects by police officers In Tennessee around 1,000 people shut down a key bridge over the Mississippi River meaning traffic was stopped for hours, while in Los Angeles hundreds of activists also closed the 405 freeway chanting: 'Hey ho, hey ho, these racist cops have got to go.' Calls for demonstrations to remain peaceful in Louisiana and Minnesota came as authorities grappled with a wave of protests against police use of force that has swept the country in the past week. Tensions rose further after Micah Johnson, an Afghan veteran, shot and killed five police officers at a spontaneous march in Dallas on Thursday, sending a chill through law enforcement as well as those involved in the mostly peaceful demonstrations. In Baton Rouge, police arrested 102 people on Saturday night and Sunday morning, mostly for misdemeanors for not leaving a major thoroughfare known as Airline Highway. Those arrested included DeRay Mckesson, an activist and former Baltimore mayoral candidate, officials said. Louisiana Governor John Bel Edwards told a news conference earlier on Sunday that he was proud of how the police had handled the protests so far, saying law enforcement had responded in a 'moderate' manner. He also said the vast majority of protesters had acted lawfully and non-violently. At least 48 people were arrested in Baton Rouge as officers attempted to keep the peace after almost a week of protests in the city The fresh arrests come after 102 people were taken to jail last night, with police chiefs saying they are unwilling to accept any violence Black Lives Matter protesters are continuing to demonstrate following the death of Alton Sterling earlier this week, who was shot dead by white police officers while selling CDs Heavily armored police officers took to the streets in Baton Rouge backup up by SWAT teams wielding assault rifles Of those arrested in Baton Rouge, the majority have been charged with obstructing highways, though there have been incidences of violence against police there Among those arrested yesterday was prominent Black Lives Matter activist DeRay McKesson, who was released today (pictured) Protesters from Louisiana or out of state will not be allowed 'to incite hate and violence, to engage in unlawful activities,' Edwards told a news conference. 'Now I want to be very clear. That will not be tolerated.' Edwards also said that blocking traffic was cause for arrest. The mayor of St Paul and a protest leader both decried the violence that erupted there which injured 21 officers and led to 102 arrests. St. Paul police said one officer suffered a broken vertebrae when a concrete block was dropped on his head during the protest on the interstate. 'We will not tolerate the kind of shameless violence we saw throughout the course of the night,' St. Paul Mayor Chris Coleman told a news conference. 'This doesn't honor anyone's memory. In St. Paul, Rashad Turner, leader of the local chapter of the Black Lives Matter group, told WCCO-TV that the throwing of rocks and bottles at officers was disturbing. He blamed outside agitators for the violence, not the protesters on the freeway. 'It's ridiculous. It cannot happen. It's not what we do here in St. Paul,' Turner said. 'It does not honor Philando Castile.' Meanwhile peaceful early protests turned nasty in Minnesota after activists occupied Interstate 94 for hours and began hurling construction materials at officers Activists have spent days protesting in Minnesota after Philandro Castile was shot dead during a traffic stop as his girlfriend streamed the harrowing experience on Facebook live One Minnesota police officer was left with a fractured vertebrae after demonstrators dropped a brick on to his head from a highway At least 50 demonstrators were arrested during the day's events in Minnesota, with the majority of those coming amid the violence Meanwhile in Dallas, where five cops were shot dead earlier this week, protesters also gathered to demand justice for those killed in police shootings (pictured, police sergeant Paul Hinton takes part in a prayer circle with Black Lives Matter protesters) The Dallas demonstrations were met by counter demonstrators demanding the police lives be protected Authorities said 50 protesters were arrested on the Minnesota interstate and 52 others later on a street near the governor's mansion, the site of most of the protests since Castile was shot on Wednesday. 'Protesters last night turned into criminals,' St. Paul Police Chief Todd Axtell said. 'And I am absolutely disgusted by the acts of some, not all, but some.' Some 300 people attended a peaceful protest on Sunday afternoon at the St. Anthony Police Department. St. Anthony police patrol the Falcon Heights suburb where Castile was shot. In Baton Rouge, the capital of Louisiana, police said protests outside their headquarters appeared to be becoming more violent as protesters arrived from out of town. After his arrest, Mckesson was booked on a misdemeanor charge of obstructing the highway and released from the East Baton Rouge Parish jail, according to the sheriff's website. Baton Rouge police confiscated three rifles, three shotguns and two pistols at Saturday night's protest and were wearing helmets, shields and body armor. Police said those arrested had ignored repeated orders to stay out of the street, while demonstrators said officers charged into the crowds seemingly without any obvious provocation. 'The only people who were violent last night were the Baton Rouge Police department,' Mckesson told reporters after his release. 'The protesters remained peaceful, both here and across the country.' New Jersey also saw protests take place on Sunday as waves of racial unrest sweep across America following the police shooting of two black men last week Hundreds of Black Lives Matter demonstrators took to the streets in New Jersey to demand justice for black people killed by police Necie, right, who did not give her last name, from Houston, hugs Oklahoma City police officer R. White during a Black Lives Matter rally Oklahoma also saw counter demonstrations take place by a group of activists who took to the streets waving confederate flags Hundreds of protesters also shut down a highway in Los Angeles overnight as they joined in a chorus of discontent across the nation Dramatic CCTV footage shows a pair of robbers threatening shop staff with a gun before stealing cash from the till. Scott Smith, 38, and Paul Brown, 38, stormed into a post office and two small shops using a handgun to threaten staff and demanding cash. A video has now been released of one of the robberies of a Co-Op store in February and shows one of the men pointing a sawn-off shot gun in the face of a young member of staff. Dramatic CCTV footage of one of the robberies of a Co-Op store in February shows one of the men pointing a sawn-off shot gun in the face of a young member of staff Scott Smith, 38, and Paul Brown, 38, stormed into a post office and two small shops using a handgun to threaten staff and demanding cash Two of the raids took place in December last year and one in February this year in the Bromley area of south London and stole a total of 1,295. Both men pleaded guilty to three counts of armed robbery at Croydon Crown Court on Friday and will be sentenced next month. The first brazen raid took place in the Bromely area in south London, at a sub-post office at around 5.20pm when the pair came in when Brown pointed the handgun at staff from behind the counter, demanded cash and stole 695. In the second they targeted a newsagents at around 7pm, when Smith threatened staff with what is believed to be sawn-off shotgun, and stole 400 in cash from the till. The final raid took place at a Co-Op store in Orpington, south London armed with the same gun at around 9.20pm. Two of the raids took place in December last year and one in February this year in the Bromley area of south London and stole a total of 1,295 Both men pleaded guilty to three counts of armed robbery at Croydon Crown Court on Friday and will be sentenced next month CCTV footage captured the terrifying moment the two young staff member were threatened by the pair, and one can be seen cowering on his knees in fear. Smith and Brown shout at the two members of staff before taking 200 from the till. The two unemployed men both used a range of different hats and sunglasses to hid their identity. The case was investigated by the Metropolitan Police's Flying Squad, who tracked Smith and Brown down and arrested them in March. The case was investigated by the Metropolitan Police's Flying Squad, who tracked Smith (left) and Brown (right) down and arrested them in March They were charged with three counts of armed robbery and and pleaded guilty on Friday at Croydon Crown Court. Detective Sergeant Gary Ferguson, of the Met's Flying Squad, said: 'We were determined to catch Smith and Brown quickly as their crimes included serious threats of extreme violence towards innocent members of the public. 'I am delighted that the danger they posed to public safety has now been averted by their imprisonment. I have no doubt that, had they not been arrested so quickly, they would have gone on to commit further offences.' He added: 'I would like to thank the victims in this case for their brave assistance. I sincerely hope that the sentences handed down today bring them a measure of comfort and closure.' A man has been killed by a falling tree in the Adelaide Hills, as severe weather warnings for damaging winds have been issued for parts of South Australia, Victoria and New South Wales. The 71-year-old man was clearing a fallen tree from the road in Tungkillo when he was struck by a second tree about 11am on Monday, reported the Adelaide Advertiser. The Bureau of Meteorology said people should prepare for damaging winds in the Mount Lofty Ranges, lower south east and parts of the Murraylands districts. Scroll down for video A severe weather warning for damaging winds has been issued for Victoria and parts of New South Wales, including the south coast, Snowy Mountains and Australian Capital Territory. Pictured are commuters in Melbourne Melbourne (pictured) can expect high winds and showers over the next few days towards the end of the week The South Australian State Emergency Service has advised people to move vehicles under cover or away from trees, secure or put away loose items around properties and for people to stay indoors, away from windows, while conditions are severe. Victoria can expect to be hit by a deep low pressure system over the Bight which is moving slowly to the southeast, bringing wintry conditions, high winds, rain and snow above 400 metres. Damaging winds of 50 to 60 km per hour with gusts of 90 to 100km per hour are forecast for people in the Wimmera, North Central, North East, South West, Central, West and South Gippsland and East Gippsland forecast districts. Weatherzone meteorologist Kim Westcott said snow could be expected above 400m in Victoria on Tuesday evening and into Wednesday morning. By Wednesday the temperature will only reach a top of 11 degrees. Pictured is Fawkner Park in Melbourne Mount Buller, about 200 kilometres east of Melbourne, is expecting heavy snowfall this week A skier takes to the race track at Mount Buller on Monday in temperatures of minus one degree Snow bunnies will enjoy a possible 28 centimetres of snow expected on Tuesday Already cold temperatures at the Victoria snow spot are set to drop even more, hitting minus nine degrees on Wednesday A skier flies past the photographer on Mount Buller in Victoria on Monday A snowboarder comes to a powdery stop on the slopes of Mount Buller in Victoria Weatherzone meteorologist Kim Westcott told Daily Mail Australia the system would bring rain, snow and wind to the state. 'Melbourne today is pretty windy, there are northerly winds throughout the city at the moment that are looking to increase throughout the middle of the day before they peter off in the afternoon,' she said. 'Olympic Park has seen a gust of wind at 57km per hour early this morning and those north west winds are averaging 33km per hour which is pretty strong. Wind gusts have been recorded as even stronger at Melbourne Airport at 43km per hour and stronger still at Avalon at 69km per hour. 'You will have a bit of a battle with an umbrella, it's one of those days that you probably need a raincoat instead,' Ms Westcott said. The system will move over Melbourne on Tuesday, with very similar conditions and more showers. 'We could see snow above 400m during the evening and into Wednesday morning, but by Wednesday the temperature will get quite cold during the day, reaching a top of 11 degrees.' She said the wind will make it feel a fair bit colder, but showers will start to clear by Wednesday. Meanwhile in New South Wales a severe weather warning has been issued for damaging winds for people in the South Coast, Snowy Mountains and parts of the Illawarra, Southern Tablelands, South West Slopes and Australian Capital Territory Forecast Districts. An image of Chinatown in Sydney. The city is forecast to experience drier conditions than Melbourne but will be windy, with winds picking up to 55 km per hour on Tuesday morning Weatherzone meteorologist Kim Westcott said Wednesday in Sydney will be windy and cold with a top of 14 degrees but mostly sunny. Pictured is the Sydney Opera House The weather forecast for Sydney is looking drier than Melbourne, but winds are set to pick up, increasing to 55km per hour on Tuesday morning, before petering off in the afternoon. 'Wednesday will be windy and cold with a top of 14 degrees, it will be a pretty cold day for Sydney but mostly sunny with patches of cloud a bit later on and a bit of sunshine,' Ms Westcott said. 'When it's 14 degrees it will feel closer to around 10 or 11 degrees at times on Wednesday.' Towards Thursday and Friday and later on once that's passed, a large high pressure system will be coming in, bringing colder mornings for Victoria and New South Wales, sunny conditions and lighter winds. Shots have been fired into a newly opened Melbourne gym on Monday morning. The incident happened just before 6.30am at Platinum Athletic Gym on Whiteman Street in South Melbourne. Police are on the scene to investigate the incident and at least 12 shots were fired, 9News reported. Gym owner Shane said he had 'absolutely no idea' why his business had been targeted. Scroll down for video Shots have been fired at a newly opened Melbourne gym on Monday morning. The incident happened just before 6.30am at Platinum Athletic Gym on Whiteman Street in South Melbourne 'We've been opened about five weeks now and we're a community-based gym. We've opened to try and improve the health and welfare of the community,' he told Daily Mail Australia. 'This senseless violence is flabbergasting. It has taken our breath away here.' Shane believed this was a 'random' shooting, saying the area had 'some interesting times' in the past. At least 12 shots were fired at the business - which has only been opened for five weeks Gym owner Shane said he had 'absolutely no idea' why his business had been targeted Shane believed this was a 'random' shooting, saying the area had 'some interesting times' in the past Police are on the scene to investigate the incident and at least 12 shots were fired. Pictured is a Google street view of Whiteman Street 'It's crazy. I've never associated with anyone that has a history or anything like that,' he said. 'It could just be someone cracked it at the local area to make a point and what that point is we have no idea.' At the time of shooting, the gym was closed and Shane added he was glad everyone was safe and well. A Victoria Police spokeswoman said the shooting happened before staff had arrived to open up. 'Staff arrived at a Whiteman Street gym to find a number of shots had been fired into the side of the building and roller door,' the spokeswoman told Daily Mail Australia. Fermin Vincent Valenzuela (pictured, right) died on Sunday after his family took him off life support. On July 2 he was stunned by a police stun gun A 32-year-old man has died about a week after Anaheim police used a stun gun on him during an arrest. Fermin Vincent Valenzuela went into cardiac after police used a stun gun to subdue him. He was placed in a medically induced coma and died on Sunday after his family took him off life support, Jackie Boyadjian, an attorney for the family, confirmed. 'There was no brain activity,' said Patricia Gonzalez, Valenzuela's ex-wife, with whom he has two children, told the OC Register. 'We were just holding his body back. He was already gone.' On July 2, Anaheim, California, police responded to a call about a suspicious man who followed a woman to her home. Officers found Valenzuela and when they approached him he used 'physical force to push' the offices back, according to Sgt. Daron Wyatt. A stun gun was deployed, which caused the cardiac episode. Police tried to revive Valenzuela and called paramedics. 'While any loss of life is a tragedy,' Anaheim Police Chief Raul Quezada said in a statement, 'the death of Mr. Valenzuela while in our custody is particularly impactful and I express my sincere condolences to the family.' On July 2, Anaheim, California, police responded to a call about a suspicious man who followed a woman to her home. Police found Valenzuela there and stunned him after he tried to use 'physical force to push' them Anaheim Police Chief Raul Quezada said in a statement, 'the death of Mr. Valenzuela while in our custody is particularly impactful and I express my sincere condolences to the family' Valenzuela was diagnosed as bi-polar and spent years going on and off his medication, according to Gonzalez. 'I think he was off his medication,' she said. 'He just sort of drifts away when he's in a depression.' Of 468 injured drink-drivers tested in hospital, 31 per cent were under 26 Victorian Police and the state's roads minister are at odds over a push to extend the zero blood alcohol limit to all drivers under 26. Assistant Police Commissioner Doug Fryer used the 40th anniversary of the introduction of random breath testing in Victoria to start a discussion to widen the drinking ban. 'We know young drivers are at the highest risk on our roads and they are consistently over-represented in alcohol-related road trauma,' Mr Fryer said on Monday. Victoria Police Assistant Commissioner Doug Fryer used the 40th anniversary of the introduction of random breath testing in the state to suggest drivers under 26 should be banned from drinking (this is a stock image) Any Victorian convicted of drink-driving is required to fit an interlock device in their car. Pictured, a random breath test at the AFP Training Facility in Canberra A mixture of inexperience and self-determined invincibility leaves them exposed and extremely vulnerable to road trauma, he said. 'The aim of this is to further ingrain the importance of separating drinking from driving among young drivers,' Mr Fryer said, according to the Herald Sun. 'It's our hope that by the age of 26, drivers will not only be better positioned to make a more informed decision when it comes to impaired driving but they will have been conditioned to completely dissociate the behaviours.' But Minister for Roads, Road Safety and Ports Luke Donnellan said expanding drink-driving laws was not being considered by the government. 'Victorians rightly find drink-driving abhorrent and that is why the Andrews Labor Government recently tightened laws to deter people from getting behind the wheel while over the limit,' Mr Donnellan said. Any Victorian convicted of drink-driving is required to fit an interlock device and those caught with a blood alcohol content over 0.10 will also have their cars impounded on the spot. In 2014, of the 468 injured drink-drivers tested in hospital, 31 per cent were aged under 26. Minister for Roads, Road Safety and Ports Luke Donnellan said expanding drink-driving laws was not being considered by the government. Above, a road safety campaign against drink driving in Coolgardie, Western Australia She was held for 24 hours but has now been released and is recovering in a hotel room before traveling home , so crossed her arms and stared them down Evans found their actions unjust, as the protest was Advertisement It is the photo seen around the world: A young woman in a flowing dress standing with her arms crossed facing down a line of heavily armed police while two armored officers rush forward to put her in handcuffs. Now Dailymail.com can exclusively reveal the woman to be Ieshia Evans, 28, a mother and licensed practical nurse from New York, who was attending her first protest when she was arrested. Natasha Haynes said Evans, a lifelong friend, traveled to Baton Rouge, Louisiana, following the shooting of Alton Sterling because she 'wanted a better future for her five-year-old son'. Evans, who is the mother of a five-year-old son, had become 'overwhelmed with emotion' while watching the demonstrations and so had decided to travel from her home in New York to take part, a friend told Dailymail.com Ieshia Evans, 28, was attending her first ever protest march in Baton Rouge yesterday when she was pictured in this iconic image being arrested by heavily armed police Natasha Haynes, a lifelong friend of Evans, said she was worried about her son's future in the wake of the shootings of Alton Sterling and Philandro Castile, and wanted to help make a better future for him Haynes said: 'She's a vision with a beautiful heart and peaceful stance in life. I've known her for 20 years. She was the maid of honor at my wedding. I'm a god-mother to her son. 'She's everything to me and I'm just happy she's safe, not hurt and - most importantly - happy that she got up and did something about injustices here in the States.' Haynes explained that Evans was 'overcome with emotion' while watching the demonstrations on TV and decided to take action. She left her son with his father in New York and traveled to the protest site where she was taking part in the main demonstration shortly before she was arrested. Haynes said that the fateful moment she was arrested came when officers attempted to push the crowd back from the Baton Rouge Police Department, despite the protest being peaceful until that point. Evans told her: 'I went into the street with my arms crossed and just stared at them. I guess they didn't like it because they detained me.' Evans was arrested as police tried to push protesters back from the Baton Rouge Police Department building, despite the demonstration being peaceful Evans did not agree with the police actions and folded her arms and fixed officers with a stare, at which point they ran forward and handcuffed her before taking her into custody While Evans said that the arrest was a little rough, as was processing, while in jail a kind officer made sure all of the demonstrators were treated well, she explained. Police records show she was held overnight before being booked and released on Sunday, and she is now in her hotel room in Louisiana recovering from her ordeal. Evans said: 'I just need you people to know. I appreciate the well wishes and love, but this is the work of God. I am a vessel! Glory to the most high! I'm glad I'm alive and safe. And that there were no casualties that I have witnessed first hand.' Evans was one of more than 100 people to be arrested in Baton Rouge on Saturday, including prominent Black Lives Matter member DeRay McKesson who was walking along the side of a highway when he was ordered to get on his knees and handcuffed. Police said that two of their officers were injured, including one who lost several teeth after being struck with 'a projectile'. In total 102 people were arrested in Baton Rouge last night, police said, with most of those being charged with obstruction of a highway While demonstrations were largely peaceful during the day, at night scuffles broke out between activists and officers, with police saying objects were thrown at them, while protesters accused cops of charging at crowds Protests have been taking place for six days in Baton Rouge after 37-year-old Alton Sterling was shot dead by officers on Tuesday Louisiana State Police spokesman Major Doug Cain said that in total 102 people were arrested on Saturday, with most of those being charged with 'obstruction of a highway', believed to be the charge that Evans is facing. Louisiana Governor John Bel Edwards said he was proud of how the police had handled the protests so far, saying law enforcement had responded in a 'moderate' manner. He also said the vast majority of protesters had acted lawfully and nonviolently. Edwards said, however: 'It is not ... appropriate to allow them to simply block a major thoroughfare like Airline Highway.' Protesters from Louisiana or out of state will not be allowed 'to incite hate and violence, to engage in unlawful activities,' Edwards told a news conference. 'Now I want to be very clear. That will not be tolerated.' Baton Rouge police confiscated three rifles, three shotguns and two pistols at Saturday night's protest and were wearing helmets, shields and body armor. Police said those arrested ignored repeated orders to stay out of the street, while demonstrators said officers charged into the crowds seemingly without any obvious provocation. Members of Torchbearer Beta Chapter of Beta Sigma Phi met at Risky's and car-pooled to Lincoln to enjoy a day trip planned by hostess Alnora Daubendiek using the 2016 official Passport Travel Program booklet. First on the agenda was visiting the American Historical Society of Germans From Russia Museum. All those in attendance gained a rich appreciation of those who settled in the upper and lower bottoms of Lincoln. Following this tour the sorority enjoyed lunch at the Schillingbridge Cork and Tap House in the Fallbrook area. The afternoon included a visit to the Indigo Bridge Book Store, the historical Burlington Railroad Depot, and Burlington Antique Shop, all in the Haymarket. The final stop was for homemade ice cream, all flavors at Ivana Cone. Then a stop at the Licorice International Shop to sample interesting flavors from around the world and to purchase a bag for grandchildren and others. Two campers have been arrested for allegedly starting the Cold Springs Fire in Colorado that has forced almost 2,000 people to evacuate their homes. Jimmy Andrew Suggs, 28, and Zackary Ryan Kuykendall, 26, both of Vinemont, Alabama, were booked into the Boulder County Jail on Sunday afternoon. Authorities say the men left a poorly extinguished campfire smoldering as hot, dry winds whipped down from mountain peaks, that sparked the wildfire near Nederland on Saturday. Both are charged with 4th degree arson, a class four felony. 'The men face a felony arson charge because lives were endangered as a result of the fire,' according to police spokesman Cmdr. Mike Wagner. Jimmy Andrew Suggs (left) and Zackary Ryan Kuykendall (right) have been arrested for allegedly starting the Cold Springs Fire in Colorado that has forced almost 2,000 people to evacuate their homes 'The investigation into the Cold Springs Fire is ongoing, although additional arrests are not anticipated,' he said. Suggs and Kuykendall were arrested at the Nederland High School evacuation site at 4.40pm, police said. Investigators determined the origin of the fire was an ad hoc campsite near the Peak-to-Peak Highway and Cold Springs Road. The men and a female friend had been camping on private property and allegedly made the campfire on Thursday night, which lasted until Friday morning. 'The men did not ensure that the fire was properly extinguished by dousing it with water, or making sure the ashes were cool to the touch before leaving the site,' Wagner added. Authorities say the men left a poorly extinguished campfire, smoldering as hot, dry winds whipped down from mountain peaks, that sparked the wildfire (above, on Sunday) near Nederland on Saturday Investigators determined the origin of the fire was an ad hoc campsite near the Peak-to-Peak Highway and Cold Springs Road. Above, the fire continues to burn on Sunday Authorities believe the winds in the area combined with the weather allowed the fire to continue smoldering. The initial wildfire was reported by a 911 caller on Saturday at 1.30pm, police said. The woman, identified as Elizabeth Burdeshaw, 20, also of Vinemont, is not facing charges as she had no involvement in creating, maintaining or extinguishing the fire, police said. A total of approximately 1,991 people have evacuated due to the Cold Springs Fire as of Sunday evening, the Boulder Office of Emergency Management said. Around 1,253 people were evacuated from areas north and west of Boulder Canyon and east of Peak to Peak Highway on Saturday. A further 738 people were told to evacuated from the Magnolia area, south and east of Boulder Canyon Road, on Sunday, the office said. Authorities are warning that shifting high winds and high temperatures on Sunday could put more homes in danger. A plane spreads flame retardant as they battle the Cold Springs Fire near Nederland, Colorado, on Sunday The fire that started on Saturday spread quickly, and it scorched 300 acres as it moved to the northeast, with no containment. Power was knocked out to some of the homes in the surrounding area. Boulder County Sheriff Joe Pelle said evacuations won't be lifted because of the weather forecast, with hot weather and high winds making it too dangerous. Officials said about 200 firefighters were on the ground and 12 aircraft are fighting the fire from above, with more help on the way. Resident Lee Kennedy was evacuated from her home on Ridge Road, but she got a glimpse of the fire before she left, the Boulder Daily Camera reported. 'We went outside and looked and saw an inferno in the sky,' Kennedy said. In northern Colorado, firefighters say a wildfire near the Wyoming border has burned another 1,000 acres, and they are warning that the fire could spread rapidly on Sunday because high winds are forecast. An evacuation order was issued for Parsons Draw landowners on Saturday. That fire started on June 19 and now covers more than 22 square miles. Officials say that fire isn't expected to be contained until late October. Prime Minister Malcolm Turnbull had an awkward start to his first day back in the top job when an unexpected visitor to his office made it clear she was a fan of Tony Abbott. It all started innocently enough with Mila Liushe, 7, walked in and was spotted by the PM. Mr Turnbull was being briefed by Secretary of Prime Minister and Cabinet Martin Parkinson when he looked up and was surprised to see the daughter of a photographer at the end of his table. Scroll down for video 'Oh, hello': Prime Minister Malcolm Turnbull interrupts his briefing with Cabinet Secretary Martin Parkinson to greet Mila Liushe in his office on Monday From the mouths of babes: Australian Prime Minister Malcolm Turnbull meets seven-year-old Mila Liu during an incoming government briefing at Parliament House in Canberra. As they chatted she happily revealed that she'd met his predecessor Tony Abbott 'Hello, who is that?' he asked. 'It's our new photographer,' came the response. 'Oh is this your daughter?' Mr Turnbull one of the media contingent present. 'Oh hello, yeah, very excited, good to see you,' he PM added as the little girl gave him a big smile. 'It's up to you but do you want to get a picture with us together?' the PM offered. 'This is a good picture a lot of kids like - do you want to sit on my desk? Your dad can put you up there.' And then came the awkward moment when young Mila spoke up and excitedly told him: 'I've met Tony Abbott.' Prime Minister Malcolm Turnbull speaks to the Secretary of Prime Minister and Cabinet Martin Parkinson during an incoming government briefing at Parliament House in Canberra Quick as a flash, Mr Turnbull responded: 'Oh good, well you've met a lot of prime ministers then.' 'Yeah, very good. Very good, well it's great to see you.' And that then brought an end to the photo opportunity. Advertisement Prominent Black Lives Matter activist DeRay McKesson has been released from police custody after being arrested at a protest against the shooting death of Alton Sterling in Baton Rouge, Louisiana. McKesson, one of the most recognizable faces to emerge from the Black Lives Matter movement, was walking alongside Airline Highway when he was arrested on Saturday evening. He was released after 16 hours in jail on Sunday afternoon on bond after being charged with obstructing a highway during a protest, according to the Washington Post. Although arrested in a shirt with '#staywoke' written on it, McKesson was pictured in a booking photo wearing an orange jumpsuit. 'The Parrish jail staff had us wear orange jump suits,' he said on Twitter. 'I've since been told that this is not normal protocol.' He also revealed the difficulties protesters were facing in being released, claiming the jail was only accepting money orders for bail. 'Apparently, the Parrish jail is now only taking money orders today & all of the banks are closed,' he said. Later on Sunday evening, he headed out to join protesters in Baton, posting pictures on Snapchat and Twitter of a group of demonstrators outside the Triple S Food Smart where Sterling was killed. 'The sense of community at the Triple S tonight is incredible,' he said. The former educator built a national following after he left his home and job in Minneapolis in August 2014 and headed for Ferguson, Missouri, to document the rising anger over race relations after the police shooting of 18-year-old Michael Brown. Scroll down for video Black Lives Matter activist DeRay McKesson has been released from police custody after being arrested during a protest in Baton Rouge on Saturday night. He revealed that jail staff made him and other arrested protesters changed into orange jumpsuits for booking photos Police arrested activist DeRay McKesson during a Black Lives Matter protest along Airline Highway in Baton Rouge on Saturday night In the wake of his arrest on Saturday, supporters took to Twitter using the hashtag #FreeDeray, which more than 160,000 people had used by Sunday morning. Orange Is The New Black actress Laverne Cox sent him a message of support on Twitter, saying: '@deray thinking about you my brother and sending love and prayers to you and everyone fighting for justice. #FreeDeRay. He later replied: 'I appreciate you @Lavernecox. I'm out. And now we have to get the rest of the crew released!' In an interview following his release, McKesson called his arrest 'unlawful' and said police want people to be afraid of protesting. 'The protesters were peaceful last night; the police were not,' he told the Post. 'The police want protesters to be too afraid to protest, which is why they intentionally created a context of conflict, and Ill never be afraid to tell the truth,' he told the New York Times. 'What we saw in Baton Rouge was a police department that chose to provoke protesters to create, like, a context of conflict they could exploit.' Witnesses at the protest described McKesson's arrest as 'physically violent'. 'They tackled him,' fellow activist Brittany Packnett told the Post. 'One officer hit the top of his body and another officer the bottom.' She added that McKesson, who was live-streaming the protests, had been walking down the street with a group of around eight when an officer told him he had been 'flagged' and would be arrested if he left the sidewalk again. Moments later, he was arrested deray was fearless,all #blacklivesmatter I'm gonna spread it over here to show what is a real black a fighter@deray pic.twitter.com/0amZ3vPjQ5 1-800-WOKE-AF (@gloed_up) July 11, 2016 McKesson shared a video on Snapchat in a change of clothes after his first shower since being released from jail (right) before joining protesters outside the Triple S Food Mart where Alton Sterling was killed A few hours after his release, McKesson joined a group of demonstrators outside the Triple S Food Smart where Sterling was killed Later on Sunday evening, Mckesson headed out to join protesters in Baton Rouge and posted these pictures on Snapchat DeRay McKesson got a visit from Beyonce's sister Solange Knowles (left) and her husband Alan and shared this picture on Twitter Black Lives Matter activist DeRay McKesson walks out of the Baton Rouge jail on Sunday after spending 16 hours in police custody In an interview following his release, McKesson called his arrest 'unlawful' and said police want people to be afraid of protesting Orange is the New Black actress Laverne Cox tweeted a message of support to McKesson on Sunday night (above) The Black Lives Matter activist also shared these images on Twitter to show what protesters in Baton Rouge are up against 'The officers won't give their names. He was clearly targeted,' Packnett added. Footage from McKesson's camera captured the moments before his arrest. 'The police continue to just provoke people,' he says, right before an officer tells him he has been 'flagged.' 'You in them loud shoes, if I see you in the road, if I get close to you, you're going to jail,' the officer is heard saying. 'We're on the shoulder,' Packnett is heard saying. 'There is no sidewalk, sir.' Moments later, an officer is heard saying: 'City police, you're under arrest.' 'What?' replied McKesson. 'I'm under arrest, y'all.' McKesson called a close friend about 5.30am and said he was in 'okay physical condition,' the Post reported. He was one of 102 people, including at least three journalists, who were arrested during the protests in Baton Rouge, the Advocate reported. Police said they confiscated eight firearms during Saturday's protests - three rifles, three shotguns and two pistols. McKesson, one of the most regognizable faces to emerge from the Black Lives Matter movement, was walking alongside Airline Highway when he was arrested McKesson was one of the many protesters angry over the fatal shooting of Alton Sterling by two white Baton Rouge police officers McKesson, a former educator, built a national following after he left his then-home and job in Minneapolis in August 2014 for Ferguson, Missouri, to document the rising anger over race relations after the police shooting of Michael Brown Protests over the police shootings of two black men have raged on for a fifth night, with officers on edge after warnings spread of a planned cop-killing 'purge'. Pictured, protesters in Baton Rouge, Louisiana As the protests heated up, one Baton Rouge officer had several of his teeth knocked out 'as a projectile was thrown from the protest,' police said. 'It appears the protest at Baton Rouge Police Headquarters have become more violent as out of town protesters are arriving,' a police statement said. Most arrests were in connection with obstruction of a highway, records reviewed by the Advocate show. The majority of the protesters were from Baton Rouge, but there were others from San Francisco, Houston,Virginia and Oklahoma, the paper reported. 'We've been very clear that we want them to have every opportunity to protest and voice their opinion,' said spokesman Maj. Doug Cain, of the Louisiana State Police. 'But if you move into the roadway and break the law, you're going to be arrested.' Protests over the police shootings of two black men have raged for days, with officers on edge after warnings spread of a planned cop-killing 'purge'. Anger-fueled demonstrations continued in Baton Rouge, where street seller Alton Sterling, 37, was pinned down and shot by two white police officers on Tuesday morning. Marches and vigils have taken place every night since then and continued on Saturday night, with furious protesters confronting - and being arrested - by armed police. The heated confrontation saw lines of police officers come face-to-face with huge crowds of demonstrators, with protest leaders stepping in between the two packs to appeal for calm in scenes that harked back to the civil rights movement. Officers were on high alert after the FBI noticed social media posts warning of a 'Baton Rouge Purge.' According to the rumors, there was just one rule to the violence: 'Kill every police.' A protester is approached by police officers in riot gear after she refused to leave the motorway in front of the headquarters of the Baton Rouge Police Department Saturday The heated confrontation saw lines of police officers come face-to-face with huge crowds of demonstrators, with protest leaders stepping in between the two packs to appeal for calm in scenes that harked back to the civil rights movement A man protesting the shooting death of Alton Sterling is detained by law enforcement near the headquarters of the Baton Rouge Police Department Louisiana Marches and vigils have taken place every night since Sterling's death and continued earlier on Saturday, with furious protesters confronting - and being arrested - by armed police in Baton Rouge Angry demonstrations continued in Baton Rouge, Louisiana, where street seller Alton Sterling was pinned down and shot by two white police officers on Tuesday morning Officers were on high alert after social media posts warned of a 'Baton Rouge Purge' throughout Saturday night. According to the rumors, there was just one rule to the violence: 'Kill every police' Fireworks and rocks were thrown at police in St Paul, Minnesota - where Philando Castile was killed by police on Wednesday night - as protests turned violent there. Three cops were injured. There were more protests against police brutality across the county, including in New York, San Francisco, Denver, Florida, Pittsburgh, Philadelphia, Salt Lake City and Rhode Island. In Baton Rouge, hundreds turned out near Baton Rouge's police headquarters to demand justice. Armed officers wearing riot gear blocked the road and arrested a number of protesters who walked towards the line of cops. The protest was tense as police cleared the road so traffic could pass through. A police spokesman said two firearms were confiscated and several arrests made. Officers later went back inside their headquarters and traffic reopened. Baton Rouge resident Marie Flowers came to the protest in with her three children. She said people in the north Baton Rouge neighborhood where the shooting happened are frustrated. Protesters waved homemade signs while drivers honked their support and some stopped by with bottles of water. In Baton Rouge, hundreds turned out near Baton Rouge's police headquarters to demand justice. Two officers grab a woman's camera at the protest Armed officers wearing riot gear blocked the road and arrested a number of protesters who walked towards the line of cops The protest was tense as police cleared the road so traffic could pass through. Protesters were allowed to cross back over the road after it was emptied Tense: A police spokesman said two firearms were confiscated and several arrests made during the heated confrontations Anger: Protesters waved homemade signs while drivers honked their support and some stopped by with bottles of water Protests also continued in St Paul, Minnesota, where Castile was killed on Wednesday, with demonstrators blocking a highway Hundreds of protesters blocked a freeway and at least one person was injured after fireworks and rocks were thrown at police Fury has gripped much of the city's population since videos emerged appearing to show police officers Howie Lake and Blane Salamoni tackling Sterling to the ground, pinning him down and firing six shots at him. The 37-year-old father-of-five did not appear to reach for his gun, which was in his pocket. Tensions boiled over across America again after Philando Castile's death at the hands of a police officer in St Paul, Minnesota, was live streamed by his girlfriend. A protest against the two deaths in Dallas was suddenly transformed from a peaceful march into a bloody massacre, when Army veteran Micah Xavier Johnson opened fire on police officers, killing five and injuring seven more, as well as two members of the public. Sterling's cousin Tanya Sterling reacted in horror at the slayings of police officers in Dallas, who were protecting protesters marching against her loved one's death. 'Nobody in our family would condone any killings,' she said. 'We feel for those police officers and their families too because now they know what we are all going through and it ain't a good place. 'We have heard about it here and we cannot believe it is getting out of hand like this. 'All of our time since Alton got shot dead has been spent praying and protesting peacefully. We have never wanted any trouble.' Protests also continued in St Paul, Minnesota, where Castile was killed on Wednesday. Hundreds of protesters blocked a freeway and at least one person was injured after fireworks and rocks were thrown at police. Sterling (left) was killed following a confrontation outside a Baton Rouge convenience store early Tuesday morning. Castile (right), 32, was shot dead by a cop during a traffic stop in Minnesota On guard: A police officer is seen near the headquarters of the Baton Rouge Police Department during the angry protests on Saturday Unity: Protesters gave a black power salute as they joined in the protests on Baton Rouge on what was the fifth day of demonstrations Fury has gripped much of the town's population since videos emerged appearing to show police officers Howie Lake and Blane Salamoni tackling Sterling to the ground, pinning him down and firing six shots at him A protester yells at police in front of the Baton Rouge Police Department headquarters after police arrived in riot gear to clear protesters In New York, hundreds of protesters marched on Union Square, chanting 'black lives matter' and 'no justice, no peace'. The protesters kept to the sidewalk at first, but then took to the roads, leading to some arrests for public disorder. In San Francisco, Bay Bridge was shut off as hundreds of demonstrators tried to march on to the freeway. There were similar protests in Fresno. A sit-in in protest at the killings continued in Denver, while in Florida Black Lives Matter marches in West Palm Beach and Fort Lauderdale went ahead peacefully. Another protest is expected in Miami on Saturday night. Several hundred people broke off from Pittsburgh's 200th anniversary parade to protest recent police shootings across the country, some of whom were affiliated with Black Lives Matter. In Philadelphia, a group of about 100 protesters marched to two police precincts in the northern part of the city on Saturday. More than 150 people gathered in downtown Newport, Rhode Island, in support of the Black Lives Matter movement. There were more rallies in Salt Lake City, where protesters danced to show they were not a danger to police. A protester watches as police in riot gear clear the street of protesters in front of the Baton Rouge Police Department headquarters Stand-off: Protesters legally carrying guns were seen chanting and using megaphones to rally each other as the protests continued The makers of Paddle Pops and Sakata rice crackers have been fined for claiming their products were 'school canteen approved'. Unilever and Smith's, which own Paddle Pops and Sakata rice crackers respectively, have been fined $10,800 each by the Australian Competition and Consumer Commission for misleading healthy food representations. The ACCC said both companies made false statements on the packaging of both products, which led people to believe they were approved or suitable options for school canteens. The makers of Paddle Pops and Sakata rice crackers (pictured) have been fined for claiming their products were 'school canteen approved' Unilever's Paddle Pop Rainbow 10-pack included a logo on the front, back and one side of the packaging with the words 'School Canteen Approved' and a tick symbol. Smith's Sakata Paws Pizza Supreme Rice Snacks had a similar logo with the words 'Meets School Canteen Guidelines' and an image of a sandwich and apple. Unilever and Smith's, which own Paddle Pops (pictured) and Sakata rice crackers, have been ordered to pay $10,800 each Though both products did have a disclaimer on them to say it met the 'amber' criteria of the National Healthy School Canteens guidelines, the consumer watchdog noted it was in a small font size and on a different side to the logos. The ACCC did not consider these disclaimers were 'sufficiently prominent' for consumers to see. The National Healthy School Canteens guidelines rates each product by its healthiness by using green to indicate food that is 'always on the canteen menu', amber to warn people to 'select carefully' and red for foods 'not recommended on the canteen menu'. '[We believe] both companies were using logos to claim that these products were a healthy option for school canteens to supply to children, when they were not,' ACCC Commissioner Sarah Court said. 'School canteen managers, parents and caregivers rely upon product packaging and labelling when choosing healthy snacks for children. 'The ACCC is currently examining consumer protection issues around ensuring that the health claims made by large businesses are accurate and will not mislead consumers.' Unilever and Smith's have informed the ACCC they will both stop using the logos on its products. The ACCC said logos pictured above appeared on Paddle Pops Rainbow 10 Packs (left) and Sakata Paws Pizza Supreme Rice Snacks (right) A Unilever spokeswoman said the company was 'committed to providing clear, easy-to-understand information about our products'. 'We have cooperated fully with the ACCC and will be removing the School Canteen Approved logo from our Paddle Pop core range to address their concerns,' she said. A Smith's spokesperson said the company stood by the integrity of the labelling and marketing of the Sakata Paws Pizza Supreme Rice Snack products. 'The Smiths Snackfood Company is committed to food labelling transparency and providing consumers with the information they need in a way which is clear and unambiguous,' the spokesperson said. 'While Sakata Paws Pizza Supreme Rice Snacks meet the current amber classification under the New South Wales, Queensland, Victoria and South Australia School Canteen Guidelines, we previously began implementing voluntary packaging changes to remove reference to the School Canteen Guidelines prior to receiving any infringement notice from the ACCC. Karl Stefanovic was spotted tucking into his breakfast as the Today show cut to its 8am news segment on Monday. Channel Nine newsreader Aislin Kriukelis was reporting on a Melbourne police chase when the television host was seen bringing a piece of cutlery to his mouth. The 41-year-old was spotted leaning just into the camera frame and taking a bite of his breakfast. Karl Stefanovic (left) was spotted tucking into his breakfast as the Today show cut to its 8am news on Monday Later in the program Karl and co-host Lisa Wilkinson celebrated the news that Peter Stefanovic had become engaged to long-term girlfriend Sylvia Jeffreys. 'He, according to Sylvia, got to one knee a couple of weeks ago she said yes, after she fainted,' Karl said. 'A big hearty congratulations and all our love from here at the show,' he added. He also joked that Sylvia 'could have done a whole lot better'. The TV personality has recently taken up a fitness regime and was seen last week in a Sydney park taking part in an outdoor session. Karl's future at Channel Nine looked uncertain late last year when it was announced his long-term friend and the company's CEO David Gyngell was stepping down. At the time it was claimed the shake-up could have pushed him further towards a deal with a rival network. The 41-year-old (right) is spotted leaning just into the camera frame and taking a bite of his breakfast The TV personality has recently taken up a fitness regime and was seen last week in a Sydney park taking part in an outdoor session He was seen working out with a personal trainer in a park in Coogee in Sydney's east Indonesia is to increase the number of prisoners executed by firing squad in its war on drugs. The country's attorney general Muhammad Prasetyo has said at least two convicts will be lined up and shot soon for their crimes. Among those facing the death penalty are foreigners, he said, but he did not elaborate upon the crimes of which they are convicted. Scroll down for video At least 121 people are on death row in Indonesia facing the firing squad, including 35 foreigners, mostly convicted of drug-related crimes, according to the Justice Ministry. Pictured here is a Lebanese murderer being executed Indonesia's attorney general Muhammad Prasetyo, pictured, has said at least two convicts will be lined up and shot soon for their crimes Prasetyo said: 'The implementation of the executions will be carried out after the (Eid al-Fitr) holiday period that has just ended. 'There are more than two people, and there are foreigners. There are protests but we will still carry out the executions.' Indonesia last year executed 14 people, mostly foreign drug traffickers, and has vowed to ramp up that number despite international condemnation. Prasetyo previously said 16 prisoners would be executed this year at a minimum and more than double that number next year. At least 121 people are on death row in Indonesia, including 35 foreigners, mostly convicted of drug-related crimes, according to the Justice Ministry. They include Mary Jane Veloso from the Philippines, Lindsay Sandiford from Britain and Frenchman Serge Atlaoui. British grandmother Lindsay Sandiford from Redcar on Teesside, has been on death row since December 2012 after attempting to smuggle cocaine into Bali after arriving on a flight from Bangkok. The 59-year-old admitted smuggling 4.8kg (10.6lb) of the drug but said she was pressured by a smuggling gang. However, Miss Sandiford sounded philosophical when she was interviewed by the Mail on Sunday last year. She said: I'm nearly 60 and a lot of people don't live to be this age. Being lined up and shot isn't the ending I'd pick, but everyone has to go somehow.' British grandmother Lindsay Sandiford has been on death row in Indonesia since December 2012 Indonesia executed 14 people, including 12 foreigners, in total last year and it has been suggested a further 10 people will be executed this year. Firing squads are made up of 12 paramilitary police, three of whose rifles are loaded with live ammunition, while the other nine rifles contain blanks. A mother who fell on top of her 15-year-old son to protect him during the Dallas shooting has praised the 'hero cops' who formed a human shield around the pair to keep them safe. Shetamia Taylor, 37, was one of the two civilians wounded when Micah Xavier Johnson opened fire during a protest she had been attending with her four sons. After being hit in the lower leg with a bullet that shattered her tibia, Taylor's 15-year-old son came back to help, prompting her to drag him under a car and cover him with her body. Now Taylor has praised police who surrounded the pair and used themselves as human shields as Johnson let rip with a hail of gunfire. Scroll down for video Shetamia Taylor, 37 (left), has praised the 'hero cops' who she says used themselves as human shields after she was shot during the Dallas attack and fell to the ground protecting son Andrew Humphrey, 15 (right) Shetamia Taylor (pictured) was at the Black Lives Matter protest with her son Andrew Humphrey, 15, on Thursday night when gunman Micah Xavier Johnson, 25, opened fire nearby Speaking to the New York Post, she said: 'The officer got on top of me and covered me and my son. 'Another cop [was] at my feet and another [stood] by us and they protected us. I saw another officer get shot in front of me. 'Im so thankful. Thank you for being heroes.' The majority of the officers helping to protect her were white, she said, which made her 'admiration for police greater.' However, she stressed the need to protest the killing of Alton Sterling and Philandro Castile, two black men shot dead by police which have sparked days of demonstrations nationwide. Earlier in the day, Taylor had described the terrifying moment she first heard gunshots and turned to see a police officer get shot right in front of her. As he was going down, he shouted at her and her sons, 'He's got a gun, run!' 'My kids started running and I wanted to make sure they were all in front of me. I was running behind them and I felt the bullet, I don't know if it bounced off the ground, but I felt it hit my leg.' Seeing his mother fall behind, her son Andrew turned a round but fearing another gunshot, Taylor grabbed the teen and pushed him to the ground between a car and the curb, and lay on top of him. In an emotional interview, Taylor described the terrifying moment she first heard gunshots and turned to see a police officer get shot right in front of her That's when they noticed a group of police officers coming round the block. During a press conference at Baylor University Medical Center today, Taylor said she called out for help to the cops who immediately circled the 37-year-old and her son to try and shield them from the gunfire. 'An officer jumped on top of me and stayed there with us,' she said. 'I've never been in a situation like that before. There was was hundreds of rounds, I've never heard anything like that. 'I'm so thankful for the Dallas Police Department. They had no regards for their own lives. They stayed with us, and surrounded us. I just wanted to protect my family 'How they came around and just guarded us like that.' She said that she doesn't believe the cop who warned them to flee survived. 'I don't think he made it,' she said. Taylor said she saw another officer get hit in front of her, before they were able to move to safety. 'They were really heroes for us,' she said, adding that the majority of officers protecting her were white. 'I've always held police officers in high regard - my son has always wanted to be a police officer. Kavion Washington, 18, (right) had grabbed his younger brother Jermar Taylor, 12, (left) and dragged him to safety during the shooting Jajuan Washington, 14, (left) had fled during the shooting while Taylor had dived over her son Andrew Humphrey, 15, right, to protect him from the gunfire 'Police officers are not all bad, they are not all out to get us. 'If anything, this has just made my admiration for them even higher.' After waiting behind the car, Taylor said that she, her son and the officers were able to use a break in gunfire to run to a police vehicle. They were really heroes for us 'Another police officer was in the back of the car with my son. I'm thankful that officer didn't get hit while he was driving us. While Taylor, originally from Minnesota but now of Dallas, Texas, praised the Dallas Police Department as 'heroes', she shrugged off the idea that her own actions were equally as brave. 'I didn't do anything that any other mother or father wouldn't have done for their child,' she insisted. 'I'm just a mother and a wife. I'm not an activist, I'm not a politician. 'I just wanted to protect my family. If it was going to happen to one of my son's, it was going to happen to me first.' The 37-year-old, who was one of two civilians wounded in the attack which killed five Dallas officers and injured seven others, dived over her son to shield him from the blaze of bullets The mother-of-four said that the entire time she was separated from her other three sons, she was praying they were safe. 'I kept praying, 'cause I got separated from my other three,' said Taylor, who is still in a wheelchair, recovering from the gunshot wound. 'I just prayed the whole time I was on the ground, and when I was in the car... for everybody to be safe. It was a 'very long hour' before she discovered her sons were all safe. But it was a bitter-sweet moment. 'I'm celebrating my kids being alive, and I'm listening to officers tell each other how another officer didn't make it. 'It hurt. Of course, I'm thankful my babies are OK but I feel bad someone's husband isn't.' The Amazon employee said that she wasn't normally one to protest but she had been moved to take a stand by the killings of CD salesman Alton Sterling, 37, in Baton Rouge, Louisiana on Tuesday morning, and school cafeteria supervisor Philando Castile, 32, in Minnesota, on Wednesday night. She decided to go to the march in downtown Dallas with her sons; Jermar Taylor, 12, Jajuan Washington, 14, Andrew Humphrey, 15, and Kavion Washington, 18, to protest against the violence. But she was angry that gunman Johnson believed the solution to the violence, was more violence. 'I'm sorry that it happened, that the person thought it would be ok. That it would solve something. Johnson fatally shot five officers and wounded seven more before police killed him with a remote-controlled bomb in early hours of Friday. Above, police respond after shots were fired at the protest Micah Johnson (pictured) was sent home from Afghanistan after being accused of sexually harassing a female soldier and followed black militant groups on social media. Right, a photo on Facebook showed him wearing a dashiki and raising his fist 'Why would he do that?' However, the mother-of-four says the horrific ordeal has not put her off protesting in the future. She insists she would go to an other demonstration to prove she is not scared and that she has not given up hope. 'I am not going to stop. I want my community to be unified, I want my people to be unified,' she said. Taylor's son Andrew told Daily Mail Online he was extremely proud of his mother's bravery. 'We were just at the protest and then the next thing, she basically grappled with me and threw me down,' he said. 'It was scary but I'm fine now. She is fine too and will be leaving hospital later.' 'I don't know what to say about her... She's... I guess she is a hero, yeah,' he said. Speaking from the family home in Garland, Texas, he added: 'It's been a lot to take in. I didn't even know I was going to the protest until Thursday night. 'There's been a lot of police brutality but the cops [who died] didn't deserve that. I feel so sorry for their families.' Notes and flowers decorate a squad car at a makeshift memorial in front of the Dallas police department after five police officers were killed in the shooting on Thursday night Neighbor James Cook, 35, knows Taylor well and said he wasn't surprised by her selfless actions. 'They're nice people and she's always listening to church music, so I'm not surprised she would do something like that.' He added: 'I respect what the police do and they risk their lives every day but at the moment, the bad cops have been outshining the good ones.' Thursday's protests were sparked by the deaths of Sterling and Castile last week. But the peaceful protest took a deadly turn after Afghanistan veteran Johnson, of Mesquite, Texas, opened fire on police officers. Johnson, who was later killed by cops in a standoff, was filmed killing officers execution-style - including shooting one victim in the back of the head at point blank range. The five officers who died have since been named as Brent Thompson, 43, Patrick Zamarripa, 32, Michael Krol, 40, Michael Smith, 55, and Lorne Ahrens, 48. Seven people, among them three police officers and Taylor, were also injured before the sniper was killed by a remote-controlled bomb. Detective Senior Sergeant Steve McIntyre said she had been burnt where she was found Her body was found lying on rocks with burns to most of the upper body The female, who is yet to be identified, was The Homicide Squad is investigating after a woman's burned body was found at Altona beach in Melbourne's south west this morning. Victoria Police said the female, who is yet to be identified, was found by a passer-by at the Esplanade, 200 metres from Maidstone Street just after 9am on Monday morning. Her body was discovered lying near rocks on the shoreline, with burns to most of the upper body. Scroll down for video The Homicide Squad (pictured) is investigating after a woman's burned body was found at Altona Beach in Melbourne's south west this morning Victoria Police said the female, who is yet to be identified, was found by a passer-by at the Esplanade, 200 metres from Maidstone Street just after 9am on Monday morning. Pictured is the body being taken away Homicide squad Detective Senior Sergeant Steve McIntyre told The Age it appeared the woman had been burnt in the location where she was found. Senior Sergeant McIntyre said most of her clothes had been burnt away and her face suffered disfigurement. He said it did not appear the woman washed onshore from the ocean. He added that she was most likely burnt there overnight, given the amount of people that frequent the area. Forensic teams are also at the scene and a post-mortem is expected to be conducted on Monday. The woman's body was discovered lying near rocks on the shoreline, with burns to most of the upper body Detective Senior Sergeant McIntyre said it appeared the woman had been burned where she was found The mother of an 11-year-old girl who was allegedly raped by her cousin on family holiday has slammed the school for allowing her to see her attacker 'every single day'. The alleged sexual assault occurred when the Darwin family travelled to Cairns in Far North Queensland last year, but the little girl did not tell her mother until February out of fear. The 11-year-old and her alleged attacker attend the same school in Darwin and her mother is outraged the school has not put measures in place to stop the pair from seeing each other during break times. 'Last night she said to me "Mum, I'll run around the corner and there he is. We practically ran into each other". The school never changed his lunch time,' she said, NT News reported. An 11-year-old who was allegedly raped by her cousin attends the same school as her attacker and her mother is outraged measures have not been introduced to stop the pair from seeing each other (stock image) The girl's mother said her daughter was 'crying and shaking' as she told her details of the alleged attack. They reported the rape to Northern Territory police, but as the incident occurred in Queensland, the case was drawn out. The alleged attacker, who was also underage, fronted the Darwin Youth Court in July but the case was adjourned until August. Although the youth had a no-contact order placed on him, the little girl still has to see her cousin every day as they attend the same campus. The school told NT News they had put measures in place to make sure the victim and her alleged attacker were separated, but did not detail what these measures are. The school said it has been working 'closely' with both families to ensure safety for the victim. The alleged attacker, who was also underage, fronted the Darwin Youth Court (pictured) in July but the case was adjourned until August The girl's mother reported the rape to Northern Territory police (stock image), but as the incident occurred in Queensland, the case was drawn out (stock image) At least 10 soldiers have been killed by terrorists who rammed a car packed with explosives into an army base. Al-Shabaab Islamist militants used the car to attack a Somali army base southwest of the capital Mogadishu on Monday and stormed inside, killing at least 10 troops. Twelve terrorists were also killed in an armed battle described as 'heavy gunfire' which lasted hours. Scroll down for video Soldiers from the Al-Shabaab's Sudan People's Liberation Movement in Opposition (SPLM-IO) stand next to the dead body of a Sudan People's Liberation Movement government soldier killed in renewed fighting in Juba, South Sudan Al-Shabaab Islamist militants used the car to attack a Somali army base southwest of the capital Mogadishu on Monday and stormed inside, killing at least 10 troops Twelve terrorists were also killed in an armed battle described as 'heavy gunfire' which lasted hours, but also claimed 10 soldiers After swarming the base, soldiers from the Al-Shabaab's Sudan People's Liberation Movement in Opposition (SPLM-IO) stand next to the dead body of a Sudan People's Liberation Movement government soldier and posed for photographs. Major Ahmed Farah, speaking from the nearby town of Afgooye said: 'A suicide car bomb rammed into the base and then al-Shabaab fighters stormed it. 'At least 10 soldiers died.' An al Shabaab spokesman said its fighters were behind the raid and said 30 soldiers had been killed. The group often cites a higher death toll than official figures. Somali security forces are often the targets of Al-Shabaab, who are intent on hitting officials and army bases in an attempt to topple the Western-backed government and take over the country. On Sunday, more than 100 members of al-Shabab raided a police station in northeast Kenya overnight, wounding one officer and making off with arms and ammunition, according to police. Eyewitnesses described hearing a large blast followed by heavy machine gunfire in the attack at the end of June Somali security forces are often the targets of Al-Shabaab, who are intent on hitting officials and army bases in an attempt to topple the Western-backed government and take over the country Just over a fortnight ago, the terrorist group claimed responsibility for a similar attack on a hotel in Mogadishu, Somalia, which killed four civilians. A suicide bomber detonated a truck bomb at the gate of the hotel which was then stormed by a group of heavily-armed men. Captain Ali Ahmed of the Somali police force confirmed the attack was carried out by al-Shabaab - which is linked to al-Qaeda - who had attempted to storm the Naasa-Hablood hotel. The hotel is a popular location among the Somali political elite and members of the nation's diaspora returning to the city on business. Twelve terrorists were also killed in an armed battle described as 'heavy gunfire' which lasted hours on Monday. Pictured is a man wounded in a similar a suicide car bomb attack on a hotel in Mogadishu Police captain Mohamed Hussein said he saw four bodies he believe were civilians lying near the front gate. A witness to the attack, Ali Mohamud, said the attackers randomly shot at guests at the hotel. He said: 'They were shooting at everyone they could see. I escaped through the back door.' Yusuf Ali, an ambulance driver, said he had evacuated 11 people injured in the attack to hospitals. He said: 'Most of them were wounded in crossfire.' In early June, an overnight siege by extremist gunmen at another hotel in the capital killed least 15 people, including two members of parliament. Al-Shabaab claimed responsibility for that attack. Michael Adebolajo, jailed for life for brutally murdering Fusilier Lee Rigby in Woolwich back in 2013, was a suspected member of Al-Shabaab. He had previously been arrested by Kenyan police for being accused of trying to lead a group of youths trying to join Somalia's Al-Qaeda-linked Shebaab movement. Sally Faulkner's former husband Ali Elamine has reportedly blocked her from speaking with her two children in Lebanon. Despite assuring she could call and visit the children, Ms Faulkner told The Australian on Sunday that she had not been in contact with Lahela, 5, and Noah, 3, since she left Beirut on April 21. 'There has been no contact, even though he promised in the court that he would allow me to talk and Skype with the kids and that I would be able to come see them,' Ms Faulkner said. Scroll down for video Sally Faulkner's former husband Ali Elamine has reportedly blocked her from speaking with her two children in Lebanon after the botched 60 Minutes child abduction (Ms Faulkner pictured with Lahela, 5, and Noah, 3) Ali Elamine lives with their two children Lahela, 5, and Noah, 3, in Beirut, Lebanon (L-R Noah, Mr Elamine and Lahela) 'I just feel so lost not being able to talk to them.' The judge in the custody dispute will sit again later this week to hear more evidence in the botched kidnapping attempt on April 6 earlier this year. Ms Faulker, a child recovery operation team and a 60 Minutes crew attempted to snatch the children from their grandmother in a Beirut street. Ms Faulkner was forced to relinquish all custodial rights to the children in return for unlimited visits and holidays, to ensure her release from jail on bail in Lebanon. Ms Faulkner reportedly has to give Mr Elamine (centre) one month's notice if she wishes to visit her two children Lahela (right) and Noah (left) Mr Elamine (left) and Ms Faulkner are pictured together in happier times with one of the two young children they had together She reportedly has to give Mr Elamine one month's notice if she wishes to visit. The Nine Network is reportedly under increasing pressure to explain their involvement in the kidnapping, which saw 60 Minutes crew Tara Brown, David Ballment, Stephen Rice and Ben Williamson also released on bail. The bail deal was coupled with a reported $500,000 USD payment from Nine Network to the court. Court documents show Nine paid Adam Whittington's child-abduction recovery company $115,000 in two payments, one in January and one in March. Judge Rami Abdullah is expected to make recommendations to the prosecutor that could see further state charges laid against all involved. If this proceeds, the 60 Minutes crew will be forced to either return to Beirut to face a conviction, or be tried in absentia and face an Interpol arrest warrant. Despite assuring she could call and visit the children, Ms Faulkner told The Australian on Sunday that she had not been in contact with Lahela, 5, and Noah, 3, since she left Beirut on April 21 Ms Faulker, a child recovery operation team and a 60 Minutes crew attempted to snatch the children from their grandmother in a Beirut street The Queen is advertising for a pot washer to work at Buckingham Palace on a salary of 17,000 - with no experience necessary. The kitchen porter will live within the grounds and will also be expected to travel to other royal households such as Balmoral and Windsor Castle when needed. All meals are provided and the job also comes with 33 days holiday a year and a generous 15 per cent pension contribution - three times the average in the UK. Scroll down for video The Queen (pictured with Prince Philip) is advertising for a potwash to work at Buckingham Palace on a salary of 17,000 - with no experience necessary The kitchen porter will live within the grounds (pictured), and will also be expected to travel to other royal households such as Balmoral and Windsor Castle when needed In the advert, posted on the Royal Household website, the employer opens by asking if the applicant gets satisfaction from delivering a 'spotless service' It also says that experience is 'not essential' as all the relevant training will be provided. However, the applicant will have to pass the extensive vetting process that anyone who works with the Royal Family must undergo. In the advert, posted on the Royal Household website, the employer opens by asking if the applicant gets satisfaction from delivering a 'spotless service'. It adds: 'Its the collaboration and the community spirit. And its helping to deliver extraordinary service in incredible surroundings. This is what makes working for the Royal Household so different. 'Youll join a close-knit and committed catering team who prepare and serve food to the very highest standards. 'You'll assist the team by maintaining the wash-up environment, ensuring our chefs and assistants have all they need to deliver hundreds of staff meals every day. Working in the kitchens at Buckingham Palace (pictured), the successful applicant would help prepare hundreds of meals a day The porter role is one of six currently being advertised on the website, including a linen keeper on nearly 18,000 a year, and a cataloguer for the Royal Collections Trust on 20,000 'Youll clean equipment and utensils ready for use on a daily basis, as well as assisting with food preparation. 'And whether youre based in Buckingham Palace or, when required, travelling to another Royal residence, youll embrace this challenge and the many others that come your way.' The advert goes on to say that they are interested in 'who you are' rather than the applicants experience in the 'about you' section. They are looking for someone who is 'a natural team player', takes pride in their work and uses their initiative. It adds: 'You might already have a good understanding of food hygiene and safety, but if not we'll organise training for you.' A teenage girl is in critical condition after she was struck by a car driven by her 26-year-old brother, who drove off after the crash. The girl was hit at 2528 13th Avenue South, about three miles southwest of downtown St Petersburg, Florida on Sunday night. She was taken to Bayfront Health St. Petersburg in critical condition. Her brother fled the scene and was arrested at 10.35pm several miles away, police said. Detectives from the Major Crimes Unit are on the scene investigating the domestic incident. Both the victim and her brother have been unnamed and no other information has been released. A teenage girl was hit at 2528 13th Avenue South (pictured), about three miles southwest of downtown St Petersburg, Florida on Sunday night by her 26-year-old brother, who drove off after the crash A mob of angry protesters drowned a policeman by pushing his car into a river after 25 died in the brutal Kashmir riots. Police have confirmed the yobs killed the officer but other sources have claimed he fell into a river after trying to avoid stone-throwing gangs, reports the BBC. Violence erupted onto the streets of Kashmir a day after Indian troops killed Burhan Wani, the young leader of Kashmir's largest rebel group, Hizbul Mujahideen, which has been fighting since the 1990s against Indian rule. Kashmiri Muslim protesters taunt Indian policemen as they clash in Srinagar, Indian controlled Kashmir A Kashmiri man shouts pro freedom slogans as he accompanies another injured in clashes being brought for treatment to a hospital in Srinagar Indian authorities struggled to contain street protests by Kashmiris defying patrols and a stringent curfew after at least 25 people died in clashes that followed Wani's death. Paramilitary troops and police in riot gear patrolled villages and towns in the Himalayan region. Most shops were shuttered, businesses were closed, and cellphone and mobile internet services were suspended in parts of Kashmir. But crowds ignored the clampdown and clashed with government troops in parts of the main city of Srinagar and several other areas. At least two teenagers injured in the clashes died in a hospital on Monday, said a police officer who spoke on condition of anonymity as he was not authorized to speak to reporters. Wani, in his early 20s, had become the iconic face of Kashmir's militancy, using social media to rally supporters and reach out to other youths like him who had grown up while hundreds of thousands of Indian armed forces have been deployed across the region. A Kashmiri youth injured during the brutal clashes is brought for treatment to a hospital in Srinagar Kashmiri Muslim protesters run for cover as a tear gas shell fired by Indian policemen explodes near them in Srinagar Indian paramilitary soldiers stand guard during a curfew in Srinagar, Indian controlled Kashmir Police Inspector-General Syed Javaid Mujtaba Gillani described Wani's killing as the 'biggest success against militants' in recent years. Pakistan's foreign secretary expressed its concerns over the killings of Wani and civilian protesters to Indian authorities Monday evening. Foreign Secretary Aizaz Ahmad Chaudhry conveyed to Indian High Commissioner Gautam Bambawale that the use of force against peaceful protesters was a human rights violation and that a fair inquiry should be made into the killings, according to the secretary's statement. Pakistan and India each administer part of Kashmir but claim the region entirely. In the portion controlled by India, opposition to India is strong. Indian paramilitary soldiers patrol deserted street during curfew in Srinagar, Indian controlled Kashmir Hindu devotees on their way to the annual pilgrimage of Amarnath caves, rest inside a temple as they are stranded in Srinagar, Indian controlled Kashmir Many in the region of 12 million people resent the deployment of hundreds of thousands of Indian troops and openly voice support for the rebels fighting for independence or a merger with neighboring Pakistan. As news of Wani's death spread among Kashmiris, spontaneous protests grew and crowds of youths threw rocks at Indian police and paramilitary soldiers, shouting 'Go India, go back!' Police said protesters attacked them and burned scores of police and paramilitary posts and some homes of pro-India politicians. At least 24 civilians and one policeman have died from wounds sustained in clashes since Saturday, as law enforcement officers used live ammunition, pellet guns and tear gas to try to break up the protests. Kashmiri Muslim protesters throw bricks and stones at Indian policemen in Srinagar, Indian controlled Kashmir A Kashmiri Muslim protester prepares to use a sling to hurl a brick at Indian policemen as they clash in Srinagar, Indian controlled Kashmir Most of those killed were teens and men younger than 26 from southern Kashmir, police said. In addition, more than 150 civilians and 100 government troops have been injured. At least 10 of the injured civilians were in serious condition. In several neighborhoods in Srinagar, activists painted graffiti on iron shutters of shops and walls, deploring India and eulogizing Wani. Messages that they wrote included 'Burhan our hero' and 'Burhan still in our hearts.' Since the 1990s, more than 68,000 people have been killed in Kashmir's uprising against Indian rule and the subsequent Indian military crackdown. The multi-millionaire was left with a $140,000 accommodation bill Nine other family members were split into Controversial tobacco tycoon Travers 'The Candyman' Beynon has taken a $20,000-a-night holiday to Fiji with his family - and a mystery blonde. Beynon, his wife Taesha and the unknown woman stayed together in the Nanuku Resorts Vunikau Penthouse, in Fiji, for seven nights. Beynon shared the penthouse with the two women, while nine other family members were split into separate villas, leaving the multimillionaire with a $140,000 accommodation bill. Scroll down for video Beynon (centre), his wife Taesha (right) and the unknown woman (left) stayed together in the Nanuku Resorts Vunikau Penthouse in Fiji The entourage of 12 took helicopter trips around the exclusive private 10-acre Fijian island. Beynon (right) was pictured with a mystery blonde (centre) Beynon, his wife and the unknown woman (left) were seen walking along the beach at the private island of Nanuku Beynon may have taken the holiday to clear his head after a recent series of legal battles. Above, the entourage of 12 pose for a photo The entourage of 12 took helicopter trips took an exclusive private 10-acre Fijian island, where they rode jet-skis and scuba dived in pristine waters. Beynon may have taken the holiday to clear his head after a recent series of legal battles. He took action against Channel Nine after A Current Affair ran several stories about his extravagant events, wild parties and bikini-clad models at his Gold Coast mansion on its program last year. Beynon, pictured above on a jet-ski in Fiji, took Channel Nine to court after A Current Affair ran several stories about his extravagant parties The family and the mystery blonde swam in the pristine waters off the private island of Nanuku (pictured) Beynon's walks behind his wife Taesha, arm in arm with the mystery blonde The media watchdog ruled that Channel Nine breached the Commercial Television Code of Practice by allowing the segments to go to air about how his children were exposed to his raunchy lifestyle. Last week he won an injunction to stop a V8 Supercar team from using any of his signature branding at the Townsville 400 event. Beynon accused Lucas Dumbrell Motorsport of failing to deliver on a $6 million sponsorship deal, which would have seen his colours used on cars, pit crew and grid girls. The tobacco tycoon spent $20,000 per night on accommodation at Fiji's Nanuku Resort (pictured) The penthouse at the resort cost around $3,000 per night, while the rest of the bill came from the several villas family members stayed in Last week he won an injunction to stop a V8 Supercar team from using any of his signature branding at the Townsville 400 event. Above, Nanuku Resort in Fiji A judge ordered Beynon be paid back $250,000 he gave the racing team for sponsorship, The Courier Mail reported. Last October, he won $25,000 in defamation damages from his former nanny Michelle Manthey who alleged his daughters were watching as a nude photoshoot of his wife was taken. But he wasn't so lucky last month when a court ruled his tobacco company Free Choice engaged in 'deceptive' conduct by poaching tobacconists from industry rival TSG. The Candyman hangs upside down from a cable set through the jungle on Nanuku Island Last October The Candyman won $25,000 in defamation damages from his former nanny Michelle Manthey who alleged his daughters were watching as a nude photoshoot of his wife was taken A tradesman who fought off a great white shark by plucking out its eye has shared a horrific photo of the injuries he sustained in the mauling. Last August Dale Carr was bodyboarding at Lighthouse Beach, Port Macquarie, when the shark smashed into him from below. 'I felt a sudden jolt right in the middle of my bodyboard which sent me and the shark flying out of the water,' he told the Gold Coast Bulletin. Scroll down for video Dale Car required hundreds of stitches to sew up his backside after a great white shark latched on to him The father-of-two, pictured with wife Trish, lashed out at the shark in a desperate fight for survival The father-of-two said the shark latched onto his thigh and refused to let go when he punched its nose in desperation. 'So I grabbed its nose and saw its black eyeball and I put my finger in the eye,' he said. 'I've never seen something so big freeze, it was like time froze. It retracted the bite.' Although the shark had taken a chunk out of him, it was probably missing its left eye and had a sore nose for its efforts, Mr Carr told Fairfax Media. The shark which attacked him 'lost an eye and copped a sore nose' for its efforts, Mr Carr said (stock photo) Mr Carr had to paddle 200metres back to shore while leaking litres of blood from the gaping wound in his buttocks. Two men held his wounds together while he waited for paramedics The 37-year-old had to paddle 200 metres back to shore while he leaked 2.5 litres of blood from the gaping wounds in his buttocks. Fellow bodyboarder Shane De Roiste helped him to the beach and held his wounds together while a third man tied a shirt around Mr Carr's leg to halt the blood loss. The bite tore through nine muscles in Mr Carr's back and he required hundreds of stitches to sew up the damage. Mr Carr returned to work just 11 days after the attack, but it took him nearly 11 months to return to the water where it happened Just 11 days after the attack Mr Carr was back on site working for his Port Macquarie concreting business. But it has taken almost 11 months for him to get back in the water where he was attacked. He said thinking about the attack dredged up other traumatic memories, such as pulling his unconscious father out of a car wreck as a teenager. Following the savage mauling he posted this note to Facebook thanking everyone who helped to save his life Although he seesawed between loving sharks and loathing them, he would not let his attack be used to further political causes such as shark culling. He said: 'Don't lose sight of human nature people. 'The strong urge to help someone who needs it whether they are trapped in a car, house fire, held against their will and calling out for help. The mother of the bull that killed a Spanish matador is set to be slaughtered prompting furious protests by animal rights campaigners. Victor Barrio, 29, was fatally gored in front of hundreds of spectators, including his wife, while taking part in a bull fight near Madrid on Saturday evening. He became the first bullfighter to be killed during an event this century and tributes have poured in for his bravery and skills. Scroll down for video: WARNING DISTRESSING SCENES This is the moment top Spanish Matador Victor Barrio is gored by a bull yesterday in Teruel, Aragon The bull, named Lorenzo, speared Barrio through the chest, puncturing his lung and severing his aorta As per tradition of bull figthing, the mother of the bull, which speared Barrio in the chest, causing fatal injuries, is destined to be destroyed to 'kill off the bloodline.' But angry animal rights activists have launched a campaign saying the cow, called Lorenza, should not have to pay the price for the matador's death. Many have taken to Twitter using the hashtag, #SalvemosALorenza (#savelorenza) with hundreds of people every minute adding their support. Voicing its disgust, the Spanish animal rights organisation PACMA tweeted: 'Kill the animals, the people and sentence to death the cows, which breed the bulls.' While others wrote: 'They are always bragging about the bravery of matadors yet when one dies, they kill the mother. It's deplorable.' Barrio's colleagues attempted to distract the bull so they could carrying him from the ring for treatment Barrio was rushed to hospital unconscious but doctors were unable to save his life due to his injuries It is understood that the bull which delivered the fatal blows to Barrio has already been slaughtered. The fate of its mother is not clear although one Spanish newspaper is reporting that Lorenza is already dead - not destroyed but having died of old age just a few days ago. Regardless of this, PACMA added: 'We reject the traditions based on violence, revenge and blood. The mother of Lorenzo, the bull they call the murderer, is being sent to slaughter to end the race. 'No ritual, custom or tradition based on the succession of deaths, fed by blood or hatred, can be healthy for any society. We know only one ethical end to all this: the total abolition of all bullfights.' Barrio's wife, Raquel Sanz, pictured, was in the crowd watching the horrific incident with friends Barrio, 29, left, and his wife Raquel, right were married in 2014 and she witnessed his brutal death The row over the bull's mother comes after the matador's widow thanked well-wishers for their support but confessed she was too devastated to say anything. Raquel Sanz, 32, wrote on Twitter: 'Thanks to everyone. I cannot reply to you, I have no words. My life has gone, I have no strength, although I have a lot of thanks.' She also thanked her home town of Sepulveda in the province of Segovia north-west of Madrid, writing: 'Thanks Sepulveda. Our Sepulveda. Yours and Mine' and telling her late husband in an emotional message: 'I'm sure that where you are you have a privileged view.' Admitting it would be a 'tough day' and branding life 'unfair', she posted a poem by Spanish poet Jose Leon which ended with the words: 'How I would love to believe that there is glory for all those who lose their lives on the horns of bulls. 'If that is not the case, then rest in peace wherever you are and us poets will make sure you become immortal.' Ms Sanz, who married Barrio in 2014, was said to be 'devastated' as she saw her husband lying motionless on the ground, according to El Espanol . The matador was taken to hospital unconscious but doctors could not do 'anything' to resuscitate him and save his life. Barrio's wife Raquel Sanz, pictured, was in the crowd and witnessed her husband's brutal death He rolled to the ground in a painful somersault after the 87 stone beast caught him while he tried to deflect it with 'muletazo' manoeuvre, reports La Razon.es. An initial medical report conducted by Dr Ana Cristina Martinez Utrillas confirmed Barrio suffered devastating injuries as a result of the bull attack. The report said Barrio was gored in the right chest and was in cardiac arrest by the time he was in hospital. He underwent immediate resuscitation and was intubated. He also was given an emergency tracheotomy to allow him to breathe. However, the bull had speared Barrio through the lung and severed an aorta in his chest carrying oxygenated blood around his body. Barrio was an award winning matador, but also a relative newcomer to the sport having previously worked on a golf course. Pictured left from 2015. Right picture shows the fight the claimed his life There was also damage around his heart. The Los Manos bred bull, named Lorenzo, had reportedly been struggling but caught the showman off guard after a gust of wind affected his waving of the crutch. The matador met his match at the annual festival named Feria del Angel, reports El Mundo . The horrific goring was shown live on TV, and viewers have uploaded footage of the scene on social media. After going into cardiac arrest in the hospital there was no hope for Barrio, who died of a fatal goring with a perforation of the lung and thoracic aorta. The bulls are unleashed on the fifth day of the San Fermin festival in Pamplona as part of the annual tradition Spanish medical officials said four people suffered bruises but no-one was gored during the famous bull run The nine-day fiesta, which ends Thursday, became world famous with Ernest Hemingway's 1926 novel 'The Sun Also Rises' and attracts thousands of foreign tourists Barrio's death comes as the annual running of the bulls event took place at Pamplona's San Fermin festival at the weekend. In the fifth running this morning, Spanish medical officials said four people suffered bruises but no-one was gored. More than 1,000 people took part in the 8 am run, which lasted three minutes. Many runners and several of the bulls slipped and fell during the crazed dash though the city's narrow cobblestone streets to the bullring. Navarra Hospital Dr Jon Ariceta said four people were taken to city hospitals with contusions. US military forces have moved into a newly retaken airbase in a bid to help Iraqi soldiers free Mosul from ISIS control. American defense secretary Ash Carter said the Qayara air base would be used as a staging hub and that U.S. advisors were prepared to accompany Iraqi battalions as they begin their charge towards retaking the city in nothern Iraq. A senior defense official said it's not clear when U.S. advisers would begin accompanying the battalions closer to the battlefront but added it could be in the coming weeks and months. Iraqi government forces drive their tank towards Qayara as they launch a bid to retake Mosul from ISIS control An Iraqi soldier inspects the wreckage of a blown up vehicle at the side of the road close to the city of Mosul The U.S. officials said a team of American troops went into Qayara for a quick site assessment on Sunday and left. One job they could do would be to help the Iraqis troops use highly technical bridging capabilities to get across the river into Mosul. Carter laid out the U.S. vision for Qayara for the first time, describing its recapture as a key strategic victory. Speaking to reporters before he arrived in Baghdad, he said the air base will be one of the hubs from which 'Iraqi Security Forces, accompanied and advised by us as needed, will complete the southern-most envelopment of Mosul. That's its strategic role, and that's its strategic importance.' Carter compared the role of Qayara to how forces used the eastern city of Makhmour. There, U.S. troops set up a fire base for artillery to support advancing Iraqi units. Refugees displaced by ISIS walk with their belongings to a camp near Lake al-Tharthar, north west of Baghdad A mother cradles her child and holds a bottle as they walk alongside the lake after being displaced by the terror group A young girl is carried down to volunteers who are helping refugees in Iraq settle close to Baghdad He said: 'The point of seizing that (Qayara) airfield is to be able to establish a logistics and air hub in the immediate vicinity of Mosul. So, there will be U.S. logistics support.' Iraqi forces recaptured the airbase from ISIS on Saturday, in a victory hailed by Prime Minister Haider al-Abadi as a key step ahead of the Mosul fight. Residents of Mosul, Iraq's second largest city, should 'get ready for the liberation of their areas,' he said. In April, President Barack Obama approved plans to allow U.S. troops to assist Iraqi forces at the brigade and battalion level, where they could be at greater risk, closer to the battle, but still behind the front lines. They had previously been limited to advising at the headquarters and division levels, which are further from the battle. Mosul is considered crucial as it was captured by ISIS in the summer of 2014 and the extremist group has been using it as a main headquarters since. Many of the residents living in Mosul have fled the city and are now living in a refugee camp in nearby Irbil Qayara is the latest in a string of successful operations by Iraqi forces, backed by coalition airstrikes. Government troops have also retaken the city of Ramadi and a number of towns along the route to Mosul. A man who murdered three people in country Victoria over a feud about dust has lost a bid to change one of his guilty pleas. At the start of his trial in April, Ian Francis Jamieson admitted murdering his neighbour Greg Holmes and then gunning down Mr Holmes' parents Mary and Peter Lockhart. But the 65-year-old later changed his mind and decided he wanted to plead not guilty to murdering Mr Holmes, who he stabbed dozens of times in Wedderburn in central Victoria in October 2014. Ian Jamieson previously admitted to a triple murder in central Victoria. He changed his mind and wanted to plead not guilty to one of the killings but a judge has rejected the application. He is pictured arriving at the Victoria Supreme Court on Monday Justice Elizabeth Hollingworth refused Jamieson's application on Monday and said she hadn't heard anything to persuade her it should be granted. Jamieson's barrister, John Desmond, had told the court there was evidence Jamieson had a significant cognitive deficit that led to him wrongfully pleading guilty to Mr Holmes' murder. 'On the morning he gave those instructions to plead guilty, his belief was he was acting in self-defence,' Mr Desmond told the Victorian Supreme Court. Mary Lockhart, Greg Holmes and Peter Lockhart (pictured left to right) were shot dead after a dispute in rural Victoria in 2014 Jamieson has claimed Mr Holmes stabbed him with a syringe, which injected him with a substance, during a fight. 'I killed a bloke in self-defence,' Jamieson told the court last month. Crown prosecutor Andrew Tinney SC argued it would have been 'nothing short of ludicrous' for Jamieson to go to trial and claim he acted in self-defence. 'He knew he had stabbed the deceased in excess of two dozen times,' he told the court, 'with a knife he took on to the deceased's property late at night, with no justification at all.' The court has previously been told Mr Lockhart had helped Jamieson rebuild his house after it burned down. But things soured between the Lockharts, their son and Jamieson over the use of a dirt road close to their properties near Wedderburn in central Victoria. Mr Jamieson (pictured in police custody after his arrest in 2014) has previously told the court he stabbed Mr Holmes in self defence 'Jamieson claimed the use of that road resulted in dust affecting his property ... and dust going into his drinking water,' Mr Tinney said. After killing Mr Holmes, Jamieson shot dead the man's parents because he reasoned he was going to jail anyway, the court has heard. There was no application to change the guilty pleas on the second and third murder charges. A passenger jet full of holidaymakers had to make an emergency landing after its engine caught fire. The City Jet RJ85 plane had just taken off from London City Airport on its way to Florence, Italy, when it was forced to land on the runway at Southend yesterday. The aircraft reported having a warning light showing an fire in number one engine which was put out by the plane's onboard extinguisher. A passenger jet full of holidaymakers had to make an emergency landing after its engine caught fire The aircraft reported having a warning light showing that he had an engine fire in number one engine which was closed down and the extinguisher used The pilot elected for a full emergency diversion to Southend when it happened, shortly after take-off at 2.30pm. It's not known exactly how many people were on flight WX281 but there are usually around 80 passenger seats on the aircraft. It was the second time in a week that a plane has landed at London Southend due to an emergency. On Thursday, another City Jet plane came down due to a hydraulic problem with its brakes. Firefighters were waiting on the ground when the pilot elected to divert while on its way to Florence, Italy It was the second time in a week that a plane has landed at London Southend (pictured) due to an emergency, after another City Jet plane had a problem with its hydraulics The runway was blocked for about 15 minutes while the fire service checked out the engine. Police closed all the roads around the airport at Eastwoodbury Crescent and Rochford Road. The pilot even put out a 'may day' call to the airport and there were fire engines waiting on the ground to put out the fire. Independence Day - What it really means What does Independence Day - the 4th of July - mean to you? Is it just a holiday to eat, drink, and light off fireworks? Do you display and wave the flag of the United States out of habit - because everyone else on the block does it? Do you cover your table with a plastic tablecloth of stars and stripes and decorate your yard with red, white, and blue because that's what Target and Walmart remind you to do with its holiday displays and sales? Do you actually understand what the 4th of July signifies? Did you sleep through that lesson in American History Class? Was it even taught to you at all?I just hope you aren't one of those Americans who doesn't think it matters.When I was very young, I thought Independence Day marked the day when the 13 colonies defeated the British for our independence. Then in middle school, I learned that it marked the date the Declaration of Independence was signed. That was the extent of my understanding until I did my own reading. Soon I learned that not only was the Declaration of Independence signed on July 4, 1776, but that it was an act of treason against the British Crown. It was an act of treason because while the colonies were fighting for their independence, the British were fighting to quash their rebellious nature for good. Rebellion against the Crown was high treason and it would not be tolerated.But it wasn't until I graduated law school that I was finally able to appreciate the real significance of the Declaration of Independence. Simply put, as its author Thomas Jefferson explained: "The Declaration of Independence... is the declaratory charter of our rights, and of the rights of man." And in that magnificent document, Jefferson has laid out the natural order of our rights and the natural purpose and limits of government.The document was almost forced on the colonies by history's happenstance. It began with the colonies' restlessness in the wake of an over-zealous King and Parliament which first sought to extract tax revenue from them (without representation) and then to oppress and subjugate them as a means of punishment. They were punished for daring to stand up for their rights as Englishmen, as Englishmen had done for over 500 years of their history. Indeed, the history of England has been a history of repeated attempts, first by the barons and then by all subjects, to assert basic human rights and to demand from the King a promise (a charter) that he will respect such. Some of the attempts were successful and some only temporary, but all of England's notable charters were signed and limited the reach of the King and Parliament, even if only for a very short time.Some of these charters and other significant documents include: The Charter of Liberties of King Henry I (1100), the Magna Carta (1215), the Petition of Right (1628), the Grand Remonstrance (1641), and the English Bill of Right of 1689. This history is critical for the foundation for our country because all total, these documents establish the notion that government must respect boundaries on the individual, acknowledging that they have certain essential rights and liberties. The rights and liberties asserted and re-asserted in these documents are the "rights of Englishmen" that the colonists most eagerly embraced and were most eager to protect.Author Brion McClanahan explains the significance of England's grand history in his article Rethinking the Declaration of Independence: "In 1100, King Henry I of England agreed to restrictions on his power through the Charter of Liberties. The English barons rejected absolute authority and sought to preserve traditional decentralized "government." Just over one hundred years later, in 1215, King John was forced again by the English nobles to sign the Magna Charta. The "Great Charter," as it is known in English, declared that the king was not above the law - making him essentially equal to the nobles - and it resisted the trend toward centralization in England. Though on the books, the Magna Charta was often ignored by more powerful English monarchs, but several of its provisions became the basis of English common law, most notably the writ of habeas corpus." (See the Habeas Corpus Act of 1679).In October 1214, King John returned to England in disgrace. His mission to reconquer his lost territory in northern France had failed and other military campaigns were unsuccessful as well. He taxed England's barons heavily to finance these campaigns and they were not happy. Upon his return, he found that a group of angry barons from across the country had formed an association and were prepared renounce him as king. Over the next eight months, they made repeated demands to the King, requesting that he give them a guarantee that he would observe their rights. But the negotiations amounted to nothing. And so, on May 5 of that year, the barons gathered and agreed to declare war on King John. On May 17, 1215 they captured London, the largest town in England, without a fight. With London lost and ever more supporters flocking to the side of the barons, the King John realized he would have to address their concerns.On June 8, he notified the barons of his willingness to negotiate. Over the next few days, the barons assembled in great numbers at Runnymede, a relatively obscure meadow just a few miles from Windsor castle, where King John was based. They arrived to repeat their demands and negotiate peace terms. On June 15, the barons presented their terms to the King and he signed the great document - The Great Charter ("Magna Carta").In Chapter 39 of Magna Carta, one of the document's most important clauses, King John made the following promise:Here, it was agreed that the Crown and his administration would not arrest, outlaw, banish, or incarcerate any free man, deprive him of his rights, possessions or legal standing, or otherwise take official and forceful action against him, except in accordance with the lawful judgement of his equals or in accordance with the laws of the Kingdom. This was, in embryonic form, the principle of due process of law: The government shall not deprive any person subject to its jurisdiction of life, liberty, or property without due process of law. The Magna Carta provided that justice was to be guaranteed to every person in the Kingdom, that the right of justice would not be sold, delayed, or denied to any person. Thus, this critical, historic document provided that every freeman -- i.e., every Englishmen who was not a serf -- was to enjoy security and protection from illegal interference by the King (ie, government) in his person and property. [See Dr. Almon Leroy Way, Jr. (Professor of Political Science), "The American System of Government...."] The terms listed in the Magna Carta would later be referred to as "the ancient rights and liberties of Englishmen" in the English Bill of Rights of 1689.King John, in giving his consent to Magna Carta, agreed that: (1) the Monarch was subject to the law of the Kingdom and (2) the law placed limits on royal authority. This reflected an early stage in the development of the central idea of English and American constitutionalism -- the idea that the ruler was not above the law and therefore had to abide by the law and stay within the limits the law imposed on his power. [See Dr. Almon Leroy Way, Jr.]Under Magna Carta, the King still governed England, but he had to share with the barons one important sphere of political authority -- the power of taxation. All royal requests for extraordinary taxes had to be submitted to the Common Council for its consideration and decision. When it came to the King's raising revenue by means other than collecting the feudal fees and aids in amounts due him by customary right, he had to share with the barons, the largest and most powerful bloc in the Common Council, the authority to make binding decisions. The requirement, stipulated in Magna Carta, that the King submit proposals for extraordinary taxation to an assembly of his leading subjects -- the barons and the Church officers of high rank -- was one small but significant step on the long road to firmly establishing as a constitutional guarantee, truly binding on the Monarch and all other officers of the government, the age old principle of English government that no subject could be taxed without his consent, given by the subject directly in person or indirectly through elected representatives in a legislative assembly. [See Dr. Almon Leroy Way, Jr.].When Britain began taxing the colonies without allowing them representation in Parliament, particularly with the Stamp Tax, the colonists asserted this basic right from the Magna Carta in their protest slogan "No taxation without representation." The phrase actually originated with Massachusetts attorney James Otis about 1761, who proclaimed: "Taxation without representation is tyranny!"After the Magna Carta, the Petition of Right of 1628, which was written by Parliament, was presented to King Charles I to re-assert the civil liberties of his subjects. The Petition contained four main points: (1) No taxes could be levied without Parliament's consent; (2) No English subject could be imprisoned without cause--thus reinforcing the right of habeas corpus; (3) No quartering of soldiers in citizens' homes; and (4) No martial law may be used in peacetime. Each of these four points enumerated specific civil rights that Englishmen felt Charles I had breached throughout his reign. Although he'd never been that popular as the monarch, his abuse of power against the people escalated to an intolerable level after Parliament refused to increase taxation and finance his unpopular foreign policies. The purpose of the Petition was to seek redress for the serious grievances Charles had committed.When Charles showed no sign of repenting, Parliament drafted an extensive list of grievances which it presented to him on December 1, 1641. The grievances included 204 instances of gross abuses of the King's power and usurpations of the rights of the people. Preceding this list of grievances were the following significant paragraphs:The Grand Remonstrance would help precipitate a civil war in England and eventually lead Parliament to file official charges of high treason against Charles I. He would be tried, convicted, and executed (beheaded) in 1649. His son Charles II was exiled and his other son James II was able to escape to France dressed as a girl.When England erupted in this civil war, the Parliament asserted its authority and suspended the reign of the Monarch, and by 1688 had become the driving force behind English law and policy. From 1649 to 1660, England became a republic. At first it was ruled by Parliament, but in 1653, Oliver Cromwell, commander of the army, became Lord Protector of England and served until he died (1658; his son took over briefly). Eventually the blood line of Charles I was restored in 1660 first with Charles II (who sat on the throne at the time of the plague and the great fire of London) and then in 1665, with James II. He was terribly unpopular, and in fact, was widely hated by the people. Not only did he force his Roman Catholic faith on the British people, but he willingly allowed the persecution of Protestants. He was forced to give up the crown in the Glorious Revolution (the "Bloodless Revolution") of 1688.When King James II was expelled from England in 1688, Parliament invited King William III of Orange and his wife Mary II (daughter of James II), of the Netherlands, to assume the throne. Parliament promised no resistance. The only requirement was that they sign the English Bill of Rights that Parliament had drawn up on behalf the people. It condemned James II for violating the rights of Englishmen, which the Parliament called the "laws and liberties of this kingdom," and placed restrictions on the powers of the monarch. William and Mary "gladly accepted what was offered them" and signed the English Bill of Rights.Those from England who settled the colonies, particularly Massachusetts, seeking freedom from religious persecution (Puritans and Pilgrims) and others, brought this history - and these rights - with them. After all, they were still Englishmen; they were living on a continent claimed by England and establishing settlements and communities pursuant to land patents issued by the King.But the bond of affection would seem to be one-way only. While the colonists sought to live as loyal subjects to the Crown, enjoying the same the rights and liberties as the citizens of England, England sought to exploit the colonies for raw materials, trade, and taxes. For several years, things were good. No complaints. But just as the British colonies were growing and expanding, there were French colonies growing and expanding as well - in the frontier region west of Virginia up to Canada. They were mainly fur-trappers. Eventually, Britain felt its American colonies and interests were being threatened and the two empires went to war. It lasted seven years (the French-Indian War, aka, the Seven Years War, 1754-1763), and eventually, the French were expelled and England secured greater territory. Believing the war was primarily for the benefit of the safety and security of the colonies, Parliament enacted a series of taxes on the colonies to recoup the money it had spent. [Note that around 1750, the plantations were established and against the wishes of the colonies, Britain pushed the slave trade on them to ensure that raw materials such as sugar, tobacco, indigo, cotton, and rice were produced plentifully and productively and shipped to England] A business sent out convicted criminals as street fundraisers nicknamed 'chuggers' - to pressure the elderly and disabled into handing over cash to charities, it was revealed today. One footsoldier, a convicted drug dealer, said he and his colleagues targeted the vulnerable 'because they'll believe anything that we say'. NEET Feet in Bristol employed staff who have admitted lying to extract cash from victims and they have now suspended all operations in the city. Shocking: Bustamante Sweetman, pictured, an ex-convict claimed he was still selling crack, heroin and ecstasy and described himself as a 'big time drug dealer in Bristol' while working for NEET Feet Exposed: NEET Feet has removed parts of its website but had promised it would'refuse to bully, harass or cajole people into becoming donors if they're uncomfortable with commitment' Save the Children, Unicef and Action for Children have now cancelled contracts with the business after an investigation by The Sun. And the Fundraising Standards Board, a new regulator for charity fundraising in the UK, will now investigate. A spokesman told MailOnline: 'The new Fundraising Regulator was launched to investigate allegations of fundraising practices which breach the professional code, in order to protect donors across the UK from the distress this can cause. We are investigating this matter with the charities in question to establish the facts'. NEET Feet claims they 'refuse to bully, harass or cajole people into becoming donors if they're uncomfortable with commitment'. Ali Taheri, 30, a former drug dealer, was also recorded laughing about getting 'disabled, old, vulnerable woman' to sign up, adding: 'I signed up a woman who I knew I shouldn't have signed up' The Sun sent a reporter to work undercover for a month at NEET Feet, who say they give convicted criminals a job to give them a fresh start. But it emerged that it was employing Bustamante Sweetman, an ex-convict who claimed he was still selling crack, heroin and ecstasy and described himself as a 'big time drug dealer in Bristol'. He was filmed smoking cannabis and said that he was jailed for cutting someone's throat on Christmas Day in 2009. Sweetman was jailed in 2012 for his part in riots that took place in Bristol. He was handed a sentence of two years and six months, plus an additional 18 months for assaulting a PC when he breached his bail conditions. Ali Taheri, 30, a former drug dealer, became a team leader and said he said the way to build trust with people was to 'lie, innit?' He was also recorded laughing about getting 'disabled, old, vulnerable woman' to sign up, adding: 'I signed up a woman who I knew I shouldn't have signed up'. Taheri targeted the vulnerable 'because they'll believe anything that we say', he said, according to The Sun. Taheri was jailed for two years in March 2015 after he was pulled over by police who noticed his car being driven suspiciously pulled it over - and smelt cannabis. After noticing 'a strong smell of cannabis', they searched the vehicle and found a large amount of the Class B drug in individual bags. They then searched the house where he was staying and found more cannabis, plus cocaine and ecstasy with an estimated street value of 3,500. Leon Cofer, who has served time for domestic abuse and robbery, is said to be NEET Feet's star chugger, once signing up a record 47 people in a week. One source told The Sun: 'He's a beast. He literally squashes them. Walks over to them with his iPad and just mugs them.' NEET Feet has stopped working in Bristol where their star 'chugger' was Leon Cofer, a former convict who colleagues said could get up to 47 new clients a week In 2009 he was caught by police after his blood was found in a car after he smashed a window to break in. Today his mother defended him on Facebook and said she was unhappy with The Sun's portrayal of her son. Karen Cofer said: 'Why is it when someone turns there life around someone always wants to f**k things up hmm?'. Another friend appeared to accuse NEET Feet of taking advantage of ex-criminals to make money from their difficulties in getting jobs. She said: 'Unfortunately they need criminals as they make them an amazing amount of money. They have managed to turn life into a game of profit'. The Sun claims NEET Feet told them it would not sign up anyone over the age of 75. But a senior member of staff was filmed signing up an 80-year-old who had a no cold caller sign on her door. Another laughed about signing up a 93-year-old. Team leader Max Greenfield urged one worker to target 'oldies' and proudly admitted signing up a 'brain dead' pensioner, it is claimed. The campaign manager explained that staff get between 7 and 10 an hour but secure a commission when people sign up to a minimum spend. Shame: The scandal has been exposed in Bristol, where poppy seller Olive Cooke, 92, pictured, killed herself after being 'overwhelmed' with requests for cash from charities He told an undercover reporter: 'I've never heard of a fundraising job where they give you a bought up BMW to drive around in, so I'm not going to argue'. The company has been operating in Bristol, where poppy seller Olive Cooke was on the hit list of 99 charities, including 70 organisations who bought and shared her details. The 92-year-old great-grandmother from Fishponds in Bristol killed herself in May last year after enduring repeated requests from charities asking her for money, failing health and sleepless nights. Her death sparked hundreds of complaints about 'hounding' by charities, with particular concerns around those that shared donors' contact details. David Holdsworth, chief operating officer at the Charity Commission, said: 'These allegations are deeply concerning. 'The Commission has already made it clear that charities need to ensure that the behaviour of professional fundraisers acting on their behalf is of the highest ethical standards. 'Charities rely on the generous public to support their important causes but this type of behaviour by a minority is damaging to all. 'Some progress has been made in recent months but clearly there is more work to be done'. Neet Feet have apoligised today. A spokesman said: 'The directors of NEET Feet are sorry for any distress which has been caused following these allegations. 'We have launched an immediate investigation and can reassure the many charities who we work with that this matter only involves a small number of employees. 'NEET Feet was founded three and a half years ago and aims to give people a second chance in life and currently employs 130 people. 'The directors are proud of the company's record and were unaware of the practices highlighted by the report. 'We work to the highest ethical standards and look forward to working closely with the Funding Regulator and the Charity Commission to establish the facts and see where lessons can be learned.' A damning report revealed last month that charities have lost the trust of the public following scandals over fundraising and mismanagement. Confidence has fallen by ten percentage points to its lowest for more than a decade, the Charity Commission said. The use of high-pressure fundraising tactics especially cold calling was particularly to blame, the regulator revealed. Three quarters of those surveyed said they felt 'uncomfortable' about the way charities raised money, while nearly a fifth no longer trusted them after being on the receiving end of 'pressurising' fundraising techniques. The rifle wielding protester sought by Dallas police as a suspect during last week's riots that left five cops dead says he has been forced into hiding after receiving 'thousands' of death threats. Dallas Police Chief David Brown described Mark Hughes, without naming him, as 'a person of interest who witnesses at the scene say was involved in the shooting' during a panicked news conference while the city was in meltdown. An image of him carrying an AR-15 during the Black Lives Matter protest was then shared on Twitter by the force, being retweeted tens of thousands of times. Dallas Police Chief David Brown described Mark Hughes (pictured), without naming him, as 'a person of interest who witnesses at the scene say was involved in the shooting' during a panicked news conference while the city was in meltdown The department later posted that Hughes - their person of interest - had turned himself in. He was released a short time later, having had no involvement in the shooting of eleven officers. Micah Johnson, 25, was the man responsible for killing five police officers and wounding six other officers and two civilians. However, Hughes has since been inundated with death threats by strangers online adamant that he had a role in their deaths. He says he has received 'thousands' as a direct consequence of being 'defamed' by the Dallas Police Department. Hughes' attorney, Paul Saputo, said: 'The world saw him as a mass-murderer. Why? Because he was a black man carrying a gun.' Mr Saputo confirmed that Hughes has not 'ruled out' taking legal action against Dallas police. Dallas Police released an image of Hughes brandishing a rifle as he walked through the city carrying an assault rifle and wearing a camouflage shirt. The force tweeted: 'Please help us find him!' but he was released after handing over the weapon and being questioned Speaking last week, Hughes said: 'I can't believe it. In hindsight, with 20/20 I could easily have been shot. 'We received a phonecall that my face was on as a suspect and I immediately flagged down a police officer. 'Police officers were lying. They said they had video of me shooting the gun, which is a lie. They said they had witnesses saying that I shot a gun, which is a lie. Micah Johnson, 25, was the man responsible for killing five police officers and wounding six other officers and two civilians 'At the end of the day the system was trying to get me.' Mr Johnson shot dead five policemen after a peaceful Black Lives Matter march turned violent. A heartbroken mother-of-two held on suspicion of murder after suffering a miscarriage has spoken out about her treatment by police. Lauren Bull, 30, had no idea she was 31 weeks pregnant when she suffered a miscarriage at her home in Braintree, Essex, in November 2015, after waking up in a pool of blood. She was rushed to hospital with a collapsed womb but hours after life-saving surgery, she and her partner Jack Walker, 28, were arrested on suspicion of murder. Lauren Bull, 30, (left) had no idea she was 31 weeks pregnant when she suffered a miscarriage at her home in Braintree, Essex - but she and her partner Jack Walker, 28, (right) were later arrested on suspicion of murder The pair spent some 34 hours behind bars at Chelmsford police station and officers only dropped the murder probe when a post-mortem confirmed a still birth. However police spent a further seven months investigating claims the couple had concealed a body, and only dropped the case two weeks ago. Ms Bull now says she was 'treated like a killer' and claim officers showed 'no humanity' towards her. She told The Sun: 'I can't forgive the police for the way they treated me. 'They tried to tell Jack I had been having an affair and he had tried to kill the baby when he found out it wasn't his.' She said the allegations were 'lies' and is now planning to pursue legal action against the force. The pair spent some 34 hours behind bars at Chelmsford police station (pictured) and police only dropped the murder probe when a post-mortem confirmed a still birth Ms Bull suffered stomach cramps and flu-like symptoms prior to her miscarriage, but said she had no bump, no craving and continued to have periods - so did not suspect she was pregnant. Before attending the hospital, the pair cleaned their blood soaked toilet to avoid worrying their children. 'There was a lot of blood on the floor and I didn't want the kids to see,' said Miss Bull. Mr Walker told The Sun he had not seen the 5cm foetus. Ten police officers later went round to the house before arresting the pair. Ms Bull said she and her partner had been planning to have a third child, but after their ordeal, she 'never wants to be pregnant again'. An Essex Police spokesman said two people were arrested on suspicion of concealing a birth and they were subsequently released without further police action. A police spokesman added: 'Recent cases have highlighted the need for police to thoroughly investigate any harm to a child, especially when they die. 'The body of this poor baby was found in unusual circumstances. 'The death of any child is tragic and when the circumstances of a child's death are unusual and unexplained then we have a duty to thoroughly investigate. 'This is to provide answers to the family and the public, minimise the risk of any future harm to a child and to ensure that the rights of the deceased child are upheld. 'There was a full multi-agency response to this distressing event to ensure there was the necessary support to all of those involved and affected by this tragedy.' A spokesperson for the Crown Prosecution Service (CPS) added: 'We have advised Essex Police that it is not in the public interest to prosecute a 30-year-old woman for concealing the birth of a child. They're not known for being particularly friendly to humans. But one very sweet sloth was more than happy to make buddies - as long as tempting food was on the table. Footage shows a group paying an after-hours visit to a zoo in Alabama. Hello, you: Cute footage shows a group of friends meeting a sloth in a zoo in Alabama Friends: The sloth takes one of the group's hands and clings on endearingly There they meet the sloth, perched on a windowsill. Cautiously, one of the group approaches the sloth, and takes its paw. The sloth seems content to hold hands, while his new human buddy serenades him with the Beatles hit I Want To Hold Your Hand. Snack time! The sloth then enjoys a tasty bit of cucumber Nom nom! The sloth looks absolutely delighted to be feasting on the snack The man then brings out a tasty surprise from his pocket - a cucumber. And the sloth is more than happy to accept, taking the cucumber and chewing contentedly. South Sudan's Vice President today called on troops to stop fighting after at least 300 people died as clashes between government troops and opposition forces entered a fifth day. Vice President Riek Machar, a former rebel, urged men loyal to him to ceasefire after mass shootings in the capital Juba with forces supporting President Salva Kiir. It comes after heavy explosions continued to shake the city on Monday morning with widespread shooting preventing residents from moving from their homes. A 'massive explosion' hit shortly after 9am followed by further blasts in the Tomping area of Juba, home to embassies, the airport and a U.N. base, said an aid worker - leaving the country on the brink of civil war. South Sudan Second Vice President James Wani Igga (C), flanked by South Sudan President Salva Kiir (R) and First Vice President Riek Machar (L), addresses a news conference at the Presidential State House in Juba, South Sudan Plumes of smoke rise after South Sudanese government attack helicopters hovered over the Checkpoint district of the capital Juba, near the Jebel district which has seen some of the heaviest fighting General Dau Aturjong, a senior military officer in the armed opposition faction of the Sudan People's Liberation Movement (SPLM-IO) under the leadership of the first vice-president, Riek Machar, addresses a news conference after he defected to SPLA loyal to President Salva Kiir in South Sudan capital Juba Heavy explosions continued to shake South Sudan's capital Juba on Monday morning with widespread shooting preventing residents from moving from their homes Mr Machar speaking on Eye Radio Juba, shortly after Kir had ordered an immediate unilateral ceasefire, said: 'I inform all troops who have been fighting and have been defending themselves that they should observe the ceasefire and stay in position.' He added that the ceasefire started at 8pm local time - 5pm GMT. An aid worker, who spoke on condition of anonymity because he was not authorized to speak to press, said: 'It rings through the whole city every time they fire. 'I think one of the tanks must be near me, my ears are burning.' Explosions and 'very heavy gunfire' sounding 'like popcorn' were reported by a resident in the Gudele area, who also insisted on anonymity for safety. The United Nations Mission in South Sudan reported on Twitter that clashes restarted near its compound in Jebel, where some 30,000 civilians have taken refuge. The opposition also has a base near Jebel and their leader, First Vice President Riek Machar, also has his home there. Two U.N. peacekeepers from China were killed at the base Sunday night, according to Chinese state media. An eyewitness in the U.N. base who was not authorized to speak to the press told AP that he saw a government tank fire at a Chinese armored personnel carrier. A 'massive explosion' hit shortly after 9am followed by further blasts in the Tomping area of Juba, home to embassies, the airport and a U.N. base, said an aid worker, leaving the country on the brink of civil war Journalists are seen on the podium following sounds of gun shots before the news conference There were 67 injuries and 8 deaths in the U.N. base Sunday, according to an internal situation report circulated among humanitarian organizations. Water tanks have not been able to bring water to the tens of thousands sheltering inside the base. Some 10,000 people have been displaced by the fighting in Juba and many are sheltering at the two U.N. bases, a World Food Program compound and other areas, said U.N. Office for the Coordination of Humanitarian Affairs spokeswoman Matilda Moyo. The United States told its citizens it would evacuate all non-essential staff from the country. The Canadian embassy has closed entirely, according to a message sent to its citizens. India is planning to evacuate its citizens, according to a tweet by its external affairs minister. The weekend clashes escalated following a skirmish last Thursday in which five soldiers were killed. Since then more than 100 people have been reported killed. There were 67 injuries and 8 deaths in the U.N. base Sunday, according to an internal situation report circulated among humanitarian organizations South Sudan gained independence from Sudan in 2011, but the country has been riddled with infighting ever since. In December 2013, a battle between President Salva Kiir and his ex-Vice-President, Riek Machar, erupted into civil war. Numerous cease fires were ignored as fighting continued until August 2015 when President Kiir signed an internationally-mediated peace deal under which rebel leader Riek Machar would return as Vice-President after initially being accused of trying to overthrow him. Machar took up his post in April this year top form a new unity government, but unrest flared up again leaving the country's residents vulnerable once more. Advertisement This is the remarkable moment a young lion cub tries to save his companion from being tranquilised by attempting to pull a dart out of her body. The lioness was struck by the dart at the Ol-Pejeta conservancy in Kenya by conservationists monitoring the movement of the big cats. After hitting the distressed animal, a nearby lion rushed to the female's aid and wrapped his teeth around the bullet. He then pulled out the dart as he desperately tried to save the cat, which had been targeted as it was suffering from disease in her paws. But luckily, the conservationists only wanted to subdue the animal so they could treat a sore wound on her paw, before releasing her back into the wild. Among the conservationists are a team of highly trained rangers working under the authority of the Kenya Police and supported by the Kenya Wildlife Service & Criminal Justice System. A lion cub attempts to pull a tranquiliser dart out of a lioness's side after she was hit when being fired at by conservationists in Kenya Moments earlier the lioness had been targeted with the tranquiliser dart by conservationists wanting to give her treatment for disease Thinking that the lioness was in danger, the young cub rushed to her aid and desperately tried to pull the dart from her body A wildlife ranger cautiously approaches the lion after she was hit by a tranquiliser dart so they could give her treatment for a diseased paw Once she was subdued, vets got to work treating the lioness and also set up a radio collar on her neck so they could track her movements The lioness had been targeted as the wildlife conservationists knew she was suffering from disease and had a sore on one of her paws Soon after vets administered her treatment, the lion began to recover from the effects of the tranquiliser and was soon sitting up again Passengers with ever-expanding waistlines will soon be able to fly in comfort as airlines demand bigger seats for larger customers. Rising obesity levels mean many passengers now struggle to squeeze into small seats on aircraft. But a new generation of jets is set to rectify the problem, and this weekend one of the first planes, Bombardier's C-Series CS100, will be shown off at the Farnborough Airshow. The aircraft features seats which are larger than in most commercial passenger jets Airlines could order around 7,000 C-series aircraft, which will also offer bigger windows, extra-wide aisles and larger hand luggage bins, over 20 years The aircraft features seats which are larger than in most commercial passenger jets. Passengers in window and aisle seats will find an ample 18.5ins (47cm) in width, whilst customers in middle seats will have 19in. easyJet currently offers snugger seats with a minimum of 17.5ins (44cm) in width available but Ryanair is even less generous with seats only up to 17ins wide. British Airways announced last year that it was ordering 22 new Boeing 787 Dreamliners which offer 17.3ins in seat width. Ross Mitchell, Bombardier's vice-president of commercial operations, told the Guardian that airlines needed bigger seats for heavier customers. 'We went to airlines and asked them what the appropriate sizes were. They said 18-19 inches because it gives people more room in the seat. Airlines were looking to have an option with more comfort,' he said. Bombardier, which is based in Canada, had the wings of the CS100 designed and developed in Belfast with 180million in UK government cash used in the project Ross Mitchell, Bombardier's vice-president of commercial operations, said airlines needed bigger seats for heavier customers Airlines could order around 7,000 C-series aircraft, which will also offer bigger windows, extra-wide aisles and larger hand luggage bins, over 20 years. But not all aviation experts are convinced the Bombardier plane will be a resounding success. John Strickland of JLS Consulting said while the C-Series's wider seats would be tempting for airlines, cost pressures would force some to go for other planes which pack in seats. The first of the CS100 planes were delivered to Swiss International Air Lines last week - with one set for the Hampshire air show. Bombardier, which is based in Canada, had the wings of the CS100 designed and developed in Belfast with 180million in UK government cash used in the project. The company claims the lightweight plane's aerodynamics will save airlines up to 10milllion per aircraft in fuel. A spokesman for Ryanair told MailOnline: 'Ryanair operates an all Boeing 737-800 fleet and all our new aircraft deliveries feature new slimline seats providing more leg room 31in vs the current 30in. Angela Eagle was humiliated today as she went to take questions at her Labour leadership launch - but the media had abandoned her to cover the breaking developments in the Tory leadership race. She had just formally announced she was challenging Jeremy Corbyn and was expecting questions from broadcasters. But Ms Eagle was met with an awkward silence as she asked for questions from the BBC, ITV and Channel 4 News. Her decision to finally launch a leadership challenge was the main news in Westminster until Andrea Leadsom handed Theresa May the keys to Number 10 by pulling out of the Conservative leadership election just minutes after Ms Eagle stood up to speak. Ms Eagle insisted Labour needed a 'strong woman' to lead the party through 'dark' and 'dangerous times'. Labour's general secretary Iain McNicol confirmed she had already received the necessary 51 nominations from Labour MPs and MEPs to trigger another leadership contest today. Scroll down for video Angela Eagle (pictured) formally challenged Jeremy Corbyn for the Labour leadership today as she insisted Labour needed a 'strong woman' to lead the party through 'dark' and 'dangerous times' Angela Eagle's decision to finally launch a leadership challenge was the main news in Westminster until Andrea Leadsom handed Theresa May the keys to No 10 by pulling out of the Conservative leadership election just minutes after Ms Eagle stood up to speak - pictured outside her home in London at lunchtime today It means Labour party members and registered supporters will be asked to vote on who they want as leader less than a year after Mr Corbyn was elected by a landslide in September. But there is uncertainty over whether the current leader automatically gets onto the ballot paper as party rules do not make clear whether he also needs to win the necessary nominations from Labour MPs. Mr Corbyn repeatedly ignored calls to stand down after 172 MPs signing a vote of no confidence in his leadership and talks between union leaders and deputy leader Tom Watson broke down. Attempting to bat away criticisms of her links to previous Labour governments, Ms Eagle said today: 'I'm not a Blairite. I'm not a Brownite. I'm not a Corbynista. I am my own woman, a strong Labour woman.' Iain McNicol, General Secretary of the Labour party, confirmed she had received the necessary backing to trigger a leadership election at lunchtime today. 'I have now received sufficient nominations to trigger a contest for the position of Leader of the Labour party. 'I will now ask the Chair of the National Executive Committee to convene a meeting to confirm arrangements for an election.' Angela Eagle (pictured left arriving for her speech in central London this morning) has triggered another Labour leadership contest less than a year after Jeremy Corbyn (pictured leaving his home this morning) was elected by a landslide 60 per cent of Labour party members and 3 supporters Angela Eagle (pictured) was left silenced after the media deserted her leadership bid to cover Andrea Leadsom's decision to pull out of the Tory leadership race Angela Eagle (pictured) announced she is challenging Jeremy Corbyn for the Labour leadership today and insisted she is 'not not a Brownite, I'm not a Corbynista, I am my own woman, a strong Labour woman' Angela Eagle (pictured on stage) formally challenged Jeremy Corbyn for the Labour leadership today as she insisted Labour needed a 'strong woman' to lead the party through 'dark' and 'dangerous times' Angela Eagle (pictured) finally launched her long-awaited leadership bid this morning after Mr Corbyn repeatedly ignored calls to stand down after 172 MPs signing a vote of no confidence in his leadership and talks between union leaders and deputy leader Tom Watson broke down At her launch this afternoon Ms Eagle attacked Mr Corbyn's leadership and mocked him by saying: 'A kinder politics must be a reality, not just an empty slogan.' And she took a direct swipe at her leader with her own leadership slogan promising 'real leadership'. She said: 'Our country needs a strong Labour Party. We alone can hold this right wing Tory government to account and then replace it by winning a general election. 'Jeremy Corbyn is unable to provide the leadership this huge task needs. I believe I can.' In another scathing verdict on Mr Corbyn, Ms Eagle added: 'He has been hiding behind a door not talking to his Members of Parliament that's not leadership. He's opened the party to new ideas, but we need other people to take them forward.' But Diane Abbott, one of Jeremy Corbyn's closest allies branded her the 'Empire Strikes Back' candidate and predicted Mr Corbyn would win the upcoming contest. And Len McCluskey, the Unite leader who tried to broker peace talks within the party last week, today branded the challenge a 'squalid coup'. Labour MPs are desperate to try and keep Mr Corbyn off the ballot for fear he will win again and want at least to force him to get nominations from MPs and MEPs to enter the contest. Ms Eagle was accompanied by sernior Labour figures as she launched her leadership challenge in central London at noon today. Mr Corbyn, seen today leaving home, refused to comment when met by reporters' questions about the leadership challenge today Former interim leader Harriet Harman, former home secretary Alan Johnson as well as their colleagues Hilary Benn, Dan Jarvis and Stephen Kinnock attended her launch. Ms Eagle, who served as shadow business secretary and shadow first secretary of state under Mr Corbyn's frontbench, attacked her leader by declaring: 'I'm not here for a Labour pary that just takes part. I'm here to win.' 'Everything we are proud of, from the NHS to the minimum wage to the equality legislation that has modernised and civilized our society is there because we were in government.' She insisted she would not be standing if she 'did not think I had something to offer to bring our party and our country back together,' adding: 'and I would not do this if I did not think I could be a good prime minister for Britain. 'These are dark times for Labour. And they are dangerous times for our country.' Mr Corbyn refused to comment on the contest when he was met by reporters as he left home this morning. Former interim leader Harriet Harman introduced Angela Eagle as she launched her leadership challenge In a television interview yesterday, Mr Corbyn suggested he would even be prepared to sue his own party for the right to get on the ballot - after making the extraordinary claim Labour was doing well under his stewardship. In the past fortnight alone, Mr Corbyn has faced 65 front bench resignations and overwhelmingly lost a vote of no confidence. Ms Eagle had repeatedly threatened to launch her challenge only to back off to allow more time for efforts to ease Mr Corbyn out gracefully. Ms Eagle explained her decision to stand for leader in an interview with the Mirror today, saying: 'We have got to rebuild hope together and I think the Labour Party - when it's working properly, when it's functioning - that's what it does and that's what I want to see it get back to. 'The Labour Party needs to be saved - I'm stepping up to the plate to say it's about time that we did this so we can make the Labour Party relevant again and so we can contend for government. 'I want to ensure our country can be healed after the terrible shock that Brexit is going to inflict on it.' The 55-year-old said her background as a 'good, sensible, down-to-earth woman with Northern roots' would help her lead the party. 'I have got life experience and values. I'm a woman from the working class North; I understand metropolitan things too,' she said. 'I'm a gay woman - I know the difference between hope and fear.' Mr McCluskey today told the Unite conference: 'Just a year after being elected, a government rudderless and to blame for dispatching the country, via an unprepared referendum, into a pit of uncertainty. 'How ironic that a manoeuvre designed to overcome Tory divisions has ended up creating the mother of all splits. 'What a chance for Labour to step forward and speak for the country. To offer itself as the strong opposition and government-in-waiting that millions are looking for in this situation. It was time for unity and a calm voice. Diane Abbott branded Angela Eagle the 'Empire Strikes Back' candidate in a BBC radio interview today and within moment tweeted a still from the Star Wars movie to underline her point Ms Abbott, who appeared at a Keep Corbyn rally called by Momentum activists last week, insisted Labour could win under Mr Corbyn and said the party's MPs should back him if he wins a second time 'Instead we have seen a cowardly attack launched against the party's elected leader which has deprived the country of all parliamentary opposition and let the Conservatives off scot-free in their moment of turmoil. 'This is the responsibility of people who had never accepted Jeremy Corbyn's victory last year they never accepted his overwhelming democratic mandate.' He added: 'But the instigators of this will be branded forever with the mark of infamy for betraying their party and their country, for putting their selfish personal interests first when the times called for solidarity and statesmanship. 'Let me ask Angela Eagle, who I regard as an old friend, but who resigned as Business Secretary a question did you give thirty seconds thought as to how this would help the workers at Tata, fighting for a future made still more uncertain by Brexit? 'Or the oil and gas industry facing obliteration? Or have they been abandoned in their moment of need?' Shadow health secretary Ms Abbott today suggested Ms Eagle was battling like Darth Vader to keep Mr Corbyn off the ballot paper and said it was a 'Westminster bubble' issue. She told the BBC: 'At any given time you will find a lot of people complaining about the leader of their party. The Parliamentary Labour party has taken it to extremes. 'Our best hope at getting a good result in the next election is for people to unite and to get on with taking the fight to the Tories. 'Angela Eagle is a perfectly nice woman, but what she is is the Empire Strikes Back Candidate. She voted for the Iraq War and more beside. At least if she runs the party will be offered a clear political choice. 'Winning is everything, and I believe we can win under Jeremy Corbyn When actual people vote in actual elections, we have improved our vote every time. 'This is a Westminster bubble thing I'm talking about the party, and ordinary people at the grassroots. Jeremy went to the Durham miners' gala and got an amazing reception. At the grassroots, people want the party in Westminster to unite, that's what they want.' Labour former acting leader Harriet Harman said Mr Corbyn 'has to have the continued support' of MPs and cannot say 'I've got the right to carry on come what may'. She told Today: 'If you win that leadership that doesn't give you the right to fail. It gives you the privilege of having the chance to try and lead the party. 'But if you fail, you can't take the party down with you. That's not fair.' She said any attempt by Mr Corbyn to take legal action if he is not automatically on the ballot paper would heap 'more dysfunction' on the party. 'The idea that the leader of the party, having lost the confidence of Labour MPs, then takes the national executive of the party to court is just more dysfunction upon more dysfunction and the party is suffering.' Labour leader Jeremy Corbyn today faced a challenged to his leadership but he has vowed to fight on and win the contest when it comes Meanwhile, Owen Smith, another potential leadership contender, is seeking crisis talks with Mr Corbyn as he claimed the leader and his allies are prepared to split the party in order to remain in place. In a further sign the party is on the verge of all-out civil war, Mr Smith said that at a meeting with Mr Corbyn he asked him three times whether he is prepared to see a split but 'he offered no answer', while the leader's ally and shadow chancellor John McDonnell 'shrugged his shoulders and said 'if that's what it takes''. Mr Smith said: 'I am not prepared to stand by and see our party split.' In a bizarre interview yesterday, Mr Corbyn claimed that Labour is 'doing very well' as he threatened to sue his own party if it blocks him from standing in a fresh leadership battle. The veteran left-winger insisted the party's rules mean he does not have to secure the support of 51 MPs to get on the ballot for the contest. And he warned he would take legal action if the ruling NEC tried to block him from running as a candidate. Mr Corbyn also risked further inflaming tensions with moderates by suggesting he will back a motion to hold former PM Tony Blair in contempt of parliament over the Iraq War. The defiant comments came in an interview with the BBC's Andrew Marr show as Labour's simmering leadership issues escalated into a full-blown crisis. The ruling National Executive Committee (NEC) will decide tomorrow whether the leader needs to garner nominations, or automatically features. They look worthless to most people, but the garbage bags thrown out by glamorous stores in New York actually contain discarded goods that dumpster divers sell on for hundreds of dollars. They root through the bags looking for items such as purse dust covers, shopping bags and hangers that they sell on eBay and other sites. A purse dust cover decorated with the name of a designer store can sell for over $150 and a handbag box for $60. One newspaper interviewed a trio of dumpster divers who regularly search through trash from the Chanel store on 57th Street (pictured) so often that theyre even greeted by store workers throwing out the garbage The dumpster divers root through garbage from shops looking for items such as purse dust covers, shopping bags and hangers that they sell on eBay and other sites But even more money can be made if a designer dress or jacket is found. The New York Post interviewed a trio of dumpster divers who regularly search through trash from the Chanel store on 57th Street so often that theyre even greeted by store workers throwing out the garbage. Manhattanite Andy, who began hunting for items to sell in Chanel garbage after losing his job in finance, told the paper: The handbag boxes people are crazy for. He explained that real-estate agents use them to create a glamorous look for homes theyre selling. Another picker revealed that she collected enough items from Miu Miu to redo her entire closet. Chanels official policy is that it doesnt allow anything it throws out to be sold on through unofficial channels but picking trash is legal, as long as items discovered arent taken away by car, the Post reported. Chanels official policy is that it doesnt allow anything it throws out to be sold on through unofficial channels but picking trash is legal, as long as items discovered arent taken away by car Last year it emerged that students in Canberra, Australia, were dumpster diving for food. University student Louise Stockton revealed that she went diving in dumpsters for food twice a week. 'I really don't have that much money this week and if I can find a couple of loaves of bread it's going to make my week a lot easier,' she told Daily Mail Australia. 'If I'm going to find some heads of broccoli I can make some good meals this week. It means I can spend money on other things I need to buy.' In 2015 a single mom in Utah was dumpster diving for scrap metal when she discovered envelopes with two seven-year-old diamonds worth $8,000. This is the moment a police officer was saved from being strangled by a prisoner when other inmates came to his aid. CCTV footage from Mahoning County Jail in Ohio shows one man, named locally as Lucky Mitchell, wearing an orange jumpsuit stood with what appears to be rope in his hands. He then lunges forward to make his attack on Deputy Anthony Lucas who is, at this point, out of camera shot. After a prisoner tried to strange him, Deputy Anthony Lucas is caught on camera fighting back The two then engage in a desperate struggle, as the cop tries to free himself. The pair stumble around the room and up against a pillar, but it isn't until other inmates enter that the attacker can be subdued. WKBN reports that Lucky Mitchell attacked Deputy Anthony Lucas, with the incident caught on prison surveillance. Battle to break free: The pair bundle around the room, and up against the pillar at Mahoning County Jail in Ohio Finally Mitchell breaks free from the aggressive prisoner when other inmates step in to help him Mahoning County Sheriff Jerry Greene said: 'He asked the officer working the pod at the time if he could get him some toilet paper and when he was getting him the toilet paper, he asked him to look up on the computer when his next court date was. 'It was a pretty intense altercation that took place and I'm sure when an officer has somebody try to slip something over their head to choke them with, they're gonna end up fighting pretty hard for their life.' In light of continued developments, primarily since 2008, there exists in these United States a Legal System which operates on a proved Two Tiered approach to justice rendered, which primarily benefits Democratic Elites and Woke Ideological Virtue Signalers, representing their co-dependent wards, to the expressed exclusion of normal hardworking American citizens: What is your suggestion in remedying this widespread injustice and, if not corrected, its existential outcome for our Constitutional Republic? Complete overhaul of the Department of Justice and their enforcers - the FBI - to reflect a far more honest justice system to keep patriots remaining calm. Disband the FBI, and request that congress investigate all unethical and non patriotic practices to partially right the wrongs of a distrusted and politically weaponized "Department of Justice." Ethiopia has blocked access to social media websites, including Facebook and Twitter, after school exam questions were posted online in a national scandal. The country-wide ban, which prevents people from accessing social media through computers and mobile devices, began Saturday and will be in place until the national university entrance exam concludes on Wednesday. Government officials said the sites have been blocked for the first time ever to ensure an 'orderly exam process' and prevent students from becoming 'distracted'. The country-wide ban prevents people from accessing the websites on computers and mobile apps (file photo) Getachew Reda, Ethiopia's communications minister, said: 'Its blocked. Its a temporary measure until Wednesday. Social media have proven to be a distraction for students.' In May, the online leak of national exam sheets forced the tests, which were to be taken by more than 250,000 students, to be postponed to this week. Some opposition media claimed responsibility for the leaks, and many have called it a national embarrassment for the government. Critics have complained that the ban on sites such as Facebook, Twitter, Instagram and Viber was implemented to prevent another exam leak and further embarrassment for the government. Daniel Berhane, a blogger and creator of the website Horn Affairs, used proxy tools, or a virtual private network, to get around the ban. He blasted the government in a message posted on his Facebook account. Critics have used virtual private networks to get around the ban and post messages on Facebook Berhane wrote: 'This is nothing but an unconstitutional State of Emergency. The Ethiopian government have no legal basis or procedural defense to deny the freedom of expression and communication of millions of citizens by blocking facebook and related applications and websites. 'This is not China.' He told AFP the ban has set a dangerous precedent and could last weeks or even months if it is repeated in the future. Berhane fears Ethiopian authorities are testing new internet filters and the reaction of the public to this week's ban. Facebook and Twitter are popular sources of independently reported information for Ethiopians, given the government's control of the country's media. Last year, a report by Human Rights Watch detailed how the government has curtailed independent reporting since 2010. Half a dozen privately-owned publications closed after government harassment, nearly two dozen journalists, bloggers and publishers were criminally charged, and more than 30 journalists fled the country in fear of being arrested under repressive laws, the organisation said. It said social media are also heavily restricted, and many blogs and websites run by Ethiopians in the diaspora are blocked inside Ethiopia. The social media ban comes a week after the United Nation Human Rights Council passed a non-binding resolution which condemns countries that block internet access, and almost two weeks after the Ethiopia was elected to the United Nations Security Council as a non-permanent member for two years, along with Bolivia, Kazakhstan and Sweden. Ethiopia isn't the first African country to censor certain websites or the internet entirely. Police released facial composite of a man who may assist with enquiries the woman in the car then dropped her home Police are searching for a man who raided a womans garbage before he forced her into his car and sexually assaulted her. A 50-year-old woman was approached by an unknown man who was collecting hard rubbish on North Road in Lilydale, north-east of Melbourne, shortly before midday on Wednesday January 27. The pair struck up a conversation and the man said he collected and sold hard rubbish. Police are searching for a man who raided a womans garbage before he forced her into his car and sexually assaulted her- Detectives have released a facial composite (pictured) of a man they believe may be able to assist with enquiries The man forced her into a grey 4WD and drove to a nearby street where he sexually assaulted her. The offender then drove the woman home where she raised the alarm. Sexual Crime Squad detectives are appealing for public assistance. They have released a facial composite of a man they believe may be able to assist with enquiries. The offender is believed to be aged between 50 and 60-years-old, about 175 centimetres tall with an olive complexion, dark and grey hair and a short beard. He spoke in broken English with a European accent and was wearing navy overalls. Anyone with information is urged to contact Crime Stoppers on 1800 333 000. A mother-of-three has spent 13,000 transforming the dining room of her family home into a bewitching replica of Hogwarts. Charlotte Kyriakou, 31, spent 18 months buying wands, a sorting hat and even an owl to re-create the magic of Harry Potter's school inside her four-bedroom home in Shrewsbury, Shropshire. The remarkable wood panelled room also features original props used in the film and boasts a dining table that looks like it has been taken straight from the imposing Great Hall of Hogwarts. Their own Great Hall: The dining room of the Kyriakou family home in Shrewsbury, Shropshire has been transformed into a replica of Hogwarts. Parents Charlotte and Andrew spent 13,000 on the magical project Dream come true: Children Kiri, six, Max, four and Eleni, three, left, love dressing up in Hogwarts robes as their heroes, Harry, Ron and Hermione. Right, school trunks and a stuffed owl sit in the corner of the room Magical secret: The outside of the Kyriakous' four-bedroom family home in Shrewsbury, Shropshire Mrs Kyriakou says the 'blood, sweat and tears' that went into the room are worth it for her Potter 'obsessed' children Kiri, six, Max, four and Eleni, three, who 'absolutely love it'. Mrs Kyriakou and her husband Andrew, 39, were inspired to undertake the unusual DIY project after a family trip to the Making of Harry Potter tour at Warner Brothers Studios, near London. Mrs Kyriakou said: 'We're all pretty obsessed with Harry Potter as a family. We went down to London to go to the studios, and we thought "Why can't we do this at home?".' The family then spent over a year transforming the space, starting with moving the living room to a different part of the house to make space for the Hogwarts-themed dining room. The most expensive addition was the limestone floor, which set the family back 4,000. They also spent 3,500 on fake stone walls, 2,000 on the antique table and chairs and hundreds more on finishing touches like flags and film props. Ready for school: A starter kit for a potions class at Hogwarts, complete with glass bottles and a text book Hollywood hit: A letter used in the first Harry Potter film sits alongside other props in a glass display case Potter mad: Charlotte Kyriakou with children Kiri, six, Max, four and Eleni, three, inside their magical room The 200 suit of arms was a special delivery from Germany and 80.85 was spent on wands alone. Mrs Kyriakou, who owns a fish and chip shop with her husband, said: 'My husband did the wooden panels himself, and then ever since we decided we wanted to do it we have been collecting odd bits and bobs from antique shops. We have a lot of replica props and two original props from the films. 'We have one of the letters thrown through the fire place which we bought from a dealer, which cost us about 200. We also have a witch in a jar which was from Professor Lupin's office in the third film. That cost 350.' The family have spent a total of 13,004.72 making their dream a reality. To add an extra touch of magic, Mrs Kyriakou even mounted an iPad on the wall with images of the children riding broomsticks to re-create the moving pictures imagined by J.K. Rowling. Attention to detail: Wands sit next to a quill and replica of the marauders map used in the film (right), while a collection of sweets and jokes sold in the shops of Diagon Alley sit on the other side of the display case Iconic character: A stuffed Dobby toy sits against a chair holding the family's own sorting hat, left. Right, Harry Potter's beloved owl Hedwig is seen in a cage in front of replica 'Wanted' posters from the film franchise Wizarding world: From left to right, Eleni, Kiri, and Max, read at the table while dressed in their Hogwarts robes She said her sons and daughter enjoy dressing up as Harry, Ron and Hermione, adding that the room is a hit for festive occasions. 'They'll bring their friends over for dinner and they absolutely love it,' she said. 'We hosted a Halloween party here last year and I tried to make it look like a banquet. 'I made a chocolate frog cheesecake with the Hogwarts crest in the middle. 'We had a Christmas dinner in here and managed to get 22 people in with the extra table from the kitchen. We love it. It is definitely worth the money for us.' Perfect for parties: The family hosted an unforgettable Christmas dinner in the Hogwarts dining room Drink up! The family brought back these colourful postions from the Wizarding World of Harry Potter in Orlando House colours: Flags for Gryffindor, Ravenclaw, Slytherin and Hufflepuff hang above the wooden dining table Suburban magic: The dining room, left, adds a bewitching touch to the family's four-bedroom home Six-year-old Kiri added: 'When we invite friends round they think its awesome, we have had parties before and everyone loved it. 'We have a Dobby in the front room and a Hedwig in his cage. Dad has spent ages making the room but it looks really cool and its ace as its in our house! All our friends at school are jealous.' Mrs Kyriakou said that while she would ideally like another bedroom, they were unlikely to move any time soon. 'Blood, sweat and tears have gone into this room. I did suggest to Andrew that we could do with an extra bedroom, but we can't move and leave that room. I don't know what we'd do.' Favourite film: Hogwarts pupils sit in the Great Hall in a scene from Harry Potter and the Prisoner of Azkaban This shocking video shows the moment a ISIS car bomb speeds towards army tanks, exploding just a few feet away, The incident came as Iraqi Special Operation Forces battled to overcome the terror group in Fallujah last month. The soldiers are believed to have escaped the bomb attack unharmed. Fierce gunfire raged in Fallujah last month as Iraqi Special Forces battled to reclaim the city from ISIS In this frame, you can see the truck that starts to hurtle towards the tanks, packed with explosive The vehicle then blows up on the other side of the road, causing huge flames to pierce the atmosphere Army tanks were parked near the Blue Mosque in the Iraqi city, engaged in a fierce gun battle with ISIS. Then from the right, the vehicle speeds towards the tanks before a bomb inside blows it up. The force knocks the recording equipment to the floor, but voices can still be heard from inside the tanks as they take in what has just happened. Devastation: Incredibly, no Iraqi soldiers are believed to have been injured in the ISIS car bomb attack The force of the explosion does knock the video surveillance camera to the floor inside one of the tanks Fierce gunfire continues as the battle continues to reclaim the Iraqi city. At the end of June, Iraqi forces recaptured Fallujah, a city 30 miles west of Baghdad, in a major setback for ISIS. Only scattered signs of ISIS's self-declared 'caliphate' remain in the city, which was seized by anti-government fighters in early 2014 and later became a key jihadist stronghold. Iraqi forces declared Fallujah fully liberated after government troops routed the remaining ISIS fighters from the city's north and west under the close cover of US-led coalition airstrikes. David Cameron today insisted Britain should stay 'very close' to the EU after it completes Brexit, warning his successor at No 10 a good relationship with Europe was crucial. The Prime Minister said Britain still faced a challenge on productivity as it tried to make the 'new reality' of Brexit work. Mr Cameron, who announced his resignation within hours of the referendum results becoming clear, said the 'ground work' for Brexit was underway but that it would be for his successor to 'make it work'. David Cameron, pictured with Sir Richard Branson at the Farnborough Air Show head of his speech today, said a 'national effort' was needed to make the 'new reality' work The Prime Minister said Britain still faced a challenge on productivity as it tried to make the 'new reality' of Brexit work. He is pictured speaking to crew members of the Boeing P-8 Poseidon aircraft during his visit He said: 'We need to come together, we need to make the most of the cards in front of us, and we need to build a strong and dynamic economy.' Mr Cameron added: 'I believe is in our fundamental national and economic interest to remain very close to the European Union - for trade, for business, for security, for cooperation. 'Let that be our goal - the right relationship with Europe, high productivity, more exports and inward investment, these are the things we will have to get right and they will require a massive national effort.' Mr Cameron is due to leave office on September 9 and he will be replaced by either Theresa May or Andrea Leadsom, the two remaining candidates in the Tory leadership race. Since the unexpected referendum result, Mr Cameron has set up a 'Brexit unit' in Government to set out the ground work for the two years of negotiations that will begin when Britain formally triggers its exit from the EU. Elsewhere today, Angela Merkel has told Britain it will not be allowed to 'cherry pick' the EU laws it likes after Brexit. The German Chancellor said European leaders had made it very clear to the British government that no negotiations will begin until Article 50 - the formal process for cutting ties with Brussels - is triggered. Mr Cameron speaks to President and Chief Cxecutive Officer of Boeing Dennis Muilenburg (centre) and MP Sir Gerald Howarth (left) during a visit to Farnborough International Airshow today Mr Cameron and Defence Secretary Michael Fallon (centre, right) speak to the Boeing P-8 Poseidon crew Angela Merkel, right at last week's Nato summit with French president Francois Hollande, has warned Britain will not be allowed to 'cherry pick' which EU rules it is happy to comply with But she said she fully expects the withdrawal process to be started after Theresa May or Andrea Leadsom replace David Cameron as Prime Minister on September 9. 'The decision has been taken ... and the next step is and Britain will do this only when they have a new prime minister to invoke Article 50,' she said in an interview with the ZDF TV channel. 'I expect that to happen. I deal with reality and I firmly expect that application will be made.' She added: 'We have spoken to Britain and made clear there will be no negotiations with Britain until they have made their application, and there will be no cherry picking.' 'We have spoken to Britain and made clear there will be no negotiations with Britain until they have made their application, and there will be no cherry picking.' Meanwhile European Commission president Jean-Claude Juncker admitted he won a bet with the British Commissioner Lord Hill that Britain would vote to leave. A leaked report has revealed a staggering 1,200 were sexually abused in German cities during New Year's Eve celebrations. The police document stated detectives believe 2,000 men were involved across various cities but that the bulk of the crimes were committed in Cologne and Hamburg where 600 and 400 sexual assaults on women were reported respectively. Of the 2,000 perpetrators, only 120 have been identified, and about half of them were foreign nationals who had only recently arrived in Germany. Scroll down for video The police document stated detectives believe 2,000 men were involved across various cities but that the bulk of the crimes were committed in Cologne (pictured) and Hamburg where 600 and 400 sexual assaults on women were reported respectively The report was published by German newspaper Sueddeutsche Zeitung, which revealed a huge upscale in the original estimate of 359 sexual assault victims back in January. By May, that figure had increased to 'up to 1,000', according to the authorities in Germany. The horrendous scenes more than seven months ago have only resulted in four convictions, although more trials are underway. One of the most recent were the trials of foreign nationals Hussain A and Hassan T, walked from court cheering and smiling having been gifted suspended sentences despite the judge calling them 'animals'. Algerian Hassan T was also found guilty of being an accomplice to a sexual assault carried out by a group of around 20 men. The 26-year-old told a man who was walking with two female victims 'Give me the girls, give me the girls - or you're dead.' Hussain A, a 21-year-old Iraqi, had kissed a young woman against her will and then licked her face. 'We see that as sexual assault,' said judge Gerd Kramer. 'He forced the victim to accept the kiss and lick.' Both were given a one-year suspended sentence and their victims openly wept in court. Algerian Hassan T whooped and cheered as he walked free from court after receiving just a suspended sentence for his part in the Cologne sex attacks Dozens of victims have bravely come forward to tell of their horror, including 17-year-old studnet Dilara Zajarskaite, who waived her anonymity to tell MailOnline she was attacked on five separate occasions Dozens of victims have bravely come forward to tell of their horror, including 17-year-old studnet Dilara Zajarskaite, who waived her anonymity to tell MailOnline: 'We were attacked separate five times and no one stopped to help us. These Arab men touched me and hurt me. They tried to kidnap my best friend. It was terrifying. 'I told a policeman what had happened to us that night but he said it was not worth making a complaint because I could not name any of the attackers. 'It is a scandal the police have not captured any of the men who did this.' Hers is one of 1,200 nightmare stories from December last year, which include crimes ranging from pick pocketing to gang rape. One of the first victim's to speak out, an 18-year-old named Michelle (pictured, in the square outside the main train station where she was attacked), described being surrounded by a group of 30 'angry' men who groped her and her friends then stole their belongings as they fled One woman, known only as Jenny, suffered serious burns when a firework was shoved into the hood she was wearing. 'I heard a sizzling sound in my hood,' said Jenny. 'I somehow tried to get the firecracker out of the hood. Then it fell into my jacket and burned everything.' She added: 'The scars will be permanent. I was lucky that it didnt explode.' Police say the wave of attacks were perpetrated by groups of 'Arab or North African' men in the city centre, in what they described as a 'new dimension in crime'. Another distressed victim, who did not want to be identified, told Euronews: We were fondled, I was groped between my legs. My friends were also fondled. My boyfriend tried to pull me away. There was quite a big group of people, maybe thirty or forty,' One of the first victim's to speak out, an 18-year-old named only as Michelle, described being surrounded by a group of 30 'angry' men who groped her and her friends then stole their belongings as they fled. Witnesses and police have described men working in 'coordinated' groups to grope women who were unable to escape, while two women reported they were raped. Michelle's shocking testimony comes as the city's own council today admitted its town centre was now a 'no-go area' for women, while it has emerged similar attacks occurred the same night across Hamburg and Stuttgart. And while German media and authorities stand accused of covering up previous incidents to avoid stoking tensions, there are fears the upcoming carnival celebrations in Cologne will see a repeat of the brazen attacks. Both Cologne's police chief and Germany's public broadcaster yesterday apologised after they were accused of attempting to cover up the extraordinary attacks. On New Year's Day police said the celebrations had passed off peacefully with a 'jolly atmosphere'. But then dozens of women said they had been robbed and sexually assaulted during the night. The victims' testimonies come as protesters angered by authorities' alleged inaction held demonstrations last night. Hundreds of people gather in front of Cologne's main railway station, where disorder broke out and groups of 'Arab or North African' men attacked women Groups of revellers gather in the city centre during the celebrations which quickly turned to chaos A police van makes its way through the throngs of people who gathered to see in the new year in Cologne Michelle told N-TV: 'There were 11 of us together at the time. 'At around 11pm we were at the main train station and wanted to travel on to see the fireworks, and that was when we first noticed all these men standing around. 'We managed to go into the cathedral and wanted to go past the Museum Ludwig to join everyone and watch the fireworks by the river, but suddenly we were surrounded by a group of between 20 and 30 men.' Sensing danger, she and her friends then grabbed each other and started holding hands. 'They were full of anger, and we had to make sure that none of us were pulled away by them. They were groping us and we were trying to get away as quickly as possible.' As they fled and were groped, the men also took the opportunity to rob objects from their pockets, stealing mobile telephones as the teenage girls fled. Later as they attempted to go home, they noticed how more men were shooting rockets and other fireworks at each other near the train station. 'It was around 12:30 and we went back to the train station to catch a train that was supposed to go at 1am. But there were so many people around it was really difficult to get to the platform.' She said it was impossible for them to stay together and that her group got separated. 'It was only when I got to the platform that I realised my train was not travelling, as I had to go back through the mass of men and walked the 5 kilometres [3miles] home by foot.' Police working near the main railway station in Cologne arrest a man during the riotous party in the city centre A couple sit on a step while two police officers check an abandoned bag left in the city centre of Cologne The police chief of Cologne was sacked after his force was slammed over its handling of the string on New Year's Eve sex attacks. Wolfgang Albers was heavily criticised for the police's response to the attacks on women by the groups of men. Police at first failed to mention the attacks around Cologne's main train station in their initial morning report on New Year's Day, describing overnight festivities as 'largely peaceful.' Albers, the former police chief, acknowledged that mistake earlier this week, but he dismissed widespread criticism that his officers reacted too slowly in response to reports of assaults and harassment of women. VICTIMS DESCRIBE TERRIFYING ORDEAL AT HANDS OF MOB ATTACKERS Since the vast scale of the attacks emerged, several victims have come forward to describe terrifying scenes in the marauding mob. Katja L, 28, said she was with three friends outside the station when they encountered a group of 'foreign-looking men'. 'Suddenly I felt a hand on my bottom, then on my breasts, then I was groped everywhere,' she told Cologne tabloid Express. Fireworks are set off among revellers in the city town square on New Year's Eve. The evening was marred by a wave of sex attacks that police say witnesses claim were carried out by 'Arab' or 'North African' men 'It was horrible. Although we screamed and flailed about, the guys didn't stop. I was beside myself and think that I was touched about 100 times across around 200 metres [220 yards].' A woman in her 30s, interviewed on rolling news channel N24, said she was groped by a group of 'Arab-looking men'. 'They didn't look at me aggressively, they seemed more curious than anything, and a little drunk,' she said. 'I was furious.' According to Bild, a student named Evelyn, 24, from Rheinland-Pfalz, who was at the train station in Cologne, said: 'I had a knee-length skirt on, and suddenly I felt a hand on my backside under my dress. I turned round immediately and saw a grinning face.' She said that she managed to get to the cathedral and sat on a bench and suddenly she was surrounded by men once again, and had only heard them speaking Arabic. 'The only English they knew was "Hey Baby". I was grabbed and held by the arm and it was a nightmare. We were trapped in a mass of people.' Lea Westkamp, 19, told a TV station: 'I was surrounded and helpless. I could do nothing. These men were all over me.' Advertisement Two women, including a mother of six, will face trial this week after being accused of sending money to Al-Shabaab. Hinda Osman Dhirane, 46, of Kent, Washington, and Muna Osman Jama, 36, of Reston, Virginia, were arrested in 2014 and charged with providing material support to terrorists. They funneled small amounts of money to Al-Shabaab, a terrorist group based in Somalia, which became an Al-Qaeda affiliate in 2012, prosecutors say. Dhirane's husband told KIRO 7 how he and his family woke up to find police and FBI agents at their home during his wife's arrest in July 2014. Scroll down for video Hinda Osman Dhirane (pictured in a previous court sketch), 46, of Kent, Washington, and Muna Osman Jama, 36, of Reston, Virginia, were arrested in 2014 and charged with providing material support to terrorists Dhirane's husband (pictured) recounted how he, his wife and their six children woke up to police and FBI agents around 4 am on the morning of her arrest 'They came at four in the morning. I have a five-year-old. She cried,' he told the network. Dhirane's husband said at the time he didn't believe his wife gave money to terrorist organizations. The bench trial for Dhirane and Jama will open Monday in federal court in Alexandria, near Washington, DC and is expected to take up to two weeks. Jama and Dhirane, who has six children, used coded communications to hide their activities, according to authorities. They referred to Al-Shabaab as 'the family' and truckloads of supplies as 'camels', prosecutors say. Defense lawyers say the women are Somali expats who were sending money back home for innocuous purposes. Court records indicate the amount in question is less than $5,000. Al-Shabaab carried out its first attack outside of Somalia in 2010, when it claimed responsibility for the suicide bombings that killed 74 people in Kampala, Uganda, in July 2010. The group formally joined Al-Quaeda in 2012. ISIS appealed Al-Shabaab members to join its ranks in a 2015 video, but Al-Shabaab has made no official pledge so far. Advertisement Another shocking video has emerged of festival-goers fighting a pitched battle on the last night of T in the Park in Scotland. The footage shows a mass brawl breaking out among packed tents at the Strathallan campsite, with fists flying and camp chairs raining down on people's heads. Another clip has also caught the moment a man lands five, quick-fire blows to the back of a fellow camper's skull in a vicious attack. This year's festival has already been marred by the deaths of two people and police are investigating the alleged rape of an 18-year-old girl. Caught on camera: The footage shows a mass brawl breaking out among packed tents at the Strathallan campsite, with fists flying and camp chairs raining down on people's heads Battle royale: This brawl saw a man dragged to the ground in a headlock, thrown through some chairs before punches rained down on her head Upset: One festivalgoer said the event had been marred by violence and came after the deaths of two people and police are investigating the alleged rape of an 18-year-old girl The new footage come just days after reports of a mass brawl at the event on Thursday, before a band had taken to the stage. It shows a young man dressed in a red coat and brown shorts appearing to berate some of the people around him, telling one person they are 'fat and smell of onions', while saying to another 'look at the f****** state of you'. Another man wearing a dark blue coat then rushes on from off screen and starts punching him in the back of the head before they struggle and fall over camp chairs and land on a tent. Both throw punches while they roll around on the floor until the man in the blue coat grabs the other in a headlock and shouts at him to 'calm down'. After they both get to their feet, the man in red is pushed back into the man in blue and they fall to the floor, punching each other again. The latter then gets up and holds the other by his legs before leaving, although the man in red appears to laugh off the incident and is seen giggling on the ground as his friends help him up. Revellers covered in mud look tired and unwilling to start their long journey home after the end of T in the Park in Perthshire, Scotland Some muddy wellies, pictured, were simply discarded by festival-goers who could not face dragging everything back with them Shocking footage was also posted online yesterday of several young men punching each other to the screams of people in the background. In the violent, 30-second-clip, one young man can be seen repeatedly hitting another man in the head with a metal camping chair. A further video shows an extremely disorientated man repeatedly saying 'look at the state of that' to a group of women before being viciously grabbed and punched five times in the back of the head. The pair then grapple and trade blows on the floor, crashing into various tents nearby as on-lookers scream and rush to move out of the way. Police Scotland have confirmed that no reports of either fight had been made to them and are not investigating the matters. Some have taken to social media to blast this year's event. Nicole Logan said: 'Titp is so unappealing. Bunch of wains totally out of it. How can they even remember how their weekend was?' Mark Hunt added: 'Think T in the Park might struggle get a licence next year. Two deaths, a rape and fighting all over the place. Shame, what used to be up there as one of the best festivals has been ruined by a load of neds who have destroyed it.' Muddy festival goers began trudging away from the site this morning looking tired and ready to enjoy home comforts again after putting up with festival toilets and, for most, no showers since Thursday. Some could not face the walk and were pictured still sitting in their camp chairs or leaning up against fences as they waited for vehicles to come and pick them up from he Strathallan Castle estate in Perthshire, Scotland. Others braved the hardship of dragging their tents, clothes and camping equipment with them, but many simply discarded mucky wellies and bags on the ground. It comes as police remain on site investigating the deaths of two teenagers over the weekend and reports that an 18-year-old girl was raped on Thursday night. Some campers looked thoroughly miserable as they trudged through the boggy ground with many carrying several bags Some even prolonged the inevitable by sitting around with their gear, with many wrapping themselves up in coats and hoodies Among those topping the bill were at this year's event were Calvin Harris, The Stone Roses, The 1975 and Jess Glynne as well as other acts including James Bay, Faithless and Chase and Status keeping people on their feet. Crowds were in good spirits at the event despite torrential rain turning the area around the main stage into a mudpit with many wading through the slop in their wellies and waterproof ponchos while one elderly man was even seen tackling the terrain on his mobility scooter. As reported, the festival has been marred by tragedy this year, after two teenagers died at the event. The 17-year-olds were named locally as Peter MacCallum from Lochgilphead, Argyll, and Megan Bell from the north of England. Police are looking at the possibility that the deaths were drug-related, while inquiries are ongoing into the reported rape, which is said to have happened after the alleged victim spent the night in the famous 'Slam' dance tent. Meanwhile officers also confiscated drugs similar to 'Green Rolex', which are often marketed as ecstasy but actually contain toxic chemicals. A spokesman said: 'We have recovered a small number of Green Rolex-type pills at T in the Park and are warning festival goers to beware of any others that may be in circulation. Torrential downpours hit the Strathallan Estate, pictured, over the weekend turning it into a mudpit for much of the festival The mud got so bad that some cars got stuck and had to be towed away from car park fields via a tractor, pictured 'Pills similar to these have caused serious harm in the past although there is no evidence at present to link these to any other incidents at the festival. 'We want to remind people there is no safe way of taking drugs and T in the Park has a zero tolerance drugs policy.' Revellers were urged to dump their drugs in special amnesty bins set up at the site following the unrelated incidents. Meanwhile, police have appealed for information after a cash machine was stolen from the festival's main arena sometime between midnight on Thursday and 7am on Friday. The ATM contained a 'significant' amount of cash, officers said, as they asked any witnesses to come forward. Angela Merkel (pictured) has told Britain it will not be allowed to 'cherry pick' the EU laws it likes after leaving Angela Merkel has told Britain it will not be allowed to 'cherry pick' the EU laws it likes after leaving. The German Chancellor said European leaders had made it very clear to the British government that no negotiations will begin until Article 50 - the formal process for cutting ties with Brussels - is triggered. But she said she fully expects the withdrawal process to be started after Theresa May or Andrea Leadsom replace David Cameron as Prime Minister on September 9. 'The decision has been taken ... and the next step is and Britain will do this only when they have a new prime minister to invoke Article 50,' she said in an interview with the ZDF TV channel. 'I expect that to happen. I deal with reality and I firmly expect that application will be made.' She added: 'We have spoken to Britain and made clear there will be no negotiations with Britain until they have made their application, and there will be no cherry picking.' 'We have spoken to Britain and made clear there will be no negotiations with Britain until they have made their application, and there will be no cherry picking.' Meanwhile European Commission president Jean-Claude Juncker admitted he won a bet with the British Commissioner Lord Hill that Britain would vote to leave. 'I put my money on Brexit,' Mr Juncker revealed and said Lord Hill, who has since resigned from his post following the Brexit result, 'still owes me a pound'. Scroll down for video Their interviews come as more than 1,000 lawyers are writing to David Cameron claiming last month's EU referendum result must be scrutinised by Parliament before Britain starts the formal process of leaving. The barristers say the referendum was only 'advisory' and not legally binding as Parliament must have the final say. Controversially, they claim that the Brexit outcome was 'influenced by misrepresentations of fact and promises that could not be delivered'. They also claim the result should not be binding because there was not a minimum threshold, 'presumably because the result was only advisory'. They add: 'Since the result was only narrowly in favour of Brexit, it cannot be discounted that the misrepresentations and promises were a decisive or contributory factor in the result.' Government must introduce primary legislation before it triggers Article 50 - the legal process for leaving the EU - the lawyers argue. Leave campaigners fear that MPs could delay the withdrawal process if they are given the power to dictate the terms of leaving because three quarters of the Commons were opposed to Brexit. More than 1,000 lawyers are writing to David Cameron (pictured) claiming last month's EU referendum result must be scrutinised by Parliament before Britain starts the formal process of leaving The barristers are demanding the Government launch an independent investigation into why voters backed Brexit and probe the costs and benefits of withdrawal before pressing ahead with plans to cut ties with Brussels. The letter will reach the Prime Minister later this week, but is unlikely to have any affect because the Government has already taken legal advice over the issue. Cabinet Office minister Oliver Letwin, tasked with setting up the Government's Brexit unit, said the Government's legal advice agreed that the Prime Minister will be able to trigger Article 50 - without first securing parliamentary approval. But he said the argument was 'academic' because the withdrawal process will also involve either repealing or amending the 1972 European Communities Act, which will require debates and votes in both Houses of Parliament. Philip Kolvin QC, who is coordinating the letter, defended their right to demand Parliament reviews the EU referendum result before Article 50 is triggered. 'In profound times of constitutional, social legal and economic crisis, it is important that we behave constitutionally and according to the rule of law,' he told Sky News. 'I do not think it is good to be name-calling, telling people what they can and can't say. 'In times of crisis people often turn to lawyers to ask them how we should behave in society.' Philip Kolvin QC (pictured), who is coordinating the letter, defended their right to demand Parliament reviews the EU referendum result before Article 50 is triggered Cabinet Office minister Oliver Letwin (pictured at last week's Foreign Affairs committee), tasked with setting up the Government's Brexit unit, said the Government's legal advice agreed that the Prime Minister will be able to trigger Article 50 - without first securing parliamentary approval Another lawyer - constitutional expert Aidan O'Neill, said: 'The Brexit referendum has made clear that the UK is not a united nation-state, but a divided state of nations. 'But it has given no mandate or guidance as to what our nations' future relationship might be with Europe, and with each other.' He added: 'Precipitate or unilateral action by the UK Government to trigger Article 50 will simply further divide us.' Top law firm Mishcon de Reya has already threatened to take the Government to court if it does not call a Commons vote before activating Article 50. If successful, the bid could hand MPs - three quarters of whom supported Remain - the power to delay our departure and control the terms. But setting out the legal advice ministers had sought over the issue, Mr Letwin told MPs on the Foreign Affairs Committee last week:'It is entirely a matter for the new administration to take how to conduct the entire negotiations, and obviously part of that decision is about when to trigger Article 50.' 'I am advised that the Government lawyers' view is that it clearly is a prerogative power. No doubt that will be heard in court.' Mr Letwin, most famous for disposing of government papers in a park bin, was also blasted by senior MPs yesterday over the failure to make contingency plans before the referendum. He insisted there was no way the Government could have plausibly begun making contingency plans when its policy was to remain in the EU. He told the Foreign Affairs Committee that it would have been impossible to 'pre-design a strategy' for the new administration set to take over when David Cameron resigns later in the summer. Two 13-year-old girls stumbled across a dead man floating in a shallow creek on Monday. The half-submerged body was found in a creek in east Melbourne, just metres from houses and a busy train station. Police have ruled out foul play but have launched an investigation to determine the cause of death, Seven News reported. Two 13-year-old girls stumbled across a body in Brushy Creek (pictured) in the Melbourne suburb of Mooroolbark. The body was just metres from houses and a busy train station Police cordoned off the scene while State Emergency Services workers fished out the body The body was found in Brushy Creek as the girls walked along a trail in the suburb of Mooroolbark around 2pm. It was close to the busy Mooroolbark train station and metres from houses which back on to the creek. Police cordoned off the scene while waiting for State Emergency Services to arrive and fish out the body. Sergeant Kevin Hogg of Victoria Police said the death could have been a tragic accident. He said: Police have retrieved the body from the creek and at this stage it does not seem suspicious. Victoria Police Sergeant Kevin Hogg said the death was not suspicious and could have been a tragic accident Residents who lived near the creek were shocked to learn a dead body had been found so close to their homes. A mother said: Its very upsetting. You dont expect it near where your daughter lives. Its a bit frightening. Another resident said: Its pretty close to home, so its not a good thing to find out. An investigation into how and when the man ended up in the creek has been launched. Cops Killed By Dallas Sniper Receive Clinton, Democrats Crocodile Tears At least one sniper killed five Dallas police officers and wounded another seven in a racially charged attack that ended when police used a robot carrying a bomb to kill him, the city's shaken police chief said on Friday. Law enforcement sources have reportedly identified a 25-year-old man named Micah X. Johnson as a suspect in the shooting that killed five officers. In response to the fluid situation in Dallas, Democratic presidential hopeful Hillary Clinton made a big show of postponing her campaign in a tribute to the dead police officers, according to attorney and political consultant Mike Baker. "Let's please remember that Clinton is notorious for using hatred for law enforcement officers when she's pandering to the black, Latino and Muslim voters," said Baker. In fact, she's not really delaying her campaign but decided to address an audience of African Americans on Friday evening. While her lapdogs in the news media claim Hillary Clinton has changed her negative attitudes about the military and law enforcement, she has once again pandered to minority voters by attacking American police officers by comparing them with ISIS terrorists, according to officials with the National Association of Chiefs of Police. The Democratic Party's presidential heir apparent Hillary Clinton participated in a Townhall event on Univision's network Fusion where she answered questions about white privilege and terrorism. Hillary Clinton said "white terrorism" and "police violence" are just as big a threat today to blacks and Latinos as are the Islamist terrorist groups such as the Islamic State of Iraq and Syria (ISIS). And not one reporter showed anything even resembling outrage over her allegations, despite her facing criminal charges at the time. "Yes, I believe there are all kinds of underground movements and efforts in our country that try to use violence or assert beliefs that I find often lead to violence," Clinton said before outright accusing some police officers of being terrorists. "I think that when you have police violence that terrorized communities, that doesn't show the respect that you're supposed to have from respecting people in your authority, that can feel, also, terrorizing," said Mrs. Clinton, who fared well in her criminal investigation thanks to corrupt a FBI director, a bought-and-paid-for attorney general and a President as guilty as Clinton, according to several police officers who spoke with Conservative Base, Besides likening police officers to ISIS terrorists, Hillary Clinton called for all police departments in the country to "increase transparency and accountability." "She should have choked on the word transparency. It's Hillary Clinton who should wear a camera 24-hours-per-day, seven-days-per-week, just for starters," said former police officer and security director Iris Aquino, a Latina law enforcement veteran. Ironically, reports have surfaced that allege Clinton and her boss Barack Hussein Obama are credited with the creation of ISIS and that they trained and armed that Islamist army in the hope that they would hep push Syria's President Bashar al-Assad out of power. Muslim coalition rally for the Democratic Party's flawed front-runner Hillary Clinton is designed with the help of her news media supporters to remind Muslims and their leftist supporters about the GOP's Donald Trump and his commonsense proposal for a crackdown on the enormous influx of Islamist immigrants, police adviser and self-defense lobbyist John M. Snyder said on Friday. According to press reports, the Coalition of American Muslims for Hillary held a rally on April 24 at The Universities of Shady Grove in Rockville, Maryland. Among the people attending the rally were the keynote speakers Rep. Elijah Cummings (D-Maryland) and longtime Clinton minion John Podesta, who chairs Hillary for America. "Listening to Clinton, Cummings and Podesta speak to Muslims, one would think the followers of Mohammed are being rousted by cops and locked up for no reason at all," said a black police officer. "Rep. Cummings makes no apologies for being involved in a rally for Clinton, even though he is supposed to be investigating her as part of the Benghazi Select Committee. While Rep. Trey Gowdy and others are trying to get to the bottom of the Battle of Benghazi, Cummings has been blocking efforts, denigrating investigators and openly campaigning for the subject of his probe. He should be investigated for a number of questionable actions," said former police detective, Sid Franes, who is African American. "When will Americans wake up and see that the entire system has been corrupted by the likes of the Clinton family, President Barack Obama and his crowd, and the majority of Democratic Party bigwigs?" asked Franes. "They spend so much time bashing cops, Christians and conservatives one would suspect they are the ones who are the 'haters.'" he said. President Barack Obama, while at a NATO conference, spoke of the police officers killed, but used the killings to push his true agenda - disarming Americans, according to former political strategist Charles "Chucky" Lambert. "We also know that when people are armed with powerful weapons, unfortunately it makes attacks like these more deadly and more tragic, and in the days ahead we're going to have to consider those realities as well," Obama said. Some parents of British Muslims would not tell the authorities if their children fled to join ISIS, a study has discovered. Parents would keep quiet because of fears their child would be arrested on their return or stripped of UK citizenship, academics found in a survey of 20 mothers and fathers. Some are frightened their homes will be raided and their other sons and daughters taken in for questioning if they speak up. Some parents claimed that it was not their responsibility. Amira Abase, 15, left, Kadiza Sultana, 16, centre, and Shamima Begum, 15, walk through Gatwick before catching a flight to Turkey in February 2015 Militant Islamist fighters wave flags as they take part in a military parade along the streets of Syria's northern Raqqa province (stock) More than 800 young British Muslims have travelled to Syria and Iraq to fight with ISIS jihadists who have carried out beheadings, massacres and rapes. The study of 20 parents by academics at Birmingham City University adds fresh weight to mounting concerns that large numbers of Muslim families are turning a blind eye when their children are radicalised. It follows a poll in April which found two in three would not tell police if they knew that someone was involved in supporting terrorism in Syria equating to around 2million of the nearly 3million British Muslims. Ministers have faced a storm of criticism over the failure to halt the radicalisation of UK Muslims. Critics claim the Prevent strategy, introduced the wake of the July 7, 2005 bombings in London to stop youths being brainwashed by jihadists, has been an expensive flop. Dr Imran Awan, a professor of criminology, and Dr Surinder Guru, a lecturer in social work, conducted focus groups with Muslim parents in Birmingham to explore how they viewed counter-terrorism strategies employed by West Midlands Police to tackle the fallout from the crisis in Syria. MOTHER CALLED POLICE WHEN SHE FOUND SON'S 'MARTYR LETTER' Yusuf Sarwar's mother discovered her son's 'goodbye' note, which said he wanted to 'die as a martyr', and handed it over to anti-terrorism detectives; Yusuf Zubair Sarwar (left), Mohammed Nahin Ahmed (right) Two Birmingham friends were jailed after one of their mothers found a farewell letter saying they had travelled to Syria to fight for ISIS. Yusuf Sarwar and Mohammed Ahmed admitted a terror charge in 2014 after they flew out to the Middle East to fight with terrorists. Sarwar's mother discovered her son's 'goodbye' note, which said he wanted to 'die as a martyr', and handed it over to anti-terrorism detectives. The men travelled to Syria through Turkey in May 2013 and stayed in the country for eight months fighting with ISIS. But on returning to the UK they were arrested and later jailed. Judge Michael Topolski said Sarwar's mother had showed 'extraordinarily brave conduct' in going to the police. The men, who were both 22 at the time, were jailed for 13 years, later cut to 10 years and three months at a Court of Appeal hearing. Advertisement Dr Awan said: 'The data from the research study has found that Muslim community members are increasingly finding the partnership with the police service problematic. 'The parents we spoke to were worried about the lack of support for Muslim families and they feared that anyone who had gone to Syria would be arrested and have their citizenship removed if they spoke out.' Many parents were reluctant to alienate their own children by giving the police information about them even if they were risking their lives in Syria. One parent told the researchers: 'I would not call them because the police might just come knocking on my door and arrest my other children.' Another said: 'We need to educate them not to travel there in the first place. If I told the police they would then arrest me and my children.' Yusuf Sarwar (right) and Mohammed Ahmed (left) admitted a terror charge in 2014 after they flew out to the Middle East to fight with terrorists The report said the parents all had children aged between 14 and 23. Dr Guru said: 'The central contradiction appears to be that parents are implicitly held to be responsible for the actions of their children by the police, yet the parents are adamant that the responsibility is not theirs and that they are relatively powerless. 'In circumstances where the community lacks trust and confidence in the police, community policing is likely to be ineffective because it is viewed with suspicion.' A Home Office spokesman said anyone travelling to Syria or Iraq and engaging in the conflict would be 'potentially committing serious criminal offences'. 'It is vital that any member of the public who suspects that a person they know might be planning to travel to a conflict zone shares this information with the police. By doing so they could be saving lives,' he said. 'The Government's priority is to dissuade people from travelling to areas of conflict in the first place and our Prevent strategy includes work to identify and support individuals at risk of radicalisation. 'Everyone who returns from taking part in the conflict in Syria and Iraq can expect to be subject to review by the police. This is to determine if any crimes have been committed abroad, and to determine if the person represents a national security threat to our country.' Assistant Chief Constable Marcus Beale of the West Midlands Counter Terrorism Unit (WMCTU), told the Birmingham Mail that the local research would be useful for police. The study of 20 parents by academics at Birmingham City University adds fresh weight to mounting concerns that large numbers of Muslim families are turning a blind eye when their children are radicalised 'WMCTU have carried out extensive engagement with women and young people over the last 12 months under the banner of the National Prevent Tragedies campaign,' he said. 'This engagement has focused on building personal resilience to those seeking to radicalise family members and to deter travel to Syria. We have received positive feedback from attendees at the events, but acknowledge there is always more that can be done to build trust. 'We have received several referrals over the past year, directly from concerned family members. In some cases the referral is too late and a loved one has already travelled, only then to lose their life in combat.' The parents we spoke to were worried about the lack of support for Muslim families Dr Imran Awan The findings of the Birmingham report echo with the conclusions of a hard-hitting investigation of the values and behaviour of British Muslims by former equalities watchdog Trevor Phillips three months ago. He said British Muslims were becoming a 'nation within a nation' - with many holding different standards and wanting to lead separate lives in 'ghetto' housing estates. A poll, for which ICM interviewed 1,081 British Muslims aged 18 and over, found that 4 per cent - which would equate to more than 100,000 of the nearly 3million British Muslims - said they had sympathy for suicide bombers who claimed they were fighting injustice. Last year, Britain was shocked when it emerged three runaway teenage girls - Kadiza Sultana, 16, Amira Abase, 15, and Shamima Begum, 15 - had fled their East London homes in a bid to reach the terror stronghold of Raqqa where they faced becoming 'jihadi brides'. Emad Hasso, of Lowell, has pleaded not guilty to one count of indecent assault and battery on a child under the age of 16 A Syrian refugee is in police custody charged with sexually assaulting a 13-year-old girl at a Massachusetts swimming pool just weeks after arriving in the country. Emad Hasso, of Lowell, has pleaded not guilty to one count of indecent assault and battery on a child under the age of 16. The 22-year-old is accused of approaching the schoolgirl at the city's Raymond Lord Memorial Pool on Thursday, placing his hand on her upper thigh and asking what age she is. When she told him she was too young for her and tried to move away, he is said to have followed her, repeatedly asking for her age. She eventually responded by stressing that she was a 'little kid', adding: 'Leave me alone'. A lifeguard who witnessed the alleged exchange between the two then intervened. Police said the incident may have been captured on video security cameras at the pool. When interviewed by police, Hasso confirmed that he had been in the U.S. for around two months having arrived as a refugee from Syria. Defense attorney William Kittredge said Hasso, who speaks little English, told him he may have 'accidentally bumped' the girl. However, he is said to be denying that he inappropriately touched the teen or spoke to her. Speaking through an interpreter in court, Hasso said: 'First of all, I don't speak the language and I haven't spoke to her.' Ahead of his trial, Hasso has been told he must have no contact with anyone under 16, not approach the alleged victim, or go swimming. Hasso will appear at a pretrial conference on July 29. For many, just seeing one snake slithering around would be enough to induce panic. So imagine how the person who filmed this two-headed reptile slithering through the sand in central India must have felt. Footage shows the 45-day-old Red Boa on the prowl in Raipur. Slithering along: A two-headed snake has been spotted on the prowl in Raipur, India Two heads are better than one! The snake is a Red Boa - which can grow up to half a metre long Forest official KM Dhangar said: 'I had never seen such a snake in my three-decade-long career as a forest officer. The snake is in our custody and we are taking proper care of it. It will be showcased to the visitors.' Two-headed snakes are relatively rare, but not unheard of. In 2000, a two-headed snake named 'We' went up to be auctioned on eBay with an expected price tag of 87,000. Rare: In 2000, a two-headed snake named 'We' went up to be auctioned on eBay with an expected price tag of 87,000 But the auction was cancelled after eBay found it contravened its policy of not selling live animals. ISIS could ramp up terror attacks targeting Europe after security experts say the terror group has lost a quarter of its territory to coalition forces in the past 18 months. The terror group has been driven out of an area the size of Ireland across Syria and Iraq after an sustained assault by government forces. But defence and security experts are now worried the dramatic reduction in its territory could see the extremists escalate attacks on civilian targets in both Europe and across the Middle East. ISIS fighters wave flags through the streets of Raqqa. It has now been revealed that ISIS has lost a quarter of its territory in the past year According to figures compiled by IHS Conflict Monitor, ISIS controlled territory has dramatically reduced from 35,000 square miles in January 2015 to 26,370 square miles. Earlier this year, IHS also estimated that ISIS revenue had dropped from 61.7million last year to just 43.2million in March this year. And now Columb Strack, a senior analyst at IHS, is warning of a change in tact for the terror group. He explained: 'Over the past 18 months, the Islamic State has continued to lose territory at an increasing rate. 'As the Islamic State's caliphate shrinks and it becomes increasingly clear that its governance project is failing, the group is re-prioritising insurgency. According to figures compiled by IHS Conflict Monitor, ISIS controlled territory has dramatically reduced from 35,000 square miles in January 2015 to 26,370 square miles 'As a result, we unfortunately expect an increase in mass casualty attacks and sabotage of economic infrastructure across Iraq and Syria, and further afield, including Europe.' The news of the loss of territory for the jihadis comes as Iraqi government forces are preparing for an assault to retake the city of Mosul. An airbase just outside the northern city has been captured by government forces and is being used by the US military as a place to co-ordinate the battle. One of the cities that has seen ISIS fighters driven out is Ramadi, pictured, along with Fallujah Just last month Iraqi forces took back control of Fallujah following a bloody battle with ISIS fighters The planned assault comes just weeks after ISIS were driven from the city of Fallujah following a bloody battle. In March the jihadists were routed from the ancient Syrian city of Palmyra and in June from the Iraqi city of Fallujah. In 2015, the group lost Tal Abyad, a key border post on the Syrian-Turkish border, as well as the Iraqi city of Ramadi. Facing jail: Nurse Joseph Miller, pictured, admitted to stealing David Davies' 5,000 Rolex watch A nurse is facing jail after he stole a 5,000 Rolex watch from a dying grandfather. Joseph Miller, 40, pocketed the watch as medics fought to save the life of David Davies, 68, who was rushed to St Richard's Hospital in Chichester after suffering a cardiac arrest. Months later Miller went to a jewellers in a bid to get the Submariner Rolex watch valued before offering it for sale. Today he appeared before Portsmouth Crown Court and pleaded guilty to stealing the watch while on duty in the hospital's accident and emergency department. Judge Ian Pearson told the senior nurse that the crime was 'serious' and warned him he could face jail. Under current guidelines he could face a prison sentence of around two years. At an earlier hearing at Worthing Magistrates' Court it was heard that Mr Davies had been rushed to St Richard's Hospital in August 2014 after suffering a heart attack. CCTV footage showed Mr Davies being taken into the resuscitation room wearing the Submariner Rolex watch worth around 5,000, it was heard. Miller, of Walberton, West Sussex, was part of the team in the resuscitation room. Mr Davies later died and it was discovered that his watch was missing. Prosecutor Kristen Sharp said: 'Afterwards the watch had gone. It had been on his wrist and was not with the personal affairs handed back to the family.' The court heard Miller later took the watch out in an attempt to pawn it and went to a jewellers in a bid to get it valued. The watch was later recovered by police and was handed back to the bereaved family March this year. Tragic: Grandfather David Davies, pictured wearing the Submariner Rolex, died after suffering a cardiac arrest Miss Sharp said the theft of the watch was the worst type of 'breach of trust' and had been committed by a senior nurse. Today Sarah Jones, defending, told Portsmouth Crown Court that Miller had not intended to be dishonest and had picked up the watch by mistake. In a statement Miller said he must have picked up the watch 'by mistake' and put it in his shirt pocket. Theft: Miller, who was on duty at St Richard's Hospital, Chichester, pictured, will be sentenced next month He said he had intended to hand it back but must have forgotten about it and later panicked when he discovered he still had it. He claimed he then suffered a number of family traumas and had then forgotten to return it. After the case Mr Davies' wife and son were too distressed to talk about theft and she left the court building in tears. Miller will be sentenced next month. Amanda Parker, Western Sussex Hospitals NHS Foundation Trust Director of Nursing and Patient Safety, said: 'This was an appalling episode and all the more distressing for the circumstances in which it occurred. 'I am extremely sorry that Mr Davies' family have been subjected to this ordeal by the actions of Mr Miller, who abused the trust placed in him by his profession, his colleagues and his patients. Officers have spoken to victim in his 40s who was punched in the face as being 'overweight, Scottish' with 'strong body odour' The victim, a man in his 40s, was punched in the face by the Scotsman (pictured) after he answered his front door Police are chasing an overweight Scotsman with ginger hair and strong body odour who raided a home in Kent. Officers issued an e-fit on Sunday after the robber smashed his way into a man's home in Dover. The victim, a man in his 40s, was punched in the face by the Scotsman after he answered his front door. Police released the description of the attacker who was accompanied by another man, when he raided the home at 7.30am on May 28. The pair stormed into the victim's home and stole cash and other valuables before fleeing. According to police the ginger-haired man who launched the attack had a 'thick Scottish accent' and 'strong body odour'. His accomplice is described by police as being 'skinny' and was wearing a blue fleece. A Kent Police spokesman said: 'The victim - a man in his 40s - told police he was punched as he opened the front door of his house. 'A number of personal belongings were then reportedly stolen from within. 'The alleged attacker is described as being "chubby" and about 6ft 2in. He had strong body odour and spoke with a Scottish accent. 'He is reported to have been accompanied by a second man described as being very skinny and wearing a blue zip-up fleece.' Neighbour Graham Harper, 34, said: 'It shouldn't be hard to track this guy down as he's pretty distinctive - he's fat, smelly and ginger and with a strong Scottish accent. 'The police should have this guy in custody in no time.' A mother has hit out at a school which discriminated against her son by giving him detention and later excluding him for violence and disruption. Luke Blakelock, 16, who suffers from attention deficit hyperactivity disorder (ADHD), was wrongly kicked out of Washington School last November, a court ruled. His mother, Jessica Blakelock, 39, took the case to a tribunal following a violent incident at the school, and the judge ruled in her favour. Luke Blakelock (left), 16, who suffers from attention deficit hyperactivity disorder (ADHD), was permanently excluded from Washington School, but his mother Jessica (right) took the case to a tribunal The court ruled that Luke (left, pictured with his mother, father Phillip, and brother Bradley) was discriminated against because of his disability She claimed her son's bad behaviour was caused by his disability, but the school punished him as though he had misbehaved. Jessica said: 'They seemed to think he was a troublesome child, rather than a troubled child. 'They kept pursuing punishments with him, which just aggravated the situation.' Luke, 16, was punished with detention after trying to leave the school building on May 22, 2015. The teenager was sent to the head of school's office, where he began to self-harm by punching the walls and headbutting his desk - injuring his nose - until he was restrained. Mrs Blakelock, from Washington, called Northumbria Police about the incident, though officers found allegations that Luke made against staff were false. He returned to school part-time but on October 22, 2015, was permanently excluded for threatening and aggressive behaviour towards senior staff and the false allegations made against them. Tribunal judges questioned the school's decision to give Luke detention and said 'different strategies should have been used to calm the situation when it was clear that Luke had become agitated'. The school's executive headteacher, John Hallworth, acknowledged that Luke found it difficult to sit still for the necessary time to complete detentions, the tribunal report states. Concluding, tribunal judge Stewart Hunter said: 'We consider that Luke was treated unfavourably because he was excluded from school for five days. 'That exclusion arose because of something arising as a consequence of his disability.' The school was ordered to write letters of apology to Luke and his parents and to review its policies relating to children with disabilities. Luke is now in Year 10 at Oxclose Community Academy, in Washington, where he has settled in well. But a spokesman for the school defended its actions, saying it took the decision to 'protect students and staff' and the exclusion was upheld by an independent exclusions appeal panel. The spokesman said: 'The incident and allegations were investigated by the Police, the Local Authority Safeguarding Team and Ofsted. All of these agencies supported Washington School and the school's actions. A spokesperson for Washington School (pictured) said the police, the local authority safeguarding team and Ofsted all supported the school's actions following the serious incident 'The allegations were found to be false, the staff were exonerated and commended for their professionalism in dealing with the incident. 'The student accessed all the internal support the school had available and Washington also engaged appropriate external agencies to assist the student as much as possible. 'The student's parents declined a transfer to a placement more appropriate to the student's needs when it was offered. 'Following a string of further serious incidents, including aggression directed towards an adult when attending another school on a trial basis, the student was permanently excluded. 'The decision to permanently exclude was taken to protect other students and staff, to preserve the good order of the school and prevent further disruption to the education of others. 'The permanent exclusion was approved by governors and upheld by an Independent Exclusions Appeal Panel following a complaint by the parents to that agency.' Dorothy Butler, chair of governors, said: 'It is disappointing to find the tribunal appears to have disagreed with all the other agencies which have examined this case. A man has been pictured slicing open his arm at the funeral of a renowned Cambodian political analyst. The graphic image shows the man standing above the body of Kem Ley, an anti-government figure in the country's capital Phnom Penh, with a deep and bloodied gash cut into his forearm, about 15 centimetres long. People take photos with their mobile phones of the middle-aged man who appears to be grimacing as he holds a clenched fist in the air while a concerned Buddhist looks on. The graphic image shows the man standing above the body of Kem Ley, an anti-government figure in the country's capital Phnom Penh, with a deep and bloodied gash cut into his forearm, about 15 centimetres long People take photos with their mobile phones of the middle-aged man who appears to be grimacing as he holds a clenched fist in the air while a concerned Buddhist looks on Kem Ley (pictured centre being carried to a Buddhist pagoda) was shot dead in front of a convenience store on Sunday morning, local time, in what police say was a personal dispute over money Chuob Samlab (pictured centre) was arrested on suspicion of killing the political analyst and was pictured bloodied and surrounded by police The body of Kem Ley, anti-government figure and the head of a grassroots advocacy group, 'Khmer for Khmer' is seen in a store at a gas station after being shot dead Cambodians lay flowers on a body of independent political analyst Kem Ley during a funeral ceremony at a pagoda in Phnom Penh on Monday The man reportedly cut into his arm with a razor blade in a bid to pay his respects to the dead political analyst. Kem Ley was shot dead inside a gas station on Sunday morning, local time, in what police say was a personal dispute over money. Chuob Samlab was arrested on suspicion of killing the political analyst and was pictured bloodied and surrounded by police. National police spokesman General Kirth Chantharith said the suspect claimed to have shot Mr Ley because he failed to pay him back for a loan. Cambodian lawmakers from the opposition party and people pay their respects during the funeral ceremony of political analyst Kem Ley at a pagoda in Phnom Penh on July 11, 2016. The body of Kem Ley, an anti-government figure and the head of grassroots advocacy group 'Khmer for Khmer', is surrounded by his supporters during a funeral ceremony at a pagoda in Phnom Penh Cambodian Prime Minister Samdech Techo Hun Sen on Sunday condemned the killing and ordered the authorities to thoroughly investigate the case. Hundreds of mourners and political figures attended Mr Ley's funeral in a pagoda on Monday showering his body with flowers and offerings. He was an anti-government figure and the head of grassroots advocacy group 'Khmer for Khmer'. A woman cries near the body of Kem Ley. National police spokesman General Kirth Chantharith said the suspect claimed to have shot Mr Ley because he failed to pay him back for a loan It is also thought that creating the artwork can be a means to attract blessings for the farmers Advertisement Aerial pictures of rice paddies in the Liaoning province of China show 3D artworks made with the crop. According to Catch News, farmers use alternate colours and types of rice to create the incredible 3D pictures. Farmers draw on pop culture for inspiration, and some of the designs are of popular Chinese television characters. One such artwork is of Monkey King, a character in a popular television show called 'Journey to the West'. It takes months to create each image, and farmers use their knowledge of each crop to sketch a draft of the picture and plant their crop accordingly. Tourists visit Shenyang city and are able to view the pictures which span 25 acres from ramps which rise from the land between the fields in to platforms. There are 17 artworks in all, and they are considered a tourist attraction in the north of China. It is believed that the artworks can also help attract blessings to the farmers. Though rice paddy artworks are believed to have originated in Japan, these farmers have begun a tradition of creating new pictures each year. One rice paddy artwork shows the silhouette of a couple with a bright yellow sun as the backdrop as two others come past riding an animal Different shades of brown and green can be seen in the above image, as well as black white and yellow. The pictures take months to sketch and plant A 3D image of Monkey King, a popular television character from the show Journey to the West is seen in the rice paddy Monkey King appears to be engaged in a battle with another character from the show, Ne Zha - who is apparently his arch enemy Another picture featured at the North Chinese tourist destination is of a cartoon monkey eating a banana while riding a horse Ramps used by tourists to get a better look at the 3D images, which take months to prepare, can be seen on the right She has failed to turn up for work at Bella Bleu boutique in Taylors Lakes Police confirmed on Friday they had interviewed Mr Ristevski Ms Ristevski had been discussing finances with her husband Borce, 52 She vanished after she left her Melbourne home following an argument Karen Ristevski, 47, has been missing since Wednesday June 29 The husband of a Melbourne woman who went missing after they had an argument about money has been questioned by police. Karen Ristevski, whos disappearance has been described by police as highly irregular', has been missing for two weeks. On Sunday police spokeswoman Acting Sergeant Melissa Seach confirmed Borce Ristevski had been interviewed following his wifes disappearance, the Herald Sun reported. Borce Ristevski (right), husband of Melbourne woman Karen Ristevski (left), who went missing after they had an argument about money has been questioned by police It is believed Ms Ristevski (pictured) had an argument with her husband, Borce, about finances relating to the family business before leaving her home The 47-year-old mother was last seen by her family after she walked out of their Avondale Heights home in Oakley Drive, in Melbourne's north-west, about 10am on Wednesday June 29. Ms Ristevski has since failed to turn up for work at the family business Bella Bleu boutique in Taylors Lakes. A police spokesperson told Daily Mail Australia: As part of the investigation, Karens house and the surrounding area has been searched. A 52-year-old Avondale Heights man was interviewed on Friday. He was later released pending further enquiry,' the spokesperson said. It is believed Ms Ristevski had an argument with her husband about finances relating to the family business before leaving her home. Speaking last week before he was interview by police Mr Ristevski said this had happened before but noted his wife had never left for more than a few hours, the publication reported. The 47-year-old mother was last seen by her family after she walked out of their Avondale Heights home in Oakley Drive (pictured), in Melbourne's north-west, about 10am on Wednesday June 29 'That was the last thing she said to me: "I'm going to go and clear my head",' Mr Ristevski said. 'She has always walked back in the door after calming down.' Ms Ristevski reportedly had $850 in cash when she disappeared and had not used any credit cards and her mobile phone is off. She was last seen wearing a black jacket and jeans and is known to frequent Taylors Lakes. She is described as 160cm tall with a slim build and has shoulder length brown hair. EBMS, one of the nations premier industry leaders in health risk management and third-party administration of self-funded health benefit plans, announced the following promotions, and new additions to its team of benefits professionals: Darik Croft, a recognized financial and operational healthcare leader, has joined miCare, a subsidiary of EBMS, as chief operating officer. In this role, Croft provides vision, leadership, project coordination and management for the development and implementation of cost-effective quality on-site clinical services. His oversight responsibilities include: miCare Health Center new client implementation, developing new and expanding upon current strategic miCare partner relationships, operational management of all miCare Health Centers and management of all clinical staff. He is also responsible for facilitating efficient operations to meet current and future business needs for clients. Croft joins miCare from Holy Rosary, a facility affiliated with St. Vincent Healthcare. He holds a masters degree in business administration with an emphasis in healthcare administration from American InterContinental University and a bachelors degree in psychology from Idaho State University, and is LEAN certified. Marie McGarrah has joined miCare as a clinical services manager. She was previously a hospital operations supervisor at Billings Clinic. In her new role, McGarrah is responsible for providing the operational, professional and clinical leadership for miCares clinic operations to ensure a service-focused delivery patient experience, quality clinical services, and effective team member engagement. She holds a masters degree in healthcare innovation from Arizona State University and a bachelors degree in health administration from Montana State University-Billings. Amanda Skewis, a former client service representative at EBMS, has been promoted to the position of assistant account manager. Through her previous position in the EBMS call center, she demonstrated the desire to provide exceptional customer service and knowledge of healthcare plans. She has a background in health insurance and human resources. In her new role, Skewis provides administrative support to the executive and strategic account management team. Former BBC journalist Jacqueline Sutton (pictured) hanged herself in an airport toilet after missing her flight and becoming distressed that she could not afford to buy another one, an inquest has heard A 'fearless' former BBC journalist hanged herself in an airport toilet after missing her flight and becoming distressed that she could not afford to buy another one, an inquest has heard. Jacqueline Sutton, an international development worker who produced for the BBC in warzones around the world, was found hanged at Ataturk airport in Istanbul, Turkey, in October last year. The 50-year-old had been on her way from London Heathrow to Erbil in northern Iraq, where she worked as the director of London-based charity the Institute for War and Peace Reporting (IWPR). But an inquest into her death heard how, when she missed her connecting flight in Istanbul, Miss Sutton began crying and went to the toilet cubicle, where she took her own life. Speaking at the hearing, her sister Jenny said Miss Sutton's death came after a moment of 'extreme stress and panic' and that she had ended her life 'on the spur of the moment'. She added that a contributory factor to the 'blackness' that overcame Miss Sutton was witnessing the suffering of people in the Middle East after the 'ghastly' invasion of Iraq. Speaking at North London Coroners Court, she said: 'I don't believe that it was pre-meditated. I don't believe she had a prior intention to take her life. 'In that moment she was in a moment of extreme stress, panic, and made that decision on the spur of the moment. But it was her decision.' The hearing was told how Miss Sutton had landed at the airport just after 10pm on October 17. Coroner Andrew Walker said she then proceeded to the departure lounge, where she had two cans of beer and sat on her own. The inquest heard that Miss Sutton then went to the bathroom, while other passengers boarded the flight. Mr Walker said: 'She made an exit from the lounge displaying no signs of dismay or distraction. But she had missed her flight. 'She told the staff she had no money to pay for another and began crying. They told her that nothing could be done.' The inquest heard Miss Sutton was then seen returning to the bathroom, but did not come out. Seven women, including one with a child, entered and left the bathroom before two Russian women noticed Miss Sutton in a cubicle and alerted airport staff. Recording a verdict of suicide, Mr Walker asked Miss Sutton's family if they would like to add anything. When her sister said she believed Miss Sutton's death was 'not pre-mediated', Mr Walker asking: 'Would you like me to add that this an impulsive act?' Holding back tears, her sister replied: 'Yes.' Speaking at the hearing, her sister Jenny said Miss Sutton's death came after a moment of 'extreme stress and panic' and that she had ended her life 'on the spur of the moment'. Miss Sutton is pictured above Jacky Sutton with Iraqi hournalist Mazin Elias in Istanbul, Turkey, in the summer of 2013. The pair worked together and he described her as having a 'positive nature and outlook' Speaking after the hearing, Jenny Sutton said her sister she would have been pleased to see the 'ghastly folly' of the Iraq war laid bare by the Chilcot report last week. She said: 'The one thing I would like to say is that I know that what she was doing before he death, in Iraqi-Kurdistan, was that she was working with a Kurdish journalist, gathering stories from Iraqi people and Kurdish Christians, Sunni, Shia, Muslims, Jews. 'She was gathering stories for how those communities had lived together for generations in peaceful coexistence before the interference of the West and before the war opened up such horrible sectarian divisions.' She added that her sister had 'absorbed a lot of suffering' while living in warzones around the world. 'I think a contributory factor to the blackness that overcame my sister was seeing the suffering of the people of the Middle East,' she said. In that moment she was in a moment of extreme stress, panic, and made that decision on the spur of the moment. But it was her decision Jacqueline Sutton's sister Jenny 'We'd marched together against the war and she had been living in warzones for a long time and had absorbed a lot of the suffering of those people. 'I think she would be pleased to see the Chilcot report coming out and to see the findings, to see the ghastly folly of American and British invasion in that region and all the trauma and suffering in that region that has resulted.' She added that 'anybody with empathy' who lives in warzone would feel the same pain. 'Jacqueline would be the first to say that her first thoughts were for the Kurdish, Iraqi people in the region for many years,' she added. 'She was extraordinarily brave, fearless, and loving.' Ms Sutton was born in Hatfield, Hertfordshire and spent her early years living in the former grounds of Hatfield House, before her family moved to Maldon, Essex when she was seven. She was one of four children, with two sisters and a brother. Her mother died from breast cancer in 1998, and her maths teacher father died in 2004. According to her LinkedIn profile, Ms Sutton who studied at Strathclyde and Warwick universities held various positions with humanitarian organisations around the world and the United Nations. After graduating from Warwick, she spent two years in Canada before returning to London to study for a Masters when she became involved in the City of London Anti-Apartheid Group and worked for the Angolan Embassy. The international development worker, who produced for the BBC in warzones around the world, was found hanged at Ataturk airport in Istanbul, Turkey (pictured, file image), in October last year Miss Sutton then worked for the UN International Fund for Agricultural Development in Rome, where she also presented news on Vatican Radio. She was encouraged to travel to Eritrea by a contact she met on the radio station, and spent five years there from 1993 to 1998, during which time she met her husband Charles. They married in 2000 but separated in 2003, divorcing a year later over their opposing views over the invasion of Iraq. She went on to work as producer for BBC World between 1998 and 2000, often in war-torn countries, and once suffered from post-traumatic stress after being arrested for alleged spying in Africa. She later worked in Afghanistan and Iran, but had to flee to Ghana after she helped a British friend arrested on suspicion of murder leave Afghanistan. Miss Sutton then spent several years working for the UNDP in Iraq before moving to Australia, where she was studying for a PhD before her death. She was appointed Iraq country director for IWPR in June last year following the death of her predecessor Ammar Al Shahbander, who died in a car bomb attack in Baghdad. Before her death, Miss Sutton had been in London to join Mr Al Shahbander's family, friends and colleagues at a memorial service for him at St Bride's Church in Fleet Street. Dodge County Sheriff Dale Schmidt announced the boys had been found Officials feared the teenagers had gotten lost in a Police find three teenage boys that went missing near an Searchers have located three teenage boys on Monday they feared had gotten lost in a labyrinth abandoned mine in Wisconsin. Tate Rose, 16, Zachary Heron, 16, and Samuel Lein, 15 had been reported missing by their parents on Sunday afternoon near Iron Ridge, in southern Wisconsin. Dodge County Sheriff Dale Schmidt announced on Monday afternoon the trio had been located after a search that involved 100 people, dogs and thermal imaging. Scroll down for video Three teenage boys - Zachary Heron, 16, Tate Rose, 16, and Samuel Lein, 15 (pictured, left-to-right) - have been found after they went missing in souther Wisconsin on Sunday Schmidt offered no other information about the boys being found. Searchers had concentrated their efforts on the mine, which Schmidt described as a 'vast maze' of interconnected tunnels that stretch for four miles. It comes after officers said the boys' bikes were found near the mines on Monday, and that teams were searching the area made up of tunnels and thick woods. Crews looking for the boys are using drones, a Wisconsin Department of Natural Resources plane and thermal imaging to search for them. Police were searching for the teenagers - including Zachary Heron (pictured) - at Neda Mine near Iron Ridge, Wisconsin Samuel Lein (pictured), 15, was with two of his friends when they were last seen on Sunday afternoon prior to them being found on Monday The area where police had been searching for Tate Rose (pictured) and his friends features old mine tunnels and thick woods, according to Dodge County sheriff's officials The Neda Mine is owned by the University of Wisconsin-Milwaukee because of its large bat population. UWM says an estimated 100,000 bats live in the mine, which is among the Midwest's largest winter shelters for hibernating bats. Two men drowned in Lake Michigan after jumping into the water and saving a child's life. David Halford, 37, and Kyle Reibly, 26, were at the beach in Gary, Indiana Saturday afternoon when a child began struggling against the strong currents. The National Weather Service had issued a warning about high waves and hazardous conditions, telling people to stay out of the water. But Reibly and Halford, a father of three, jumped in with two other people to rescue the child, NBC 5 reported. Scroll down for video David Halford (left), 37, and Kyle Reibly (right), 26, drowned Saturday afternoon after jumping into the water at a beach in Gary, Indiana to rescue a child caught in the strong currents The fire department responded to a call at 2:28 pm and found five people stuck in strong currents at the beach near Wells Street. Rescuers pulled all five out of the water. Two were able to leave the beach on their own and three were taken to the hospital. Among them were Halford and Reibly, who were pronounced dead before 4 pm. The third person was left in stable condition. The child, who has not been named, survived. Vernon Lesner, who jumped into the water with them, was a good friend of Halford. He told NBC 5 that he and three other people went in to save a child caught in the strong currents. Lesner regained consciousness on the beach after rescuers pulled him out of the water and asked about Halford - but he had already been taken into an ambulance. 'We had a mission,' Lesner told NBC 5. 'We were like: 'Hey this kid's coming back to shore, no matter what. No matter what happens, we all stick together,' and that's what we did.' Halford, of Hobart, Indiana, often went out of his way to help others, his brother Brandon Casey told ABC 7. 'Obviously, the outcome wasn't so good, but he saved a child's life. That's what he would have wanted. That's why he went in there,' Casey added. Reibly, of Griffith, Indiana, had just gotten a new job, his landlord Brian Krystniak told ABC 7. 'Worked a lot of hours, hard worker, putting some money in a truck,' Krystniak said. The Red Cross recommends that only lifeguards jump into the water to help someone who appears to be drowning. Other people can try to reach for that person or thrown a floating object at them. If neither is possible, the best thing to do according to the Red Cross is to go and get help. Jailed: Tracey Stevenson, 52, stole 1.7million An account manager stole 1.7million from the building firm where she worked to fund a gambling addiction, a court heard. Tracey Stevenson, 52, wrote false cheques, altered company records and transferred money into her personal account in order to hide the fraud from bosses at Ecsec Ltd in Newmarket, Norfolk. Stevenson, who also won more than 1.6million through gambling, splashed the stolen cash and her winnings on two houses in the UK and a holiday home in Spain. She was jailed for four years on Friday after previously admitting to five counts of theft between 2011 and 2016. Cambridge Crown Court heard that Stevenson, from Thetford, Norfolk, became 'seduced' by gambling after visiting her local Gala bingo hall. She then started gaming at home. Stevenson had two big wins of 1.6million and 250,000, which 'did nothing but encourage her further', it was heard. Her criminal activity came to light when Ecsec Ltd suffered cash flow problems in January and launched an investigation into its books. Stevenson went on sick leave as her lies were uncovered at the building contractors. She admitted to the thefts in a suicide note discovered by her husband, who later told company bosses. Prosecutor Martin Mulgrew said: 'The defendant was arrested and made full admissions in police interview as the extent of her fraud emerged.' Neil Guest, representing Stevenson, said: 'She feels overwhelmed with shame and knows she has betrayed her employer. Caught: Stevenson wrote false cheques, altered company records and transferred money into her personal account in order to hide the fraud from bosses at Ecsec Ltd in Newmarket, Norfolk, headquarters pictured 'Her admissions came about because of a suicide note her husband discovered. In her 52 years on earth her only previous brush with the law was a speeding ticket 20 years ago.' Sentencing, Judge Jonathan Haworth said Stevenson's fraud had a significant impact on her small former company, which employs just 13 staff. He added: 'The company spent 40,000 investigating your dishonesty and the owners took out an overdraft. Tactical officers have been accused of momentarily blinding themselves with grenades as they prepared to storm the Lindt cafe during the 2014 Sydney siege. CCTV footage played at the siege inquest on Monday shows officers throw a flashbang towards the open side of the glass cafe door, only for it to appear to bounce off the closed side and explode towards the group, reported the Daily Telegraph. The flashbangs, or stun grenades, are used to disorient an enemy's senses with a bright flash of light and a quick 'bang' noise almost as loud as a rocket launcher. Scroll down for video Officers appeared to be temporarily stunned by the flashbang intended to immobilise hostage-taker Man Monis after the grenade hit the glass doors of the cafe and bounced back towards the group Police stand around the door before the misfired flashbang was thrown, preparing to storm the Lindt Cafe in Martin Place, Sydney during the 2014 siege by Man Monis During the latest hearing of the inquest into the 2014 Sydney Siege, a tactical operations unit veteran, identified as Delta Alpha, also admitted to letting go of his assault rifle to remove his night vision head wear as the light was so bright. The officer denied he was disoriented and put down to 'bad luck' that the grenade had hit the glass door. He also admitted there was no mandatory directive for officers storming the cafe to wear hearing protection, as they had done when training with the grenades. Evidence suggests officers are not wearing protective hearing equipment used during training, and tactical operations unit officer Delta Alpha admitted their use was not mandatory on the night (pictured: police turn away from the flashbang as it sizzles out Delta Alpha also moved to change his account of a conversation he had with the siege deputy tactical commander about the automatic triggers for the so-called emergency action plan. The court heard evidence from Delta Alpha on June 22 that the deputy tactical commander had told him there were no triggers. 'I spoke to (deputy tactical commander) ... and asked him what the triggers were. He said there were none,' he said in a statement given to investigators weeks after the siege. 'So I said `if he executes a hostage we're not going'? 'He said `no, no the call has got to come from higher up'.' The triggers for the emergency action plan included the 'imminent' death or serious injury to a hostage. But on Monday, under cross examination from Michael O'Connell SC, the barrister for the family of deceased hostage Katrina Dawson, the officer said media reports had 'caused me to review that portion of my evidence'. He also claimed he had raised the notion of emergency action triggers with investigators when he provided his statement in January 2015. 'I'm suggesting to you that at no stage did you mention to investigators what the standard triggers were?' Mr O'Connell put to the officer. Delta Alpha: 'I definitely recall having a conversation with investigators about death or serious injury being a trigger and what triggers were in place for this operation.' A tactical operations unit officer told the court in 2015 he was told there were no triggers, even the death of a hostage, for police to storm the cafe (Pictured left: Sydney siege victim Tori Johnson right: Katrina Dawson, who was killed in crossfire) Cafe manager Tori Johnson (right) was executed by Man Monis before police stormed the cafe and lawyer Katrina Dawson (left) was killed in crossfire When pressed by Mr O'Connell, the officer said it must have been a misunderstanding and that the deputy tactical commander must have thought he was referring to triggers for the direct action plan, by which police would end the siege at a time of their choosing rather than waiting for a hostage to be hurt or killed. Mr O'Connell: 'You were clear in January of 2015 that you had been told that death of a hostage would not initiate the EA, correct?' Delta Alpha: 'Yes.' Mr O'Connell: 'Now some considerable time later you believe that there has been a misunderstanding between you and deputy tactical commander, correct?' Delta Alpha: 'Yes.' The inquest also heard on Monday that military advisers responding to the siege told police the direct action plan was viable and would work. Police commanders never approved the direct action plan and instead maintained a strategy of 'contain and negotiate' until gunman Man Haron Monis executed cafe manager Tori Johnson. Delta Alpha, who devised the direct action plan, told the inquest that while the Australian Defence Force believed his plan would work, he never heard anything from his own commanders. Police stormed the cafe at 2.13am on December 16, more than 17 hours after the stand-off began. Monis was killed in a hail of bullets fired by two officers, while hostage Katrina Dawson was killed when she was hit by fragments from police rounds. The inquest continues. ISIS captured Mosul in 2014, it has been the militant group's headquarters The United States will send 560 more troops to Iraq to help establish a newly retaken air base as a staging hub for the long-awaited battle to recapture Mosul from Islamic State militants, Defense Secretary Ash Carter said Monday on an unannounced visit to the country. Most of the new troops will be devoted to the build-up of the Qayara air base, about 40 miles south of Mosul, and include engineers, logistics personnel and other forces, Carter said in Baghdad. They will help Iraqi security forces planning to encircle and eventually retake the key city. 'These additional U.S. forces will bring unique capabilities to the campaign and provide critical enabler support to Iraqi forces at a key moment in the fight,' Carter said, according to prepared remarks. Ash Carter, left, shakes hands with Iraqi Defense Minister Khaled al-Obeidi in Baghdad on Monday morning. As Carter arrived, he said U.S. forces will use the newly retaken air base in Qayara to take Mosul from ISIS Carter landed in Baghdad on an unannounced visit and says U.S. advisers are prepared to accompany Iraqi battalions, if needed, as those units move closer to the fight for Mosul He revealed President Barack Obama's decision during a talk to troops at the airport in Baghdad. The increase brings the total U.S. force authorization in Iraq to 4,647, and comes just three months after Obama's last announcement of additional troops. Carter told reporters earlier that U.S. advisers are prepared to accompany Iraqi battalions if needed, as those units begin the siege of the key northern city. It's not clear when exactly that will happen. U.S. officials said a team of American troops went into Qayara for a quick site assessment Sunday and left. One potential job is helping Iraqi troops use highly technical bridging capabilities to get across the river into Mosul. Carter called this weekend's recapture of Qayara a key strategic victory. Speaking to reporters before he arrived in Baghdad, he said the air base will be one a hub from which 'Iraqi Security Forces, accompanied and advised by us as needed, will complete the southern-most envelopment of Mosul. That's its strategic role, and that's its strategic importance.' He likened the air base to how forces used the eastern city of Makhmour. There, U.S. troops set up a fire base for artillery to support advancing Iraqi units. Iraqi government forces drive their tank towards Qayara as they launch a bid to retake Mosul from ISIS control An Iraqi soldier inspects the wreckage of a blown up vehicle at the side of the road close to the city of Mosul Marine Staff Sgt. Louis F. Cardin was killed at the fire base in March in an IS rocket attack. Iraqi forces retook the air base from the Islamic State group on Saturday. Prime Minister Haider al-Abadi hailed the success as a key step toward Mosul, Iraq's second largest city. Residents there should 'get ready for the liberation of their areas,' al-Abadi said. U.S. officials said American advisers are already working at brigade level with Iraqi special operations forces, but they have not yet accompanied them on operations. They weren't authorized to discuss the matter publicly and demanded anonymity. Obama in April allowed U.S. troops to assist Iraqi forces at brigade and battalion levels, where they could be at greater risk closer to the battle. They would still be behind front lines. They previously had been limited to advising at headquarters and division levels, further from the battle. Carter is expected to meet al-Abadi and minister of defense Khalid al-Obeidi, and Lt. Gen. Sean MacFarland, the top U.S. military commander for the Islamic State fight. The main topic, he said, will be the next steps in the military campaign, with a particular focus on Mosul. Refugees displaced by ISIS walk with their belongings to a camp near Lake al-Tharthar, north west of Baghdad A young girl is carried down to volunteers who are helping refugees in Iraq settle close to Baghdad Many of the residents living in Mosul have fled the city and are now living in a refugee camp in nearby Irbil IS captured Mosul in the summer of 2014. It has used the city as a main headquarters since. Carter's daylong visit to Iraq comes on the heels of the two-day NATO summit where allies agreed to expand their military support for the war. In addition to Qayara, Iraqi government troops recently have retaken Ramadi, Fallujah and a number of towns along the route to Mosul. Most Americans disagree with FBI Director James Comey's decision to recommend against charging Hillary Clinton with a crime as a result of her classified email scandal, new poll numbers show. An ABC News/Washington Post survey published Monday morning found 56 per cent of adults in the U.S. believe it was a mistake not to prosecute the former secretary of state for mishandling state secrets by hosting them on a private, unprotected email server during her years in office. The disappointed masses include 3 out of 10 Democrats likely stacked with supporters of Vermont Sen. Bernie Sanders, who is expected to end his White House run and endorse Clinton on Tuesday. LOSING GROUND: Hillary Clinton should have been prosecuted for mishandling classified documents as secretary of state, according to a majority of Americans who spoke with ABC News pollsters WORRIED: Nearly 3 out of 5 Americans said Clinton's judgment makes them concerned about how she would behave as president Just 35 per cent of Americans approve of the decision to close the embarrassing chapter of Democratic Party history without a criminal charge. A sizable 57-percent majority say the email scandal makes them 'worried' about how Clinton might behave as president. And 28 per cent of Americans now say the unprecedented episode has made them les likely to vote for Clinton in November. That includes one out of 10 Democrats. Clinton has long been dogged by questions about her honesty and character. During most of the Democratic primary season, she trailed Sanders by 20 points or more on measures of who was more 'honest and trustworthy.' In some polls she has also fallen behind Republican rival Donald Trump on the same question. Political independents, the all-important swing voters, sided with Republicans on the question of whether Clinton should have been charged with a crime. Roughly six in 10 said the FBI was wrong to close the email case, and that the messy situation raises worries about what kind of president Clinton would be. Among those independent voters who say the Clinton's email situation will affect their vote, a large majority swung away from her. One-third of independents who say they are less likely to support Clinton now, compared with 5 per cent who say they are more apt to vote for her because of it. A one-armed pensioner who gunned down his wife in her care home to end her suffering from dementia admitted manslaughter yesterday after it emerged he too has dementia. Ronald King, 87, kissed his wife of 59 years after blasting her with an antique Second World War revolver in the lounge of her care home in Essex where he told stunned staff: Im dying anyway. King was put on trial for his wife Ritas murder, but he pleaded guilty to manslaughter by diminished responsibility yesterday as doctors said he too is likely to be suffering from dementia. Shot dead: Ronald King (left), 87, walked into the home where Rita (right), his wife of almost 60 years lived, before gunning her down in a bid to put an end to her suffering in Walton-on-the-Naze, Essex Just five days after his murder trial begun, Chelmsford Crown Court heard that an MRI scan on July 1 revealed brain damage suggesting he is suffering from dementia and paraphrenia. The latter is a mental disorder characterised by paranoid delusions. Following the revelation, prosecutors were forced to accept his plea and a judge cleared him of murder. Yesterday the manager of the care home in Walton-on-the-Naze where the shooting took place blasted the Crown Prosecution Service for bringing the tragic case to court. Julie Curtis, who disarmed King minutes after the shooting, said: Rons case shouldnt have come to trial, because the MRI scan should have been before this. His family shouldnt have even dragged through this, Ron shouldnt have and neither shouldve my staff. She added: Prison isnt the right place for an 87-year-old gentleman with dementia. He clearly has significant brain injury and it is not fair to jail him. Firearm used: Mr King carried the 1934 Enfield service revolver he had inherited from her father, wrapped in a yellow duster inside a brown hessian Tesco bag for life His weapon: Mr King turned the gun on himself but said he couldnt pull the trigger and staff talked him into handing over the gun which was then locked up in a cupboard King has always admitted that he shot his wife, saying he was angered by the standard of care and his wifes declining health. But he denied it was murder. Described as a devoted couple, the pair were separated when the 81-year-old was diagnosed with dementia and moved into De La Mer House in Walton-on-the-Naze in March 2015. I have just shot my wife. She has suffered a lot Ronald King, speaking immediately after the shooting King tried living there with her but left after two days, complaining it wasnt for him. The court heard King had suffered from paranoid delusions about the care home, claiming the care was shocking and that his wifes clothes and money were being stolen. The pensioner told the court: I blame myself for shooting my wife, I blame them for bad service, for the money they are getting. He told jurors he wanted to spend a week over Christmas with his wife and take her back home, but when he saw her being served cold meals and being left in soiled clothing he asked his doubly incontinent wife, You had enough? to which she responded: Oh yes. Troubles: Mr King (left) had struggled to cope with his wife (right) and he moved into the care home with her - but moved out two days later after he said it wasnt for him Couple: Mr and Mrs King with other guests at a 50th wedding anniversary party. Mrs King occasionally became aggressive at the care home, but she still recognised her husband when he came to visit But the prosecution argued that Mrs Kings mental state had deteriorated so significantly that she was in no condition to enter into a suicide pact. King also said he planned to shoot his living corpse sister aged 92 who was also a resident at the care home. He modified bullets into expanding dum dum rounds for a revolver he inherited from his father-in-law, before marching into the care home on December 28 last year and shooting his wheelchair-bound wife in the eye at point blank range in front of two other residents. Recalling the shooting, King told jurors he showed his wife a photograph of their wedding day, which he kept in a bag with the gun. He said: She shouted Dont leave me, and I said I could never leave you. Thats when I picked the gun up, and said We will always be together. I pointed the gun at her and she smiled at me, and thats when I fired the gun. I bent down and kissed her on the lips. Then I knew she was at peace. King said he then tried to shoot himself, but struggled to find the trigger after turning the gun round and finding his glasses steamed up with tears. Scene: The couple had enjoyed breakfast together at the De La Mer House care home (pictured) in Walton-on-the-Naze, Essex, before Mr King shot his wife in the eye and the bullet penetrated her brain Probe: A forensics officer enters the home last December following the shooting of the 81-year-old woman As he pointed the 1934 Enfield Revolver at his head muttering I cant pull the trigger, he asked staff for help, telling them: I have just shot my wife. She has suffered a lot. Im dying anyway. Leniency call: Julie Curtis, the manager of the care home, criticised the decision to prosecute Mr King When asked during the trial whether he still loved his wife, he replied: Course I did. I still miss her. Ive kept her ashes. When I go well be mixed together. Yesterday consultant forensic psychiatrist Dr Phillip Joseph told the court King could have frontal lobe dementia, a condition which would led to paranoid thinking and difficulty judging situations. He said: He clearly knew what he was doing at the time, he could exercise self-control and had a settled intention to carry out this killing. But the area of substantial impairment was his ability to form a rational judgment. During the trial, King appeared frail, shaking as he clung to a guard who helped him from his wheelchair to the stand to give evidence. The defendant, who was born with his left arm missing below the elbow, also has a hearing aid and cataracts. He is due to be sentenced later this month for manslaughter and he has also pleaded guilty to two charges of possession of a prohibited firearm and possessing ammunition. Yesterday speaking after his conviction, the family of Mrs King said: The tragedy of what happened has had an impact on the whole family. We are a large but close family. We would never have imagined what happened to Rita. It has shocked us all and left us deeply saddened. We know her last months in the De La Mer home were happy and she was well looked after.' The Crown Prosecution Service defended the decision to prosecute King. A spokesman said: The defence served new evidence on the prosecution during the course of this trial. This new evidence consisted an MRI scan on the defendants brain. This new evidence was immediately considered by the psychiatrist instructed by the prosecution. In his expert opinion he concluded that the defendant was suffering from an abnormality of mental functioning caused by the recognised medical condition of paraphrenia. He also concluded that as a result of his paranoid beliefs, it is probable that the defendants ability to form a rational judgement was substantially impaired when he decided to kill his wife. Huawei has been forced to apologise after posting a 'misleading' caption for a smartphone promotion picture. The Chinese tech firm shared an eye-catching picture of food writer Ella Woodward to advertise the low-light capabilities of its P9 handset with a caption that suggested it had been shot on the phone. But it came under fire after it emerged that the picture had actually been taken on a $4,500 Canon camera. And wasnt a low-light picture in the first place. Huawei posted this image to Google plus, with a caption suggesting it had been taken on its P9 smartphone The picture Huawei uploaded to Google had stylish lens-flare and showed Miss Woodward, known as 'Deliciously Ella' in a grey top, with her hair crisply illuminated by sunlight. The caption underneath it read: We managed to catch a beautiful sunrise with Deliciously Ella. The #HuaweiP9s dual Leica cameras makes taking photos in low light conditions like this a pleasure. Reinvent smartphone photography and share your sunrise pictures with us. #OO. The image had actually been taken by a Canon camera set-up worth $4,500 The caption doesnt directly say that the picture was taken with a P9, but does insinuate that it was. The truth, as Website Android Police discovered, was that the picture was taken using a Canon 5D MkIII camera body worth $2,600 and a $1,900 lens, as revealed by the metadata accompanying the photograph. Android Police suspected that all was not as it seemed because the picture was suspiciously noiseless and crisp. And, to make matters worse, the picture 'isn't a low-light photo', as thenextweb.com pointed out. Huawei took the picture down and apologised for misleading consumers. A spokesperson for the company told MailOnline: 'It has recently been highlighted that an image posted to our social channels was not shot on the Huawei P9. 'The photo, which was professionally taken while filming a Huawei P9 advert, was shared to inspire our community. We recognize though that we should have been clearer with the captions for this image. It was never our intention to mislead. We apologise for this and we have removed the image.' The new P9 handset is fitted with a dual-lens camera, which the firm said enables users to capture stunning images, whether in colour or black and white. Partnering with German camera firm Leica, the new phones feature high-end lenses and components to provide the 'best smartphone photography experience' available, according to the firm's marketing material. The parents of Micah Johnson have broken their silence in an emotional interview just days after their son opened fire on police in Dallas, killing five officers and injuring nine others, including two civilians. 'I dont know what to say to anybody to make anything better. I didnt see it coming,' said Micah's father James Johnson in an interview with The Blaze. 'I love my son with all my heart. I hate what he did.' James was joined for the interview by his wife Donna and his ex-wife, Micah's mother Delphine, who revealed that her son grew up wanting to be a police officer. 'He loved his country. He wanted to protect his country,' said Delphine. Law enforcement officials now believe that Micah had been planning an even larger attack and are searching his phone and laptop to learn more about this - and see if he had been working with any other individuals. Scroll down for videos Tearful: The parents of Micah Johnson have broken their silence in their first interview since their son killed five police officers in Dallas (father James above) Goals: Delphine (above), Micah's mother, revealed that her son wanted to be a police officer growing up but said he changed after serving in the military Sent home: Johnson (left) was honorably discharged in 2014 while serving as a private first class after sexual harassment allegations. Pictured right is Johnson at high school where he was a below average student Delphine went on to reveal that something changed after her son enlisted in the military. 'The military was not what Micah thought it would be,' explained Delphine. 'He was very disappointed, very disappointed. But it may be that the ideal that he thought of our government, what he thought the military represented, it just didnt live up to his expectations.' She also said that her son began to behave like a 'hermit' after returning from his service. Academic achievement records acquired exclusively by DailyMail.com reveal how prior to enlisting, Micah Johnson was a below average student at Horn High School, in Mesquite, who scored a 1.98 GPA, ranking him 430 of 453 among his peers. Five years after he graduated, the 25-year-old was honorably discharged while serving as a private first class in the U.S. Army Reserve in Afghanistan after allegations of sexual harassment. Female solider Anna Ma, 25, accused Micah of sexual harassment, according to a military lawyer who represented Micah when he returned home in June 2014. Dallas-based attorney Bradford Glendening said that his client made 'unwanted sexual advances towards [Anna].' He then added: It was all verbal. He was bothering and harassing her. Micah waived his right to a military court hearing in the wake of the allegations, and despite the claims against him he was still able to leave with an honorable discharge. Someone really screwed up but to my clients benefit, said Glendening. According to legal documents, Anna begged for a protective order against [Micah] pertaining to myself, my family home and any other place of residence I may reside at. Wells Newsome, who served alongside Micah in Afghanistan, wrote on Facebook last week shortly after the Dallas attack: We all knew he was a pervert cuz [sic] he got caught stealing girls panties, but murdering cops is a different story. Below average: Micah Johnson scored a 1.98 GPA, ranking him 430 of 453 New interest: James said Micah began to express an interest in his heritage after coming home from Afghanistan, but never showed hatred towards whites (above in a dashiki in a photo from his Facebook page) Loss for words: 'I dont know what to say to anybody to make anything better. I didnt see it coming,' said James Johnson, Micah's father (James on left over the weekend, Delphine on right) Grief: James' wife Donna, Micah's stepmother, also participated in the interview with the gunman's mother and father (Donna above over the weekend) Happy couple: James and Donna (above) were both in disbelief over Micah's actions James said in the interview that it was when his son returned from Afghanistan that he began to express an interest in his heritage, but added that their son at no time displayed any outward hatred of white people. Donna, James' wife and Micah's stepmother, is white. He also began to follow black militant groups on Facebook, including The African American Defense League, who posted a message Wednesday encouraging violence against police. 'You and I know what we must do and I don't mean marching, making a lot of noise, or attending conventions. We must 'Rally The Troops!' It is time to visit Louisiana and hold a barbeque,' read a post on the page. Another group Micah 'liked' was the New Black Panther Party, whose leaders have 'long expressed virulently anti-white and anti-Semitic opinions,' according to the Southern Poverty Law Center. The Daily Beast reports that Micah even attempted to join a black activist group after returning home from overseas but was blacklisted after being labeled 'unfit for recruitment' as a result of his sexual harassment charge. Micah also 'liked' the Nation of Islam and the Black Riders Liberation Party, both classified as 'hate groups' by the Center. His Facebook photo meanwhile showed him wearing a dashiki and raising his fist over the words 'Black Power,' and his cover shot carried the red, black and green Pan-African flag. Micah had no ties to the Black Lives Matter movement or a criminal record. Female solider Anna Ma (left and right), 25, accused Micah of sexual harassment, according to a military lawyer who represented Micah when he returned home in June 2014 Chaotic scene: Emergency responders administer CPR to an unknown patient on a stretcher in Dallas on Friday (above) Remembering: Michael O'Mahoney, a former police officer, places his patch on a make-shift memorial at the Dallas police headquarters (above) Tragedies: Alton Sterling (left) and Philando Castille (right) were both killed by members of law enforcement last week Disturbing imagery: An illustration from the 'Black Panther Party Mississippi' page last week (above), which Johnson posted a twisted rant on just days before he embarked on his massacre Micah's attack came during what had been organized as a peaceful protest in response to the deaths of Alton Sterling and Philando Castile at the hands of police officers. Sterling, 37, had been selling CDs outside a convenience store in Baton Rogue, Louisiana on Tuesday when a homeless man called 911 on him after the two had a minor dispute. Police arrived soon after and cell phone video that was taken at the scene shows police screaming that Sterling has a gun. Sterling had a license for the gun and according to the owner of the store he at no point reached for his weapon. Shots were then fired despite the two officers being on top of Sterling. His hands were empty at the time of his death. The U.S. Department of Justice has since launched a criminal investigation into the death of the father-of-three. Castile, 32, was shot dead during a traffic stop in Falcon Heights, Minnesota on Wednesday. His final moments were filmed by his girlfriend Lavish Reynolds, who broadcast the aftermath of the deadly shooting on Facebook Live. Reynolds said that Castile was reaching for his license and informing the officers he had a weapon at the time he was shot. The school cafeteria worker had a concealed carry permit for that gun. Governor Mark Dayton requested that the U.S. Department of Justice begin an immediate independent federal investigation into this matter the next day. Dallas victims: Brent Thompson (left) and Mike Krol (right) were two of the officers killed in Dallas Family: Patrick Zamarripa (above with his family) was one of the five shot dead by Michah Painful loss: Lorne Ahrens (left) was a former deputy in Los Angeles and Michael Smith (right) a father of two Micah was killed in the aftermath of the the attack when members of law enforcement detonated a robotic bomb in his vicinity. Dallas Police Chief David Brown said in a press conference early Friday: 'The suspect said he was upset about Black Lives Matter. He said he was upset about the recent police shootings of black suspects. 'He said he was upset at white people. The suspect stated he wanted to kill white people, especially white officers.' The victims were later identified as: Brent Thompson, 43; Patrick Zamarripa, 32; Michael Krol, 40; Michael Smith, 55; and 48-year-old Lorne Ahrens. The nine others who were injured are all expected to make full recoveries, including civilian Shetima Taylor, who laid on one of her four sons to protect him from the gunfire and was hit in the leg by one of Micah's bullets. In an emotional press conference over the weekend, Taylor described the terrifying moment she first heard gunshots and turned to see a police officer get shot right in front of her. As he was going down, he shouted at her and her sons, 'He's got a gun, run!' 'My kids started running and I wanted to make sure they were all in front of me. I was running behind them and I felt the bullet, I don't know if it bounced off the ground, but I felt it hit my leg.' That bullet hit her calf shattering her tibia. Seeing his mother fall behind, her son Andrew, 15, turned round but fearing another gunshot at any second, Taylor grabbed the teen and pushed him to the ground between a car and the curb, and lay on top of him. That is when the police rushed to her side. 'An officer jumped on top of me and stayed there with us,' she said. 'I've never been in a situation like that before. There was was hundreds of rounds, I've never heard anything like that.' She went on to say: 'I'm so thankful for the Dallas Police Department. They had no regards for their own lives. They stayed with us, and surrounded us. They were really heroes for us.' Taylor, 37, also said that the officer who told her and the children to run after being shot was killed in the attack. 'Police officers are not all bad, they are not all out to get us,' said Taylor. PRYOR On most nights, it may be easier to find meth on the streets of this small, isolated, Crow Reservation town than a police car. Patrols are irregular and residents can wait 45 minutes or longer for officers to respond, whether they report a petty theft or a homicide. But one resident started making nightly armed patrols and a grassroots movement known as the Arrow Creek Community Watch has grown up around him. The seed was planted in July 2015 when the murders of Jason and Tana Shane shook the community. The day of the murders, I got so mad that I couldnt sit in my chair and not do something to make it go away, said Cary Lance, who started the armed patrols. Lance, known locally as White Buffalo, equipped his truck with an emergency band scanner and a two-meter radio so he can relay information when hes in the many areas of the Crow Reservation without cell service. He packs a Taurus PT1911 .45 ACP pistol on his hip and an M4 style semiautomatic rifle in the truck while hes on watch. He totes a tactical vest carrying three spare 30-round magazines and a patch that reads Sheep Dog, symbolic of his mission to protect his community. While just about everyone appreciates the volunteer patrols, not everyone is thrilled about Lance carrying guns. But, he says he wouldn't patrol unarmed. He holds a concealed carry permit, served in the United States Army and holds the second amendment to be a God-given right. He said the firearms are for his own protection and he isnt acting as a vigilante. He grabs the radio before the rifle. The last thing I want is a confrontation, he said. If I see something suspicious that doesnt look right, Ill leave the area. Ill get a vehicle description and the plate or whatever and leave the area. On Tuesday, Lance received a tip that an unfamiliar man was walking along the Pryor St. Xavier Highway carrying a pack and sleeping bag. Lance looks for anything out of the ordinary and the traveler qualified that night. Lance followed the highway to the eastern edge of his patrol area and pulled his pickup onto a dirt road leading to an area commonly targeted east of Pryor. The rough path opens to prime pasture and mountain terrain where black angus and a small bison herd graze throughout the year. Just off the road, a modestly sized house sits abandoned. Lance said the house was recently remodeled but has been empty since its owner died from hantavirus. The home held the homeowner's possessions long after his death but thieves ravaged the place despite the presence of the deadly disease. Further up the trail, telephone poles are no longer connected after the lines were shot down with a high powered rifle. The scavenged cables held valuable metals and the scrap revenue likely supported a meth habit, Lance said. The coulee is just one of the secluded places on his route seen as easy targets. Hell drive for hours every night looking for suspicious activity or anything out of place. His Ford F-250 burns about $25 worth of diesel fuel during his patrol, and he pays most of it out of his own pocket. Lance has received some donations to offset his expenses, and Arrow Creek Community Watch is in the process of establishing itself as a nonprofit to open up funding opportunities. Bryce Hugs, Arrow Creek Districts tribal senator, has been pushing for more organization and setting up formal meetings. On June 30, the group elected a board of directors and started planning a march to demonstrate the communitys solidarity and honor Jason and Tana Shane following the first anniversary of their passing. Arrow Creek Community Watch still has some disagreements within the group and with local law enforcement officials, including the use of firearms. Big Horn County Sheriff Frank Simpson has expressed concerns about citizens carrying guns while patrolling the community. He told the people gathered at the first group meeting in May the presence of weapons could escalate situations beyond control. Jay Harris, Big Horn County attorney, said he supports community watch members carrying firearms. Open carry is legal on the Crow Reservation and they could find themselves in situations where self-defense is necessary. He pointed to the shooting that killed Jason and Tana Shane and injured their daughter Jorah Shane as an example. The family was assisting a stranded motorist when the homicides took place and thats a likely scenario for a community watch member. Despite the disagreement over the use of firearms, Simpson, Harris and Crow Agency Bureau of Indian Affairs Police Chief Jose Figueroa all support community watch programs as an aid in fighting drug-driven crime with limited resources. Harris said he did a four-hour ride-along with Lance and he knows the Pryor area as well as anyone in the community. He knows owners and lease holders of the surrounding properties, and people know who he is. Theyve come to expect his patrols and Lance shines his flashlight at some houses to let the occupants know hes passing. Harris said he wants the Arrow Creek Community Watch to allow people to rest easy when they go on vacation because they know their homes will be secure. He wants it to be a model for other districts on the Crow Reservation to replicate, and that the community builds a stronger relationship with law enforcement. What thats going to take ultimately is continued effort, not going weeks on end or months on end without participation, he said. So far Lance has made most of the patrols. But two other members started checking problem areas at times he wasnt already covering and folks in town have increased communication utilizing social media. Police woud not confirm if Ruff, of Detroit, was one of four suspects Dorian Ruff claims he was arrested on Saturday for his post on Facebook Two were released, while two have been detained on unrelated warrants 'All lives can't matter until black lives matter. Kill all white cops,' wrote a third suspect Another wrote that: 'It's time to wage war and shoot the police first' Said fatal shooting of five cops 'inspired me to do the exact same thing' One of the suspects praised Dallas gunman Micah Johnson as his 'hero' Four men were arrested for threatening to kill police officers in Detroit Detroit police have arrested at least four men over Facebook posts urging people to kill white cops in the wake of the Dallas massacre. Law enforcement across the country has been on high alert since Micah Johnson, 25, shot dead five police officers and injured seven more at a Black Live Matter protest on Thursday. Since then, counter-terrorism units have discovered a worrying number of individuals on social media praising the shooter as a 'hero' and calling for similar attacks on police officers. Detroit officers have now arrested at least four suspects after discovering they had made threats against cops online, Detroit News reports. Scroll down for video Dorian Ruff, (right) of Detroit Beach, Michigan, claims he was arrested for his social media post praising Johnson. He had posted a picture of Johnson (left) after the shooting with the caption 'Definitely a black hero. #Dallasshooter Micah Johnson. Rest in Peace'. It is not clear whether he is among the four suspects Detroit Chief James Craig said in the wake of the Dallas mass shooting, he is taking the threats to his officers very seriously as he said his department is 'in a higher state of alertness' One of suspects wrote: 'All lives can't matter until black lives matter. Kill all white cops.' Another said: 'It's time to wage war and shoot the police first', while a third said Johnson was his 'hero' and had 'inspired me to do the exact same thing.' One of the men arrested had posted pictures and videos of officers being shot on his Facebook wall and wrote: 'This needs to happen more often,' according to police. Detroit Chief James Craig said in the wake of the Dallas mass shooting, he is taking the threats to his officers very seriously as he said his department is 'in a higher state of alertness.' Two of the four African-American men arrested have been released. The rest are in jail on unrelated, outstanding warrants, according to police. Dorian Ruff, of Detroit Beach, Michigan, claimed that he was arrested, 'in the middle of the night' by armed officers on Saturday for his social media post. It is not clear if he was one of the four men arrested for threatening the lives of Detroit cops. In a Facebook post, that has since been deleted, he posted a picture of Johnson after the shooting with the caption 'Definitely a black hero. #Dallasshooter Micah Johnson. Rest in Peace.' Carlos Williams, a friend of Ruff wrote after the arrest that: 'My friend Dorian Ruff was just ARRESTED AT HOME for this FACEBOOK POST. I guess it's ok to have an opinion as long as it's not against your oppressor. I think the recent events have reminded police, it's waaaay more of us than it is of them!!!.. THEY ARE AFRAID!!!' After his release from custody yesterday, Ruff said that he was 'now aware my page is being monitored.' After his release from custody yesterday, Ruff thanked everyone for their concern, adding that he was 'now aware my page is being monitored' It is not clear if Ruff (pictured) was one of the four men arrested for threatening the lives of Detroit cops Detroit police have arrested four men over Facebook posts urging people to kill white cops in the wake of the Dallas massacre (pictured are protests in Detroit on Friday over fatal police shootings of black men as tensions simmer between cops and the black community) Law enforcement across the country has been on high alert since Micah Johnson shot dead five police officers and injured seven more at a Black Live Matter protest on Thursday (pictured, New Era Detroit members block Detroit Police cars as they protest through the streets of Detroit) 'I had no idea a Facebook post would lead to the police banging on the door surrounding the house with Assault Riffles Drawn in the middle of the night. Questions where thrown at me, intelligence were thrown right back,' he said, adding, sarcastically, that he hoped that this post didn't offend anyone. Tensions between police and citizens have been simmering since the Dallas shootings where five officers were killed. Johnson reportedly had a vendetta against white police after two black men were shot dead by cops last week, and told authorities 'he wanted to kill white people - 'especially white officers'. Since that attack, officers have been attacked and shot in at least three other cities; Missouri, Georgia and Tennessee. None of the shootings were fatal. Counter terrorism units have also reported seeing support for the cop killer online. In Louisiana, where protests have continued a week after the fatal shooting of Alton Sterling by Baton Rouge police, Kemonte Gilmore allegedly post a video online showing sat with a gun in his vehicle behind a police car saying he wanted to shoot and kill an officer. In Wisconsin, another suspect called for black men to gun down white officers on social media, while an Illinois woman threatened in an online video to kill any officer who pulled her over, police said. 'Social media is new territory, and while it's been established that hate speech is protected by the First Amendment, we're talking about people specifically saying on Facebook they want to kill white police officers,' said Craig. 'If someone threatens to kill the president, that person would be arrested and prosecuted. How is it any different when someone threatens to kill white cops?' Micah Johnson (pictured) shot dead five police officers and injured seven more at a Black Live Matter protest on Thursday Johnson killed five officers and wounded seven more before police killed him with a remote-controlled bomb in early hours of Friday. Above, police respond after shots were fired at the protest Craig believes that threats to murder police officers should not be protected by the First Amendment but said that it can often take a little while for the law to catch up with new technology and communications - such as social media. Courts have previously stated that 'true threats' are not protected by Freedom of Speech but that definition open to interpretation. But Craig insists that, at a time when police officers are being shot and killed, simply the act of writing that you want to kill a cop should be illegal. 'When we arrested these guys, each one of them said, 'I'm sorry; I didn't mean it the way it sounded.' One of the threats was more vague, along the lines of 'I wish more cops would be killed.' Maybe you could argue that isn't far enough. 'But the others were specific: 'Kill police officers.' If that's not a threat, what is?' One of the suspects had said it was time to 'wage war' against police and even urged people to contact him for more information. A Detroit Police Department spokesman would not confirm whether Ruff was among the four men arrested in Detroit for making threats against officers. A beloved Dartmouth College professor has been jailed for more than five years after being caught with an extensive collection of child pornography. J. Martin Favor, on paid leave since his September arrest, pleaded guilty in March and resigned earlier this month after police found more than 500 videos and 300 images of child porn on his hard drive. The footage and photography depict children, some as young as toddlers, being sexually abused. On Monday he was sentenced to five years and five months in jail. Favor will have to take part in sex offender treatment programs. His sentence, scheduled to start on August 5, will be followed by six years of supervised release. He could have received up to 10 years in prison. His attorney requested three years' jail time. J. Martin Favor (pictured after his in September) has admitted to owning an extensive child porn collection It is not clear if Favor will appeal. U.S. Attorney Emily Gray Rice said 'prosecuting those who possess child pornography is crucial to destabilizing this black market and undermining the normalization of child sexual abuse.' Favor's lawyer, George Ostler, said it's certain the Ivy League English professor's two-decade career is over. But he says Favor recognizes he suffers from an addiction and has committed himself to mental health treatment. 'Through the years, Mr. Favor played an active and a robust role in the academic community and was widely respected, not only at Dartmouth, but throughout the country,' Ostler said in a court document. Favor, 49, was arrested last year at his Plainfield home after authorities said he uploaded four suspected images of child porn through his account on Tumblr, a cross between a social networking site and a blog. Authorities say the images were uploaded to an account associated with his IP address and were traced back to him. Tumblr has a process to detect whether a known file of child pornography is being stored on an account. It made a report to the National Center for Missing and Exploited Children, which, in turn, provided a cyber tip line report to the New Hampshire Internet Crimes Against Children Task Force. Shock: Favor was a mentor to students, and was well-respected within his field, police said The case partly relied on technology called PhotoDNA refined by a Dartmouth computer science professor, Hany Farid. It identifies a signature in an image that can then be matched with one in a vast database of child pornography. 'On the one hand, this is exactly what this technology was built to do,' Farid said. 'On the other hand, this is a colleague of mine and you know he is in for a world of hurt. I'm not particularly pleased that I'm part of that. It's a complicated situation, of course.' Favor began teaching at Dartmouth in 1993 and was granted tenure six years later. He was an associate professor of English and former chairman of the African and African-American Studies program. Among his books are Authentic Blackness: The Folk in the New Negro Renaissance. Ostler said he was a mentor to many students. A college spokeswoman and the school's alumni association declined to comment or respond. Melissa Zeiger, an associate professor of English, said in an email that since he came to Dartmouth, Favor has been 'an extremely popular and successful teacher, although renowned for intellectual rigor as a grader.' She added, 'Students have often mentioned to me how much he has improved their critical thinking, how willing he was to work with them in class and in conference to help them become good writers: the review 'hard but worth it' I have heard on more than one occasion. He has done this with quiet, but impressive selflessness.' After getting the national center's report, investigators searched Favor's home computer and flash drive. In his sentencing recommendation, Assistant U.S. Attorney Nick Abramson said Favor, by his own admission, had been collecting child pornography for 15 years, 'at least in part to satisfy the unnatural 'urges' upon which he swears he has not tangibly acted; urges from which he was able to abstain by avoiding regular interaction with young children.' Favor began teaching at Dartmouth (pictured) in 1993 and was granted tenure six years later Abramson wrote that Favor's 'prolonged evasion of accountability for this criminal conduct was ultimately undone by his decision to distribute illicit images' through his Tumblr account. Favor declined an interview through Ostler. Ostler wrote that although it's not disputed that Favor had a large number of images, 'these were downloaded from the publicly accessible internet without use of any encryption or through any so-called dark websites. 'Mr. Favor did not organize, catalog or make all those images or videos available through any filing-sharing online community.' He said Favor viewed them privately. Supreme Court Justice Ruth Bader Ginsburg plans on engaging in some outward migration if Donald Trump wins the White House. Asked about the prospect of Trump being president and gaining the power to replace justices on the powerful court Ginsburg grabbed a phrase her late husband might have said: 'Now it's time for us to move to New Zealand.' Ginsburg, part of the court's four-member liberal bloc, made the statement in an interview with the New York Times, after fretting about what a Trump victory would mean. 'I can't imagine what this place would be I can't imagine what the country would be with Donald Trump as our president,' she said. Supreme Court Justice Ruth Bader Ginsburg reflected on the court and on Donald Trump in an interview Ginsburg, 83, quipped she's ready to hang it up and move to beautiful New Zealand if Donald Trump wins, though she didn't give President Obama a chance to name her replacement 'For the country, it could be four years. For the court, it could be I don't even want to contemplate that,' she vented. She indicated the prospect reminded her of something her late husband Martin Ginsburg would have said about bolting the country, prompting her to make the quip about New Zealand. She joins a host of celebrities who have spoken of moving to the closer locale of Canada. TV host Jon Stewart, comedian Whoopie Goldberg, actor Samuel L. Jackson, and Cher have all made quips to that effect. Ginsburg, 83, made a push for the Senate to consider judge Merrick Garland, President Obama's pick to replace her former colleague and friend Antonin Scalia. 'That's their job,' she said, scolding Republicans in another branch of government without hesitation. 'There's nothing in the Constitution that says the president stops being president in his last year.' Ginsburg gives President Obama a hug before Obama's State of the Union address Ginsburg called judge Merrick Garland, president Obama's nominee to replace the late Antonin Scalia, 'well qualified' and blasted the Senate for failing to act on his nomination Republicans have refused to hold a hearing on Garland, and many GOP senators haven't even met with him, citing the upcoming elections as a reason not to act. 'I think he is about as well qualified as any nominee to this court,' Ginsburg said of Garland, who serves on the D.C. circuit Court of Appeals. 'Super bright and very nice, very easy to deal with. And super prepared. He would be a great colleague.' Ginsburg offered praise for Chief Justice John Roberts, a conservative who has nevertheless navigated through ideological standoffs, allowing Obamacare to remain mostly intact. 'He had a hard job,' Ginsburg said. 'I think he did it quite well.' GInsburg disappointed some liberals by not stepping down during one of Obama's two terms to allow him to name a replacement. But she said she plans to keep doing her job, which carries a lifetime appointment, ''as long as I can do it full steam.' She called justice Anthony Kennedy, the court's swing-vote, a 'hero' in recent rulings on Texas abortion restrictions and on affirmative action. 'I think he comes out as the great hero of this term,' she said. Ginsburg said she would like to see the court's Citizens United case, which opened the floodgates to outside political spending, overturned. 'It won't happen,' she lamented. 'It would be an impossible dream. But I'd love to see overruled.' In an unusual move, Trump this year released a list of people he would consider naming to the Supreme Court if elected. Most are conservative appeals court justices appointed by former President George W. Bush. Last year the flag was removed after a gunman shot dead 9 African Americans in a Bible study group; the Confederate flag was his symbol The flag was removed at the end of the rally on Sunday The group dressed up as Confederate soldiers to salute the waving flag, they had a permit to raise it which expired at 5pm on Sunday It came after a Secessionist group raised up the Confederate flag again Hundreds of protesters marched through Columbia, South Carolina, to fiercely rebuke the resurrection of the Confederate flag. The banner was removed from South Carolina Statehouse last year amid a national drive to denounce the Civil War-era symbol as racist. But on Sunday, exactly one year later, a group that brands itself the South Carolina Secessionist Party raised it up once more. The flag was eventually removed. But by 7pm around 800 people tied to Black Lives Matter group made a stand outside the building. SCROLL DOWN FOR VIDEO Through the night: Protesters marched through Columbia, South Carolina, overnight on Sunday The Black Lives Matter-affiliated protesters were demonstrating against the re-raising of the Confederate flag Deputies were stationed along the street near I-126 through the night as protesters blocked the way 'Young, black... safe?': Protesters held their arms up in a show of peace as deputies pushed them back No arrests were made during the peaceful protest which went on through the night These protesters listed the names of black men murdered by police on their placards Protesters held up signs about racial divides after the flag was raised on Sunday They then moved downtown. The crowd was blocking a major intersection in downtown Columbia, a block from the Statehouse complex, WLTX reported. Police were out in force and a helicopter was monitoring the situation from overhead. No arrests were made, State.com reported. Columbia Chief of Police Skip Holbrook told State: 'Our goal is to protect people and protect property and our (officers) have showed excellent restraint, a lot of common sense and exercised good judgment. Nobody has gotten hurt and theres been no property damage.' The South Carolina Secessionist Party raised the Stars and Bars to mark the one-year anniversary of what they called the 'greatest treason' in the state's history. They held what was dubbed the 'First Flag Rising Rally' on Sunday morning. The party has plans for it to become an annual event. But dozens of protesters still made their voices heard at the rally, according to the New York Daily News. One could be heard shouting: 'That flag is hate! That's why it was taken down in the first place.' The South Carolina Secessionist Party raised the Confederate flag on Statehouse grounfs to mark the one-year anniversary of when the rebel banner was taken down They held what they called the 'Flag Rising Rally' on Sunday morning, in what they plan to be the first of what will become an annual event A crowd of 150 supporters broke into cheers and hollers of 'Amen' and 'Leave it there!' as the rebel banner climbed to the top of a 30-foot aluminum pole with a plastic base that the party brought. Reenactors dressed up as an honor guard of Confederate soldiers saluted the flag as it once again flew over the Statehouse. The flag was removed at the end of the rally after the event permit expired at 5pm. South Carolina police had earlier asked the Secessionists to postpone the rally in the wake of the death of five Dallas officers who were killed during a protest on Thursday night. The group, which supports the state leaving the US, refused to move the date. But the shootings did prompt the Upstate Black Lives Matter chapter to cancel a protest of the rally. 'We didn't really want to focus our attention on the flag at this time,' Derrick Quarles, president of the group's Upstate chapter, told The State. Quarles said the group did not want the rally to turn violent, adding 'it's a very sensitive time in our nation'. The flag was removed from the South Carolina Statehouse grounds during a ceremony (pictured) in Columbia on July 10, 2015 The Confederate flag was raised on state grounds more than 50 years ago during the civil rights movement. Support for the flag's removal became louder last year after nine black parishioners were killed by a white 21-year-old gunman at the Emanuel AME Church in Charleston. THE HISTORY BEHIND THE FLAG The 150-year-old flag was originally used as a Civil War battle flag by the seven slave states (Alabama, Florida, Georgia, Louisiana, Mississippi, South Carolina and Texas) that broke away from the Union in 1861. Due to the racist policies of those states, many calling for the flag's removal say it symbolizes hatred and white supremacy. It gained its modern meaning from the 1950s onwards when it was used in opposition to the Civil Rights movement that sought to end segregation and create equal right for blacks. In 1962, when the civil rights movement was cresting and the president was putting pressure on the south to end segregation, South Carolina proudly flew the flag in protest. Advertisement Pictures soon surfaced that showed the gunman draped in the Confederate flag. South Carolina Gov Nikki Haley joined President Obama and Vice President Joe Biden's call for the flag to come down and the state Legislature won a two-thirds majority to finally remove it. Twenty-three days after those nine people were murdered in their place of worship, the flag finally came down. The pole where it once flew from was also removed to erase all traces of the Confederacy from Capitol grounds. Haley called the historical moment a 'great day in South Carolina', adding 'I'm thinking of those nine people today'. But Secessionist Party chairman James Bessenger said the group believes the flag was removed because of 'political correctness'. 'People are sick of hearing that people's feelings are hurt,' he said of the rally. 'Our history is being erased. It's like cultural Marxism.' Democratic State Sen John Matthews said the Confederate flag, which now resides in a military museum in Columbia, will not be returning to the State House grounds. 'We've fought the battle and we've agreed to move on,' he said. 'We've decided to move on into the 21st century.' Support for the flag's removal became louder last year after nine black parishioners were killed by a white 21-year-old gunman at the Emanuel AME Church in Charleston Advertisement This brave snake scaled new heights of bravery when it hitched a lift from a crocodile. The thin green snake slithered up its new pal's back and onto the top of it's head, so it could get a better look of the path ahead. But the much bigger crocodile didn't seem to mind, so its new travelling companion enjoyed the free ride around Tangerang, Indonesia. On the lookout: The thin green snake slithered up its new pal's back and onto the top of it's head, so it could get a better look of the path ahead All aboard: But the much bigger crocodile didn't seem to mind, so its new travelling companion enjoyed the free ride around Tangerang, Indonesia The surprise encounter was captured on camera by local photographer Thomas Chandra, who spent 20 minutes observing the pair. The 42-year-old was in the woodland looking for wildlife to catch on camera and struck lucky with these relaxed reptiles. Mr Chandra said: "It looked to me like the snake was just playing with the crocodile when it climbed onto it's head. "But the crocodile didn't react and it seemed to enjoy it too. They stayed like this for about twenty minutes together. "Then after a while, the snake got off and returned to the ground, before slithering away. "It was amazing to see." Reptile pile: The surprise encounter was captured on camera by local photographer Thomas Chandra, who spent 20 minutes observing the pair A single mother who helped cover for her ex-boyfriend after he took part in the murder of a professional poker player has been jailed for two years. Father-of-three Mehmet Hassan was beaten to death by Kyrron Jackson, 29, and Nicholas Chandler, 30, after he was lured back to his north London flat by glamorous party girl Leonie Granger, 27. Ekaete Inyang, 27, was jailed for two years today after she admitted lying about a black Audi TT that was driven by Jackson to Mr Hassan's home on the night of the murder in March 2014. Honeytrap plot: Mehmet Hassan, left, was beaten to death at his north London flat by Jackson and Nicholas Chandler after being lured back by Jackson's girlfriend, glamorous 'party girl' Leonie Granger, 27, right Judge Alistair McCreath said he took into account her 'troubled' background and the fact that Jackson was 'almost certainly a very controlling man' but said he could not suspend the sentence as the lies had involved the Audi that was 'central' to the murder investigation. He said: 'What you did in reality was to cover up for Kyrron Jackson, a man who had as you knew been party to the commission of that terrible murder. 'A small lie told about a trivial offence is far less serious than a small lie told about a more serious offence.' During an earlier trial, the court heard how Granger, who was dating Jackson, used her good looks to befriend Mr Hassan, who showered her with gifts, cash and trips to fancy restaurants. On the night of March 23, 2014, Mr Hassan had taken Granger to the top Mayfair restaurant Nobu before going on to the Palm Beach Casino nearby, where he gave her 1,000 in cash to gamble with. Later, he took her back to his Islington flat where she made her excuses and left in a taxi - but not before letting in her boyfriend Jackson and his friend Chandler, who were outside. Ekaete Inyang, 27, lied about a black Audi TT that was driven by killer Kyrron Jackson, 29, left, to the home of victim Mehmet Hassan, 56, on the night of the murder in March 2014. Right, Nicholas Chandler They tied up the father of three and kicked him to death as they ransacked his home to find his hidden winnings. Afterwards, they left him with multiple broken bones, lying face down in his bedroom drowning in his own blood. The killers were filmed on Granger's mobile phone throwing wads of 50 notes around. They fled with 3,000, his TV and mobile phone, but were caught after Granger's phone records were examined. Jackson, of Lewisham, and Chandler, of Barking, Essex, were given life terms last year while Granger was jailed for 16 years. Inyang lied in a witness statement to police on May 2, 2014, by denying that she or Jackson had any connection to the Audi, which he had driven to Mr Hassan's address on the night of the murder. Jocelyn Ledward, prosecuting, said that two photos of the vehicle were later found on Inyang's phone, one of which featured her and some friends posing in front of it. She said: 'The assertion of knowing nothing of the black Audi was simply not true. She must have known that it was under Mr Jackson's control on that particular night.' During an earlier trial, the court heard how Granger, pictured, who was dating Jackson, used her good looks to befriend Mr Hassan, who showered her with gifts, cash and trips to fancy restaurants Ms Ledward said Inyang was well aware of the Audi's use in the murder when making her statement. She added that Inyang's actions meant police needed to go to 'great lengths' to establish Jackson's connection to the car. The court heard Inyang has previous convictions dating back more than a decade for offences including fraud, common assault and theft. Franco Tizzano, for Inyang, said: 'Someone in her position would have been in a very difficult position when she went to see police knowing what had taken place. 'Mr Jackson's relationship with Miss Inyang had all the hallmarks of being an abusive and controlling relationship. 'It's clear that Miss Inyang frequently argued with Mr Jackson and stated she wanted to work and not be drawn into his criminal activities. All her offending except for the offence of theft in 2005 coincided with her relationship with Kyrron Jackson.' The court heard how Inyang had obtained two jobs since Jackson's jailing and that she has set up her own cleaning business. The former couple have a child together. 'Despite her past she has thrived since Mr Jackson has not been a regular feature in her life,' the barrister concluded. Inyang, of Sydenham, was jailed for two years after she admitted perverting the course of justice. Granger admitted being part of a honeytrap robbery plan last year. She was convicted of manslaughter and false imprisonment. Advertisement David Cameron will be leaving 10 Downing Street on Wednesday - nine weeks earlier than planned after another breathtaking day in Westminster saw the Tory leadership contest cut short. He set out the timetable for handing over the No 10 keys to Theresa May, who was announced the new Tory leader after her rival Andrea Leadsom pulled out of the race. Bizarrely, the outgoing Prime Minister was then caught on microphone humming a tune as he walked back into Number 10. There is disagreement over what tune he was, with some suggesting it was the theme tune to American political TV drama The West Wing and others saying it was from Winnie-the-Pooh. It capped another strange day in Westminster politics, with Mrs May launching the second phase of her leadership campaign just an hour before Mrs Leadsom conceded. Today's fast-paced developments means Mr Cameron's swansong to his premiership is brutally cut short and his wife Samantha and three children Nancy, Arthur and Florence will have to start packing their bags tonight to make way for Mrs May and her husband Philip on Wednesday. His six-year tenure as Prime Minister was only expected to end on September 9 and he was looking forward to final farewell tours to Africa later this month and China in September for the G20. David Cameron emerged from No 10 this afternoon to confirm he would leave office on Wednesday and be replaced by Theresa May Mr Cameron said he would would complete a final Prime Minister's Questions on Wednesday before handing over the reins to the Home Secretary The trip to China would have allowed Mr Cameron to bid farewell to many of his international colleagues. Britain's constitution allows for a quick turnaround and now Mrs May's election as Conservative Party leader is confirmed, the machinery of government will jump into top gear to handover power. Tomorrow Mr Cameron will chair his last Cabinet meeting and then Wednesday's Prime Minister's Questions will allow him to bid farewell from the frontbench and his colleagues to pay tribute to him in the Commons. But he is unlikely to receive the same kind of reception MPs gave Tony Blair when he quit as PM in 2007, with MPs clapping him out of the Commons in a break of tradition. He will then take the short journey to Buckingham Palace to submit his resignation to the Queen, before Mrs May makes the same journey to inform the Queen she is forming a new government. The new Prime Minister will spend this week appointing her new government, with big Cabinet roles expected to be handed to her close allies and Brexit champions Chris Grayling and former Defence Secretary Liam Fox. Boris Johnson and Mrs Leadsom are also expected to be handed a Cabinet positions, while Chancellor George Osborne and Foreign Secretary Philip Hammond could swap jobs. Mr Cameron emerged from Downing Street this afternoon to confirm the timetable for his departure and paid tribute to his successor. He said: 'I'm delighted that we're not going to have a prolonged Conservative leadership election campaign. 'I think Andrea Leadsom has made absolutely the right decision to stand aside and it's clear Theresa May has the overwhelming support of the Conservative parliamentary party. 'I'm also delighted that Theresa May will be the next Prime Minister; she is strong, she is competent, she is more than able to provide the leadership that our country is going to need in the years ahead and she will have my full support. The microphone David Cameron was wearing picked him the Prime Minister humming a cheerful tune to himself as he returned to Number 10 this afternoon Bizarrely, the outgoing Prime Minister (pictured) was caught on microphone humming a tune as he walked back into Number 10 Theresa May (pictured being kissed by her husband Philip outside Parliament this afternoon) will be appointed as the new Prime Minister on Wednesday 'Obviously with these changes we don't need to have a prolonged period of transition and so tomorrow I will chair my last Cabinet meeting, on Wednesday I will attend the House the Commons for Prime Minister's Questions and then after that I expect to go to the Palace and offer my resignation, so we'll have a new prime minister in that building behind me by Wednesday evening.' After completing his last Prime Minister's Questions on Wednesday, Mr Cameron will start the transition process by being driven the short distance to Buckingham Palace for a meeting with the Queen. He will formally tender his resignation as Prime Minister and advise Her Majesty that Mrs May, the new Tory Party leader, is the person able to command a majority of the House of Commons. Mr Cameron will then return to Downing Street in his armoured Prime Ministerial Jaguar, instantly out of power and a mere backbencher. The next stage is for Mrs May to be summoned to the Palace to meet the Queen, where she will be asked to form a Government. Her first task will be to appoint her own Cabinet, moves certain to fundamentally reshuffle David Cameron's top team. Mrs May's Cabinet is likely to meet for the first time next Tuesday before the new Prime Minister makes her PMQ's debut on July 20, the day before the House of Commons rises for the summer. The Cameron family actually lives in the flat above No 11 Downing Street, which is larger, while the Osborne's live over No 10. But if George Osborne is moved on from the Treasury under the new administration, removal teams will be hard at work in Downing Street clearing both flats. The Tory leadership contest was cut short today after Andrea Leadsom (pictured in the pink jacket) announced she was pulling out of the race Samantha Cameron, pictured with children from the Contact a Family charity in the Downing Street apartment, will have to begin the process of packing the family's things today as her husband's time as PM comes to an abrupt end The removal van will soon be in Downing Street after Theresa May won the race to be Tory leader and Prime Minister in place of David Cameron. Pictured: The moment the Blair family's possessions were moved out of Downing Street in 2007 Today's events marked yet another historic day in Westminster politics after three weeks of fast-moving developments. After learning he had lost the referendum in the early hours of the morning after the June 23 referendum, Mr Cameron immediately announced he was resigning after six years in office. But he had no intention of leaving so early, instead setting out a timetable for him to be gone by the Conservative party conference at the beginning of October. This was intended to give the Tories enough time to hold a leadership contest by whittling down the candidates before holding a ballot of 150,000 party members. Over the weekend after the referendum result the leading candidates prepared their teams and battle plans for replacing the PM, but no one could have predicted the leadership contest to be over so quickly. Boris Johnson quickly emerged as the favourite to win the race as he appointed fellow Brexit champion Michael Gove as his campaign manager. Mrs May was the second favourite and all appeared to be heading towards a straight run-off between the pair over the summer. But less than a week after the referendum result Mr Gove turned the leadership contest on its head by deserting Mr Johnson's campaign and deciding to run himself. Mr Johnson subsequently pulled out of the contest, which catapulted Mrs May into the lead. Mr Gove failed to win over enough MPs, with many reluctant to support him after he betrayed Mr Johnson. His ultimate downfall came when it emerged his campaign manager Nick Boles sent a text to Tory MPs supporting Mrs May to lend their votes to Mr Gove in the final round of voting in the leadership election, saying the prospect of Mrs Leadsom in No 10 'seriously frightened' him. Mr Gove still managed to finish ahead of Liam Fox and Stephen Crabb in the race for Tory MP nominations but lost out to Mrs Leadsom in the final vote last Thursday. Mrs Leadsom surprised many in the party by finishing second in the MPs ballot but after winning the backing of less than a quarter of the parliamentary party and questions over her leadership credentials over the weekend, she decided to end the contest by dropping out this afternoon. Moving on: After six years Samantha Cameron says goodbye to the Downing Street home she helped design and where her children have spent most of their lives There will be a changing of the guard at Downing Street this week as one Prime Minister makes way for another. But beyond the political ramifications of David Cameron's hand over of power to Theresa May, the PM's wife now faces leaving the home where she and her family have lived for six years. The couple moved into the house behind Britain's most famous front door when Mr Cameron became Prime Minister following the May 2010 General Election, which forced the Tories into coalition with Nick Clegg's Lib Dems. The Camerons moved into Downing Street in 2010 after the Tories were elected as part of a coalition The couple then had two children and Samantha was pregnant and the move from their Notting Hill home was an upheaval Mr Cameron was welcomed into Downing Street by staff on the night on May 11 amid political uncertainty But he formed a coalition with Nick Clegg's Lib Dems, with the pair giving a famous conference in the garden The family spent two weeks packing up their things before one final emotional breakfast at their Notting Hill home and then moved into Whitehall. The move was a huge change for the couple, from the trendy, upper-class area of west London where they had lived alongside the so-called 'Notting Hill set', to the very centre of the capital, firmly within the much maligned 'Westminster bubble'. When the Camerons moved in, they brought with them two children Nancy Gwen, then-six, and Arthur Elwen, then-four. David Cameron said at the time: 'We did things slowly because we wanted to just take it in stages.' He said the children were sleeping okay after the move, adding: 'They are quite excited about the move. We are just trying to take it slowly.' Samantha later revealed she was 'terrified of the impact [the move] was going to have' on the children' as well as her marriage and family life. But she said in 2015: 'It's been much easier than I expected it to be, I go to the same office, the children go to the same school. There's a lot of our life that hasn't changed'. With his premiership still in its infancy, the Camerons welcomed their daughter Florence to the home in 2010 Florence was born weeks before she was expected, speeding up the family's move next door to Number 11 Samantha has hosted many receptions at the home, including this breakfast for working mothers She is pictured here in 2014 with local schoolchildren from Westminster and their Christmas jumpers The family moved in just over a year after their son Ivan, who had cerebral palsy and epilepsy, died aged just six. Mrs Cameron, now 45, has said the pain of losing her oldest son will never leave her and the grief was still raw when the family moved across town. But the couple experienced joy just months after they moved into Downing Street, when Samantha gave birth to their second daughter, Florence Rose Endellion during a family holiday in Cornwall. Florence was brought back to Downing Street a few days later and Samantha admitted the little girl was keeping them awake. When they first arrived at Downing Street, the couple moved into the top floor Georgian flat above Number 10. But at the time of Florence's birth, they were planning to take up residence in the more spacious flat above 11 Downing Street. The early arrival of their new baby forced the family to move next door sooner than they had planned. Mrs Cameron has welcomed world leaders and their wives to her home, pictured here with Michelle Obama The Obamas first came to Downing Street in May 2011, a year after Mr Cameron was voted in as leader The two leaders posed for a cringe-worthy barbecue, while their 'first ladies' met servicemen and women Samantha helped redesign the kitchen in Number 11, though was criticised by some for her expensive taste Cherie Blair had previously admitted that when she saw the kitchen at No 11, her heart sank because it was so outdated and Samantha wasted no time in creating her own impression on the flat, with reports suggesting they had spent more than 600,000 making it look more modern in the Scandanavian, 'minimalist' style said to be favoured by the PM's wife. Photos which later emerged of the interior showed 25,000 kitchen with a 1,615 Flos Arco floor lamp, a 799 hood cooker by Sigma and a 250 Hemnes black wooden dresser from IKEA. The upgrades made the home much more comfortable - as well as photogenic - when the Camerons hosted the great and good, with the Obamas among many guests over their six-year tenure of the building. A glimpse inside the flat when the US First Lady arrived in 2011 showed the Camerons had also installed a 500 coffee machine, a 299 Magimix food processor and a smart 3,799 Britannia Sigma range oven. After 2015, David Cameron managed to get rid of a rather unwanted tenant, when his party's unexpected majority in the General Election meant he could jettison Nick Clegg as a political ally. Samantha got used to being hostess. The Camerons with The Queen and The Duke of Edinburgh in June 2011 Mrs Cameron has seen many glamorous guests grace her halls, including Carla Bruni-Sarkozy wife of former French President Nicolas Sarkozy (left) and model Claudia Schiffer (right) Samantha Cameron made a swift return to Downing Street on a scooter in a snow flurry last year Samantha shares a joke with Barbara Windsor during the wedding of the Duke and Duchess of Cambridge Amid fears of a hung parliament in the days before the election, there were reports that the family had been warned they may have to leave the property while a new government was formed. But Cameron's election win - which he called his 'sweetest victory' - meant the couple were again pictured outside the front door of the property in May last year. With a strong majority and Labour in disarray, the couple must have been expecting another five years in the building they now called their home. But, Europe, the issue which had long rumbled on in the background during Cameron's time as PM, has unseated him as leader and he resigned the day after Britain voted against his pleas to stay in the EU. The Prime Minister looked tired and downhearted as he walked out of No 10 on June 24 and made it clear he intended to stand down as soon as a replacement was found. Samantha looked on with tears in her eyes as her husband's voice cracked with emotion as he announced his departure. After the couple returned to the home after the 2015 election (right), Mrs Cameron now has to prepare to leave the property just a year later The emotion showed for both of them as Mr Cameron announced he would stand down as PM last month Afterwards he grabbed Samantha's hand and refused to stop for questions as he filed back through the famous black door. The couple still have a 1.3million cottage in his Oxfordshire constituency and the 3.5million Notting Hill townhouse they left six years ago. It is believed they have been renting out since they moved out and may not be able to move back in until their tenants leave. The Prime Minister today announced he would step aside on Wednesday and allow his successor Theresa May to take over. His family will now have to say goodbye to the building his children have called home for most of their lives. May's manifesto: Britain's new Prime Minister pledges 'serious social reform' in a major break from Cameron and Osborne... and declares 'Brexit means Brexit' Theresa May pledged to deliver 'serious social reform' in a major break from David Cameron's premiership as she was handed the keys to Number 10 by Andrea Leadsom's dramatic decision to pull out of the Tory leadership contest. The Home Secretary, who will be appointed prime minister on Wednesday, made a clear pitch for the centre-ground of British politics as she set out her 'bold, new, positive vision for the future of our country'. And she dismissed any fears that as someone who campaigned for Britain to stay in the EU she would seek to dilute the terms of withdrawing from the EU, declaring: 'Brexit means Brexit'. In a speech in Birmingham Mrs May promised new laws to block fat cat pay and bonuses as she promises to stand up for ordinary workers. Theresa May (pictured in Birmingham today) pledged to deliver 'serious social reform' in a major break from David Cameron's premiership as she was handed the keys to Number 10 by Andrea Leadsom's dramatic decision to pull out of the Tory leadership contest She was speaking just an hour before her leadership rival Mrs Leadsom announced she was dropping out of the race. Despite saying earlier this month she would not call a general election before 2020, Mrs May is coming under increasing pressure to change tack now there won't be a leadership contest. She is under particular pressure because of comments she made when Gordon Brown took over from Tony Blair in 2007. Mrs May said he had 'no democratic mandate' and must call a general election, declaring the Tories were 'ready for him,' adding: 'Bring it on'. Labour, the Lib Dems and the Green party have already demanded Mrs May hold an election in the autumn, saying it was 'crucial' the country has a 'democratically elected prime minister'. In her speech this morning Mrs May also vowed to give consumers and staff seats on company boards in a bid to crack down on 'corporate irresponsibility'. The changes are intended to show Mrs May can reach out to the blue-collar workers who were the bedrock of Margaret Thatcher's electoral success. They also show her determination to be the candidate who can reunite the country as well as the Tory party. Theresa May will be appointed prime minister within days after Andrea Leadsom's dramatic decision to pull out (pictured) of the Tory leadership contest this afternoon Theresa May (pictured in Birmingham today) pledged to deliver 'serious social reform' in a major break from David Cameron and George Osborne's premiership as she launched her vision for Britain today Launching the second phase of her bid for No 10 minutes before Mrs Leadsom dropped out, she vowed to build a Britain 'that works for everyone not just the privileged few'. Mrs May said she hopes to 'bring people back together rich and poor, north and south ... young and old, male and female, black and white'. Aides claim her mission as prime minister will be to rebuild public trust in politics which has plummeted in the wake of the MPs' expenses scandal, the banking crash and the failure to address worries over immigration. Signalling a major change in the direction of the Conservative party, Mrs May, who famously described the Conservatives as the 'nasty party' amid the darkest days of opposition in 2002, said this morning: 'This is a different kind of Conservatism, I know. It marks a break with the past. But it is in fact completely consistent with Conservative principles. 'Because we dont just believe in markets, but in communities. We dont just believe in individualism, but in society. We dont hate the state, we value the role that only the state can play. 'We believe everybody - not just the privileged few - has a right to take ownership of what matters in their lives. 'We believe that each generation - of politicians, of business leaders, of us all - are custodians with a responsibility to pass on something better to the next generation. Above all, we believe in Britain - and in the British people.' Our new Prime Minister: The unshowy vicar's daughter who backed the losing side on Brexit but came through thanks to her hard-earned reputation as a safe pair of hands Britain will now have its second woman prime minister after Theresa May was handed power by Andrea Leadsom today. Just like Margaret Thatcher Mrs May had a burning desire to be prime minister and enjoys the love and support of her 'rock' husband of 36 years, Philip May. Now the couple are set to enter Downing Street as her extraordinary rise to the very top of British politics was completed by Mrs Leadsom's shock decision to quit the Tory leadership battle today. Today Mrs May released an extraordinary set of unseen private pictures charting her life, and her strong relationship with husband Philip, who she married in 1980. One powerful image shows her standing proudly with her new husband at her side on their wedding day and offers a rare insight into the private life of the new prime minister. Theresa May married her husband Peter in 1980 at the Church of St Mary the Virgin in Wheatley in Oxfordshire. To the left of Philip are his parents John and Joy May. To the right of Mrs May is her clergyman father Hubert, maternal grandmother Violet Barnes and her mother Zaidee in a wheelchair The couple met while studying at Oxford, where the then Theresa Brasier had told friends she wanted to be prime minister (pictured together in 1977) Mrs May attended church on Sunday morning with her husband Philip near her Maidenhead constituency in Berkshire this weekend The couple met while studying at Oxford, where the future Mrs May had told friends she wanted to be prime minister, and tied the knot four years later. And her new husband's support proved crucial when her parents both died the year after the wedding, when she was aged just 25. The then Theresa Brasier went up to St Hugh's College, Oxford, to study geography in October 1974. Friends say she went out with a few other men but 'nobody who was special' before being introduced to Mr May by Benazir Bhutto, Pakistan's future prime minister, at an Oxford Conservative disco in 1976. They married in 1980 at the Church of St Mary the Virgin in Wheatley in Oxfordshire, where Miss Brasier's father was vicar. But tragedy struck the following year when her 64-year-old father Rev Hubert Brasier died in a car crash as he drove to conduct a service. A report of the inquest described how the vicar had been trying to cross the busy A40 outside Oxford in his Morris Marina when he was involved in a high speed collision. He 'edged forward from the central reservation into the path of a Range Rover' and died a few hours later from head and spine injuries. Mrs May's mother Zaidee, pictured in a wheelchair in the wedding photo, died soon afterwards after a battle with multiple sclerosis. The future Mrs May, pictured with her mum and dad in 1961, lost both her parents when she was aged just 25 The support from Phillip, pictured standing next to Mrs May in 1980, provided crucial when her parents died Mrs May has previously told Kirsty Young on Desert Island Discs that her husband had been a 'huge support' during such a difficult time. She said: 'That was very important for me. He was a real rock for me.' Alicia Collinson, a close friend at Oxford, told the Sunday Telegraph: 'It was dreadful... Theresa had Philip and Philip saw her through that. He was and remains her rock.' Mr May was two years below his future wife when they met at Oxford, and was still studying in 1977 when she graduated and took a job at the Bank of England. A diary story in the student newspaper Cherwell jokingly suggested that by his final year in 1979, she warned him she would end it 'if he hesitates any longer in announcing his intention to make an honest woman of the Vicar's daughter.' The couple became engaged in the summer of that year and wed the following September. After graduating, Mr May went on to have a glittering career in the City. Other photos released by Mrs May show her as a youngster with her parents, her devoted father with his arm around her. She is also pictured hiking in the Swiss Alps, canvassing in the North West Durham constituency in 1992, when she lost to Labour, and campaigning in her successful campaign in Maidenhead in 1997. Theresa May has made no secret of her admiration for Margaret Thatcher as she has become the most powerful female politician in Britain since the Iron Lady. But when the Tory leadership frontrunner entered Parliament in 1997 it is clear her inspiration was both political and sartorial. Mrs May wore a blue power suit extraordinarily similar to the one worn by Mrs Thatcher when she swept into Downing Street in 1979. Mrs Thatcher wore head to toe blue on many occasions in her 11 years as Prime Minister as she became an icon for many Tory supporters. I think if you talk to anybody who would like to have had children I mean, you look at families all the time and you see there is something there that you don't have. It just didn't happen. Theresa May on not being able to have children Mrs May has brushed off comparisons with Margaret Thatcher as a woman aspiring to lead her country, saying: 'Whether it's a woman or a man, what counts is the quality of the individual.' But the similarities are obvious. Mrs May became an MP for the first time in 1997 when she won her seat in Maidenhead, Berkshire. Within two years she was in William Hague's shadow cabinet, the same amount of time it took Mrs Thatcher to get a senior post. Mrs May would later serve under Iain Duncan Smith, Michael Howard and David Cameron in opposition. In 2010 she became the Tory Home Secretary and has been there ever since, becoming the longest-serving home secretary since Henry Matthews in 1892, overtaking Rab Butler's 2,007 days under Harold Macmillan. The Home Secretary is a fan of a power suit but is famously unapologetic about her fancy footwear, having described her sometimes maverick choices as a conversation starter. She once said: I have no regrets (about being famous for my shoes). The good thing is that they are often an icebreaker.' The Tory MP famously sported a pair of leopard-print kitten heels at the Conservatives annual party conference back in 2002...and the eye-catching footwear has kept coming ever since. A supporter at the Conservative fundraising Black and White Ball once splashed out a cool 17,500 to go on a shoe-shopping mission with Mrs May. And the Home Secretary even suggested once that her shoe-style had encouraged a young supporter to venture into politics: 'I was in the Commons recently and saw a young lady wearing a nice pair of shoes. 'I said I liked them and she said my shoes were the reason she became involved in politics.' How Theresa May's quiet cricket-loving husband is set to be new PM's own Denis Thatcher Philip May has quietly been supporting his wife throughout her escalating career as he, like Denis Thatcher, follows a financial career, as an adviser to wealthy clients. Mr and Mrs Thatcher met through the Conservative party while Mr and Mrs May met at a Tory disco and bonded over their shared love of cricket. Denis' passion was rugby. In 1997 when Mrs May was first elected to Parliament Mr May stood proudly by her as she wore a blue suit remarkably similar to the one Mrs Thatcher donned when she became prime minister in 1979. The Mays, who married in September 1980, live together in an immaculate home in Sonning, Berkshire, where the neighbours include George and Amal Clooney and Led Zeppelin guitarist Jimmy Page. They met at Oxford and her then boyfriend and future husband two years her junior was the toast of the debating chamber. A friend of Benazir Bhutto, the future Prime Minister of Pakistan who was to be assassinated in 2007. It was Benazir who introduced Philip to Theresa at a Conservative association disco he the centre of much attention, she the barely-noticed ex-grammar schoolgirl and vicar's daughter. The couple married soon after he graduated, but he still persuaded her to return to debate him over whether 'sex is great'. Couple: Theresa May with her husband Philip, who has often been compared to Lady Thatcher's quiet and supportive husband Denis Mrs May, pictured at Henley Festival on Friday, previously told Kirsty Young on Desert Island Discs that her husband had been a 'huge support' when her parents died Those who knew the Mays in their early married days say banker Philip was the one thought more likely to go into politics. It was presumed Theresa would be the wage-earner as she, too, had gone into the City and become a great success. But then she was elected to Merton Borough Council, had success running local schools and her political ambition was stoked. One friend said of Philip: 'He's supportive but not competitive. He's reserved, kind, polite. He's also a bit shy.' Another told the Guardian: 'Philip is really lovely. He's just a regular, nice guy who's bright like she is. They still totally love each other and have a great friendship. He is good for her because he's aware she's home secretary but she's still just Theresa to himWhen they're together, they seem younger.' He also clearly loves and admires his wife, when asked if Mrs May was a beauty he replied: 'Was? She still is'. The couple have no children, for health reasons, which is said to be of great regret to them. In a rare comment on the matter Mrs May said in 2012: 'I think if you talk to anybody who would like to have had children I mean, you look at families all the time and you see there is something there that you don't have'. 'It just didn't happen. This isn't something I generally go into, but things just turned out as they did.' Friends see this as part of the reason she immerses herself so very deeply in her work. She is often trawling through her ministerial red boxes often until two in the morning, or, for example, firing off emails on government matters on Christmas Eve. Brian Derryberry was booked in connection with committing sex acts A former sheriffs deputy arrested on suspicion on having sexual relations with a number of female inmates is a married father of a one-year-old boy. Brian Derryberry, 24, was arrested last week after it was alleged he was engaging in sexual activities with a woman at Morongo Basin Jail in Joshua Tree, California, according to the San Bernardino County Sheriff's Department. The father-of-one, who started working with the department in April 2014, was booked for Sexual Activity with a Confined Consenting Adult and Oral Copulation with a Confined Consenting Adult, a statement read. Brian Derryberry (right, with his wife and son), a former sheriffs deputy arrested on suspicion on having sexual relations with a number of female inmates is a recently married father of a one-year-old boy Derryberry, 24, was arrested last week after it was alleged he was engaging in sexual activities with a woman at Morongo Basin Jail in Joshua Tree, California The father-of-one (pictured), who started working with the department in April 2014, was booked for Sexual Activity with a Confined Consenting Adult and Oral Copulation with a Confined Consenting Adult During an investigation into the 24-year-old, the department obtained 'credible evidence' Derryberry had 'sexual contact' with numerous inmates. Members of the Sheriff's Department received information that a deputy sheriff, Brian Derryberry, was engaging in sexual activity with a female adult while she was incarcerated at the Morongo Jail, the department said. The allegation was immediately investigated by detectives from the Specialized Investigations Division. 'During the investigation, detectives obtained credible evidence indicating Derryberry had sexual contact with numerous female inmates. As a result of the investigation, detectives arrested Derryberry on July 8, 2016, at 5:05 p.m. The 24-year-old was being held at the High Desert Detention Center in lieu of $50,000 bail. During an investigation into allegations made against Derryberry (pictured), the San Bernardino County Sheriff's Department obtained 'credible evidence' Derryberry had 'sexual contact' with numerous inmates The Sheriff's Department received information that a deputy sheriff, Brian Derryberry, was engaging in sexual activity with a female adult while she was incarcerated at the Morongo Jail (pictured), the department said Head of Sicily's Cosa Nostra Matteo Messina Denaro used Attilio Fogazza's young daughter to carry handwritten notes between the boss and other mafia top dogs Italy's most wanted mobster used a five-year-old girl to run secret messages for him, a mafia informant has revealed. Head of Sicily's Cosa Nostra Matteo Messina Denaro used Attilio Fogazza's young daughter to carry handwritten notes between himself and other mafia top dogs. Kingpin Denaro has not been seen in public for 20 years, and is considered in the top 10 most wanted men in the world. Fogazza, who himself is on a murder charge, said Messina Denaro's second-in-command Domenico 'Mimmo' Scimonelli approached his daughter to run the memos, known as 'pizzini'. The right-hand man had taken his daughter for an ice cream and put the messages inside her jacket and backpack. The daughter and the rest of Fogazza's family have been living in a secret location under police protection while he co-operates with the prosecutors as they attempt to bring down the 'boss of bosses' in the Italian mafia scene. Fogazza, 44, ran a car dealership in south-western Sicily and decided to collaborate with Palermo investigators after he was arrested last December for the murder of Salvatore Lombardo in 2009 who was killed after he stole a van from Scimonelli. Slide me The changing face of the mafia: Experts have released an e-fit of what Messina Denaro could look like today One day my daughter said Uncle Mimmo had taken her for a gelato and put the messages inside her jacket and her backpack, Fogazza told prosecutors in Palermo according to Italian media reports. Last year, a Palermo judge sentenced six men including Scimonelli from the hierarchy of the Cosa Nostra - meaning 'Our Thing' - to a total of 80 years in prison for racketeering, conspiracy and aiding and abetting the mafia. Head honcho Messina Denaro, 54, has not been seen in public since the early 90s, but a new e-fit was created in 2014 with the help of another informant. Secretary of State John Kerry's spokespeople are defending his controversial decision to leave a NATO Summit early this weekend after photos showed him attending a performance of the Broadway musical Hamilton. State Department spokesman John Kirby released a statement to Daily Mail Online, saying Sec. Kerry actually left the Warsaw, Poland meeting to attend the wedding 'of one of his closest friends'. That 'close friend' would be Colin Barnicle, the 30-year-old son of Morning Joe regular Mike Barnicle, who posed for pictures with the secretary and his new bride Jackie Govoni after their 2pm nuptials in Nantucket on Saturday. Scroll down for video Spokesman for Secretary of State John Kerry (right) say he left the NATO Summit early to attend the wedding of one of his 'closest friends' - not to see the Broadway musical Hamilton, which he was pictured at Saturday night. Above, Secretary Kerry at the wedding of Colin Barnicle (left), the 30-year-old son of MSNBC contributor Mike Barnicle, Saturday afternoon. Barnicle's new bride Jackie Govoni pictured center Since the Barnicle wedding was at 2pm, Kerry likely didn't have time to stay through the reception since he made it in time for the 8pm curtain call at the Richard Rodgers Theatre in New York City - an hour flight away. Above, Mike Barnicle, father of the groom But Kerry apparently wasn't close enough to Barnicle to stay for the whole reception, since he jetted down to New York City in time to make the 8pm curtain at the Richard Rodgers Theatre - an hour flight away. Taxpayers can expect a bill for that flight too, since Secretary Kerry is obliged to use government aircraft when he travels. Tickets to Saturday night's performance - creator Lin-Manuel Miranda's final appearance in the starring role - started at $1,350 and sold for as high as $20,000 for prime seats. Kirby says Sec. Kerry paid 'full face-value, out-of-pocket' to treat his daughter, her husband and another staff member to the show. This was at least the second time that Sec. Kerry has seen the musical. Pictures on social media show him attending a performance just four months ago. Sec. Kerry's spokesman refused to confirm how many times he has seen the musical. Representing: Secretary Kerry - flanked by security - suited up to attend creator Lin-Manuel Miranda's final performance in the title role Saturday night A spokesman says he paid 'full face-value, out-of-pocket' to treat his daughter, her husband and another staff member to the show Kerry and news anchor Charlie Rose caught up at the after party - it's unclear if Rose grilled him on why he was there instead of at the summit in Poland The NATO Summit, which took place Friday and Saturday in Warsaw, Poland, was attended by President Obama, Defense Secretary Ash Carter, UKs David Cameron, Germanys Angela Merkel, Italys Matteo Renzi, Frances Francois Hollande, and Ukraines Petro Poroshenko - and Secretary of State John Kerry, until he decided to ditch the summit for the likely much more fun Broadway show on Saturday night, reports the New York Post. At least one person wasn't happy with Kerry's choice. 'Priorities John Kerry left the NATO summit early so he could hang out w/Jane Fonda, JLO, & Charlie Rose,' snarked Mike Doran, a former senior director in the National Security Council and Middle East politics expert, on Twitter, naming other notables in the audience that night. Mike Doran, a former senior director in the National Security Council, called Kerry's decision to skip out early on the NATO meeting in a post on Twitter. Above, Kerry and Obama on Friday in Poland Hamilton creator and star Lin-Manuel Miranda gave a final bow on Saturday, at the Broadway smash. Tickets to Miranda's final performance ranged from $1,350 to $20,000 Kerry appears to be a big fan of the show, since he was pictured attending a performance just four months ago Show associate choreographer Stephanie Klemons was so excited she tweeted out when Kerry made a trip to the loo: 'Literally, Jennifer Lopez just used the bathroom after me backstage, John Kerry was after her. Things that only happen at Hamilton,' she wrote. Kerry would have been one of the select few to see Hamilton creator and star Lin-Manuel Miranda with his signature long locks, which he chopped following his final performance in the Broadway rap musical. 'Teach 'em how to say goodbye...' the 36-year-old Pulitzer Prize winner - who boasts 1M followers - captioned the snap on Instagram. The native New Yorker had just scored a lingering standing ovation during his last curtain call onstage the Richard Rodgers Theatre. At least one lucky audience member got to hang with Kerry before the shows started Kerry posted for pictures with some of the show's stars backstage after the performance Curiously, Lin-Manuel decided not to deliver any farewell speech, despite the audience's cries for it. However, the orchestra played the theme song from NBC's presidential series The West Wing, which concluded in 2006. Saturday also marked the final performance for Tony winner Leslie Odom Jr. as (VP Aaron Burr), and Tony nominee Phillipa Soo (as Eliza Hamilton). According to EW - seats for the packed show resold for an eye-popping $20K each, and the entire run through January of next year is completely sold out. Bravo! The native New Yorker had just scored a lingering standing ovation during his last curtain call onstage the Richard Rodgers Theatre Random? However, the orchestra played the theme song from NBC's presidential series The West Wing, which concluded in 2006 The rap-musical smash hit won 11 Tony Awards last month, and the touring production begins this autumn ahead of stagings in Chicago and London next year. Before Miranda's surprise haircut, he wistfully waved to fans outside on the roof of the venue beneath an umbrella. Following the incredible, groundbreaking success of his colonial creation, the Wesleyan grad is now only an Oscar away from exalted EGOT status. Beginning Monday, the man of the hour will be replaced by his former In the Heights understudy Javier Munoz, who underwent radiation treatment for cancer earlier this year. Pricey performance! According to EW - seats for the packed show resold for an eye-popping $20K each, and the entire run through January of next year is completely sold out 'Love you. good night': The rap-musical smash hit won 11 Tony Awards last month, and the touring production begins this autumn ahead of stagings in Chicago and London next year Earlier on Saturday, Lin-Manuel gushed about Alicia Keys' contribution to the Hamilton mixtape - dropping this fall - with Sia, Chance the Rapper, Usher, Ben Folds, and Busta Rhymes. The married father-of-one is currently 'working on his accent' as street lamplighter Jack in Mary Poppins Returns, and he crafted music for Disney's Moana hitting US theaters November 23. Catching the cultural phenomenon was pop diva Jennifer Lopez, her boyfriend Casper Smart, and her eight-year-old twins Emme and Max (with ex-husband #3 Marc Anthony). Rainy night: Before Miranda's surprise haircut, he wistfully waved to fans outside on the roof of the venue beneath an umbrella '#Javilton': Beginning Monday, the man of the hour will be replaced by his former In the Heights understudy Javier Munoz, who underwent radiation treatment for cancer earlier this year Stylist duo Rob Zangardi & Mariel Haenn dressed the two-time Grammy nominee - who turns 47 this month - in a cleavage-boosting sheer LBD and stilettos. On Friday, JLo and Miranda filmed the music video for their duet Love Make the World Go Round on the Richard Rodgers rooftop. The Puerto-Rican pair will reunite again Monday for a live performance of their track benefiting Orlando victims on NBC's Today. Family outing: Catching the cultural phenomenon was pop diva Jennifer Lopez, Casper Smart, and her eight-year-old twins Emme and Max (with ex-husband #3 Marc Anthony) Vamp: Stylist duo Rob Zangardi & Mariel Haenn dressed Jennifer Lopez the two-time Grammy nominee - who turns 47 this month - in a cleavage-boosting sheer LBD and stilettos Other celebrities taking in Lin-Manuel's last show was Tony winner Rosie O'Donnell looking a bit sunburned from her July Fourth Florida holiday. The 54-year-old mother-of-five - who romanced Oscar winner Tatum O'Neal last summer - brought a mystery blonde as her hot date. Two-time Oscar winner Jane Fonda easily defied her 78 years on the arm of her handsome son Troy Garity (with ex-husband #2 Tom Hayden). Pink cheeks: Other celebrities taking in Lin-Manuel's last show was Tony winner Rosie O'Donnell looking a bit sunburned from her July Fourth Florida holiday New girlfriend? The 54-year-old mother-of-five - who romanced Oscar winner Tatum O'Neal last summer - brought a mystery blonde as her hot date Cute Chanel purse! Two-time Oscar winner Jane Fonda easily defied her 78 years on the arm of her handsome son Troy Garity (with ex-husband #2 Tom Hayden) The second wife of current Republican presidential candidate Donald Trump - Marla Maples - posed with pink-suited Sendroff & Baruch attorney Mark Sendroff. Honorary Oscar winner Spike Lee rocked one of his 'Git Woke' T-shirts alongside his wife of 23 years, attorney Tonya Lewis Lee. Golden Globe winner Mariska Hargitay donned a sheer beige kaftan alongside her husband of 12 years, Peter Hermann. Dancing with the Stars alum: The second wife of current Republican presidential candidate Donald Trump - Marla Maples - posed with pink-suited Sendroff & Baruch attorney Mark Sendroff Well matched: Honorary Oscar winner Spike Lee rocked one of his 'Git Woke' T-shirts alongside his wife of 23 years, attorney Tonya Lewis Lee LARAMIE, Wyo. The University of Wyoming is offering retirement incentives for certain employees as part of a plan to cut $35 million from the budget ending in 2018. University vice president and general counsel Rick Miller said the goal is to build a pool of vacancies. People at least 61 years old who worked for the university for 15 years with at least 10 being consecutive can retire by Aug. 5 and continue receiving their normal salary amount until the end of the year. Miller said there is also a sick leave incentive. The incentives will cost more this year but result in a $3 million reduction from the 2017-2018 budget. Miller said dozens of workers expressed interest as of July 1. Two men whose boat was caught carrying a record 512million cocaine haul have been convicted of an international drug smuggling operation. Mumin Sahin and Emin Ozmen were arrested after their ship, MV Hamal, was stopped 100 miles east of the Scottish coast in April last year. An astonishing 3.2 tonnes of high purity cocaine was discovered hidden in a ballast tank at the front of the ship. Mumin Sahin (L) and Emin Ozmen (R) face lengthy jail after three tonnes of cocaine was found on their ship MV Hamal being boarded by a team from the Royal Navy last April. Three tonnes of cocaine was onboard The pair now face lengthy jail terms after they were found guilty following a 12-week trial at the High Court in Glasgow. They were convicted of smuggling cocaine on the MV Hamal as well as a separate charge of being concerned in the supply of the drug. Sahin held his head in his hands as the verdicts were announced. Prosecutors stated the boat had travelled from Istanbul via Tenerife to South America then back to the North Sea. The drugs bust happened after the MV Hamal was halted in international waters and brought back to Aberdeen Harbour. French customs had earlier tipped-off British authorities that drugs were on board. The vessel was intercepted by the Royal Navy warship HMS Somerset and Border Force officials. A huge amount of drugs were found in the ship, with a pile of bags twice the size of a large family car The drugs were crammed in the ship's ballast tank and were slowly uncovered by authorities The haul was so big, it took two days to remove. The purity of the cocaine ranged from between 58 and 74 per cent. In an unusual move - and amid tight security - the drugs were brought to court for the jury to see. Police drugs expert Jurgen Wahla revealed the haul had a potential UK street value of 512m BRITAIN'S BIGGEST DRUG BUSTS 1. 500million worth of cocaine off the coast of Aberdeenshire, April 2015 2. 150million worth of cocaine off the south-west coast of Ireland, September 2014 3. 100million worth of cocaine in Kingussie, Scotland, 1991 4. 90million worth of cocaine in Woody Bay, Devon, October 2000 5. 80million worth of cannabis stored under piles of fresh meat at a cold storage company in County Durham, December 2011 Advertisement This would happen if the cocaine was adulterated to around 15 per cent pure and sold in one gram deals. Sahin, 47, was the captain of the boat with Ozmen, 50, second in command. Kayacan Dalgakirin, Mustafa Guven, Umit Colakel, Ibrahim Dag were cleared of the allegations after the jury returned not proven verdicts. Three other men - Mustafa Ceviz, Abdulkadir Cirik and Muhammet Seckin - were cleared earlier in the trial after the same charges were thrown out. The high-purity drugs bust is more than three times more valuable than Britain's previous biggest haul. Cocaine worth 150million was discovered onboard a yacht off the south-west coast of Ireland in September 2014. It was headed to north Wales. The ship was so full of drugs, that it took two days for a 'human chain' of men to remove it all The drugs ship was brought to shore where half a billion pounds worth of cocaine was found on board Speaking after today's convictions, NCA senior investigating officer John McGowan said: 'This seizure was unprecedented in scale, the biggest ever class A haul in the UK, and we believe the biggest ever maritime seizure of cocaine in Europe. 'While we suspect that the end destination for this load would have initially been mainland Europe, there is no doubt given the size of the seizure that a good percentage would have ended up being sold in the UK and fuelling further criminality. Homeowners in a wealthy London enclave which counts Tony Blair and Claudia Winkleman among its neighbours, are fighting 'ludicrous' plans for a basement swimming pool. Banker Mubashir Mukadam has submitted plans to install a swimming pool in his 8.5 million Georgian town house. But beleaguered residents living near Mr Mukadam in Connaught Square, Westminster, have criticised his plans as 'grotesque and vulgar'. Residents in Connaught Sqaure (pictured), near Marble Arch in London, are fighting the planning application Homeowners in the wealthy and exclusive neighbourhood in Westminster include Tony Blair and Claudia Winkleman Mr Mukadam's planning application dates back some two years, when he initially sought permission for a large basement complete with a jacuzzi, sauna, cinema and swimming pool. However the plans were recommended for refusal in 2014 so the banker, who works for the New York investment firm KKR, set about altering them to appease councillors and his neighbours. Mubashir Mukadam submitted plans to install a swimming pool in his 8.5m Georgian town house The planning application has now been recommended for approval, despite dozens of objections from residents. One resident criticised the plan as 'vulgar', adding: 'Connaught Square is a historic square in central London, built with Georgian terrace houses where, at the very least, it would appear vulgar to cater to a swimming pool extension, let alone the safety issues surrounding the fact that the properties are built on foundations first laid in the early 19th century. 'A swimming pool is an inappropriate and unnecessary use in such a building. 'There is a good public swimming pool a few minutes' walk away. 'We sincerely hope this is the last time the residents of the square all united have to object to this grotesque planning application.' Nick Johnson OBE, chairman of the Hyde Park Estate Association, called the plan 'ludicrous'. Mubashir Mukadam has submitted plans to install a swimming pool in his 8.5 million Georgian town house in Connaught Square Objecting to the proposal, he said: 'This application is just a shocking and alarming example of the increasing trend to overdevelop these wonderful Georgian homes. 'Connaught Square is properly regarded as one of the most significant and historically important in London, with an amazing local and national history and importance. Tony Blair and his family own a property in the square, having moved in several years ago 'This application is an alarming example of a savage proposal to completely change the structure and design of a unique listed home. 'These homes were designed and built to provide the most adequate accommodation for a large family, and they have a huge part to play in keeping the important heritage intact for our future generations - not to allow these homes to be ripped apart and turned into a completely different building. 'This is exemplified by the ludicrous proposal to build a basement swimming pool. 'If the applicant wants a home with a swimming pool, then he needs to buy a bigger plot in an area where the land and local planning environment would not be so badly and deleteriously affected.' Another objector wrote: 'I am particularly worried that the building of a mega basement will damage the foundations of this terrace. These houses were not designed to have mega basements.' A member of Mr Mukadam's development team said he was 'very conservative' so would 'take zero risks'. Heavily-armed police officers have discovered the bodies of two men inside a law office in Stuttgart after a man carrying a gun stormed the building and took one person hostage. The bodies, which were found with gunshot wounds inside the basement, are believed to be those of the gunman and a lawyer, German media reported, although police have not confirmed that information. A witness told police they heard gunfire coming from the office, located in a two-storey house in an affluent neighbourhood in the city in south-west Germany. Armed police officers surrounded a law firm in Stuttgart after a man was spotted entering with a gun Both men had suffered gunshot wounds, and the dead are believed to be the gunman and a lawyer, the German newspaper Bild reported. Around 100 police officers, including members of a special task force, surrounded the law firm while the gunman was barricaded inside. A police spokesperson told The Local that officers descended on the neighbourhood after a witness reported seeing a man entering the office with a gun in his hand. A witness sought help from a neighbour, who called police shortly after 1pm local time. Police sealed off a large area surrounding the law firm and closed two underground stations (file photo) Officers attempted to make contact with the armed man and one other person inside the office, located in the eastern part of Germany's sixth-largest city. By late afternoon police said they had not received a response from the people inside. A short time later they made the decision to enter the building. Reporter Sascha Baumann tweeted that the two men who were found dead were not killed by the officers who stormed the building. Before police raided the office, a spokesperson said: 'We are assuming that there are two people inside the house.' The situation was first described as 'an unclear threat' and later classified as a 'static situation', The Local reported. Police are now investigating the motive for the attack. As part of the large-scale police operation officers blocked access to the neighbourhood and closed several metro stations as a precaution. Last month, a masked man armed with a replica firearm and ammunition belt was shot dead by police after opening fire and taking several hostages at a cinema in the industrial town of Viernheim, around an hour north of Stuttgart. None of the moviegoers was injured in the incident, which came to an end when a special police unit stormed the building and freed the hostages. The former wife of Rodney King says that police brutality against African Americans has become even worse since her husband was violently beaten by a gang of cops 25 years ago - sparking deadly riots across Los Angeles. Speaking just days after the recent killing of two young black men by police, Dennetta King, 51, said she felt 'scared' for her family and African American men everywhere after hearing the news of their deaths. But she also appealed for calm in the wake of the Dallas shootings of five police officers, saying 'violence on violence solves nothing'. She said Rodney, who died in 2012, would also have encouraged people to remain calm if he was still alive - echoing the famous words he spoke in midst of the 1992 riots in which he called for people to 'get along'. Dennetta King, the former wife of Rodney King says that police brutality against African Americans has become even worse since her husband was violently beaten by a gang of cops 25 years ago Dennetta, the mother of Rodney's daughter Lora, said that she was devastated when she heard that Alton Sterling was shot dead by police in Baton Rough, Louisiana on Tuesday, followed by Philando Castile just a day later in Falcon Heights, Minnesota. In an exclusive interview with Daily Mail Online, she said: 'I felt so sad. It's just awful but it's also scary - I feel scared. 'I'm scared for my nephews, for my cousins, for everyone. I'm scared that the police can do this. 'Nothing has changed since Rodney's beating. 'In fact I think it has got worse because now they're shooting them instead of beating them. 'It hasn't got better at all, the communication between cops and people, everything has got worse. It's just sad. 'If Rodney were here he would be upset that after everything he went through nothing has changed. He'd be sad. 'We all have nephews and cousins and we're scared it's either the gang-bangers or the police. You fear for your people.' Dennetta revealed her daughter Lora was 'heartbroken' over the recent deaths and described how her family are forced to relive the difficult emotions they went through in the aftermath of Rodney's 1991 beating - every time there was an incident involving police brutality against black people. Poignantly she told how her nine-year-old granddaughter Jailin saw the news about this week's deaths on TV and said: 'That's like what happened to granddad isn't it?' Dennetta added: 'When I heard of the deaths of these two boys it brought back difficult memories. 'Every time something like this happens they seem to mention Rodney's name in it somewhere. 'I have to relive those old emotions again and again - we all do. 'It's hard for our daughter - she's sad, her heart is broke. 'She doesn't like the violence. She was in third grade when everything happened to her dad - it has affected her whole life.' Rodney King shows a bruise on his chest during a press conference at the Los Angeles County Jail in 1991. He suffered skull fractures, brain damage, broken bones and teeth and kidney failure Dennetta was Rodney's first wife but the couple separated just before his 1991 beating. They remained friends, however up until his death in 2012 - from accidental drowning as a result of taking drugs and alcohol. Rodney and Dennetta seen here together in 1996 'It's hard for our daughter - she's sad, her heart is broke,' says Dennetta. 'She doesn't like the violence. She was in third grade when everything happened to her dad - it has affected her whole life.' This photograph shows Rodney and Dennetta's daughter in 1983 Dennetta, who now lives in San Pedro, California, also condemned the shootings of 12 police officers following a Black Lives Matter protest in Dallas by lone gunman Micah Xavier Johnson on Thursday - which resulted in five deaths. She called for improved communication between the police and the communities they serve - rather than violence - and urged people to treat others fairly. 'Violence on violence solves nothing,' she said. 'It's down to communication people don't listen. 'Everyone is judging everyone else. Everybody has got an opinion on everybody else but they don't even know that person. 'I think that the community and the police need to have meetings and get together and really see how people feel. 'And police who are prejudice they shouldn't be cops - it's simple. They should get rid of them out of the police force. 'The ones who are crooked they need to get them out. 'And just be fair - treat people how you want to be treated. 'I don't know what makes one person think they are better than another person. I never got that.' Rodney King was almost beaten to death by four white LAPD officers in 1991 following a high speed car chase while he was drunk. The unarmed 25-year-old was Tasered, kicked and struck with batons multiple times by the four officers - leaving him with skull fractures, brain damage, broken bones and teeth and kidney failure. The beating was videotaped by a local resident George Holliday and sent shockwaves across the nation when it was released to the public. Donetta holding the Obituary booklet for Rodney from his funeral Although the four officers were charged with assault with a deadly weapon and the use of excessive force - all were acquitted of the charges. The shock acquittal in 1992 sparked deadly riots across Los Angeles in which 55 people were killed and more than 2,000 injured. Famously in the middle of the riots, Rodney appealed for calm - appearing on TV to say: 'People, I just want to say, you know, can we all get along? Can we get along? Can we stop making it horrible for the older people and the kids? It's just not right. It's not right. It's not going to change anything. We'll get our justice.' Dennetta said that if he were still alive, King would have made a similar appeal today. I think those police officers involved in these deaths should get the same justice that they would give to someone else. 'Yeah he would say the same thing now,' she said. 'He would want everyone to be calm and get along because it's even worse than when it happened to him. 'Rodney wanted the violence and the riots to stop at the time and I felt the same. 'There was no point. 'Violence isn't going to solve anything then or now. It creates more anger. It becomes never ending.' Dennetta is now hoping that history doesn't repeat itself and the officers involved in the two recent killings are brought to justice. She also wants to see police forces get rid of officers who are racist. 'I think those police officers involved in these deaths should get the same justice that they would give to someone else,' she said. A California National guardsman stands watch in front of a graffiti covered wall in Los Angeles on April 30, 1992, as two days of rioting tore through the area in the wake of the acquittal of the four Los Angeles police officers in the beating of motorist Rodney King. The graffiti reads 'For Rodney King We Love You' A car burns as looters take to the streets at the intersection of Florence and Normandie Avenues in April 1992. This intersection was considered the flashpoint of the Los Angeles riots 'If someone else did it they would get in trouble and go to jail - that should happen to police too. In fact they should get into more trouble because they have authority. 'They are supposed to be protecting us. 'There's racism on both sides but if someone's a cop they have more power over a regular person and that's not fair. 'They should not be allowed in the police force if they are racist. 'And their bosses know who's racist and who does what - they should be held accountable too because they're the boss and they allow this to happen. Something needs to change.' Dennetta was Rodney's first wife but the couple separated just before his 1991 beating. They remained friends, however up until his death in 2012 - from accidental drowning as a result of taking drugs and alcohol. Dennetta believes that the beating he suffered at the hands of the LAPD contributed to his death - and still affects his surviving family today. 'He never got over the beating,' she said. 'None of us have.' 'Nobody who knew him and loved him has got over the beating. 'With the pain he suffered mental and physical, no, he was never healed from that. And his kids are not either, even now. 'Sometimes people will be talking and saying stuff about him in front of the kids and they don't even know that it's their father and they make fun of him on TV and stuff. That's hard. 'Nobody thinks about the effect on the kids and the grandkids. 'Lora had a hard time at school, she couldn't really focus - it was a lot to take in for a child. 'It hurt me too, it was sad. I was thinking about the kids and how to be strong for the kids. I felt helpless - there was nothing really I could do. 'He became this poster boy for this struggle against police brutality but he didn't want to be that. 'Every time he did something they put it on the news. 'They watched everything he did, he was under the spotlight - he struggled with that.' ISIS overran the city last year, turning it into a public execution hotbed Seven bodies found in mass grave on farmland in the west of the city Three prisoners said to have been found in 'dire humanitarian conditions' The discoveries were made in the Lybian city of Sirte, an ISIS stronghold A mass grave and secret prison used to abuse hostages captured by Islamic State has been unearthed in Lybia. The discoveries were made during an ongoing battle between troops and ISIS militants in the city of Sirte as the fight for liberation continues. Seven bodies were found buried in the grave that was discovered in a farm west of the city, while three prisoners - in dire condition after months of captivity - were saved when security forces came across a secret prison in another town after it was re-captured from the militants. Forces loyal to Libya's UN-backed unity government are seen here during clashes with jihadists of the Islamic State on the western outskirts of Sirte where the mass grave and secret prison were found The Libyan coastal town of Sirte, home town and last stand of Col Muammar Gadaffi, has been destroyed in the fighting Having claimed Sirte as one of its strongholds last spring, the battle to cleanse the city of IS militants has continued to progress through government forces The prisoners had been in the secret prison for several months and were found in dire humanitarian conditions, an anonymous source told The New Arab. Having claimed Sirte as one of its strongholds last spring, the battle to cleanse the city of IS militants has continued to progress through government forces. Last week, fighters allied to the Government of National Accord encircled IS in the Ouagadougou conference centre a huge building the group has made as its headquarters. "Fighting is ongoing around the presidential palaces close to the port and at the Ouagadougou conference centre," the spokesman for the anti-IS operation, Mohammad al-Ghasry, told The New Arab. "Ground troops are working in conjunction with snipers, while artillery and the air force are bombarding the conference centre and the neighbouring district. We have almost taken back the whole of Sirte," he added. Soldiers prepare to fight in Sirte (file image) - ISIS took control of Sirte last Spring - the capital of the terrorist group's three self-styled Libyan provinces Since ISIS overran the city last year, nearly 200 anti-terror fighters have been killed and more than 600 have been injured. The Islamist terror group took control of Sirte last Spring - the capital of the terrorist group's three self-styled Libyan provinces. While many civilians have fled, the number of fighters in the area has escalated from 3,000 to as many as 6,500 in just over three months, according to the UN and the Pentagon. It's said the recruits come via the desert road from Khartoum but only 30 per cent are Libyans. The rest are Arabs as well as men Chad, Niger, France and India. Initially when ISIS tightened their grip on the region in 2015 they left the locals to their own devices and kept their distance. Public killings have become a regular occurrence, with the dead - either shot or beheaded - strung up, or left to hang on ropes from beneath a bridge on the south side of Sirte New legislation banned smoking and music, closed women's cosmetic shops, made the face-covering niqab compulsory for women and taxes were enforced across a wide range of businesses such as property rental and farming. But while people were flogged or fined, executions were uncommon. However in autumn last year more oppressive enforcement began following the arrival of an Isis leadership cadre from Iraq and jihadists confronted leaders from the citys Salafi community and hostile members of the local al-Furjan tribe. Public killings have become a regular occurrence, with the dead - either shot or beheaded - strung up, or left to hang on ropes from beneath a bridge on the south side of Sirte. Many families suffer the same woe when they are prevented from collecting the body of their murdered relative. Police are continuing to search for the body of a pregnant 16-year-old girl, as a 60-year-old bush mechanic charged with her murder will again face court a year after her disappearance. Tiffany Taylor, 16 years old and 20 weeks pregnant, vanished after getting into a 1995 champagne-coloured Hyundai Excel sedan with her accused murderer, Rodney Wayne Williams, police allege. Police allege that she had agreed to meet with Williams on an online dating site, where she allegedly offered sexual services in exchange for money. He allegedly offered her $500 for sex. Police are continuing to search for the body of pregnant teenager Tiffany Taylor, 16, who went missing on July 12, 2015, and was last seen getting into a car with her accused murderer in Waterford West, south of Brisbane Rodney Wayne Williams, 60, was charged with her murder and is next set to appear in court on August 24. Police allege he organised to meet up with Ms Taylor on an online dating site and offered her $500 for sex Police allege Tiffany Taylor disappeared from the Waterford Motor Inn (pictured) where she had been staying with her boyfriend Tiffany's elder sister Chloe and mother Leanne Dillon (both pictured) have repeatedly appealed to the public for help in locating the missing teenage Detective Acting Inspector Mick Thiesfield told The Courier-Mail that police had continued with their investigation after Williams was charged with murder, including the search for the teen's body. Ms Taylor had been living with her 41-year-old boyfriend at a motel in Logan, half an hour's drive south of Brisbane, when she first went missing just before midday on July 12 last year . She had reportedly been in a relationship with her older boyfriend for four years, although he insists the relationship only became sexual when she turned 16. 'As important as it is for the police, it's probably more important for the family with respect to any person out there who may know any information in relation to where the body may be,' Inspector Thiesfield told The Courier-Mail. 'It would be very important to them that the body be recovered.' Since Tiffany's disappearance last year, her elder sister Chloe and mother Leanne Dillon have repeatedly appealed to the public for help in locating the missing teenager. Tiffany Taylor had reportedly been in a relationship with her 41-year-old boyfriend for four years 'It's heart-breaking,' Chloe Taylor said in a press conference at Logan Police Station in August 2015, describing her missing sister as 'well loved' and a 'good girl' who was 'family oriented'. 'I believe she's out there somewhere and someone knows something ... I still hold that hope, I know she's fine, she's okay.' The latest update on the 'Where is Tiffany Taylor' Facebook page, believed to be run by Chloe Taylor, was on October 17, 2015, and reads as a desperate plea for Tiffany to return home. 'This is your big sister chloe. Your 17th birthday is on Monday and we cannot bare the thought of celebrating it without you. Please come home,' the post reads. Williams, who has been charged with one count of murder, is set to appear in court on August 24. A young Sydney man who made the fateful decision to drop by a pub on his way home was murdered after cheering on his killer's opponent in a game of pool, prosecutors say. Michael Allen Meakin has pleaded not guilty to the charge of murdering Nicholas McEvoy, 30, in a hit-and-run killing on Richmond Road, Dean Park, in the early hours of February 21, 2014. Meakins lawyers say he hit the 30-year-old by accident while on a drunken trip to McDonald's. Crown prosecutor Pat Barrett told jurors on Monday, the opening day of Meakin's NSW Supreme Court trial, that both men had been at the nearby Plumpton Hotel together on the night of February 20, and were both ejected by a bouncer around midnight. Scroll down for video Michael Meakin (pictured) stands accused of intentionally hitting Nicholas McEvoy with his car, and killing him Nicholas McEvoy (pictured) and Meakin had gotten into an argument over a game of pool before they were both kicked out about midnight A passing motorist spotted Mr McEvoy's body by the side of Richmond Road about 10 minutes past midnight, the prosecutor said. Mr Barrett said Meakin had been at the pub from 2.15pm onwards on February 20 and had bought 21 schooners of full-strength beer, and the trial is expected to hear expert evidence that at the time Meakin is said to have ploughed his Toyota van into Mr McEvoy he had so much alcohol in his system he would have blown about 0.313 in a breathalyser test. About 11pm, Meakin is said to have been playing a game of pool with another man, Matthew Henshaw. 'Nicholas McEvoy who was present at that time had been giving advice and instructions to Mr Henshaw about how to play, and had become loud and annoying towards the accused, because it seemed he was encouraging and supporting Mr Henshaw,' Mr Barrett said. A passing driver found Mr McEvoy's body by the side of Richmond Road in Dean Park (pictured) Meakin admits to hitting the man, but maintains it was not intentional. An expert is expected to reveal Meakin's blood alcohol level at the time would have been about 0.313. The legal limit is 0.05 Words were exchanged between Mr McEvoy and Meakin and a security guard asked both men to leave. 'As he was being escorted out, Mr McEvoy said words to the effect of a challenge to the accused, suggesting to the accused that he, Mr McEvoy, would `smash him',' the prosecutor said. It is alleged Meakin then got into his van and pursued Mr McEvoy, 'deliberately and intentionally' running him over. Meakin's defence barrister, Grant Brady SC, told the trial Mr McEvoy's death was simply a tragic accident. Meakin's trial continues at the NSW Supreme Court before Justice David Davies Mr McEvoy's family appeared upset as they arrived at court for Meakin's hearing on Monday Although Meakin does not deny hitting Mr McEvoy with his van, Mr Brady said he did so during a 'drunken Macca's run' and in fact had no idea that the man he had hit was the man with whom he had got into an argument earlier in the night until after he handed himself in to police. While the Crown alleges Mr McEvoy was on the grass verge of Richmond Road at the time he was hit, Mr Brady said jurors would hear evidence that Mr McEvoy had stepped or stumbled onto the roadway and straight into the path of Meakin's van. 'It was impossible to avoid him,' Mr Brady said. 'Mr Meakin didn't intentionally drive at the deceased. This was an accident. And the fact that it was the deceased, and he'd been involved in a confrontation with Mr Meakin at the pub, was and is just a coincidence.' The trial continues before Justice David Davies. Tragic schoolgirl Alice Gross wrote an essay in support of free movement within the EU before she is believed to have been killed by a convicted Latvian murder, it has been revealed. Alice wrote about the benefits of European migration and discussed the push to keep foreign criminals out of Britain, saying such a move could 'reintroduce the idea of racism'. Just three months later, the 14-year-old is thought to have been killed by Arnis Zalkalns, who was able to 'come and go' across the UK border despite a conviction for stabbing his wife to death in his homeland. Tragic schoolgirl Alice Gross, pictured right, wrote an essay in support of free movement within the EU before she is believed to have been killed by convicted Latvian murder Arnis Zalkalns, pictured left The essay was released by Alice's parents, Rosalind Hodgkiss and Jose Gross, and seen by the Guardian. The schoolgirl wrote: 'Personally, I believe that the United Kingdom should remain a member of the EU as it allows our country to be considered a communal and friendly country. Addressing Ukip's call to have foreign criminals banned from Britain, she wrote: 'I believe that this takes away the concept of equality amongst the community by implying that criminals dont deserve the same rights everyone else has. 'It also depicts Britain to believe foreign criminals are different and dangerous compared to the British criminals, reintroducing the idea of racism.' In an interview with the newspaper, the couple said they had decided to share their daughter's words because they had been alarmed at how Alice had been used by anti-immigration groups and Brexiteers to further their arguments. An inquest into Alice's death was told how Zalkalns, a father of two, arrived in Britain in 2007. Alice's parents Jose Gross and Rosalind Hodgkiss shared their daughter's words because they had been alarmed at how Alice had been used by anti-immigration groups and Brexiteers to further their arguments. Pictured, the couple outside court following the conclusion to the inquest into Alice's death He had only just been released from prison for murdering his wife Rudite - who he stabbed to death in remote woodlands and buried in a shallow grave - but went unchecked. During the inquest, the Home Office policy chief for criminal records admitted that it had not been policy to monitor every entrant into the UK at the time Zalkalns arrived. ALICE'S THOUGHTFUL WORDS ON IMMIGRATION AND THE EU The essay was released by Alice's parents, Rosalind Hodgkiss and Jose Gross, and seen by the Guardian. The schoolgirl wrote: 'Personally, I believe that the United Kingdom should remain a member of the EU as it allows our country to be considered a communal and friendly country. Addressing Ukip's call to have foreign criminals banned from Britain, she wrote: 'I believe that this takes away the concept of equality amongst the community by implying that criminals dont deserve the same rights everyone else has. 'It also depicts Britain to believe foreign criminals are different and dangerous compared to the British criminals, reintroducing the idea of racism.' Advertisement David Cheesman also admitted that, even if checks were carried out, they would not have been thorough enough to unearth Zalkalns' prison sentence. The builder also had a string of other convictions, including for firearms offences, sexual assault and spreading a sexual disease. Mr Cheesman said criminals are only put on a 'watch list' if convictions are reported to Interpol. He said: 'If we are not given that information under the current system it isn't until the first time he comes into the custody suite, however minor the offence, that the check will be made.' Two years after his arrival, he was arrested when a 14-year-old said he indecently assaulted her in Brentford in 2009. She refused to give evidence and police failed to check his convictions in Latvia. He was released without charge. But the hearing was told that, had they have performed the Association of Criminal Records Office (ACRO) check, officers would have uncovered his murderous past. Instead, he was left him free to pounce on Alice in a suspected opportunistic sexual assault which led to the biggest search operation by the Met Police since the 7/7 bombings. Speaking after the hearing, Alice's parents called for 'targeted reform' of the system for exchanging information about high-risk offenders across Europe, after the inquest exposed serious inadequacies. Pictured the couple with daughter Nina outside the inquest Alice's body was found in the River Brent on September 30 2014, after she disappeared from her home in Hanwell, west London. Zalkalns was discovered hanged in a park on October 4 and police said he would have been charged with Alice's murder had he been alive. Alice Gross defended free-movement within the European Union After the jury returned with a conclusion of unlawful killing, coroner Dr Fiona Wilcox read out a list of recommendations she is likely to make to the Home Office following the case. They include the mandatory checking of foreign nationals after they are arrested by British police forces. Speaking after the hearing, Alice's parents called for 'targeted reform' of the system for exchanging information about high-risk offenders across Europe, after the inquest exposed serious inadequacies. Mr Gross stressed that is family was in favour of freedom of movement, saying: 'We do not believe that any citizen deserves to be treated differently based on their race or nationality.' But he added: 'Our only concern has been to ensure that there are fair and proportionate rules governing the movement of serious criminals within Europe, whether that is a Latvian coming to the UK or a dangerous UK citizen travelling abroad. 'We believe that had such measures been in place, Alice's death might have been prevented.' Mr Gross added: It has taken us almost two years to get to this point. We are pleased the coroner is likely to make a series of recommendations to the Home Office to reflect our concerns. Alice's mother Ms Hodgkiss said: 'I still find it almost impossible to believe that our lovely daughter has been so brutally taken from us. A 16-year-old boy has been charged with the murder of an 18-year-old girl, who was found in an Boston garage having been repeatedly beaten with a blunt instrument and stabbed. Jose Alejandro Hernandez, from East Boston, was charged as an adult on Sunday in connection with the death of Blanca Lainez, from El Salvador, who was found dead by construction workers on the morning of June 15. Lainez's sister, Dora Merino, 39, expressed her relief at the arrest. Jose Alejandro Hernandez, from East Boston, was charged as an adult on Sunday in connection with the death of Blanca Lainez (pictured), from El Salvador, who was found dead by construction workers on the morning of June 15 She told the Boston Herald: 'I'm satisfied because it shows that police are doing their jobs and now no one else can be harmed.' She explained that her sister had come to the US 'for a better life'. Police Commissioner William Evans said that Lainez (pictured) was 'in the prime of her life' A neighbour of Hernandez's, Jose Reyes, 46, registered his surprise at the charge. He told the Herald: 'I'm surprised. He didn't look like that type of kid. But we have to let the justice system play out.' Lainez, who died just days before she turned 19, was found in blood-stained clothes by construction workers behind a building they were renovating on Princeton Street. Police Commissioner William Evans said that Lainez was 'in the prime of her life' when she was 'senselessly' killed. He added: 'This arrest is evidence of our commitment to justice for the victims and survivors of homicide. I want to commend the efforts of my detectives and all those who worked tirelessly during this investigation. I pray that this arrest brings some level of comfort to the family.' District Attorney Daniel Conley praised the work of investigators involved in the case, but appealed to the public for their continued assistance moving forward. He said: 'This arrest reflects a nonstop investigation into a crime of brutal violence. But that investigation is still very active, and we urge anyone with additional information to share it with police and prosecutors. Every tip, however small, brings us closer to finding justice for Blanca and her loved ones.' Authorities suspect he may have been pledging support to Black Riders group who use initials RBG for the colors in the Pan-African flag He was shot he used his own blood to scrawl the initials R.B.' on the wall Johnson open fire at a Dallas protest killing five cops and injuring seven Continued to attend protests but was viewed as a 'loner and sympathizer' The 25-year-old was labelled 'unfit for recruitment' and was blacklisted But a background check revealed he'd been sent home from Afghanistan in disgrace after he was accused of stealing panties Micah Johnson tried to join a black liberation group after leaving the Army Dallas shooter Micah Johnson had been rejected from joining black nationalist groups in 2014 after a background check found him 'unfit for recruitment'. Two years before the 25-year-old gunned down 12 police officers at a peaceful protest in Dallas, killing five, Johnson unsuccessfully tried to join the black power movement, Daily Beast reports. The veteran, who had just been sent home from Afghanistan is disgrace for stealing women's panties in 2014, applied to an unnamed black militant group which believes in armed resistance against white people. Scroll down for video Dallas shooter Micah Johnson had been rejected from joining black nationalist groups in 2014 after a background check found him 'unfit for recruitment' As part of the application process, Ken Moore of the Collective Black People's Movement (CBPM) was asked to do some digging in Johnson's past for the unnamed black liberation organization. But when he discovered he had been sent home in disgrace for sexual harassment, he said Johnson was 'unfit for recruitment.' The label not only barred Johnson from applying to that group, but effectively blacklisted him from all similar black nationalist organisations such as People's New Black Panther Party and the Black Riders Liberation Party. 'Once you're blacklisted by the alert that we put out, that's a wrap,' Moore told The Daily Beast. That didn't stop Johnson from attending public protests in Dallas, according to local activists. However, he was always seen more of 'a loner, a sympathizer,' than a true member. 'He was already ostracized [by the blacklisting],' Moore said, who believes Johnson may have had a 'psychological break' after white cops killed black men, Alton Sterling, in Baton Rouge, Louisiana and Philando Castile, in Falcon Heights, Minnesota last week. On Thursday, Johnson clad himself in tactical gear and armed himself with a high powered rifle before shooting dead five police officers at a Black Lives Matters protest in honor of Castile and Sterling. Authorities suspect the veteran may have used his dying moments to scrawl his allegiance to the Black Riders Liberation Party (pictured) Members of the group who use the letters RBG to stand for the red, black and green colors of the Pan-African flag He told law enforcement he wanted to kill white people, 'especially white cops' in retaliation against the shooting deaths of the two black men. He was finally killed when police sent a robot carrying an explosive into the garage where he was hauled up. Police discovered the letters 'R.B.' had been scrawled across the wall in Johnson's own blood. Authorities suspect the veteran may have used his dying moments to scrawl his allegiance to the Black Riders Liberation Party - a group which never let him join - who use the letters RBG to stand for the red, black and green colors of the Pan-African flag. Before the demonstration, Black Riders leader Lakesia Washington posted on the group's Facebook page that she intended to see 'that our racist oppressors also get a taste of death!' In an apparent call to arms, Washington said that now was the time to 'intensify our struggle'. 'We assert the right of self-defense by whatever means necessary, and reserve the right of maximum retaliation against our racist oppressors, no matter what the odds against us are. 'From here on in, if we must die anyway, we will die fighting back and we will not die alone! We intend to see that our racist oppressors also get a taste of death! 'We will protect the Black Community with our minds, bodies, and souls. BLACK POWER! All Power to the People! Black Riders Number One Rule.' They have since posted a message in tribute to the shooter, writing: 'R.I.P. Micah...X...Johnson !!!... RBG 4 LIFE!' While another member added: 'RIP, you represented the few brave African soldiers.' However, Dallas Police Chief David O. Brown said Johnson told negotiators before he was killed that he was acting alone and was unaffiliated with any group. A friend of Johnson, who was in the same 420th Engineer Brigade with the American Army private, said he had noticed a change in the veteran after he was sent home amid the pantie-stealing allegations in June 2014. Johnson's Facebook account also included information about Richard Griffin (left), also known as Professor Griff, who 'embraces a radical form of Afrocentrism.' On his Facebook page, Griffin, a member of Public Enemy - said he does 'not advocate killing Cops' Dallas gunman Micah Johnson (left and right while in the Army) was sent home from Afghanistan after he was accused of sexual harassment 'When he came back from Afghanistan, he got in touch with some bad folks and went all Black Panther,' a friend in the 420th Engineer Brigade, who asked to remain anonymous, told Fox News. 'He did have some anger issues but never said he would hurt anyone. His shots were terrible. 'He was absolutely normal, a really good friend. We lost touch once he deployed to Afghanistan and I stayed back. I don't really know how or why it got to the point it did.' Mere weeks after his return from Afghanistan, the country was rocked by the shocking footage Eric Garner being choked to death by New York cops. While in August that same year, unarmed black teen Michael Brown was shot to death by a cop in Ferguson, Missouri - prompting mass protests. After his military career, Johnson worked as a driver for a group providing hospital transport for mentally disabled people. But privately, his festering hatred of white people had begun. On Facebook, he identified himself as a 'black nationalist' and gave a black power salute. He 'liked' several pages including the New Black Panther Party, the African American Defense League and the Nation of Islam. He attended a gym called Academy of Combat Warrior Acts, which teaches martial arts and weapons classes. The African American Defense League, had also posted a message earlier in the week encouraging violence against police in response to the killing in Louisiana. 'The Pig has shot and killed Alton Sterling in Baton Rouge, Louisiana! You and I know what we must do and I don't mean marching, making a lot of noise, or attending conventions. We must 'Rally The Troops!' It is time to visit Louisiana and hold a barbeque.' Johnson fatally shot five officers and wounded seven more before police killed him with a remote-controlled bomb in early hours of Friday. Above, police respond after shots were fired at the protest The assault in Dallas took place on Thursday night during a protest over the fatal police shootings this week of two black men, Philando Castile (left) in Minnesota and Alton Sterling (right) in Louisiana The message was attributed to Dr. Mauricelm-Lei Millere, a leader in the organization. In the disjointed post from July 2, Johnson expressed anger over lynchings of black people and 'our ancestors' being beaten, mutilated and killed. 'Then they all stand around and smile while their picture is taken with a hung, burned and brutalized black person,' he wrote. 'They even go to our homeland and shoot our endangered wildlife for sport.' 'Why do so many whites (not all) enjoy killing and participating in the death of innocent beings,' he wrote on Saturday above a video of what appeared to be people participating in a whale-killing. And another group Johnson 'liked' was the New Black Panther Party, whose leaders have 'long expressed virulently anti-white and anti-Semitic opinions,' according to the Southern Poverty Law Center. Johnson also 'liked' the Nation of Islam, which the center described as a 'hate group.' Nation of Islam mosque security declined to comment on Johnson's alleged membership when asked by the Daily Beast. Among Johnson's other social media likes were groups that focus on the history and accomplishments of African-Americans, such as Black Love Matters, the Nubian Rootz Cultural Center, and I Love Black Archaeologist, a web series whose main character uses a time machine to visit famous black people. The Dallas Police Department said Johnson's Facebook account also included information about Richard Griffin, also known as Professor Griff, who 'embraces a radical form of Afrocentrism.' On his Facebook page Friday afternoon, Griffin, a member of Public Enemy an influential hip hop group known for its politically charged lyrics said he does 'not advocate killing Cops.' Police raided Johnson's home on Friday and found a cache of guns, a hand-written journal listing 'combat tactics', body armor and bomb-making equipment. Authorities believe he may have been planning a much bigger attack but realized that Thursday's march would have several police targets in one space. Moore claims he still doesn't know which organization asked him to investigate Johnson although he said that the request came from Oakland, California, where the Black Riders has a chapter. Washington did not respond to the DailyMail.com's request for a comment. Huey P. Newton Gun Club, which advocates minorities take advantage of their right to bear arms, would not confirm or deny if Johnson was a member. However, a member at the club said Johnson was well known to other members. Notes and flowers decorate a squad car at a makeshift memorial in front of the Dallas police department after five police officers were killed in the shooting on Thursday night Friend Israel Cooper was shocked by the shooting, describing Johnson, who went by middle name 'Xavier,' as 'quiet', with a 'cool vibe' and not particularly political. 'I was in disbelief because he's just not like a violent or rough dude,' Copper added. 'So I was, 'Nah, it's probably another Xavier somewhere, you know,'' Cooper said. 'But then, with pictures on the internet and stuff, I'm like 'OK.' ' Cooper added: 'It's the quiet ones that just do the most devastating stuff. You never see it coming. But then it's more expected, like 'I should have known.'' The assault, the deadliest for law enforcement in the United States since the September 11, 2001, attacks, took place on Thursday night during a protest over the fatal police shootings this week of two black men in Louisiana and Minnesota. Alton Sterling, 37, was killed in Baton Rouge on Tuesday after he scuffled with two white police officers outside a convenience store. A day later, a Minnesota police officer shot another black man Philando Castile during a routine traffic stop in a St. Paul suburb. While Denise Brew, Dunn County's emergency manager reported that Killdeer looked like a war zone Monday morning, Billings County Sheriff Pat Rummel noted broken windows, stripped trees and downed power lines as the area north of Fairfield was hit by 3-inch hail and wind at about 3 p.m. Sunday. The storm intensified as it continued 25 miles northeast to Killdeer, according to Rummel. Medora took on heavy rain that mixed with mud from surrounding hillsides at about 5 p.m. Streets temporarily flooded as water threatened the doorways of some businesses. The campground west of Medora remained closed Monday. In Killdeer, 3-inch hail, paired with 60 to 75 mph winds, knocked out windows and broke siding off of homes Sunday night. Hill Top Home of Comfort nursing home sustained extensive damage, and residents were relocated to different areas of the building. Its devastating but what people need to remember is we can rebuild and replace windows, Brew said. There was also a large amount of crop damage in the area. A corn field near town was completely shredded, according to Janet Wanek with North Dakota State University Extension Service in Dunn County. I havent ever seen bale wraps not stand up to hail like that; they were demolished, said Wanek, noting that, with the dry weather, the hay crop was already producing one-third of the typical number of bales. The local insurance agent is asking farmers to process claims online because the 1-800 phone number has long wait times. Theres a lot of destruction, Wanek said. On Monday, new storms developed across the state for the third day in a row. The dangers of bull running are well documented and every year up to 10 people die in the sport. In this shocking video an elderly man is lucky to come out from a nasty goring alive, although the OAP is now seriously injured in hospital after he rescued another runner. The footage shows the second day of the 'running of the bulls' San Fermin festival in Pamplona, where the man risks his life to save a woman after the huge beast charges towards her. Look out! A bull heads towards a blonde-haired woman during the infamous Pamplona festival in Spain She is flung to the ground winded before the man, identified as a 73-year-old South African, and who many are exalting as a 'hero', jumps on top of her to save her from a goring. For his efforts the bull tosses him into the air and leaves him gasping on the ground before other participants drag the animal away by the horns. Scroll down for video One of the men who helped was the woman's 53-year-old American husband, according to police. The elderly man suffered an open wound to his abdomen and was rushed to hospital. During the same run 13 other participants were hospitalised, including a 35-year-old Briton. Saviour: A runner stands in the way of the beast and tries to stop it from goring the woman now on the ground (left). A man who jumped on top of her is then flung into the air (right) The runner, reported to be a 73-year-old South African, is flung into the air and gored in his abdomen And the video has been released in the wake of the tragic death of 29-year-old Victor Barrio, the first matador to die in the bullring since the turn of the century. Yet deaths from the bull runs happen every year as amateur tourists risk life and limb throughout towns and villages across Spain. In the San Fermin festival alone 16 people have died since 1910. Startled tourists, including the woman's husband, grab the bull by the horns and drag it back out to the road The elderly man pushes the beast away. He was then rushed to hospital and is now seriously injured Although internationally there is often a lack of sympathy for the injured participants of bull runs, on social media people have praised the South African for risking his life to save another. There will be a changing of the guard at Downing Street this week as one Prime Minister makes way for another. But beyond the political ramifications of David Cameron's hand over of power to Theresa May, the PM's wife now faces leaving the home where she and her family have lived for six years. The couple moved into the house behind Britain's most famous front door when Mr Cameron became Prime Minister following the May 2010 General Election, which forced the Tories into coalition with Nick Clegg's Lib Dems. The Camerons moved into Downing Street in 2010 after the Tories were elected as part of a coalition The couple then had two children and Samantha was pregnant and the move from their Notting Hill home was an upheaval Mr Cameron was welcomed into Downing Street by staff on the night on May 11 amid political uncertainty But he formed a coalition with Nick Clegg's Lib Dems, with the pair giving a famous conference in the garden The family spent two weeks packing up their things before one final emotional breakfast at their Notting Hill home and then moved into Whitehall. The move was a huge change for the couple, from the trendy, upper-class area of west London where they had lived alongside the so-called 'Notting Hill set', to the very centre of the capital, firmly within the much maligned 'Westminster bubble'. When the Camerons moved in, they brought with them two children Nancy Gwen, then-six, and Arthur Elwen, then-four. David Cameron said at the time: 'We did things slowly because we wanted to just take it in stages.' He said the children were sleeping okay after the move, adding: 'They are quite excited about the move. We are just trying to take it slowly.' Samantha later revealed she was 'terrified of the impact [the move] was going to have' on the children' as well as her marriage and family life. But she said in 2015: 'It's been much easier than I expected it to be, I go to the same office, the children go to the same school. There's a lot of our life that hasn't changed'. With his premiership still in its infancy, the Camerons welcomed their daughter Florence to the home in 2010 Florence was born weeks before she was expected, speeding up the family's move next door to Number 11 Samantha has hosted many receptions at the home, including this breakfast for working mothers She is pictured here in 2014 with local schoolchildren from Westminster and their Christmas jumpers The family moved in just over a year after their son Ivan, who had cerebral palsy and epilepsy, died aged just six. Mrs Cameron, now 45, has said the pain of losing her oldest son will never leave her and the grief was still raw when the family moved across town. But the couple experienced joy just months after they moved into Downing Street, when Samantha gave birth to their second daughter, Florence Rose Endellion during a family holiday in Cornwall. Florence was brought back to Downing Street a few days later and Samantha admitted the little girl was keeping them awake. When they first arrived at Downing Street, the couple moved into the top floor Georgian flat above Number 10. But at the time of Florence's birth, they were planning to take up residence in the more spacious flat above 11 Downing Street. The early arrival of their new baby forced the family to move next door sooner than they had planned. Mrs Cameron has welcomed world leaders and their wives to her home, pictured here with Michelle Obama The Obamas first came to Downing Street in May 2011, a year after Mr Cameron was voted in as leader The two leaders posed for a cringe-worthy barbecue, while their 'first ladies' met servicemen and women Samantha helped redesign the kitchen in Number 11, though was criticised by some for her expensive taste Cherie Blair had previously admitted that when she saw the kitchen at No 11, her heart sank because it was so outdated and Samantha wasted no time in creating her own impression on the flat, with reports suggesting they had spent more than 600,000 making it look more modern in the Scandanavian, 'minimalist' style said to be favoured by the PM's wife. Photos which later emerged of the interior showed 25,000 kitchen with a 1,615 Flos Arco floor lamp, a 799 hood cooker by Sigma and a 250 Hemnes black wooden dresser from IKEA. The upgrades made the home much more comfortable - as well as photogenic - when the Camerons hosted the great and good, with the Obamas among many guests over their six-year tenure of the building. A glimpse inside the flat when the US First Lady arrived in 2011 showed the Camerons had also installed a 500 coffee machine, a 299 Magimix food processor and a smart 3,799 Britannia Sigma range oven. After 2015, David Cameron managed to get rid of a rather unwanted tenant, when his party's unexpected majority in the General Election meant he could jettison Nick Clegg as a political ally. Samantha got used to being hostess. The Camerons with The Queen and The Duke of Edinburgh in June 2011 Mrs Cameron has seen many glamorous guests grace her halls, including Carla Bruni-Sarkozy wife of former French President Nicolas Sarkozy (left) and model Claudia Schiffer (right) Samantha Cameron made a swift return to Downing Street on a scooter in a snow flurry last year Samantha shares a joke with Barbara Windsor during the wedding of the Duke and Duchess of Cambridge Amid fears of a hung parliament in the days before the election, there were reports that the family had been warned they may have to leave the property while a new government was formed. But Cameron's election win - which he called his 'sweetest victory' - meant the couple were again pictured outside the front door of the property in May last year. With a strong majority and Labour in disarray, the couple must have been expecting another five years in the building they now called their home. But, Europe, the issue which had long rumbled on in the background during Cameron's time as PM, has unseated him as leader and he resigned the day after Britain voted against his pleas to stay in the EU. The Prime Minister looked tired and downhearted as he walked out of No 10 on June 24 and made it clear he intended to stand down as soon as a replacement was found. Samantha looked on with tears in her eyes as her husband's voice cracked with emotion as he announced his departure. After the couple returned to the home after the 2015 election (right), Mrs Cameron now has to prepare to leave the property just a year later The emotion showed for both of them as Mr Cameron announced he would stand down as PM last month Afterwards he grabbed Samantha's hand and refused to stop for questions as he filed back through the famous black door. The couple still have a 1.3million cottage in his Oxfordshire constituency and the 3.5million Notting Hill townhouse they left six years ago. It is believed they have been renting out since they moved out and may not be able to move back in until their tenants leave. A donut shop has come under fire after closing down and posting a message on its Facebook page that blamed homeless people for ruining the business. Propaganda Doughnuts, in Grand Rapids, Michigan, said that it was 'saddened to close' having been unable to 'overcome the problem of the homeless and disadvantaged people who now frequent the street in front of our location'. The statement drew stinging criticism from some, with one person writing on its Facebook page that it 'deserves to go bankrupt'. Scroll down for video Propaganda Doughnuts, in Grand Rapids, Michigan, has stated online that it was 'saddened to close' The shop has said it has been unable to 'overcome the problem of homeless and disadvantaged people who now frequent the street in front of our location' Propaganda Doughnuts complained that customers at its South Division Avenue location were continually harassed by people who were 'intoxicated'. Its post, which has now been taken down, said: 'Thank you to everyone who supported us the past two-and-a-half years. 'Unfortunately, we were unable to find answers and overcome the problem of the increasing amount of homeless and disadvantaged people who now frequent the street in front of our location. It was too much to ask our customers to accept being harassed and approached by panhandlers. 'Customers were also having to walk past intoxicated and passed out people on the sidewalks and in the doorways. 'Some customers were approached and pandhandled even before getting out of their vehicles. The street is also having an increasing problem of the doorways and other areas being used as sleeping areas and bathrooms.' Propaganda Doughnuts complained that customers at its South Division Avenue location were continually harassed by people who were 'intoxicated' The store said that it had tried 'various solutions'. These included closing in the afternoons and evenings 'when the homeless move from the east side of Division to the west side to stay out of the sun'. But it said that it eventually gave up and was 'unable to maintain a successful food establishment on the 100 block of South Division'. The post has been heavily criticised. Michelle Totoris wrote on its Facebook page: 'To blame the closure of your business on the problem of homelessness is a bad business practice. Y'll deserve to go backrupt.' One Facebook user wrote that Propaganda Doughnuts 'deserved to go bankrupt' This Facebook user defended the doughnut shop, writing that shopkeepers should not have to deal with homeless people bugging their customers And on a Reddit thread about the closure, user bootstraps_bootstraps called the owners 'fools', adding that he'd never see any homeless people at the front of the shop. However, the shop was defended by some. Jasmin Delongchamp wrote on its Facebook page: 'I worked with the homeless population and not all of them are drug addicts and alcoholics, but I feel that people who want to run a nice business should not have to deal with homeless people bugging their customers.' Advertisement The fiance of missing childrens author Helen Bailey was released on bail last night after being arrested on suspicion of her murder. Police arrested Ian Stewart, 55, on Monday on suspicion of killing his partner, disposing of her body and stealing her money. The computer software expert, who has two sons, is thought to have travelled to Majorca on holiday at the beginning of June a trip which was booked for himself and Miss Bailey before she vanished three months ago. Officers have also confirmed that money belonging to Miss Bailey was taken from her account after she vanished on April 11. Last night police, were still searching the 1.2million Hertfordshire mansion the couple shared, where police were heard drilling in the garden, working on the patio and by the swimming pool. Tragedy: Ian Stewart, 55, has been bailed after being arrested on suspicion of murdering his fiancee, author Helen Bailey, who has been missing for more than three months. The pair are pictured together Probe: Police are today working in the large garden of Helen Bailey's mansion in Royston with forensics officers working working under trees in the top right of the picture, by the swimming pool at the bottom of the picture and on a patio, top left Works: A 55-year-old man from the town has been arrested and at Ms Bailey's home two tent sit over the patio Investigation: These officers were looking at an area by the mansion's large conservatory while another officer was seen photographing the area by the swimming pool Probe: Forensics specialists have been working in the house and in its large garden today (pictured) Investigation: Police officers carry out their investigation inside the 1.2million home of author Helen Bailey. Neighbours said they heard drilling yesterday A second team also searched the authors holiday home in Broadstairs, Kent, where officers were seen removing an evidence bag. Police say no body has been found in the hunt for Miss Bailey. After being quizzed for more than 24 hours, Mr Stewart was released on police bail last night. Friends and relatives said they were shocked by his arrest. The couple met through a Facebook bereavement group in 2011, which Mr Stewart joined after his wife Diane died following an epileptic fit in their garden in 2010. Miss Baileys husband of 22 years, John Sinfield, drowned in 2011 as she watched helplessly from a beach. The writer, known for her Electra Brown series for teenagers, turned a blog about her grief into a novel called When Bad Things Happen in Good Bikinis. Yesterday Mr Stewarts former mother-in-law, Noreen Lem, said she was stunned by his arrest. She added: Ian was married to my daughter for over 20 years and he was a very kind man who was loving to my daughter and their sons James and Oliver. I couldnt imagine him doing something as horrible as this. I cant begin to imagine what the boys are going through right now. Pictured: Children's author Helen Bailey. A man has been arrested on suspicion of her murder. The arrest comes months after Mr Stewart made a heartfelt public appeal to help track down his fiancee. He told police she had left a note asking for time alone, saying she was going to stay at her holiday home in Broadstairs although she did not use her car and there was no evidence she caught a train. Mr Stewart contacted the police four days later when he failed to find her at the Kent home. Yesterday a local cafe owner claimed she saw Miss Bailey in Broadstairs the week she disappeared. Linda Farmer said: I couldnt be certain exactly what time or what day, but Im sure it was her. My husband saw her too. She was in a black car. A Hertfordshire Police spokesman said: A 55-year-old man from Royston who was arrested in connection with the disappearance of author Helen Bailey from Royston, has this evening been released on police bail pending further inquiries. The spokesman added that the suspect was due to return to the police station in August. Miss Bailey, 51, vanished with her dog Boris on April 11 after leaving a note saying she 'needed some time to herself'. Neighbours said they were taken by surprise by the police activity at the author's home, including searches by sniffer dogs from the Metropolitan Police. One local said: 'We saw a small cream-coloured Fiat being driven away on a low loader by the police. People have heard the police are digging up the garden.' Mrs Bailey, best known for her Electra Brown and Daisy Davenport books for teenagers, told her partner she was heading to their seaside cottage in Broadstairs on the day she vanished. But she left her car at home and there has been no trace of her since. Mr Stewart reported her missing four days later having travelled down to Kent and established that she never arrived at the house. Last night teams of forensics officers, police dogs and a scientific services unit were seen searching the couple's gated property in Royston and holiday home in Broadstairs following the man's arrest. A 7ft high metal fence was put up to shield officers from view. Two months ago police revealed for the first time that they feared Mrs Bailey had 'come to harm' after initial searches of her homes and surrounding countryside revealed no trace of the 51-year-old. Forensic officers were seen carrying a brown paper evidence bag from the Broadstairs property this afternoon. Two female officers left the scene in a police van while plain clothes detectives drove off in an unmarked car. Lin Beckett, owner of off-licence Bottleneck, said the author visited regularly and used to come in to the shop alone with her dog. She said: 'She used to come in with Boris. I didn't ever meet her partner. She was always very cheerful and chatty. It's a shame really, she was such a nice lady.' A neighbour, who asked not to be named, said she would speak to Helen when she visited with her late husband John, but had seen less of her in recent years. She always knew when the author was visiting because she could hear her pet dachshund Boris barking. She said: 'They (Helen and John) were very, very close. I never met her new partner. Who knows what happened to her. It's very sad.' Pictured: Police are also searching her holiday home in Broadstairs, where she may have headed after disappearing three months ago Other lines of inquiry: Police are also searching the author's home in Broadstairs, Kent, where neighbours said she visited regularly A month after she vanished her fiance made a heartfelt plea for her return, saying her disappearance had 'shattered' his life and left him feeling like his 'heart doesn't even exist'. Mr Stewart, who had recently got engaged to the author, appealed to her, saying: 'Helen, wherever you might be I hope you hear this message and listen carefully. 'I WISH I COULD VANISH': MOURNING AUTHOR WRITES OF HER GRIEF Helen Bailey went missing weeks after the fifth anniversary of the death of her husband John Sinfield. At the height of her grief after his drowning in Barbados in February 2011, she sometimes wished she could simply disappear. Her pain was made all the worse by her memory of her husband of 22 years walking into the glittering, blue water while she lay sunbathing in her bikini and then watching him being pulled under by a rip tide. In a bid to come to terms with her agony, she began writing a blog, Planet Grief, which eventually became a book called When Bad Things Happen In Good Bikinis. There was a moment, she admits in one passage, where she thought of simply putting her keys through the letterbox of their London home and running away. 'My husband had disappeared and so would I,' she wrote. 'But where to?' In one chapter she also recalls a conversation she had with Mr Sinfield about how she would disappear. 'I'd seen a programme about people who just vanish to start a new life under a new identity and bolting appealed to me,' she wrote. But friends say that in recent months, Miss Bailey was happier than she had been at any point since her husband's death. Advertisement 'We miss you and Boris so much. We are shattered in so many ways. Your Mum, Dad, John, Tracey, the lads and me plus so many others all need you. You bring so much to so many people in ways you don't even realise.' Mr Stewart met the author through a Facebook bereavement group in 2011, which he joined after his wife Diane died in 2010. Mrs Bailey, whose husband of 22 years John Sinfield drowned in 2011 as she watched helplessly from a beach, wrote a blog called Planet Grief. It was turned into the novel When Bad Things Happen in Good Bikinis, dedicated to 'my gorgeous grey-haired widower Ian Stewart: BB, I love you, you are my happy ending'. Mr Stewart paid tribute to his fiancee for 'mending' his heart and said the couple had been moving forward, promising to spend the next 30 years together. He said: 'You not only mended my heart 5 years ago but made it bigger, stronger and kinder. Together we learnt to live with our grief and move forward with our lives but never forgetting. 'Now it feels like my heart doesn't even exist. Our plans are nowhere near complete and without you there is no point. We promised each other 30 years please keep that promise and come home. 'Whatever has happened, wherever you are I will come and get you and Boris and give you whatever you need. Love you more, Ian.' Yesterday the officer leading the search for the author refused to comment on the arrest, but the force confirmed they had yet to find Mrs Bailey's body. Two months ago, Chief Inspector for North Hertfordshire Police Julie Wheatley revealed police suspected she was dead. She said: 'We have a number of hypotheses, one is that she has come to harm for whatever reason.' At one stage during a press conference, the chief inspector had to correct herself after referring to Mrs Bailey in the past tense. She said: 'We do not believe that there is any third party involvement, but it could be that she has come to harm.' Officers have checked hundreds of hours of CCTV footage from private and commercial properties and found no trace of Helen, who has also not used her bank cards or phone since she vanished. Last night a spokesman for Hertfordshire Police said: 'As part of the on-going investigation to trace missing author Helen Bailey, from Royston, a man has been arrested this morning. 'The 55-year-old man from Royston is being questioned at a police station in Hertfordshire on suspicion of murder, disposing of a body in a manner likely to obstruct the Coroner and suspicion of theft of money belonging to Helen Bailey. Helen has not been since April 11. 'Significant inquiries and searches have already been made as part of the investigation to trace Helen and further searches at her home address in Royston and an address in Broadstairs in Kent are taking place.' Pictured: The home of Helen Bailey is cordoned off with a large fence while police officers work inside and in the garden Advertisement As thick waves of green algae blanket the coastline of a resort city in China turning sea water from crystal clear to murky green, local beach-goers have found a new way to enjoy summer fun. Pictures from the Chinese media show swimmers on the Shilaoren beach in Qingdao putting clamps of bright green algae on their body in a bizarre attempt to block the sun, reported China's state media Xinhua News Agency. Chinese beach-goers typically go to great lengths to prevent themselves from getting tanned as white skin is considered a sign of beauty in the country. Swimmers in Qingdao also like donning a face mask known as 'face-kini' while swimming under the sun to protect their skin. No need to worry about the sun: A woman wrapped herself in algae in Qingdao. Chinese beach-goers are using the bright green plant to block the sun, according to Chinese media Chinese summer time: One boy is trying to cover his friend in algae as the pair frolicked on the Shilaoren beach of Qingdao Look what I've got! A male swimmer put clamps of bright green algae on his body in a bid to catch up with the bizarre beach trend Here you go, have some more: The city of Qingdao has been attacked by large amount of algae since the beginning of summer The coastline of Shandong in eastern China is currently invaded by thick waves of algae bloom. Large scale of Ulva Prolifera infestation has been turning the once golden beaches in popular resort cities, such as Qingdao and Yantai, into verdant meadows every summer since the first outbreak in 2008. According to Chinese media, around 11,600 hectare of ocean off the coast of Qingdao city has been covered with algal bloom since the beginning of summer, and a whopping 9,260 tonnes of the emerald green plant have been collected from the sea water in nearby Yantai city. Alarming pictures show the coast of Qingdao and Yantai besieged by a striking amount of algae as the plant completely covering the surface of part of the ocean near the two cities. The regions' beaches have been turned into muddy green as algae got washed up on the shores by 'green tides'. However, the annual algae attack doesn't seem to interrupt the cities' residents from enjoying the summer. Locals in Qingdao and Yantai were seen taking strolls on the dirty beaches, swimming in murky sea water and sitting on the sand to enjoy the unusual green coastal views. Children frolicked in the mud as they tried to cover each other with algae while young female swimmers pose for pictures with lumps of the seaweed on their backs. According to Chinese media, the locals use the plant as an alternative sunblock to stop them from getting tanned. Sanitation workers were also seen removing the endless seaweed, known as Ulva Prolifera or Hutai in Chinese, using forklift trucks. From crystal clear to murky green: A man in Haiyang, Yantai city, walked in the seawater covered by algal bloom as far as eyes could see Under the invasion of algae: Like Qingdao, the coast of Yantai has also been blanketed by algal bloom as the fisheye photo shows Not your usual ocean view: The green ocean posed as a striking contrast to Yantai's modern seafront filled with expensive properties Green tide is coming: Green algae struck the coast of Yantai due to wind direction and tidal current. The clear-up work is underway How do you like the beach today? A resident in Yantai relaxed on the sand with his pet dog as the pair faced the ocean covered by algae A little green: Yantai's popular seaside has been covered with the seaweed as workers tried to remove the plant with forklift trucks Welcome to the emerald beach: Tourists walked across the green algae which had been washed up the coast of Haiyang in Yantai city While the algae appears harmless to humans, marine life is at risk of asphyxiation as the green sludge sucks up oxygen from the water. Many theories blame the phenomenon on climate change and industrial pollution both of which contribute to warm sea temperatures that help cultivate the algae's rapid growth. But as the tourist cities struggle with yet another year of the bloom, scientists have blamed the expansion of edible seaweed farming along the coast as an alternative explanation to the outbreak. A study from 2013 the journal Estuarine, Coastal and Shelf Science, concluded the algae originates from the Jiangsu coast, which is situated to the south of Shandong Province, before being swept north towards Qingdao. Scientists from the Yantai Institute of Coastal Zone Research cited satellite images and field observations as the basis for the theory. They believe the algae grow on the rafts used by the Jiangsu seaweed farmers and when the seaweed is harvested the Ulva Prolifera is removed from the rafts and discarded to be swept away by the waves in the sea. Pang Shaojun, a researcher from the Institute of Oceanology at the Chinese Academy of Science, has told China National Radio that the algal bloom in Qingdao Province came from the regions of Sheyang, Yancheng and Rudong in Jiangsu Province. Pang, an expert on the environmental phenomenon, said that these area's seawater contains high levels of ammonia and nitrogen, and this is an important cause of the outbreak. The algae first hit in 2008, weeks before Qingdao was in the international spotlight as the host of the sailing events for the Beijing Olympics and again in 2013 covering more than 75,000 hectares, double the amount in 2008. More than 10,000 volunteers and 1,000 soldiers cleared the 20,000 tonnes of slime out of the sea three years ago which was then taken to a processing depot where it was dried and turned into animal feed, fertiliser and a medicinal supplement known as hutai sugar, thought to lower blood sugar. Family fun: A pair of mother and daughter were seen strolling on the dirty beach of Yantai as green algae mixed with the sand Disgusting: Yantai's beaches have been turned into muddy green as algae get washed up on the shores by 'green tides' Algae attack: A man tried to collect empty plastic bottles from a beach in Yantai which was covered by a large amount of green algae Energy Minister Andrea Leadsom abandoned her run at No 10 - less than a week after she won a surprise second place in a ballot of Tory MPs Tory leadership hopeful and pro-Brexit minister Andrea Leadsom quit the race to become Britain's next Prime Minister today as her supporters claim she had been the victim of a 'black ops' campaign. Mrs Leadsom dramatically pulled out of the race to be the next Tory party leader after admitting she does not have enough support from members of her party, leaving the way clear for Home Secretary Theresa May to become the Prime Minister. But former Tory leader Iain Duncan Smith claimed there had been a 'black ops' campaign against Mrs Leadsom, with rumours that she was 'deeply Ukip' and an 'extremist'. Tory MP Owen Paterson today said Mrs Leadsom had been under 'assault' since winning her place in the second round of the contest last week. In her speech. made shortly after noon, Mrs Leadsom said that with the economy needing certainty in the aftermath of the Brexit vote, it was better to have a rapid transition than a protracted leadership race. The announcement came less than a week after she won a surprise second place in a ballot of Tory MPs. And the collapse of her campaign comes after another bitter weekend of Tory infighting over her suggestion being a mother would give her an edge over Mrs May in No 10. Last night she said she had apologised to Mrs May, who does not have children, via text message. Just a week ago, Mrs Leadsom insisted she was in the contest for the long haul, despite fears over the impact on her family. But on Saturday Mrs Leadsom sparked outrage after claiming she has the edge over rival Theresa May because she is a mother. Mrs May's supporters reacted with indignation, condemning the remarks as 'disgusting', particularly as they came just days after the Home Secretary revealed her sadness at remaining childless and had called for a 'clean campaign' because it is 'what the country deserves'. Mrs Leadsom dramatically pulled out of the race to be the next Tory party leader today after admitting she does not have enough support from members of her party, leaving the way clear for Theresa May Mother-of-three Mrs Leadsom, 53, had claimed her children meant she had 'a very real stake' in the future of the country, contrasting herself with Mrs May. Speaking to the Times, she said her rival 'possibly has nieces, nephews, lots of people.' 'But I have children who are going to have children who will directly be a part of what happens next', she added. Mrs Leadsom was asked whether she 'felt like a mum in politics' and responded that she did not want to draw comparisons between herself and Mrs May regarding children. She said: 'I am sure Theresa will be really sad she doesn't have children so I don't want this to be 'Andrea has children, Theresa hasn't', because I think that would be really horrible. 'But genuinely I feel that being a mum means you have a very real stake in the future of our country, a tangible stake.' Jolt: The pound surged today after Andrea Leadsom announced she would not fight Theresa May and would not be the next PM The energy minister suggested that motherhood meant she had more empathy than Mrs May: 'I think when you are thinking about the issues that other people have, you worry about your kids' exam results, what direction their careers are taking, what we are going to eat on Sunday.' When asked to explain the differences between her and Mrs May she said: 'I see myself as one, an optimist, and two, a member of a huge family and that's important to me. My kids are a huge part of my life.' Speaking to The Telegraph, Mrs May previously said she wanted to keep her 'personal life personal' and added that she and her husband Philip had 'moved on' from not having children. She said: 'I hope nobody would think that mattered. I can still empathise, understand people and care about fairness and opportunity.' In an angry statement Tim Loughton, who led a bizarre march on Parliament last week in support of Mrs Leadsom said: 'Throughout our short campaign we have made it clear that we should be relentlessly focussed on the positive case for electing Andrea as Leader without the need to undermine the qualities of her opponents. Andrea Leadsom apologised to Theresa May over remarks that suggested she was better qualified to be PM because she was a mother 'Despite an onslaught of often very personal attacks from colleagues and journalists we have never deviated from that goal. 'Colleagues who have chosen to further their own ends by putting smear above respect will no doubt account for their motivations but it is genuinely puzzling to understand who they think they are helping. 'It is certainly not our Party or our constituents. 'It is absolutely not the job of media commentators to 'big up' politicians whether in this leadership contest or elsewhere in politics. 'But neither should it be their compulsion constantly to try to trip them up. Using spin and underhand tactics against decent people whose prime motivation is to serve has for too long undermined the confidence of the public in our politics.' Responding to the row over her comments, Mrs Leadsom told today's Daily Telegraph: 'I've said to Theresa how very sorry I am for the hurt I have caused and how the article said completely the opposite of what I said and believe.' The Times today revealed the apology was sent in a text message and revealed Mrs May was 'grateful' for the note. Andrea Leadsom, pictured today withdrawing from the contest, said Britain needed a new Prime Minister as soon as possible and endorsed Theresa May, right Mrs Leadsom had insisted that she did not want motherhood to play a part in the campaign and that she 'deeply regrets that anyone has got the impression that I think otherwise'. Mrs Leadsom said she had felt 'under attack' since the row over her comments. She claims she was pressed to say how her children had formed her views and she didn't want it to be used as an issue. Her allies said the furore over the motherhood remarks was part of 'black ops' being run by the 'Establishment' to 'denigrate her reputation' and derail her bid for No 10. But David Davis, a prominent backer of Mrs May, said Mrs Leadsom's comments had betrayed her lack of experience. In a withering put-down, the former Tory leadership contender said: 'At the moment she's too inexperienced for a really important job at the most important time in our history.' Business minister Anna Soubry urged Mrs Leadsom to 'do us all a favour including herself' and quit the leadership contest because she was clearly not 'PM material'. Scottish Conservatives leader Ruth Davidson said: 'I am childless. I have nieces and nephews. I believe I like everybody else have a very real stake in our country.' Senior backbencher Sir Alan Duncan tweeted: 'I'm gay and in a civil partnership. No children, but ten nieces and nephews. Do I not have a stake in the future of the country. Vile.' Sir Eric Pickles told Sky's Murnaghan that Mrs Leadsom may be 'blowing her chances for ever as a potential leader' after 'messing up' an interview and then digging deeper. The former Communities Secretary added: 'She seems pleasant enough but I am really looking for somebody with strength and determination.' Foreign Secretary Philip Hammond suggested Mrs Leadsom did not have the standing to negotiate the best possible EU exit deal, and said most of his international counterparts had never heard of her. Employment minister Priti Patel, who campaigned for Brexit alongside Mrs Leadsom, said she would be too divisive to win a general election. The outsider in the Tory leadership race sparked outrage when she told The Times she had a bigger stake in the future of Britain because she was worried about her children's future Yesterday morning Amber Rudd Mrs Leadsoms boss in the energy department said it was too soon for the junior minister to be PM. Miss Rudd told ITVs Good Morning Britain: I know Andrea to be an honourable person and I think that she misjudged it. In order to show what had influenced her as a politician she made the reference also to Theresa May which was a mistake. She added: She is a junior energy minister, she is good at her job and Ive really enjoyed working with her. But to suggest that she is ready for prime minister now is too soon. However, Mrs Leadsom's campaign manager, MP Tim Loughton, hit back by claiming that 'Project Fear has become Project Smear'. He claimed it was 'the Establishment trying to get Andrea'. Iain Duncan Smith, the former work and pensions secretary who is one of Mrs Leadsom's most prominent supporters, told ITV that MPs should 'calm down'. He suggested people would 'come to regret some of the silliest things they say'. Mr Duncan Smith added: 'I've seen it all before the reality is that both of them are qualified. If Andrea was so unqualified to be prime minister... what in heaven's sake would the Prime Minister be doing making her a serious government minister?' It came as Mr Loughton distanced Mrs Leadsom's campaign from the support of the far-Right extremist group Britain First, calling them 'vile, toxic, loathsome people'. The group has released a video supporting Mrs Leadsom, saying she was 'a patriot, a Christian, pro-British, an opponent of political correctness and a fierce opponent of the bankrupt, failing EU superstate'. The off-duty NYPD cop who was caught on camera waiting just one second before shooting a black man dead in a road rage altercation has been stripped of his badge and gun. Wayne Isaacs shot DeIrawn Small, 37, in the head and chest on July 4 following a car accident in Brooklyn. It was revealed on Monday Isaacs has been placed on desk duty as the NYPD and the state Attorney Generals office investigate evidence of the shooting, the New York Daily News reports. Scroll down for video CCTV shows Delrawn Small, 37, being hit almost instantly after walking over to the car window of off-duty cop Wayne Isaacs, who shot him in the chest and head Small can be seen reeling back before staggering across the road and falling down between two cars The cop had been assigned to the 79th Precinct in Bedford-Stuyvesant and had three years of experience. It comes after witnesses claimed Small went over to Isaacs' window and 'wailed on his face' before the officer pulled out his service weapon and shot him. But footage obtained by the New York Post shows Small climbing out of his vehicle and walking toward 37-year-old Isaacs, who is sitting in his car. A split second after bending down to look in the window, Small stumbles backward, staggering across the road before collapsing between two parked vehicles. 'The video is pretty damning,' a source close to the investigation told the New York Post. One police official said Isaacs, who was returning home from a shift and was not in uniform, will likely face a manslaughter charge and that a grand jury could be convened as early as next week. The CCTV footage contradicts claims by witnesses and police that Smalls (left and right with girlfriend Zaquanna Albert) had repeatedly hit Isaac before the officer opened fire The Rev. Al Sharpton (pictured on Saturday) has also called on State Attorney General Eric Schneiderman to go 'full steam ahead' and prosecute Isaacs for the shooting 'You'll see some sort of charges filed,' the official said. 'You have a person who is unarmed and they're going to say the level of force used, versus forced used against him, does not add up.' 'When you use deadly force, there has to be some sort of grave danger to him. He can't just say, "I got punched so I shot him".' State Attorney General Eric Schneiderman is now investigating the footage to see whether deadly force was justified. 'We will follow the facts and evidence - including this video evidence - wherever they lead,' Schneiderman said on Friday. Eric Soufer, a spokesman for Schneiderman's office, told the Associated Press prosecutors have already secured a search warrant for Isaacs' vehicle. Roger Wareham, lawyer for the Small family, said the footage 'clearly demonstrates the cop lied', adding that Isaacs looks down at Small's body 'as if he had just stepped on a roach.' Thousands of people took to the streets in New York to protest the killing of Small, Alton Sterling and Philandro Castile, all of whom were shot dead by police in the past week Wareham told the New York Daily News: 'If the cop's story is obviously false, why haven't they arrested him?' The Rev. Al Sharpton has also called on Schneiderman to go 'full steam ahead' and prosecute Isaacs. 'This video clearly raises questions on the story the officer stated,' Sharpton told the New York Daily News. 'This is absolutely the opposite of what the policeman said.' The footage directly contradicts an earlier account from the owner Touch of Glass, who said his surveillance cameras had captured a very different encounter between Isaacs and Small. The business owner told the New York Post: 'The video shows the guy coming out of his f***ing car, running up to [the cop's] car, going in the driver's side window and just punching the s*** of this poor cop. Then all of a sudden you see sparks.' The protests in New York were held just hours before Micah Xavier Johnson opened fire on police at a similar demonstration in Dallas, killing five Police and community leaders have called for calm in the wake of the shootings, which have caused sharp racial divides in cities across the country He added that Small 'was wailing on [Isaacs'] face, like pow, pow! He was looking to knock this guy out, punching and punching. Maybe four punches. It was big haymakers.' Police had put forward a similar account of events, though chief Bill Bratton had urged caution, saying it was too early to tell whether the shooting was justified. However, construction worker Lloyd Banks, 43, told the New York Daily News: 'He just shot him right there on the street. Delrawn was unarmed. His wife and kids were still in the car. They saw everything.' Small was pronounced dead at the scene but his body remained on the street covered in a plastic sheet for around four hours after the shooting. His girlfriend Zaquanna Albert, 35, and their three children were inside Small's car at the time of the shooting. Albert can be seen in the video pulling his car across the street before running to his side. Police said Albert told investigators Small became enraged after he thought the officer cut him off, then followed the officer's car to the stoplight despite her pleas to calm down and let it go. They said there was no was back and forth that would have made Isaacs aware that he was being pursued or that Small knew he was an officer. Isaacs has been placed on administrative leave pending the outcome of the investigation, police said. State assemblyman Charles Barron said Tuesday that he was working with Small's family to demand that authorities hold the officer accountable. The Brooklyn Democrat and frequent NYPD critic said the officer should have taken steps to defuse the situation with warnings or simply by driving away. 'Even if there was a punch, there's no justification - zero - for the use of deadly force,' Barron said. Sharpton said the public's outrage shouldn't be any less because Isaacs and Small are both black. 'We are not against black cops or white cops,' he said. 'We are against wrong cops. 'This cop told a story that is wrong and someone lost their life. If he told a story that doesn't stand up about his alibi, why should we believe anything else he says?' A grieving couple who claim their only son was murdered by a German neo-Nazi simply for being English watched the cold-hearted killer jailed today. Oxford-educated Luke Holland, 31, was blasted in the stomach with a shotgun after leaving a Berlin nightclub at 6am and left dying in a pool of blood on the pavement. Rolf Zielezinski, 63, wearing cowboy boots, a hat and long coat, was seen standing over his body before calmly walking away. Oxford-educated Luke Holland (left), 31, was shot after leaving a Berlin nightclub at 6am and left dying in a pool of blood on the pavement. He is pictured with his parents Phil and Rita at his Oxford graduation A few weeks before the murder last September Zielezinski had been involved in a heated row with 'foreigners' in a nearby bar. When he was arrested for the murder police found illegal Nazi memorabilia on the walls of his flat and ammunition. Zielezinski, a father-of-three, was today jailed for 11-and-a-half years after being found guilty of murder following a trial which began in March. The prosecution team at Berlin Regional Court did not prove it was a hate crime. But when confronted in the dock by Mr Holland's mother, who showed him a photo of her dead son, the callous killer simply shrugged at her, smiled, and replied: 'English'. Earlier, Mr Holland's devastated father also bravely faced Zielezinski across the courtroom and begged him to explain why he had killed their only child. Clutching the last photo of his son, Phil Holland, from Stockport, Greater Manchester, said: 'This photograph taken by us is the last time we saw Luke alive, eight weeks before he died. Rolf Zielezinski (pictured), 63, was seen standing over Mr Holland's body before calmly walking away 'Why did you kill our son, our only child, a person you had never seen before? 'Did you not like his shirt, his hairstyle or was it because you heard him speaking English on his mobile phone? Please tell me. 'How would you feel if I murdered your three sons? What sentence would you ask the court to give me? 'You killed him in a coward's way and are too much of a coward to admit it.' The judge told Mr Holland he could not ask questions and Zielezinski simply stared blankly. Mr Holland and his wife Rita, both 62, went to court every day - once running the gauntlet of a crowd of around nine thugs who gathered outside. They were there to support one of their group who had not paid taxes - but when they saw the British couple, they waved flags and shouted abuse about 'foreigners'. Mrs Holland, a former council officer, today addressed the court and told the judging panel how Zielezinski had devastated their lives. She told them: 'With Luke gone, we are struggling to find a purpose to our lives. 'All of our joy has been ripped from us. Each day the constant, ceaseless pain and grief. 'How can we ever remove the image of our son's dying body, bleeding to death, all alone on a strange street corner? 'I think, if you can die of a broken heart, then Phil and I are surely dying day by long painful day. 'Just trying to live through each day is exhausting, the mental pain and stress unbelievably draining, all of our pleasure in life now gone. 'Nothing matters any more. We can not find the will to live our lives without Luke. 'We will never come to terms with his cruel, malicious murder; murdered by that sly, evil, coward.' When confronted in the dock by Mr Holland's mother, who showed him a photo of her dead son (pictured), the callous killer simply shrugged at her, smiled, and replied: 'English' Luke Holland, a law graduate who spoke fluent Japanese, had worked for prestigious legal firm Freshfields Bruckhaus Deringer in London. He then went to Oxford University where he became a Master of Business Administration and after that took an internship in Munich. He moved to Berlin in March last year to set up a technology start-up business with two friends developing a new music-based bracelet gadget. He and a friend were drinking in the same bar where Zielezinski had 'expressed hatred for foreigners' according to his family, earlier on the night he was killed. After the bar Mr Holland went to the Del Rex nightclub where he met up with a couple and stayed with them before he left alone around 6am. Mr Holland's funeral was attended by more than 300 people He paused in the street to Facetime a British friend - speaking in English - and after a jovial and tipsy conversation started walking to his flat nearby. But within minutes he was gunned down by a man witnesses said was 'dressed as a cowboy'. The court heard that Zielezinski walked calmly and slowly away to his nearby flat, where he hid his gun and then got a train to a festival. Mr Holland died on his way to hospital and hours later police broke the tragic news to his parents in Stockport, that they had lost their only child. Police arrested Zielezinski some 12 hours after the killing. He has remained in custody ever since and has denied the murder and refused to comment. Mr Holland's funeral was attended by more than 300 people, and the company in Berlin he co-founded with friends now employs 14 people. His father, a retired communications engineer, said: 'Luke worked so hard to improve the lives of other people. 'He had so much more to give this world - probably 50 years or more of his future, our future also, and that has been taken away from us and Luke. 'Our hearts will never heal.' Under German law the trial took place on days spread over months since March, and was overseen by a team of judges. It involved a public prosecutor and a separate lawyer for the family, Onur Ozata. Mr Ozata asked the judging panel to jail Zielezinski for life, with a minimum of 15 years. But the public prosecutor argued he should be sentenced to 11 years and eight months on the basis of diminished criminal responsibility due to being drunk. Erin Andrews has scored a huge victory against her stalker/peeping tom, Michael Barrett in her battle to collect on the $28 million dollars she was awarded by a jury earlier this year. A federal court judge has ordered the judgement will NOT be wiped clean in the mans bankruptcy. Earlier this year, a jury awarded Erin a total of $55 million dollars in her lawsuit against Marriott Hotels and the peeping tom, Michael Barrett, who secretly recorded the television personality through her hotel peep hole. Andrews had sued originally over the stalking incident at a Nashville Marriott, accusing the hotel of negligence for giving her hotel room number to Barrett as well as allowing him to book a room next to hers. The jury divided the judgement with Marriott paying $27 million and Barrett on the hook for $28 million. Erin Andrews was awarded $55million last month in lawsuit against Marriott Hotels and stalker Michael David Barrett. Court held Marriott liable for $26million and Barrett liable for $28million Barrett had previously told how he was unlikely to be able to pay any of the $28million he was held liable for, before his father revealed he is back at home living in the basement Barrett pled guilty to interstate stalking and already served two years in prison. Following the jury judgement, Andrews filed documents in Barretts bankruptcy case demanding the $28 million debt he owed her NOT be wiped clean in his Chapter 7. The stalker filed for bankruptcy back in 2012, two years after he was arrested for the Andrews incident. Erin argued that due to Barretts malicious actions, the debt should not be cleared in his case. Then on June 11th the Federal Court judge came back with his decision and granted Erins motion stating the $28 million dollar judgement will not be wiped clean in the bankruptcy and Barrett will be on the hook for the debt even after his Chapter 7 closes. Andrews settled her lawsuit against the owners of Marriott Hotels eight years after a stalker filmed her changing clothes through the peephole in her hotel door in April. Last month Andrews was awarded $55million in damages to be split between stalker Michael Barrett and hotel owners West End Hotel Partners and Windsor Capital Group, after suing for $75million. But Andrews' lawyer Randall Kinnard launched a fresh legal bid to recoup all of the money from the hotel chain after Barrett's father revealed he is penniless and living in his basement. That fresh legal action led to a settlement being reached on Monday for an undisclosed sum. Andrews was awarded $55million last month after several days of tearful testimony (pictured) against the hotel chain, but that amount was ordered to be split between Marriott owners and stalker Barrett Kinnard told The Tennessean: 'This litigation is over. The terms of the settlement are confidential. 'Erin Andrews is satisfied with the settlement, and she was very courageous throughout this litigation.' Andrews was photographed at LAX over the weekend waiting for her luggage. The driver picking her up carried a name placard for 'Emmy Beam'. Andrews testified about the state of constant fear and paranoia she has lived under since the incident. Meanwhile Mark Chalos, a lawyer at Lieff Cabraser Heimann & Bernstein, told Dailymail.com the settlement would likely have been in the region of the $26million that West End Hotel Partners and Windsor Capital Group were originally ordered to pay. He said: 'While nobody aside from Andrews, her lawyers and the other side will know the amount for sure, it would be reasonable to assume that the owners settled for somewhere around the original amount, provided they had adequate insurance and assets to cover it.' The owners were found 49 per cent liable for Barrett being able to film Andrews nude in her room at the Nashville Marriott at Vanderbilt while she was a reporter for ESPN back in 2008. Barrett, a former insurance executive, was asked to pay the rest of the money, more than $28million, after a jury ruled he was 51 per cent liable in the case. Barrett had already admitted to capturing four and a half minutes of nude video of Andrews with his cell phone after doctoring the peephole in her door to allow him to see in from outside. Barrett said he guessed Andrews would be at the hotel because she was covering a Vanderbilt game, before calling reception claiming to be in a group with her and confirming her reservation. He then used an employee phone to establish her room number, before requesting to book the vacant room next door. Andrews had launched a second legal bid to compel Marriott to pay her the full sum, leading to today's settlement which will remain undisclosed That meant Barrett was able to listen for Andrews getting into the shower before he went into the hall and began filming through her peephole, capturing the nude footage. He also managed to film her for a second time in Columbus, Ohio, though Andrews' lawsuit focused solely on the Vanderbilt incident. Barrett initially tried to sell the videos to media outlets, but when they refused he uploaded it to the internet for free, where it rapidly got back to Andrews. During days of tearful testimony during her lawsuit this year, Andrews said she continues to suffer because people are still watching the footage and taunting her. She said: 'This happens every day of my life. Either I get a tweet or somebody makes a comment in the paper or somebody sends me a still video to my Twitter or someone screams it at me in the stands and I'm right back to this. I feel so embarrassed and I am so ashamed.' Angela Eagle was dismissed as the 'Empire Strikes Back' candidate after she launched her leadership bid to topple Jeremy Corbyn today. Diane Abbott, the shadow health secretary and one of Mr Corbyn's closest allies, said she was the wrong politician to take Labour forward because she is tarnished with unpopular decisions from previous Labour governments, including the Iraq War, which she voted in favour of. She even suggested Ms Eagle was battling like Darth Vader to keep Mr Corbyn off the ballot paper and said it was a 'Westminster bubble' issue. Ms Abbott predicted her attempt to wrestle the leadership from Mr Corbyn would fail because the Labour membership was overwhelmingly behind the current Labour leader. Angela Eagle (pictured today) was dismissed as the 'Empire Strikes Back' candidate after she launched her leadership bid to topple Jeremy Corbyn Diane Abbott branded Angela Eagle the 'Empire Strikes Back' candidate in a BBC radio interview today and within moment tweeted a still from the Star Wars movie to underline her point But there is uncertainty over whether the current leader automatically gets onto the ballot paper as party rules do not make clear whether he also needs to win the necessary nominations from Labour MPs. Mr Corbyn repeatedly ignored calls to stand down after 172 MPs signing a vote of no confidence in his leadership and talks between union leaders and deputy leader Tom Watson broke down. Ms Abbott's comments were backed up by Len McCluskey, the Unite leader who tried to broker peace talks within the party last week, who today branded the challenge a 'squalid coup'. Ms Eagle received the necessary 51 nominations from fellow Labour MPs and MEPs today to launch a leadership challenge against Mr Corbyn. She attempted to fight off links to previous Labour governments today by insisting: 'I'm not a Blairite. I'm not a Brownite. I'm not a Corbynista. I am my own woman, a strong Labour woman.' But Ms Abbott told the BBC: 'At any given time you will find a lot of people complaining about the leader of their party. The Parliamentary Labour party has taken it to extremes. 'Our best hope at getting a good result in the next election is for people to unite and to get on with taking the fight to the Tories. 'Angela Eagle is a perfectly nice woman, but what she is is the Empire Strikes Back Candidate. She voted for the Iraq War and more beside. At least if she runs the party will be offered a clear political choice. 'Winning is everything, and I believe we can win under Jeremy Corbyn When actual people vote in actual elections, we have improved our vote every time. 'This is a Westminster bubble thing I'm talking about the party, and ordinary people at the grassroots. 'Jeremy went to the Durham miners' gala and got an amazing reception. At the grassroots, people want the party in Westminster to unite, that's what they want.' Mr McCluskey also gave a resounding defence of Mr Corbyn at the Unite conference today. In a withering attack on Labour rebels, he described attempts to oust Mr Corbyn as 'cowardly' and said they had 'deprived' voters of a proper opposition. With the Tories having picked a new leader today and a snap general election likely, he said it was paramount the Labour party united behind Mr Corbyn. 'Just a year after being elected, a government rudderless and to blame for dispatching the country, via an unprepared referendum, into a pit of uncertainty,' he said. 'How ironic that a manoeuvre designed to overcome Tory divisions has ended up creating the mother of all splits. 'What a chance for Labour to step forward and speak for the country. To offer itself as the strong opposition and government-in-waiting that millions are looking for in this situation. It was time for unity and a calm voice. 'Instead we have seen a cowardly attack launched against the party's elected leader which has deprived the country of all parliamentary opposition and let the Conservatives off scot-free in their moment of turmoil. 'This is the responsibility of people who had never accepted Jeremy Corbyn's victory last year they never accepted his overwhelming democratic mandate.' There is uncertainty over whether the current leader Jeremy Corbyn (pictured leaving his north London home this morning) automatically gets onto the ballot paper as party rules do not make clear whether he also needs to win the necessary nominations from Labour MPs He added: 'But the instigators of this will be branded forever with the mark of infamy for betraying their party and their country, for putting their selfish personal interests first when the times called for solidarity and statesmanship. 'Let me ask Angela Eagle, who I regard as an old friend, but who resigned as Business Secretary a question did you give thirty seconds thought as to how this would help the workers at Tata, fighting for a future made still more uncertain by Brexit? 'Or the oil and gas industry facing obliteration? Or have they been abandoned in their moment of need?' But at her launch this afternoon Ms Eagle attacked Mr Corbyn's leadership and mocked him by saying: 'A kinder politics must be a reality, not just an empty slogan.' And she took a direct swipe at her leader with her own leadership slogan promising 'real leadership'. She said: 'Our country needs a strong Labour Party. We alone can hold this right wing Tory government to account and then replace it by winning a general election. 'Jeremy Corbyn is unable to provide the leadership this huge task needs. I believe I can.' You introduce yourself to voters as a son of Kansas and Kenya, an emblem of this countrys openness to outsiders and its embrace of difference. Your election and re-election affirm the distance that the United States has traveled, or so you believe. So you hope. Then you look up toward the end of your second term to behold a Republican presidential nominee who is cynically exploiting racism and xenophobia to put the White House within his own reach. Hes not merely your adversary; hes your antithesis. And his victory would do more than endanger your policies. It would question the very moral of your journey, the very bend of the arc you frequently invoke. Thats what Barack Obama confronts right now, and thats why he hit the campaign trail last Tuesday, appearing onstage with Hillary Clinton in North Carolina and proclaiming without reservation that there has never been any man or woman more qualified for this office than she. Thats why hell say words like those again and again, with the same fire, in the months ahead. For the nations first black president, Clinton isnt just the better candidate. Shes the better America. She wins and he holds on to his rosiest convictions about what he and his presidency symbolize. Donald Trump wins and thats a tricky thing to do. Trump forged his bond with bigots by essentially calling Obama an impostor and demanding to see his birth certificate. But that particular stunt weighs less on Obama than Trumps sustained behavior during the 2016 presidential race does, according to people close to the president. The thing that Im sure aggravates him enrages him is the invocation of race and ethnicity in our politics, David Axelrod, a former White House aide, told me. Obamas message is about the emerging America and the strength of our diversity. He represents it. And when Trump says Make America great again, theres an element of turning the clock back to the days when minorities were at the back of the bus. That goes to the character of our country, Axelrod added. The president is someone who would be uniquely sensitive to that. Uniquely sensitive and utterly impassioned. In North Carolina he didnt so much urge voters as command them, with a testimonial about Clinton that was gushing and epic. I swear I saw her blush. Was Trump on Obamas mind? I suspect. Everybody can tweet, he said, adding that its no preparation or qualification for the presidency. Was Trump on Clintons mind? Clearly. She complimented Obama as someone who has never forgotten where he came from and Donald, if youre out there tweeting, its Hawaii. The 2016 campaign keeps showing us things that were not accustomed to, and a second-term president campaigning with unfettered vigor for his desired successor is another of those. George W. Bush didnt do it: He was so toxic at this point in his administration that John McCains most fervent wish was to tuck him into a broom closet. Bill Clinton didnt do it, because Al Gore was intent on coming across as his own, less priapic man. Neither did Ronald Reagan, because Bushs father similarly felt the need to flex his own muscle, outside of anyones shadow, and Reagans energy was flagging anyway. Obama and Hillary Clinton have arrived at a place of obvious respect for each other, and of palpable fondness. His high approval ratings put him in a position to help. Her stature puts her in a position not to be eclipsed by his presence or belittled by that assistance. Campaigning together is an imperfect arrangement, inasmuch as she may seem to be arguing for the status quo instead of a better tomorrow. But Americans hold Obama in significantly higher esteem than they do her or Trump. There are far riskier things than letting the president carry the ball. Police were forced to apologise after officers giving a talk about sexual consent handed out a leaflet to teenagers titled 'R U ASKING 4 IT?' Teachers had to stop the talk at North Bristol Post 16 Centre after outraged pupils were brought to tears as they accused Avon and Somerset police officers of 'victim blaming'. Police have since apologised for the brochure which left the talk 'spiraling out of control' and admitted it was 'nearly ten years out of date'. Police were forced to apologise after officers giving a talk about sexual consent handed out a leaflet to teenagers titled 'R U ASKING 4 IT?' Kizzie Tims, 16, who attended the talk with 50 fellow students, said: 'The leaflet was about personal safety - robberies and muggings - but was not appropriate when taking about rape. 'It was not the most professional talk and at this age we are very sensitive about these sorts of issues. 'A lot of people began shouting and others got teary about it.' The red leaflet displayed the question 'R U ASKING 4 IT?' on the front page, along with the slogan 'Your essential guide to student safety' and the force's website. It was handed to pupils at the school in Cotham, Bristol, at a police event called 'Only Yes Means Yes' last week. The leaflets are believed to have been printed in 2008 following a spate of attacks on Clifton Down. A 17-year-old boy who attended the event initially thought the leaflets were a 'joke'. He added: 'I personally could not believe my eyes when I received my leaflet, thinking it was somehow an ironic joke? Eloise Mainstone wrote on Twitter: 'We should be teaching people not to rape, not teaching us how to avoid it!!' 'I was assured that it was in fact very real, much to my disgust. 'Victim blaming arose rather quickly from the police, telling girls that they shouldn't drink on nights out and suggesting that it was more the victim's job to prevent the attack from happening. 'The talk was full of intelligent strong minded 17-year-olds who quickly raised concerns about this take.' Teachers had to stop the talk at North Bristol Post 16 Centre after outraged pupils were brought to tears as they accused Avon and Somerset police officers of 'victim blaming' (stock image) Writing on Twitter, Chloe Yelland said: 'ASPolice I'm ashamed that you have the audacity to 'Victim Blame' teenage girls with low cut tops...' Eloise Mainstone added: 'We should be teaching people not to rape, not teaching us how to avoid it!!' Police have since apologised for the talk and giving out the old leaflets. Chief Inspector Marie Wright, force lead for rape and sexual assault, said: 'Any suggestion that a victim of rape or sexual assault can ever, in any way, be to blame is totally unacceptable and is the exact opposite of the message we've worked so hard to get across through our 'This is not an excuse' campaign. 'Our stance couldn't be clearer and the students were right to challenge the incorrect message. Chilling CCTV footage captures two burglars breaking into a house in the dead of night before stealing the camera that catches them. But not before the faces of the hapless crooks are sent to the property owner, who then handed over the video to the police. Detectives have now released the clip of the July 2 burglary in Worcester Park, south-west London. Bumbling burglars: A pair of burglars were caught on CCTV camera breaking into a house in Worcester Park Detectives have now released the footage of the men carrying out the raid on July 2 just before midnight The pair are seen pinching the mobile CCTV device, oblivious that it had just filmed their every move and was uploading the shots to a secure cloud on the internet. They are recorded searching the front rooms of the house with flashlights. The bearded pair stole jewellery and cash around midnight before spotting the camera, according to police. Spotted: One crook steals the camera, but not before the footage has been sent to the owner's secure cloud The first suspect, wearing a hooded zip top and trainers, is described as a medium built white man in his 20s with short dark hair and a goatee. The second was a slim built white man, again in his 20s, with a thin beard. He was wearing a light coloured top with a dark collar, and dark trousers, trainers and gloves. A jail inmate trying to escape from a Michigan courthouse grabbed a gun from an officer, killing two bailiffs and injuring two more people before he was fatally shot by other officers on Monday. People scrambled for cover inside the Berrien County Courthouse in St. Joseph, a city about 100 miles northeast of Chicago, when the prisoner opened fire at around 2.30pm. Larry Darnell Gordon, 45, who was charged with kidnapping, sex assault and obstructing police in April 2016, was being moved from a cell for a courtroom appearance when a fight occurred and he was able to disarm an officer, Sheriff Paul Bailey said. The sheriff did not say what charges the inmate was facing. Bailey identified the bailiffs killed as Joseph Zangaro, 61, and Ronald Kienzle, 63. Scroll down for video The bailiffs killed were identified as Joseph Zangaro (left), 61, and Ronald Kienzle (right), 63 Family: Gordon with his ex-wife Jessica and daughter Cheyenne, who is now 6-years-old Happier times: Jessica said he was probably afraid of going to prison and wanted to 'get home to our baby girl.' She said that Gordon and his 6-year-old daughter Cheyenne were very close He said the longtime law enforcement officers were close friends of his who became court officers after retiring from their departments. 'Our hearts are torn apart. ... I have known them for over 30 years. It's a sad day,' Sheriff Paul Bailey said of the bailiffs. Bailey said it does not appear that Gordon was handcuffed, adding authorities had 'no warning signs' that the suspect would be violent. The inmate shot a sheriff's deputy, killed the bailiffs and then shot a civilian in the arm in a public area, the sheriff said. During the incident, Bailey said Gordon took hostages for a short period before trying to leave through another door. People scrambled for cover inside the Berrien County Courthouse in St. Joseph, a city about 100 miles northeast of Chicago, when the prisoner opened fire at around 2.30pm The inmate then was fatally shot 'by two other bailiffs who came to render aid, along with several other officers,' Bailey said. 'He was trying to escape,' the sheriff said. Larry Darnell Gordon was trying to escape custody when he grabbed a gun from an officer, killing two bailiffs in a Michigan courthouse on Monday Zangaro was head of court security. He retired from the Michigan State Police as commander of the Bridgman Post in Berrien County. Kienzle retired as a sergeant of the Benton Township police department after serving in the U.S. Army. Both had been employed by the court for more than a decade. The injuries suffered by the deputy and the civilian weren't considered life-threatening. Bailey said the deputy, 41-year-old James Atterberry Jr., had surgery on his arm and is 'doing fine.' He said the civilian was a woman who also suffered an arm injury. He did not identify her. Bailey said the courthouse would be closed on Tuesday. Earlier on Monday, he said the shooting is being investigated by the sheriff's department as well as by the St. Joseph's Police Department and Michigan State Police. In an interview, Gordon's ex-wife said she spoke with him Sunday and had no indication he was planning an attack. Jessica Gordon said her ex-husband was 'an amazing man that got mixed up with the wrong people,' WOOD-TV reports. Jessica said he was probably afraid of going to prison and wanted to 'get home to our baby girl.' She said that Gordon and his 6-year-old daughter Cheyenne were very close. She later said in an interview with the Detroit Free Press: 'Hes not a monster.' Berrien County Sheriff Paul Bailey said 'brave officers' took down the gunman, who was an in-custody inmate who had grabbed a deputy's weapon and started shooting Two bailiffs were killed and two more people more injured before the suspect was fatally shot by other officers on Monday Police vehicles are seen at the entrance to the Berrien County Courthouse in Michigan on Monday Governor Rick Snyder cut short a visit to Midland and traveled across the state to St. Joseph to meet with investigators and victims' families. Snyder called it a 'terrible day in a wonderful community.' Earlier on Monday, Snyder said the courthouse had been secured and that an investigation into the shooting is underway. 'Please join me in praying for those affected. We will share more information here from MSP as it becomes available,' Snyder added. Republican presidential hopeful Donald Trump tweeted about the incident shortly after news broke. 'Thoughts and prayers with the victims, and their families - along with everyone at the Berrien County Courthouse in St. Joseph, Michigan,' the 70-year-old wrote. Berrien County, which borders Indiana, is in the far southwestern corner of Michigan. Angry: Elly Mortimer, 46, painted herself blue along with more than 3,000 other people for an iconic piece of nude art only to be left outraged when she was banned from Facebook for uploading selfies A social worker painted herself blue along with more than 3,000 other people for an iconic piece of nude art only to be left outraged when she was banned from Facebook for uploading selfies. Elly Mortimer, 46, was blocked from using the social media site for three days after sharing pictures of the event with her friends. The ban was enforced despite images of the 'spectacular' installation by artist Spencer Tunick being widely published in the national and international Press. Ms Mortimer spoke of her shock to discover a message from Facebook saying the site 'restricted the display of nudity' after uploading her pictures of Saturday's event in Hull, East Yorkshire. She said: 'I can still see my profile but I can't comment or share anything. 'I can't even use Messenger to talk to my friends. 'I think it's absolutely absurd. This was an event that was trending everywhere and covered in all the news so why am I the one getting banned from Facebook?' Ms Mortimer added: 'I think it is well worth highlighting the contradictions with some of the things that they do allow on there. 'I was really shocked I had been banned. I have emailed them to complain about my ban but they don't make it easy to get in touch with them.' Despite her slapped wrist from Facebook, Ms Mortimer, who lives with her partner in Hull, says she is still on a high after taking part in the UK's biggest ever art installation. She said: 'It was just fantastic. It was as if getting up and getting naked in the middle of Hull city centre with over 3,000 other people is something everyone does every day. 'It gives me goosebumps thinking about it now.' Other friends of Ms Mortimer who also took part in the event and posted their own snaps on Facebook have had their pictures removed but haven't been banned. Iconic art: The ban was enforced despite images of the 'spectacular' installation by artist Spencer Tunick (pictured) being widely published in the national and international press Selfies: Ms Mortimer spoke of her shock to discover a message from Facebook saying the site 'restricted the display of nudity' after uploading her pictures of Saturday's event in Hull, East Yorkshire Helen James, 38, who had her posted images taken down, said: 'It's just a joke really. Thousands of people shared the same pictures so why was mine taken down? 'The thing I can't understand is how anyone can be offended by it? 'The thing is because of who I work for, I have to be really careful of what I post on Facebook and if I thought what I posted was in any way offensive, I would have never put it on.' Sue Robertson, 52, from Paull, East Yorkshire, also voiced her anger. She said: 'I had people commenting on my photos saying this is just pornography and asking, "Why are you satisfying this pervert's needs?" 'It was all really really disappointing, especially after my post was taken down. Frustration: Other friends of Ms Mortimer (pictured) who also took part in the event and posted their own snaps on Facebook have had their pictures removed but haven't been banned 'This was such a marvellous day in Hull so why can't we share this experience?' Despite Facebook's restrictions, Ms Mortimer says she would do it again if she could. She said: 'It made me proud of Hull, proud to be from Hull and proud of the city's City of Culture 2017 title.' Facebook said in a message sent to users: 'We restrict the display of nudity. Some descriptions of sexual acts may also be removed. 'These restrictions on both the nudity and sexual activity also apply to digitally created content unless the content is posted for educational, humorous or satirical purposes. 'We remove content that threatens or promotes sexual violence or exploitation. This includes solicitation of sexual material, any sexual content involving minors, threats to share intimate images and offers of sexual services. Former GOP presidential hopeful Jeb Bush shared some rather dismal predictions for a Donald Trump presidency, but didn't discount that it could happen. Bush sat down for his first conversation since Trump became the presumptive Republican nominee chatting with his brother's former White House communications director Nicolle Wallace on MSNBC. The former Florida governor, who dropped his bid for the White House in February, on the night of the South Carolina primary, said voters will 'feel betrayed' by Trump because some of his campaign promises from building a Mexican border wall to banning non-American Muslims from the country would never materialize. He also told Wallace, who started her career as his press secretary, that he would feel 'sad' if Hillary Clinton won the White House, but 'worried' if Trump was triumphant. 'That's why I can't vote for either candidate,' Bush revealed. 'I can't vote for Donald Trump and I can't vote for Hillary Clinton. It breaks my heart,' he said. 'This is my first time in my adult life I'm confronted with this dilemma.' Scroll down for video Former Florida Gov. Jeb Bush made comments today about Donald Trump, suggesting that if he were to get elected than the electorate would be disappointed Former GOP hopeful Jeb Bush (left) sat down with his brother's former White House communications director Nicolle Wallace for a conversation to be aired tonight on MSNBC Looking back at what happened throughout the race, Bush threw a couple of backhanded compliments at Trump's way. 'Trump, you know, to his credit was very smart at exploiting these kinds of opportunities,' Bush told Wallace as they sat down near his family's compound in Kennebunkport, Maine. 'He's a master at understanding how the media works more than anybody Ive seen in politics,' the former governor continued. 'Kudos to him for, you know, kind of creating the environment and then manipulating the environment to his effect,' Bush added. Bush, who had a well-funded super PAC to do his bidding, was branded early on as a frontrunner, with many political prognosticators expecting a do-over from 1992, a Bush against a Clinton. But the Republican electorate was not interested in voting for the old guard, with Trump and also Sen. Ted Cruz, who's often broken ranks with his colleagues on Capitol Hill, pushing them rightward, as two of the last three candidates standing. 'They wanted their voice heard. They still do,' Bush said of Republican primary voters. 'They're angry for legitimate reasons. They latched onto the big horse. All of which is logical to me in retrospect.' 'In the midst of it, it wasn't very logical,' he added, noting that 'no wise person' Bush knew had predicted the political rise of Donald Trump. Before there was 'Lyin' Ted' and 'Little Marco,' Trump branded Bush as 'low energy,' a moniker that plagued the wonkish and often soft-spoken ex-governor throughout his campaign. Bush insisted that he was the Republican, in the very crowded field, who did push back against Trump's insults. 'if you look at the campaign I spent most time pushing back on all that nonsense,' Bush said. 'Everybody else was in the witness protection program for a while.' After Bush dropped out the wounds still festered. 'So, you know, kudos for the guy for his, you know, I think he just he plays by his gut,' Bush said. 'He sensed an opening this deep disaffection and he played it like a Stradivarius violin,' the politician added. Moving forward, Bush won't endorse Trump. He won't come to the convention. And he articulated to Wallace why he plans to abstain from voting in November. 'Yeah. I can't do it. I can't do it,' Bush said, expressing surprise when Wallace admitted that she couldn't vote for the McCain-Palin ticket in 2008 because she doubted the Alaska governor's qualifications to be vice president, which was documented in the book and then movie 'Game Change.' Bush gave his ex-aide some grief for that decision saying that vice president and president are different and that McCain 'got over that hurdle in flying colors.' But Wallace countered and asked what would happen if nobody votes. 'I mean that's not what you want. You're the son and brother of two commanders in chief. We live in extraordinary times,' she argued. 'I respect people going through the process and saying this is a binary decision. I've heard that term, fancy language,' Bush replied, but stood his ground. 'Yeah. I can't do it. I can't do it,' he said, telling Wallace to 'sort it out' for herself. 'I've reached my conclusion after deep thought and prayer about this,' Bush said. When asked who would be the better commander-in-chief, Bush couldn't choose on that question either. 'Neither for me. And you're not going to get me to change that,' he replied. 'This whole last two weeks with Hillary Clinton where she was indicted, effectively by the FBI director, you know, everything but the indictment took place, basically said that she lied,' he explained. He said there was a 'pattern there' with the likely Democratic nominee that he couldn't 'get past.' 'And Donald Trump does the exact same thing in a different way,' Bush added. 'We're in perilous times in our country and we need principled centered leadership.' Jeb Bush isn't alone in his resistance to Trump. His father, former President George H.W. Bush, and his brother, President George W. Bush, both won't be attending the Republican National Convention, which kicks off next week in Cleveland, Ohio. The former Florida wouldn't reveal who the elder Bush and his mother, Barbara Bush, planned to vote for because he said he didn't know. 'I'm not really asking them. I don't want to ask,' he said. Today, once Bush delivered his half-hearted 'kudos' to Trump, he explained how the presumptive nominee will be a disappointment when elected. 'The tragedy of this though, is that there isn't going to be a wall built. And Mexico's not going to pay for it. And there's not going to be ban on Muslims,' Bush said, noting that it's 'like an alternative universe he created.' 'And people are going to be deeply frustrated and the divides will grow in our country,' Bush continued. 'This extraordinary country, still the greatest country on the earth, will continue to stagger instead of soar.' 'And that's the heartbreaking part of this,' Bush added. 'Is that I think people are really going to be betrayed.' Bush then gave some more 'kudos' and a final dose of shade. 'So ... kudos for Trump for winning the nomination. It was extraordinary. And you can't - can't take that away from him,' Bush said. 'He's the presumptive nominee and he earned it.' 'Now he needs to run for president,' Bush said. A black surgeon who helped save the lives of police officers shot in the Dallas attacks said he understood the anger driving recent protests across the United States. 'This killing, it has to stop. Black men dying, it has to stop,' Brian Williams, staff surgeon at Parkland Hospital, which received the five officers killed and nine wounded when black veteran Micah Johnson opened fire at a peaceful protest on Thursday. Johnson told police he was carrying out the horrific attack because he wanted to kill white people, 'especially white officers' in the wake of last week's fatal shootings of black men by officers in Baton Rouge, Louisiana, and outside St. Paul, Minnesota. Scroll down for video Brian Williams, staff surgeon at Parkland Hospital aid he could understood the anger driving recent protests across the United States. Courtesy KDFW/ FOX 4 Williams, who was part of a team of medical team who treated the wounded and dying officers, denounced the shooting and insisted that people of all races need to come together to 'end all this.' But he admitted that, as a black man in Texas, he felt conflicted. 'I understand the anger and the frustration and distrust of law enforcement, but they are not the problem,' he said as he fought to hold back tears. 'The problem is open discussions about the impact of race relations in this country. And I think about it every day, that I was unable to save those cops when they came here that night. It weighs on my mind constantly.' The doctor said that he sympathized with the fear felt by so many African Americans during encounters with white police officers, Fox News reports. 'It's much more complicated for me personally because it's not just about that one night,' Williams told a news conference on Monday. 'It's about the racial undertones that affect all of this, so it began for me long before those cops came in the door that evening.' Williams said he often tended to pay for police officers' meals when he saw them dining in Dallas restaurants, to show his children he respects law enforcement. 'This killing, it has to stop. Black men dying, it has to stop,' Brian Williams, staff surgeon at Parkland Hospital, which received the five officers killed and nine wounded in Thursday night's attack Williams, staff surgeon at Parkland Hospital, was speaking at a press conference held today at the hospital in Dallas But he added that as a black man: 'I also personally feel and understand that angst that comes when you cross the path of an officer in uniform and fear for your safety.' However, Williams did not let his own conflicted opinions get in the way of his work. 'I want the Dallas Police to also see me, a black man, and understand that I support you, I will defend you and I will care for you,' he said. 'That doesn't mean that I do not fear you. That doesn't mean if you approach me, I will not immediately have a visceral reaction and start worrying for my personal safety.' 'When those three police officers came through the door, those initial ones, not for a second did he think about anything that was going on or did it compromise him caring for them,' Captain Dan Birbeck of the Dallas City Hospital District Police said at the same news conference. 'That to me was very reassuring.' Dr. Todd Minshall, the chief of surgical critical care at Parkland and a white colleague of Williams, said the reaction of staff to the injured officers went beyond race. 'We don't care what color you are, what race you are, what creed you are,' Minshall said. 'When you come here, we'll treat you.' The St. Paul killing occurred during a traffic stop allegedly over a broken headlight on the car of Philando Castile, 32. Black veteran Micah Johnson opened fire at a peaceful protest on Thursday, killing five cops and injuring 12 Johnson told police he was carrying out the horrific attack because he wanted to kill white people, 'especially white officers' in the wake of last week's fatal shootings of black men by officers in Baton Rouge, Louisiana, and outside St. Paul, Minnesota He was shot while reaching for his driver's license after telling an officer he was legally carrying a firearm, according to his girlfriend, Diamond Reynolds. She broadcast the shooting's bloody aftermath on the internet. Minnesota Governor Mark Dayton said last week the shooting appeared to have a racial component. 'Would this have happened if the driver and the passengers were white? I don't think it would have,' Dayton told reporters on Thursday. 'This kind of racism exists and it's incumbent on all of us to vow and ensure that it doesn't continue to happen.' An attorney for Officer Jeronimo Yanez, who shot Castile, denied on Saturday that race was a factor in the shooting. The mass shooting, the deadliest incident for U.S. law enforcement since September 11, has rocked the Dallas community. Johnson, a veteran, was sent home from Afghanistan in disgrace after he was accused of stealing women's panties in 2014. A friend of Johnson, who was in the same 420th Engineer Brigade with the American Army private, said he had noticed a change in the veteran after the allegations. 'When he came back from Afghanistan, he got in touch with some bad folks and went all Black Panther,' a friend in the 420th Engineer Brigade, who asked to remain anonymous, told Fox News. On Facebook, he identified himself as a 'black nationalist' and gave a black power salute. He 'liked' several pages including the New Black Panther Party, the African American Defense League and the Nation of Islam. He attended a gym called Academy of Combat Warrior Acts, which teaches martial arts and weapons classes. During the standoff with police on Thursday, he said he wanted to kill white officers. Theresa May is expected to trigger the formal process to quit the European Union by the end of this year. Chris Grayling, one of her key allies and the Commons Leader, suggested that Britain will leave the EU before the next election. Mrs May said repeatedly during her leadership campaign that although she backed staying in the bloc, 'Brexit means Brexit'. The process of exiting the EU begins when the UK invokes Article 50 of the Lisbon Treaty, which sets a two-year deadline to complete the process. Theresa May is expected to trigger the formal process to quit the European Union by the end of this year Mr Grayling, a Brexiteer who nevertheless backed Mrs May for the leadership, is expected to play a key role in EU negotiations. He told the BBC's Sunday Politics programme: 'She's said that we will trigger Article 50 around the end of this year. 'There is then a two-year time frame and the next general election is 2020. So I can't see any circumstances in which we wouldn't have by 2020.' Liam Fox, a former leadership contender who is also expected to play a role in Brexit, said that he expected the UK to leave on January 1, 2019, which would avoid making it an election issue. However, constitutional experts have previously warned that Brexit could take years. Sources have said that Whitehall will be completely overwhelmed by the task of pulling out of the EU after the nation decisively voted for Brexit. Parliament including the House of Lords, the devolved administrations, the European Parliament and individual member states could hold up the 'fantastically complicated' process. Constitutional experts, speaking on the condition of anonymity at a Westminster briefing, warned that there could be extensions to that two year deadline once Article 50 is triggered. Chris Grayling (pictured), one of Mrs May's key allies and the Commons Leader, suggested that Britain will leave the EU before the next election But it would have to be done with the agreement of Britain and the unanimous approval of the European Council. 'It will all impose enormous burdens on parliament and the civil service,' a constitutional expert said. 'A lot will fall by the wayside otherwise we have to double our reserves [in the civil service and parliament] to cope with Brexit.' Every select committee in parliament would be absorbed with inquiries on how Brexit would affect their particular area. It comes after Mrs May, 59, previously warned how immigration could surge as Europeans seek to enter the UK before Brexit takes effect. Mrs May, 59, previously warned how immigration could surge as Europeans seek to enter the UK before Brexit takes effect The future Prime Minister hoped to bring down net migration to 'sustainable levels' - but added that it was impossible to put a timescale on it. Speaking on ITV's Peston on Sunday show earlier this month, Mrs May said she would like EU migrants already in The UK to be able to stay after Britain leaves the bloc. She said: 'If we're looking ahead over the coming months and years once we get the issue of the EU negotiation sorted, the right deal for Britain, we may very well see in the run-up to that, people wanting to come here to the UK before that exit happens. 'So there are factors you can't always predict what the timing and numbers of those will be.' Mrs May also appeared to back away from the Conservative Party's long-standing target to get net migration down to the tens of thousands talking instead of 'sustainable levels'. She said: 'There's still a job to be done from people outside the EU. There's also, of course, the future negotiation in relation to free movement for people coming from inside the EU. 'I'm very clear the Brexit vote gave us a very clear message from people that we couldn't allow free movement to continue as it had hitherto. 'We need to bring control into movement of people coming into the UK from the EU. 'So we've got to move ahead looking across immigration dealing with both those types of immigration. 'But still I believe we should have that goal of bringing immigration down to sustainable levels.' She added that she wants to 'guarantee the position' for EU citizens currently living in the UK and British citizens living in EU countries. Mrs May said: 'What's important is there will be a negotiation here as to how we deal with that issue of people who are already here and who have established life here and Brits who have established a life in other countries within the EU. Melbourne could feel as cold as zero degrees on Tuesday as a low pressure system causes temperatures to plummet across the east coast. The winter weather will hit Sydney on Wednesday making it the coldest forecast day of the year both there and in the Victorian capital. Victoria, New South Wales, Tasmania and South Australia will all be hit by a damaging cold front on Tuesday evening, and the freezing air mass behind it will cause the mercury to dip even lower. Scroll down for video Winter wonderland: Children embracing the snow after a sudden hailstorm in Melbourne on Tuesday As Australia braces for a freezing cold week those at ski fields such as Mount Buller in Victoria are rejoicing Cars were covered on snow at the Mount Buller village on Tuesday morning Weather map, pictured above, shows the lowest temperatures over the next three days in Australia's main cities Snow bunnies will enjoy a possible 28 centimetres of snow expected on Tuesday at the fields It was a gloomy start to the day in Melbourne as the Victorian capital braces for winter weather Temperatures in Melbourne (pictured) are expected to feel as cold as zero degrees this week Victoria, New South Wales, Tasmania and South Australia will all be hit by a damaging cold front on Tuesday In Victoria there is a severe weather warning issued for much of the state, and damaging winds of up to 100 kilometers/hour are forecast. Hardest hit will be the Wimmera, North Central, North East, South West, Central, West and South Gippsland and East Gippsland forecast districts, particularly about elevated areas. The alpine regions could see winds reach 110 kilometres/hour. Areas as low as 300m above sea level could see snowfall on Tuesday evening and Wednesday morning. By Wednesday a wind chill factor will make it feel close to zero in most areas, including Melbourne. There are also flood warnings in the northeast of the state, according to senior Bureau of Meteorology forecaster Stephen King. The SES says people should move cars under cover or away from trees, secure loose items and keep clear of fallen powerlines. Melbourne residents were woken to a sudden hail storm on Tuesday as the city braces for a freezing evening Large blocks of ice were found after a sudden hailstorm in Dandenony, south-east of Melbourne on Tuesday Areas as low as 300m above sea level could see snowfall on Tuesday evening Snow will continue to fall throughout the week at the ski fields Serious storms in South Australia caused widespread damage early this week Trees were uprooted as wild winds lashed the state bringing wind gusts of 90 kilometres per hour Mount Buller, about 200 kilometres east of Melbourne, is expecting heavy snowfall this week Already cold temperatures at the Victoria snow spot are set to drop even more, hitting minus nine degrees on Wednesday FOUR DAY FORECAST: WEATHER ACROSS AUSTRALIA Sydney Tuesday: Min 13, Max 21. Windy, cloudy Wednesday: Min 9, Max 14. Windy, mostly sunny Thursday: Min 6, Max 16. Partly cloudy Friday: Min 7, Max 17. Mostly sunny. Brisbane Tuesday: Min 15, Max 25. Partly cloudy Wednesday: Min 15, Max 20. Partly cloudy Thursday: Min 11 Max 18. Shower or two Friday: Min 12 Max 19. Shower or two Adelaide Tuesday: Min 7, Max 11. Possible showers. Thunderstorm Wednesday: Min 7, Max 13. Possible shower. Wind easing Thursday: Min 7, Max 14. Partly cloudy Friday: Min 5, Max 15. Mostly sunny Melbourne Tuesday: Min 10, Max 13. Showers. Windy Wednesday: Min 5 Max 11. Possible shower Thursday: Min 6 Max 13. Becoming cloudy Friday: Min 7 Max 14. Partly cloudy Perth Tuesday: Min 3 Max 15. Partly cloudy Wednesday: Min 3 Max 16. Mostly sunny Thursday: Min 5 Max 18. Possible late shower Friday: Min 11, Max 19. Shower or two Hobart Tuesday: Min 8, Max 14. Shower or two Wednesday: Min 4, Max 8. Shower or two Thursday: Min 5, Max 13. Showers Friday: Min 9, Max 14. Partly cloudy Source: Bureau of Meteorology Advertisement Meantime, snow has been forecast for the Adelaide Hills and South Australia's mid-north, while up to 80mm of rain is also expected in the hills by Tuesday afternoon, with flooding a possibility. The Bureau of Meteorology says very cold conditions are forecast for southern parts of the state with Adelaide tipped to have a top of just 11C. Wind gusts in excess of 90km/h are also possible, prompting a severe weather warning for the Eyre Peninsula, Yorke Peninsula, Kangaroo Island, the Mt Lofty Ranges and the southeast. On Monday, a 71-year-old man was killed when he was crushed by a falling tree at Tungkillo, in the Adelaide Hills. Strong winds on Sunday night and into Monday brought down dozens of trees, some onto parked cars and buildings, others across roads. Thousands of properties were also left without power and SA Power Networks said some could expect a lengthy period before services were restored. A skier takes to the race track at Mount Buller on Monday in temperatures of minus one degree Weatherzone meteorologist Kim Westcott said snow could be expected above 400m in Victoria on Tuesday evening and into Wednesday morning A skier flies past the photographer on Mount Buller in Victoria on Monday A snowboarder comes to a powdery stop on the slopes of Mount Buller in Victoria Weatherzone meteorologist Kim Westcott told Daily Mail Australia on Monday that the system would bring rain, snow and wind to the state. 'Melbourne today is pretty windy, there are northerly winds throughout the city at the moment that are looking to increase throughout the middle of the day before they peter off in the afternoon,' she said. 'Olympic Park has seen a gust of wind at 57km per hour early this morning and those north west winds are averaging 33km per hour which is pretty strong. Tourists walk in snowfall at Mount Butler Ski Resort in the Victorian Alps on Tuesday A child is pictured playing in the fresh snowfall at Mt Butler Ski Resort in the Victorian Alps Skiers embrace the freezing weather as they flock to the snows at Mt Baw Baw alpine village in Melbourne Dark clouds surround Flinders Street railway station as the city could feel as cold as zero degrees on Tuesday Grey clouds are rolling across Melbourne as the city will be hit by a damaging cold front on Tuesday evening Wind gusts have been recorded as even stronger at Melbourne Airport at 43km per hour and stronger still at Avalon at 69km per hour. 'You will have a bit of a battle with an umbrella, it's one of those days that you probably need a raincoat instead,' Ms Westcott said. The system will move over Melbourne on Tuesday, with very similar conditions and more showers. 'We could see snow above 400m during the evening and into Wednesday morning, but by Wednesday the temperature will get quite cold during the day, reaching a top of 11 degrees.' She said the wind will make it feel a fair bit colder, but showers will start to clear by Wednesday. An image of Chinatown in Sydney. The city is forecast to experience drier conditions than Melbourne but will be windy, with winds picking up to 55 km per hour on Tuesday morning Weatherzone meteorologist Kim Westcott said Wednesday in Sydney will be windy and cold with a top of 14 degrees but mostly sunny. Pictured is the Sydney Opera House Meanwhile in New South Wales a severe weather warning has been issued for damaging winds for people in the South Coast, Snowy Mountains and parts of the Illawarra, Southern Tablelands, South West Slopes and Australian Capital Territory Forecast Districts. The weather forecast for Sydney is looking drier than Melbourne, but winds are set to pick up, increasing to 55km per hour on Tuesday morning, before petering off in the afternoon. 'Wednesday will be windy and cold with a top of 14 degrees, it will be a pretty cold day for Sydney but mostly sunny with patches of cloud a bit later on and a bit of sunshine,' Ms Westcott said. 'When it's 14 degrees it will feel closer to around 10 or 11 degrees at times on Wednesday.' Towards Thursday and Friday and later on once that's passed, a large high pressure system will be coming in, bringing colder mornings for Victoria and New South Wales, sunny conditions and lighter winds. A cold dog sits with fallen hail at his owner's backyard in Melbourne Victoria, NSW, Tasmania and South Australia will all be hit by a damaging cold front on Tuesday evening Pictured: hail on cement in Bentleigh East in Melbourne's outer south-east on Tuesday Hail covered the grass in Dandenong in outer south-east Melbourne on Tuesday Residents of Melbourne took to social media on Tuesday to share pictures of the snow-like hail that fell People were making 'snow balls' with the hail that fell across Melbourne on Tuesday Many residents played with the snow-like hail that fell across Melbourne on Tuesday A motorist in Melbourne took a photo of hail falling in Dandenong in the city's outer south-east The hail that fell covered properties in Melbourne with snow on Tuesday Hail lined the streets and footpaths across Melbourne on Tuesday It will feel as cold as zero degrees in Melbourne this week, and snowfall is expected as low as 300 metres (pictured: hail in Melbourne on Tuesday) Victoria, New South Wales, Tasmania and South Australia will all be hit by a damaging cold front on Tuesday evening, and the freezing air mass behind it will cause the mercury to dip even lower (pictured: hail in Melbourne on Tuesday) Furious commuters hit by the cancellation of hundreds of Southern Rail services staged a protest on Monday evening after a minister appeared to reject their calls to renationalise the line. The beleaguered rail operator introduced its emergency timetable yesterday axing 341 services a day to avoid ad hoc cancellations as it struggles to cope with a union dispute and a series of sickie strikes. Passengers on the network, which is used by more than 120,000 people every day, have faced months of chaos already. But the reduced schedule quickly unravelled too as problems with the track and congestion triggered another wave of delays, hitting thousands of commuters. Protest: Commuters angered by delays to their train journeys have staged a demonstration at Victoria Station Making a point: More than 100 disgruntled rail users took to the concourse of the central London train station to protest after months of chaos caused by frequent cancellations by Southern Railway Demonstration: Brandishing placards reading 'We Pay You Delay', 'Ban The Fat Controller' and 'I've just quit my new job because of Southern! (left), the protesters chanted 'Southern Fail' through a megaphone (right) Hundreds protested at London Victoria station during rush hour. Demonstrators wearing devil costumes brandished a sign saying commuter hell and chanted Southern fail. Others directed their fury at transport minister Claire Perry, holding up signs asking: Where is Claire? Passengers last night said they were planning a fare strike, where they withhold payment for their tickets. A Southern Rail driver, who asked not to be named for fear of reprisals, said he was embarrassed to work for the company. Earlier, Mrs Perry inflamed tensions by boasting on Radio 4s Today programme that 95 per cent of trains on the revised timetable were running well and that only 15 per cent of services had been axed, prompting presenter John Humphrys to exclaim: Only? By the afternoon, almost one in five of the reduced services were delayed or cancelled. More than 12,000 people have so far signed a petition for the Department for Transport (DfT) to renationalise Southern. But Mrs Perry appeared to dismiss this, saying the problems with industrial action as well as engineering works would continue regardless of whose name was on the door. Mrs Perry said 65 per cent of delays were due to the 7billion Thameslink project to update the rail network. The DfT has warned it will be another two years before the project is completed. '#Southernfail': Activists take part in a protest against Southern Rail at Victoria train station in London today Commuters strike in Victoria Station in protest that their trains are often delayed on the Southern Network Many passing commuters making their way home on Southern services signalled their approval to protesters Demonstrations: Passengers have complained about not getting home from work in time to see their children. One protester holds up a sign which reads: 'Southern is making our lives a misery' HARD-WORKING FAMILIES HAVE BEEN TORN APART BY UNION SQUABBLING CRISIS IS PULLING ME APART Protest: Tom Walters hasnt seen his son, Archie, on weekdays for more than two months because of the rail chaos Tom Walters hasnt seen his son, Archie, on weekdays for more than two months because of the rail chaos. He pays 3,000 a year to make the 35-mile journey to the University of Sussex in Brighton, but cancelled services and other problems often make him more than two hours late. The communications manager, who helped set up the protest at Victoria yesterday, said: Im being pulled apart by this crisis. He added: My eight-month-old baby boy doesnt see his father in the week because Im not back in time. My worst journey was four-and-a-half hours its a distance of 35 miles! They were literally making it up on the spot. TEMPERS ARE FRAYING Hannah Walsh, a 36-year-old communications consultant, accused ministers of closing their ears to the crisis. Despite paying nearly 3,000 a year to travel from her home in Redhill, Surrey, to London, she was recently forced to abandon one commute when her train ground to a halt. Recurring delays have left her stranded for hours, leaving her unable to see her two-year-old son before bedtime. Mrs Walsh, who is five months pregnant, said the strain of commuting was becoming unbearable, adding: If you miss one train you are often left waiting for hours. You can see tempers fraying and people becoming more and more angry on the platforms. I CANT TAKE THIS ANY MORE Claire Heath, 40, wants the Government to help commuters claim compensation from train operator Govia. The property investment manager is so fed up, she is considering taking a job closer to where she lives in Haywards Heath, West Sussex, even though it could mean having to take a pay cut and being forced to downsize her home. She has faced daily delays of 105 minutes on the journey home from London, with the 35-mile trip meant to take only 45 minutes. She said: I cant take this any more. I am beyond exhausted. Commuters are at the end of their tether. Southern need to lose the franchise. DELAYS FORCED ME TO QUIT Natalie Georgoulopoulos has quit her job after being repeatedly late on her journey from Norbury, south London, to Woking, where the 26-year-old works in childrens services for Surrey Council. She resigned last week over the hell of late and cancelled trains. For eight months there have been delays 80 per cent of the time, she said. A few weeks back I left home at 7.30am and didnt get to work until 11am two hours after I was supposed to arrive. WORST SERVICE FOR 19 YEARS Publisher Anna OBrien, 42, who pays 15 a day, said her train had been late every day for weeks. The 35-mile journey from her home in Portslade to Chichester, West Sussex, should take 50 minutes but now adds up to three hours to her daily commute. She said she had to rely on the goodwill of her boss in letting her leave early so she can see her young daughters, adding: The train service is less reliable than at any point in my career. Advertisement Liberal Democrat peer Baroness Randerson accused the Government of a limp response to the crisis, adding: Enough is enough. When will the Government finally step up to its responsibility and take over this franchise? Passengers at the protest also called for urgent action. Oliver Lewis, 29, a student from London, said: Southern Rail need to be stripped of the franchise immediately, its not good enough. It is the commuters who are suffering. Hannah Walsh, 36, a communications consultant, accused Transport Secretary Patrick McLoughlin and Mrs Perry of closing their ears to the crisis. Mother-of-two Georgia Bettes, 35, said her husband Sean had been unable to see their children in the evening, adding: My children ask me if he will read a story to them that night. Its heartbreaking. Among delayed services yesterday was the busy Brighton to London line, after a problem with the power supply forced operators to close one of the tracks. Southern, owned by Govia Thameslink Railway (GTR), blames high levels of staff sickness as well as industrial action by the Rail, Maritime and Transport union in a dispute over the role of conductors Southern's passenger services director, Alex Foulds, said when the changes were announced last week that the cuts would mean 'a better, more consistent service'. A protester holds a placard aloft Long waits: Commuters Ian Smith and Alex Snelling protest against Southern in Victoria Station earlier today Protesters brandished placards reading 'We Pay You Delay' 'Ban The Fat Controller' and 'Southern Fail' The bitter dispute between rail operator Govia, which runs Southern, and union barons revolves around plans for train drivers to operate the doors rather than conductors. The Rail Maritime and Transport Union is concerned conductors could lose their jobs but the Government insists this will not happen. There have been four 24-hour walkouts since April. Rail bosses blame the continued problems on a surge in conductors calling in sick, so-called sickie strikes. Southern has rejected an offer by the RMT to suspend industrial action for three months if Southern pushes back the start date of August 21 for the plans. A founder of the Minuteman border watch group was sentenced to 19 years in prison by an Arizona court on Monday for molesting a five-year-old girl and showing her pornography. Chris Simcox, 55, was sentenced by Maricopa County judge Jose Padilla, who said the crime was 'the worst thing in the world' for the family of the victim. Prosecutor Yigael Cohen, who had sought a 36-year-sentence to keep Simcox away from kids as long as possible, said the man hasn't taken responsibility for his actions, adding: 'He is not to be trusted in society. He is not to be trusted with a child.' Child molester: Chris Simcox (left and right), 55, was sentenced Friday to 19 years for sexually abusing a five-year-old girl and showing her pornography. He had been found not guilty of sexually abusing a girl, six, in June Chained at the hands and feet and wearing a black-and-white jail uniform, Simcox declined to make a statement and shook his head as he was sentenced. He had previously denied the allegations. Simcox escaped a mandatory life sentence in June after a jury acquitted him on a separate charge of engaging in sexual conduct with a six-year-old girl. The Minuteman founder made an unsuccessful attempt last year to get Padilla removed from the case, arguing that he believed the judge was a member of two Hispanic civil rights organizations and tried to help people who are in the country illegally. Judge: Maricopa County judge Jose Padilla performed the sentencing. Simcox previously tried to have Padilla removed from the trial on the basis that he is a member of Hispanic civil rights groups Although he isn't a lawyer, Simcox represented himself at trial. He had insisted that he should be allowed to personally question the girls on the witness stand. The judge said that would cause the girls emotional distress. In the end, Simcox got an attorney to pose the questions. Simcox's arrest in 2013 came after his career as an advocate for tougher immigration policies had fizzled. The Minuteman movement gained attention in 2005 when illegal immigration heated up as a national political issue. Minuteman volunteers fanned out along the nation's southern border to watch for illegal crossings and report them to federal agents. The movement splintered after Simcox and another co-founder parted ways and headed up separate groups. A young boy who was found unresponsive in a pool just hours into a Royal Caribbean cruise died just two days after being rushed to the hospital. Prince Adepoju, 8, of Maryland was believed to have been underwater for eight to ten minutes in one of the pools aboard the Anthem of the Seas when he was found on June 30, forcing the boat to turn around just a few hours into its journey. He was rushed to Staten Island University Hospital in a helicopter where he passed away on July 2 according to authorities. His death was ruled an accident. Scroll down for video Tragedy: Prince Adepoju, 8, died on July 2 after being found unresponsive in a pool (above) on June 30 aboard Royal Caribbean's Anthem of the Seas Rush: He was rushed to Staten Island University Hospital in a helicopter (above) and in intensive care for two days 'We are heartbroken to learn the news,' Royal Caribbean aid in a statement. 'Our thoughts and prayers are with the family at this terribly sad time.' A spokesperson for the medical examiner's officer told SILive.com in an email: 'The cause of death is drowning, with contributing condition of seizure disorder.' It is still unclear how he wound up in the pool and hi family has yet to comment on the tragic accident. Royal Caribbean said in a statement shortly after the incident last month: 'On Thursday, June 30, an eight-year old boy onboard Anthem of the Seas was treated by the ship's medical team after an accident in one of the ship's swimming pools, but required additional medical attention. 'The ship altered its course and sailed back towards Bayonne, New Jersey. The boy was evacuated and treated at the hospital.' It has not been the smoothest year for the Anthem of the Seas since it launched in February 2015, just over a year ago. On February 7 of this year it encountered 30-foot waves and hurricane force winds as its 4,500 passengers remained hunkered down for hours off the coast of North Carolina. Horror: The young boy had been on vacation with his family and the death was ruled an accident (Anthem of the Seas above) Royal Caribbean said in a statement at the time that the ship experienced 'extreme wind and sea conditions' that were not expected but said the experience was evidence they needed to make some changes. 'The event, exceptional as it was, identified gaps in our planning system that we are addressing,' the company said. 'Though that system has performed well through many instances of severe weather around the world, what happened this week showed that we need to do better.' 'We apologize for exposing our guests and crew to the weather they faced, and for what they went through.' Donald Trump says he is looking to unify the Republican Party with his vice presidential pick, a sign he may be returning to a more traditional Republican candidate who might salve angry conservatives and establishment figures. Trump praised Gen. Michael Flynn, whose name has been floated as a finalist, in an interview with the Washington Post but then provided a series of arguments as to why it made sense to go in another direction. 'I have such great respect for the general, but believe it or not that will be one of my strong suits,' Trump said. 'I was against the war in Iraq from the start.' Trump said he would 'make my mind up over the next three to four days,' after tweeting July 6 that he would announce his decision in a day. Scroll down for video Trump said he very much likes 'the political,' which could be a sign he's moving away from retired Lt. Gen. Michael Flynn in his search for a vice presidential running mate 'In my mind, I have someone that would be really good,' Trump said. Other leading candidates the campaign has floated in recent days include former House Speaker Newt Gingrich, Indiana governor Mike Pence, and New Jersey governor Chris Christie. Flynn, who used to run Defense Intelligence Agency, has been advising Trump during the campaign. He wrote in an op-ed in the New York Post last week that the military wasn't interest in a fight against 'Islamic-inspired terror.' 'If our leaders were interested in winning, they would have to design a strategy to destroy this global enemy,' he wrote. 'But they don't see the global war. Instead, they timidly nibble around the edges of the battlefields.' New Jersey Governor Chris Christie said Monday Trump was a law and order candidate Former Speaker Newt Gingrich is a skilled debater who relishes in attacking Hillary Clinton Indiana governor Mike Pence, speaking before a charity bike ride, has legislative experience and conservative credentials that could help unify skittish Republicans A registered Democrat, he told ABC's 'This Week' on Sunday he was pro-choice, and drew immediate pushback. Women 'have to be able to choose' Flynn said. 'They are the ones that have to make the decision because they're the ones that are going to decide to bring up that child or not.' Flynn 'disqualified himself,' said Marjorie Dannenfelser, president of the Susan B. Anthony List, an anti-abortion group. Trump doesn't have a military background, but he regularly credits his own understanding of the use of force, and says he would be tough on ISIS and other U.S. foes. 'I have five people, including the general,' Trump told the Post's Chris Cillizza, despite a formal ban on reporters for the paper covering his rallies. 'I do like the military, but I do very much like the political,' Trump said, in a line reflecting earlier statements that he wanted a candidate with significant legislative experience who would know how to move an agenda. Trump indicated he's been studying up on the relative impact of past VP picks, including JFK's selection of formal rival Lyndon B. Johnson. 'History has said nobody ever helps,' Trump said, mentioning the Johnson pick as the last one that really mattered. 'I've never seen anybody that's helped.' Trump has been unusually open about his VP selection process assuming the names he's mentioned are the real list Trump stressed 'unification,' rather than someone with outsider credentials. 'I don't need two anti-establishment people,' Trump said. 'Someone respected by the establishment and liked by the establishment would be good for unification. I do like unification of the Republican Party.' His call for unification comes amid a plan by #NeverTrump members to try to dump him at the convention, and a skein of Republicans who are skipping the convention. Convention delegates will have to approve Trump's running mate, which makes it harder for him to make a pick outside the political norms. Trump and Christie appeared jointly at a Virginia Beach event Monday, and each cast Trump as a 'law and order' candidate in light of the Dallas police shootings. Gingrich laced into Hillary Clinton at a recent appearance with Trump. Two senators, Bob Corker of Tennessee and Joni Ernst of Iowa, took themselves out of consideration for the post. Two Republican House chairmen today officially requested that the U.S. Attorney for the District of Columbia investigate Hillary Clinton for perjury. House Oversight and Government Reform Committee Chairman Jason Chaffetz and and House Judiciary Committee Chairman Bob Goodlatte penned a letter to U.S. Attorney Channing D. Phillips. In it, they asked Phillips 'to determine whether former Secretary of State Hillary Clinton committed perjury and made false statements during her testimony under oath before congressional committees.' Scroll down for video House Oversight Committee chairman Rep. Jason Chaffetz penned a letter to the U.S. Attorney for the District of Columbia asking that he investigate Hillary Clinton for perjury CAPITOL GRILL: Comey answered questions in a House Oversight and Government Reform Committee hearing on Thursday IT AIN'T OVER: A new criminal probe would complicate Clinton's presidential run as she gears up to accept the Democratic Party's presidential nomination CHAIRS JASON CHAFFETZ AND BOB GOODLATTE WRITE A LETTER Dear Mr. Phillips: We write to request an investigation to determine whether former Secretary of State Hillary Clinton committed perjury and made false statements during her testimony under oath before congressional committees. While testifying before the House Committee on Oversight and Government Reform on July 7, 2016, Federal Bureau of Investigation (FBI) Director James Comey stated the truthfulness of Secretary Clintons testimony before Congress was not within the scope of the FBIs investigation. Nor had the FBI even considered any of Secretary Clintons testimony. Director Comey further testified the Department of Justice requires a criminal referral from Congress to initiate an investigation of Secretary Clintons congressional testimony. We are writing for that purpose. The evidence collected by the FBI during its investigation of Secretary Clintons use of a personal email system appears to directly contradict several aspects of her sworn testimony. In light of those contradictions, the Department should investigate and determine whether to prosecute Secretary Clinton for violating statutes that prohibit perjury and false statements to Congress, or any other relevant statutes. Thank you for your attention to this important matter. Advertisement Chaffetz first threatened this new criminal investigation into Clinton's classified email server on Thursday after asking FBI Director James Comey whether he had cause to charge the former secretary of state for lying in a statement she made to Ohio Rep. Jim Jordan during a 2015 hearing on the 2012 Benghazi terror attack. 'There was nothing marked classified on my emails, either sent or received,' Clinton said at the time. Comey said in a hearing Thursday that the FBI had not investigated whether that statement was true, despite finding three documents with classification markings among the messages on her private server. 'Not to my knowledge. I don't think there's been a [criminal] referral from Congress,' Comey said. Asked if he needed one, Comey told Chaffetz: '[I] sure do.' 'You'll have one,' said Chaffetz. 'You'll have one in the next few hours.' Under questioning from South Carolina Rep. Trey Gowdy, Comey agreed that 'there was classified information' on Clinton's homebrew server, and that Clinton's early denials in that regard were false. 'There was classified material emailed,' he said matter-of-factly. Asked whether it was accurate that none of those materials were 'marked' classified when Clinton sent or received them, Comey said: 'That's not true.' 'There were a small number of portion markings on, I think, three of the documents,' he said. Later in the hearing he was asked directly what offense lying under oath would be and what punishment it could lead to. 'Perjury,' he replied. 'Felony. I can't remember precisely... years in prison.' Comey was making his first appearance before Congress since announcing the agency's recommendation to not prosecute Clinton over her private email setup. PERJURY? Clinton said during an October 25, 2015 congressional hearing that 'there was nothing marked classified on my emails, either sent or received,' a statement that turned out not to be true GET READY: Comey (left) and Chaffetz (right) will be key figures in any new investigation moving foward HOT SEAT: FBI Director James Comey faced a grilling from Republican lawmakers on Thursday 'Hillary Clinton created this mess,' Chaffetz said, declaring that Clinton 'made a decision. ... to avoid and bypass the safety, the security and the protocol of the State Department.' 'There doesn't seem to be any consequence' for Clinton, he said. 'It wasn't just an innocent mistake. This went on for years.' Maryland Rep. Elijah Cummings acknowledged that 'there is a gap' between the evidence against Clinton that Comey outlined this week and the conclusions he reached. 'Even if it takes until hell freezes over, I beg you to close the gap.' Attorney General Loretta Lynch said Wednesday that she accepted the recommendations and findings of Comey and of her career prosecutors and would not file charges against Clinton. Lynch is likely to face questions of her own next week at a separate oversight hearing of the House Judiciary Committee. Clinton campaign spokesman Brian Fallon criticized the basis for Chaffetz's hearing on Thursday morning, saying in a statement that 'House Republicans are launching yet another taxpayer-funded sham of an inquiry to try to hurt Hillary Clinton politically.' 'For weeks Republicans have said they trusted FBI Director Comey to lead an independent review into Secretary Clinton's emails, but now they are second-guessing his judgment because his findings do not align with their conspiracy theories.' After the hearing had finished Fallon was singing a different tune. 'Despite the partisan motivations of this hearing, we are glad it took place and that Director Comey had the opportunity to expand upon his remarks from earlier this week,' he said. 'Director Comey's testimony clearly knocked down a number of false Republican talking points and reconciled apparent contradictions between his previous remarks and Hillary Clinton's public statements.' Fallon said Comey's testimony 'shut the door on any remaining conspiracy theories once and for all.' 'While Republicans may try to keep this issue alive, this hearing proved those efforts will only backfire.' Comey's decision, and the way he delivered it, infuriated Republicans who felt that the FBI director in his unusually detailed and critical televised statement Tuesday had laid out a sufficient basis for prosecution. 'There seems to be a double standard,' the committee chairman, Utah Republican Rep. Jason Chaffetz said on NBC's 'Today Show.' 'If the average Joe had gone through that, they'd probably have handcuffs on him and probably be in jail.' House Speaker Paul Ryan, a Wisconsin Republican, has said 'there are a lot more questions that need to be answered' and, in a letter to Director of National Intelligence James Clapper, requested that Clinton be barred from receiving classified briefings for the rest of the campaign a move that 'certainly constitutes appropriate sanctions.' THE QUESTION: Jim Jordan is the Ohio Republican congressman who asked Clinton last year whether there was 'marked classified' material on her private server FEEDING FRENZY: Capitol Hill turned into a circus on Thursday as Comey arrived to testify NO BRIEFINGS: House Speaker Paul Ryan of Wisconsin has asked the Director of National Intelligence to withhold classified national security briefings from Hillary Clinton as her campaign moves forward 'There is no legal requirement for you to provide Secretary Clinton with classified information, and it would send the wrong signal to all those charged with safeguarding our nation's secrets if you choose to provide her access to this information despite the FBI's findings,' Ryan wrote. Republican presidential candidate Donald Trump complained that the system was 'rigged' and Clinton 'made so many false statements. In a stinging assessment of her email practices as secretary of state, Comey rebuked Clinton and her aides for being 'extremely careless' in their handling of classified information and contradicted many of the defenses and explanations she's put forward for months. But he also said there was no evidence anyone willfully or intentionally mishandled classified information and that 'no reasonable prosecutor' would pursue such a case. Advertisement If events had panned out the way David Cameron had predicted, this was the week he should have been unveiling his new Cabinet. Until the shock Brexit vote, the Prime Minister assumed he had another three years left in power and had already decided who he was going to promote; and who to fire. By late tomorrow, he and his family will be out of Downing Street and in urgent need of a roof over their heads. Scroll down for video David Cameron walks back into 10 Downing Street yesterday after confirming he will step down tomorrow to make way for Theresa May Mr Cameron and wife Samantha have already served notice on the tenants in their 3.6million Notting Hill home (where they are pictured) David and Samantha Cameron after the christening of their daughter Florence Rose Endellion at St Mary Abbots Church in March 2011 Discovering on the morning after the referendum that they had just weeks left in their accommodation above No 11 Downing Street was already a shock. Now the Camerons have been turfed out two months early, they barely have time to gather their belongings, and nowhere permanent to go immediately in London. For their three children Nancy, 12, Elwen, ten, and Florence, five, it must be an extraordinarily traumatic and bewildering time. Amid the excitement of the end of a school term, the children face a summer of domestic upheaval that is likely to see them spending the summer in temporary accommodation in the capital. Leaving their Downing Street flat will be a wrench. Final briefing: Mr Cameron outside No 10 yesterday as he revealed he'll be leaving Downing Street and moving elsewhere in London The Camerons have been turfed out two months early, barely having time to gather their belongings, and having nowhere permanent to immediately go in London. The Prime Minister is pictured on the day after the referendum, announcing that he was to stand down A 75,000 PAYCUT David Cameron has already announced that he will stay on as an MP until the next election, which means his salary almost halving, from around 150,000 to 74,000. But he is likely to become the first Prime Minister in recent history to get three months severance pay a perk that was once reserved for other Cabinet ministers. He may ruefully recall that the price for that change was the scrapping of a pay-out previously given to PMs immediately upon resignation. In the past, they started receiving a non-contributory pension of around 66,000 a year, even if they were years off retirement age or still in the Commons. Instead he will get a standard ministerial pension worth around 20,000 from the age of 65. But he will also be entitled to a backbench MPs pension - still a gold-plated final salary settlement of around 26,000 a year that most workers can only dream of. Advertisement Though Samantha hated the fusty four-bedroom flat when they arrived in 2010, the couple spent tens of thousands in their own cash redecorating it, installing a top-of-the-range kitchen and open-plan sitting room. They have never loved it, but it has come to feel like home. Florence was born just months after they moved in, making it the only house in London she has known. Mr Camerons instinct will be to retreat to his peaceful constituency home in west Oxfordshire, a place the whole family loves. A few miles from Chipping Norton, the secluded Cotswold cottage offers far more privacy than anywhere in London, allowing them to live an almost normal life. Security is low key; they have many friends in the area and find it easy to relax. With more than a week until the school holidays, however, that wont happen. The property is more than 70 miles from London, making it totally impractical for getting to school. Last night, Mr Camerons spokesman was tight-lipped about where they would go for the rest of the week. A friend says mysteriously that they had made contingency plans in case the leadership contest turned into a swift coronation, suggesting they may have organised a short term rental property. The Camerons have already served notice on the tenants in their beloved 3.6million Notting Hill home, where they raised their late firstborn, Ivan. They had hoped it would be empty in time for them to move back in when the Prime Minister stepped down. But unfortunately the tenants are still in situ. Last month it emerged the Camerons had taken out a new mortgage on the Notting Hill property but No 10 sources deny it was to buy another home. Either way, where the family will live is a small matter relative to the question about what he and Samantha will do for the rest of their lives. Mr and Mrs Cameron are pictured walking with their children Elwen, Nancy and Ivan near their Oxfordshire home in 2007. The couple still have a 1.3million cottage in his Oxfordshire constituency BUT HE'S HUMMING Having just confirmed he had only two nights left in Downing Street, he could have been forgiven for appearing downbeat. But as David Cameron walked back into No 10 yesterday after announcing Theresa May as his successor as Prime Minister, a microphone pinned to his jacket captured him humming a few bars of a jaunty tune. He capped it off by saying Right as he reached the famous black door, leading to a flurry of speculation on social media over what the tune might be. Some commentators suggested Mr Cameron was humming the theme tune to US political drama The West Wing, while others said it was the opening bars of Channel 4s news bulletin, the theme to Black Beauty or even the title music for the 1963 war movie, The Great Escape. Advertisement The easy answer to that question is whatever Samantha wants, according to a friend. David will put her first now. Liberated from the constraints of being the wife of the premier, Samantha is now likely to step up her lucrative retail career. After a long and highly successful stint with luxury leather goods company Smythson, she is said to be planning to launch her own fashion label with her aide and stylist Isabel Spearman. After years of having to look the part of a premiers wife, she will be delighted to step out in edgier designs in keeping with the discreet dolphin tattoo on her ankle. As for the children, sooner or later, they are likely to move schools. Currently at a state secondary in Westminster, Nancy can now be educated privately, without it creating a big fuss. But the Camerons will need to decide whether to put her through even more upheaval by enrolling her in a new school. Elwen, who along with Florence is currently at a Kensington primary, is thought to be down for a prep school in south west London. What of Cameron himself? He loves being MP for Witney, where he has a comfortable majority. He has said he plans to continue in the role. Though he could continue as a backbencher for decades, its likely he will step down in 2020 in favour of a seat in the Lords. Friends expect him to emulate former prime minister John Major, taking on a couple of low-key but lucrative non-executive corporate roles, and maybe a job on the international stage. One things for sure hes seen the opprobrium Tony Blair has attracted for his global money making and, PR savvy to the end, independently wealthy Mr Cameron is sure to avoid that path out of Downing Street. How Samantha Cameron will say goodbye to the Downing Street home she helped design and where her children have spent most of their lives By Richard Spillett for MailOnline The Prime Minister will step aside tomorrow and allow his successor Theresa May to take over. But beyond the political ramifications of David Cameron's hand over of power to Theresa May, the PM's wife Samantha now faces leaving the building that she and her family have called home for six years. Here are some of the most memorable moments that the Camerons have spent in the house behind Britain's most famous front door. David and Samantha Cameron moved into Downing Street in May 2010 after the Tories were elected to government as part of a coalition Mr Cameron and his wife were welcomed into Downing Street by staff on the night on May 11 amid great political uncertainty But he soon formed a coalition with Nick Clegg's Lib Dems, with the pair giving their famous press conference in the No 10 garden With his premiership still in its infancy, the Camerons welcomed their daughter Florence - born three weeks early - to the home in 2010 Mrs Cameron was the natural host and went on to hold many receptions at the home, including this breakfast for working mothers She has welcomed several world leaders and their wives during their time in the Number 10 home, including Michelle Obama (pictured) The Obamas first came to Downing Street in May 2011, a year after Mr Cameron was voted in as leader. The two leaders posed for a cringe-worthy barbecue, while their 'first ladies' met servicemen and women Mrs Cameron helped redesign the kitchen in Number 11 - said to be very outdated - but was criticised by some for her expensive taste Mrs Cameron has seen many glamorous guests grace her halls, including Carla Bruni-Sarkozy wife of former French President Nicolas Sarkozy (left) and model Claudia Schiffer (right) Mrs Cameron made a swift return to Downing Street on a scooter in a snow flurry last year, passing an armed guard waiting outside She is pictured sharing a joke with Barbara Windsor during a street parting for the Duke and Duchess of Cambridge's wedding in April 2011 In a hard-hitting speech made in Birmingham Home Secretary Theresa May, who will become Prime Minister tomorrow, insisted she would break with the past to deliver serious change Theresa May yesterday set out to win over Leave voters by promising a government that works for everyone, not just the privileged few. She said she understood the anger that led to the humiliation of the political and financial elites of London and Brussels in the EU referendum. But the Home Secretary, who will become Prime Minister tomorrow, insisted she would break with the past to deliver serious change. In a hard-hitting speech in Birmingham, she sought to reach out to blue-collar workers who were the bedrock of Margaret Thatchers electoral triumphs. She unveiled plans to defend British firms from foreign asset-strippers, stop energy companies boosting bills, rein in rogue banks, instigate a massive house-building programme and invest more in infrastructure projects. Pledging new laws to block fat cat pay and bonuses, Mrs May said there was an irrational, unhealthy and growing gap between the sums paid to company executives and to workers. She also promised to tackle the scandal of multi-national firms that raked in billions of pounds from Britain yet paid tiny amounts in tax. It doesnt matter to me whether youre Amazon, Google or Starbucks, you have a duty to put something back, you have a debt to your fellow citizens, you have a responsibility to pay your taxes, she said. Mrs May vowed to get tough on irresponsible big businesses and crack down on individual and corporate tax avoidance and evasion. She said locals should reap the benefits of infrastructure projects such as new housing, roads and oil or gas exploration. Unless we deal with the housing deficit, we will see house prices keep on rising, she said. Young people will find it even harder to afford their own home. The divide between those who inherit wealth and those who dont will become more pronounced. Theresa May yesterday set out to win over Leave voters by promising a government that works for everyone, not just the privileged few Mrs May said she would shake up the rules on fat cat pay to allow shareholders to block remuneration packages that they believe are undeserved. Mrs Mays vision for Britain was also aimed at voters in Labour heartlands who rejected the EU because they were sick of the status quo. She vowed to fight for ordinary people facing job insecurity and spiralling energy bills, and who struggle to get on the housing ladder. The speech was made at the launch of her national campaign to become Conservative leader. But, just minutes after she had finished speaking, it emerged that leadership rival Andrea Leadsom had made the surprise decision to quit the contest placing Mrs May in Downing Street. Despite campaigning for Britain to remain in the EU, Mrs May dismissed calls for a re-run of the June 23 vote, firmly pledging instead to heed the will of the people by exiting the Brussels club. In a hard-hitting speech in Birmingham, she sought to reach out to blue-collar workers who were the bedrock of Margaret Thatchers electoral triumphs She said: There are politicians who seriously suggest that the Government should find a way of ignoring the referendum result and keeping Britain inside the European Union. And there are business leaders whose response has been to complain about the result and criticise the electorate. Well, I couldnt be clearer. Brexit means Brexit. And were going to make a success of it. LABOUR AND LIB DEMS DEMAND AN ELECTION Opposition parties last night called for an immediate general election. Labour, the Liberal Democrats and Greens heaped pressure on Theresa May to announce a snap election after she enters Downing Street. But Mrs May made it plain during her leadership campaign that she has ruled this out. She insisted there should be no second referendum on Brexit and that there should be no general election until 2020. Parliamentary terms are now fixed to five years. But a snap election can be called if the Prime Minister loses a confidence motion. Labours election co-ordinator Jon Trickett said: It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected Prime Minister. Liberal Democrat leader Tim Farron said: May has not won an election and the public must have their say. Green MP Caroline Lucas added: It is unacceptable that the next person to hold the top job in British politics is appointed by 60 per cent of Tory MPs. In 2007 Mrs May had similar thoughts after Gordon Brown took over from Tony Blair, saying: Whenever Gordon Brown chooses to call a general election, we will be ready for him. He has no democratic mandate. But yesterday she told Tory MPs that she would hold firm to a 2020 general election timetable. Advertisement There will be no attempts to remain inside the EU, no attempts to rejoin it by the back door, and no second referendum. The country voted to leave the European Union and as prime minister I will make sure that we leave. Trying to reach out beyond the comfortable middle classes, Mrs May said the Tories would be completely, absolutely, unequivocally at the service of ordinary working people. She added: Talk to almost any ordinary members of the public and the frustration they feel about the loss of control over their day-to-day lives is obvious. She distanced herself from criticism that David Camerons government was full of Old Etonians and had left normal people behind. Pledging to steer the economy through a period of post-Brexit uncertainty, she promised to allow more people to share in prosperity. There isnt much job security out there, she said. Some find themselves exploited by unscrupulous bosses. Some have found themselves out of work or on lower wages because of low-skilled immigration. Its harder than ever for young people to buy their first house. There is a growing divide between a more prosperous older generation and a struggling younger generation. And there is a gaping chasm between wealthy London and the rest of the country. When you add all of these things up, the only surprise is that there is so much surprise in Westminster about the publics appetite for change. Make no mistake, the referendum was a vote to leave the European Union, but it was also a vote for serious change. Theresa May was last night devising her first Cabinet, likely to be unveiled tomorrow night. As MPs jockey for position, the key challenge is to unite Remainers and Brexiteers in a way that will keep both sides of the party happy. Here, Political Editor JAMES SLACK examines the likely fate of the big players. Britain's new Conservative Party leader Theresa May (middle) speaks to members of the media at the St Stephen's entrance to the Palace of Westminster LEAVERS Boris Johnson The former London mayor sided with Andrea Leadsom, and has clashed with Mrs May most notably over the use of water cannon in the capital. But if the job was right, he could still serve. David Cameron earmarked him for defence. Could do the same job for Mrs May. Some Tories would like him made party chairman. Others want him banished to the wilderness. Michael Gove Has made it clear he would be happy to serve under Mrs May, despite previous clashes over policy on extremism. He is passionate about prison reform and could be asked to finish the job at the Ministry of Justice. Andrea Leadsom Mrs May prides herself on having made no deals whatsoever. Will decide which job to give her rival on merit. The junior energy minister had previously set her sights on the Treasury. Although that job seems well out of reach, she could be promoted to energy secretary or be given a key role in the business department. Chris Grayling Mrs Mays campaign manager is certain to be rewarded with a major role. Allies tip him for home secretary (he held the shadow post in opposition). Another option is work and pensions, where he served as minister of state when Iain Duncan Smith was in charge. Liam Fox The former defence secretary transferred his support to Mrs May after being eliminated from the leadership contest himself. A long-standing dinner companion of the new PM, and respected by the partys Right-wing, he is tipped for a big job and could even be made Cabinet Minister for Brexit. David Davis Has had his run-ins with Mrs May, but this serial rebel emerged as a key supporter during the leadership contest and is admired by her aides. In what would be a remarkable comeback, he could leave the backbenches and be handed a top Cabinet job, charged with working to bring the party back together. REMAINERS George Osborne After leading the Remain campaign scaremongering he stayed silent during the leadership contest. Key allies of the Chancellor, including Cabinet Office Minister Matt Hancock, joined Team Theresa. Finished at No 11 after a series of Budget slips, but could be hugely controversially offered a lifeline in the Foreign Office jetting round the world negotiating trade deals rather than Brexit. Alternatively, a home could be found for him at a beefed-up business department. Philip Hammond The Foreign Secretary was a key supporter of Mrs May and is favourite to become chancellor. Known to covet the job, he was shadow chief secretary in opposition and disappointed not to get an economic brief during the Coalition years. Michael Gove, pictured left, and Chancellor George Osborne, right, will both be hopeful of a Cabinet role under Theresa May Amber Rudd The Energy Secretary delivered a series of brutal put-downs to Mrs Leadsom. Tough and widely respected at Westminster, she will be promoted from her current brief and could make history as the UKs first female defence secretary. Brandon Lewis One of Mrs Mays earliest supporters, he was chosen to flank the new Tory leader when she delivered her victory speech yesterday. Tipped for transport secretary as Patrick McLoughlin, the current post holder, is expected to step down after a long career. Jeremy Hunt The Health Secretary lined up behind Mrs May after briefly considering running himself. Involved in a lengthy dispute over the junior doctors contract. If moved, the Home Office could be his destination. Justine Greening The International Development Secretary was the first Cabinet minister to endorse Mrs May. Promotion is likely with chief secretary to the Treasury one possibility. Michael Fallon The Defence Secretary has been a vocal cheerleader for Mrs May. A safe pair of hands, he was regularly sent on to the airwaves to fight fires by No 10. Touted as the next chief whip or leader of the Commons. OTHER KEY BACKERS Damian Green Played a key role in the May campaign and served under her for four years in the Home Office. Could finally be rewarded with a Cabinet post, such as culture secretary. James Brokenshire Has been a loyal minister in the Home Office, most recently taking blows for Mrs May on immigration. Mrs Mays other early backers include former culture secretary Maria Miller and Gavin Williamson, who is David Camerons parliamentary private secretary. Boris Johnson sided with Andrea Leadsom, and has clashed with Mrs May most notably over the use of water cannon in the capital. But if the job was right, he could still serve BACKROOM TEAM Fiona Hill Mrs Mays special adviser during her first four years in the Home Office, she lost her job after a briefing war with Michael Gove. Was campaign director during Mrs Mays leadership bid. Will fill one of the two big roles inside No 10, devising policy and running operations. Nick Timothy Chief of staff and speechwriter until last May, when he left to run the New Schools Network. Likely to fill a similar role inside No 10. Stephen Parkinson Another long-standing May adviser, he played a key role in Vote Leave. Likely to be given a job rebuilding No 10s relations with the grassroots. Liz Sanderson Special adviser to Mrs May since 2014, credited with softening her image. Joey Jones Formerly deputy political editor at Sky News, he recently joined the Home Office as Mrs Mays spokesman. Speaking from New York he described May's coronation as 'welcome news' George Osborne hailed Theresa Mays strength, integrity and leadership yesterday as he dropped heavy hints he wants to be the next Foreign Secretary. The Chancellor, who has frequently clashed with Mrs May over spending cuts, has made clear he wants to stay in the Cabinet promoting global trade in the wake of the Brexit vote. Speaking from New York yesterday, where he was on a trade mission, he described Mrs Mays coronation as very welcome news. George Osborne hailed Theresa Mays strength, integrity and leadership yesterday as he dropped heavy hints he wants to be the next Foreign Secretary We now have one person with the overwhelming support to be the countrys next Prime Minister, he said. I have sat round the Cabinet table with her for six years and she has got the integrity, strength and leadership our country needs. In Britain, here in New York, and around the world, the British economy needs certainty. It is in everyones interest that she takes up that position as Prime Minister in the coming days. Mr Osbornes six-year tenure at the Treasury looks almost certain to come to an end this week following his controversial role in the referendum campaign, when he was the architect of so-called Project Fear. The Chancellor enraged Brexit campaigners by warning he would impose a brutal punishment budget involving swingeing tax rises and spending cuts if Britain voted to leave the EU. Mrs May has already said she will ditch his target of balancing Britains books by 2020 rather than impose an eye-watering Brexit budget. UK TO TRIGGER BREXIT TALKS BY THE END OF THE YEAR Theresa May is expected to trigger the formal process to quit the EU by the end of this year. Chris Grayling, one of her key allies and the Commons Leader, suggested that Britain will leave the EU before the next election. Mrs May said repeatedly during her leadership campaign that although she backed staying in the bloc, Brexit means Brexit. The exiting process begins when the UK invokes Article 50 of the Lisbon Treaty, which sets a two-year deadline. Mr Grayling, a Brexiteer who still backed Mrs May for PM, is set to play a key role in EU negotiations. He told the BBCs Sunday Politics programme: Shes said that we will trigger Article 50 around the end of this year. There is then a two-year time frame and the next general election is 2020. So I cant see any circumstances in which we wouldnt have [left] by 2020. But constitutional experts have previously warned that Brexit could take years. Advertisement Speaking yesterday before Andrea Leadsom dropped out of the Tory leadership race, Mrs May also took a swipe at the Governments record on the economy, saying: For a Government that has overseen a lot of public service reforms in the last six years, it is striking that, by comparison, there has not been nearly as much deep economic reform. That needs to change. Bookmaker Ladbrokes had last night installed Mr Osborne as favourite to be the next Foreign Secretary. Both he and David Cameron have stressed the importance of promoting trade and inward investment in the wake of the Brexit vote. Mrs May is said to be considering appointing a dedicated Brexit minister, freeing up both the Foreign Office and the Department for Business, Innovation & Skills to oversee a big trade push. Mr Osborne said: Were out there selling Britain to the world. We are not turning in on ourselves as a country. We may be leaving the EU, but we are certainly not leaving global free markets, free trade a place where global business can come and do business. I want us to interpret the result as an instruction for Britain to be more outward-facing, to have stronger economic and trade ties with, for example, the United States. Mr Cameron also underlined the need for Mrs May to appoint a powerful trade ambassador. Speaking at the Farnborough air show, he said: We have got to focus on trade and investment as never before. Today the Foreign and Commonwealth Office is much more commercially minded. He added: We need to draw up a list of the countries and territories we should be thinking of for our future trade deals. As once woad-painted warriors grunted about a new chieftain, so Conservative MPs have their way of welcoming leaders. Just after 5pm they drummed on a committee rooms walls, on desks, chairs for all I know, even on one anothers skulls. Dum-dum-dum-dum. Goats cantering over a wooden bridge. Theresa May, expressionless amid a mad, landslipping day, had just come shimmering along the corridor. Scroll down for video QUENTIN LETTS: AJust as you sometimes get spring days with all four weathers, yesterday we had the gamut of political dramas: A new leader in Theresa May and ejector-button time for the current PM Happy? I asked. A creamily non-committal look. She was steered into the room by Chris Grayling, her campaign manager and possibly next Home Secretary. They dont muck about, these Tories. Just as you sometimes get spring days with all four weathers, yesterday we had the gamut of political dramas: a campaign launch, campaign capitulation, confirmation of a new leader and ejector-button time for the current PM. Twang! Out of Downing Street, weeks earlier than expected, sails David Cameron. Sturm, Drang und Despatch. Thats the ruthless Tory way. They changed leader faster than Lewis Hamilton going from 0-160mph. My wife takes longer choosing a wedding outfit. After the committee room, where she spoke to the 1922 committee, Mrs May duck-waddled along to Westminsters St Stephens entrance. Tory MPs had gathered, grins zipped to lips, adoration blazing in their eyes. Outside St Stephens, Philip May hovered beside his spouse and supremo The rapture on James Brokenshires face! Sir Alan Duncan fit to pop. Alan Mak was rigid, ready for promotion to third spear-carrier at the Department of Envelopes. Mrs Mays day had begun nine dizzying hours earlier. A motorcade swooshed her from her Maidenhead lair to Birmingham. Her team had hired a wedding-reception venue round the back of the Rep Theatre. It had bare-brick walls and was once home to a nail merchant. A white backdrop said Theresa May 2016 for this was the start of a two-month campaign slog, so we thought. A slogan said: A Country That Works For Everyone, Not Just The Privileged Few. Translation: I Did Not Go To Eton. About 100 placid souls had gathered, average age circa 55. Liam Fox did a warm-up and there were a couple of Midlands MPs in attendance but there was plainly no expectation this was going to be the day it all happened. Mrs May was accompanied by husband Philip, who nodded thanks to Dr Fox after his introduction. His wife entered at 11am in blueish suit, collar raised (a bit like Geoffrey Boycott going to bat and the same approach to scoring runs). Chunky pearls hung discreetly round her neck. She was wearing leopard-print shoes with an inch or two on the heel. Her speech twice stressed that Brexit means Brexit and were going to make a success of it. That was the exact wording. Later, outside St Stephens, again: Brexit means Brexit and were going to make a success of it. Behind her a half-hidden head strained to get into the picture? Liam Fox again. The details of our EU withdrawal remain uncertain but her refrain was a message to Remainiac EU-ostriches that they should forget about any second referendum. At 4pm David Cameron stepped out of 10 Downing Street and burbled a brief few sentences Back to Birmingham. Her speech deplored City excesses, the elites narrow social and professional circles and political platitudes about stakeholder societies (did she mean the Big Society?). She was heard with, ahem, restrained enthusiasm. Demagogue, she is not. She named Amazon, Google and Starbucks in a section on tax. She spoke of a different kind of Conservatism. She accepted Andrea Leadsoms apology for those (much-hyped) remarks about motherhood. The speech ended at 11.30. Minutes later the Guido Fawkes website in London predicted Mrs Leadsom was going to commit hara kiri. As this filtered through the Birmingham throng, Mrs Mays team members started blinking like mixy rabbits. She herself was escorted by a heavy security detail in the direction of Birmingham airport. It was 12.15 when Mrs Leadsom appeared outside a house in Cowley Street, SW1, flanked by supporters and her husband. They could have been a barbershop octet, with South Derbyshire MP Heather Wheeler in the front on baritone solo. Iain Duncan Smith looked cross. Mrs Leadsom herself? She withdrew from the race with smiles and grace. At 4pm David Cameron stepped out of 10 Downing Street and burbled a brief few sentences. Give her a kiss! I cried. Obedient fellow, he did. Click click went the snappers Basically: Right, Im off on Wednesday. Anyone got the number of a decent removals firm? With that he ambled back indoors, humming like Col Hathi in Disneys Jungle Book cartoon. Hom pom pom. As he reached the No 10 hallway he smacked his palms and barked right! Outside St Stephens, Philip May hovered beside his spouse and supremo. Give her a kiss! I cried. Obedient fellow, he did. Click click went the snappers. Justine Greening beamed: FanTAStic news! Oh please dont sack me, in other words. I should add that Labour announced its own leadership contest. Vieux chapeau. A mother in China decided to cut her son's wrist using a meat cleaver after he was bitten by a snake last week. The woman said she was hoping to drain the bad blood from her son's body in order to treat the snake bite, reports the People's Daily Online. According to doctors, the nine-year-old child almost lost his right arm as the knife was just three millimetres from the child's tendon. Tragic: The boy lies in his hospital bed after receiving treatment for the wound to his wrist in China Upset at the incident with the cleaver: Ms Yang cries as her nine-year-old son lies in the hospital bed According to the report, the child was playing outside of the family's home with a chicken at around 1pm on July 7th. His mother, named Ms Yang, says she became worried that the boy might lose the animal so came out to warn him. She says she realised that her son was playing near weeds and the ground was wet which meant that there could be snakes. Ms Yang shouted at him quickly to hurry back into the house however the boy did not hear. At that moment, he was bitten by a snake. She saw a hole in the right hand side of his wrist and asked her son to describe the animal. Yang then realised that her son had been bitten by a serpent. She started trying to directly suck out the venom from the wound. When she had finished sucking out the venom, Yang rubbed in some wine to try and sterilize the wrist. She also beat at it to try and ensure the venom was gone. In desperation, she picked up a clever from the kitchen and cut at the boy's arm creating a large wound. The blood would not stop. The mother carried her son to the nearest hospital however because of his condition, the local hospital would not take the boy. It was suggested that she took him to a major hospital in Yongchuan. Ms Yang said: 'I had no money and had to find a neighbour to borrow 2,000 yuan.' He was rushed in and given anti-infection drugs before undergoing surgery. According to hospital staff, Ms Yang was repeatedly sobbing blaming herself for the incident. The family do not have very much cash and are financially stretched. With follow up treatments, they are concerned that they are not able to afford the cost of his treatment. Doctor Li Guangliang told reporters that from initial inspection, the toxicity of the snake wasn't large. However it is Yang's actions that had the worst effect causing secondary damage. The child is out of danger but remains in hospital for observation. His hand function will be affected by the incident. Shocking: The boy's mother used a meat cleaver to cut her son's skin after he was bitten by a snake Workers at a train station in China were left stunned after a passenger reportedly climbed into an X-ray scanner at the security checkpoint because he didn't want to take off his bag. The man, who remains unidentified, claimed he was in a hurry to catch his train, so he stepped into the machine to have his satchel checked, according to Huanqiu.com, an affiliation to People's Daily Online. More incredibly, after the man came out of the scanner, he was told his ticket was for the next day. I'm running late: A man climbed into an X-ray scanner to have his bag checked yesterday in China to save time Are we done yet? The passenger claimed he had to hurry to catch his train so he didn't want to take off his bag According to the report, the bizarre incident occurred at 7:19am yesterday morning at the Yujiabao Train Station in the city of Tianjin, eastern China. Staff at the station were carrying out normal security checks on the man and wanted him to take off the satchel he was carrying. The passenger, described to be middle-aged, allegedly said he had to hurry to catch his train and that it was too troublesome to take off the bag. After station workers insisted on checking his bag, the man suddenly stepped onto the conveyor belt, hunched his back and climbed into the X-ray scanner. Oops, I booked for the wrong date: However, he later found out he had come to the station on the wrong day Upon seeing the incident, a worker immediately stopped the machine from running. The passenger climbed out from the other end of the scanner and dashed to the check-in counter without stopping, the report said. However, he was informed at the check-in counter that he had come to the station on the wrong day as his ticket was for July 11. Staff at the station gave the man a safety briefing afterwards. A similar incident has been reported at a Chinese airport last December. GRAND FORKS -- A trip to space is in the cards this year for a homemade University of North Dakota satellite, but students and alumni behind the small device said its purpose extends beyond orbiting Earth. The device started as an idea in 2011 among a group of students looking to create a project that could prove helpful to a larger community of learners. We finally came up with making a satellite that makes it easier for everyone else to make a satellite, said Jeremy Straub, the projects director and a recent doctoral graduate of the schools computer science program. The OpenOrbiter Small Spacecraft Development Initiative was born, and its progress has been advanced by hundreds of students over the years with the goal of sending a cube-shaped satellite -- known as a CubeSat -- into space and creating a design that could be utilized as a platform for similar projects. This year, the project reached a major milestone with its initial fitcheck meaning all of the spacecrafts components were assembled for the first time. Come December, members of the project team hope to have their creation hitch a ride to the International Space Station, where its likely a NASA astronaut will launch the satellite into space early next year. We completed most of the primary electrical system work for the satellite, said Michael Wegerson, a project member and recent graduate from UNDs electrical engineering program. Were just figuring out a few final tweaks and a few final bugs weve found in our design, and were working and ironing those out. Were really close. Project work The project, which has had a core group of 90 or so contributors throughout its lifetime, has its headquarters on the first floor of UNDs Streibel Hall. Its not housed in a spacious lab but rather a small room that team members affectionately call the Cube Closet. Inside are a variety of tools, both purchased and improvised, students have used to design and create parts for the satellite. Some are the usual tools of the engineering trade but in an attempt to keep costs down, students have repurposed items of their own, including crafting stencils from pop cans and using a microwave oven to weld components together. Keeping costs down is a key part of the project, which aims to create a satellite for less than $5,000. There are kits available the group could have used to build a similar device, but those can start at $50,000. Receiving enough funding consistently to purchase components can be difficult, so OpenOrbiter members sought to find a more inexpensive way to build a satellite through extensive planning sessions. As we broke it down further and further, the answer that we came up with is theres not really a whole lot of expense here, its just knowledge thats kind of packed into the design, not really the components, Straub said. So far, the satellite has an estimated price tag of about $2,500 to $3,000. Getting it into space will cost money, but NASA will foot the bill. UNDs project took top honors in a competition hosted by NASAs Educational Launch of Nanosatellites program, winning a free ride into space. The integration of the satellite to the space station will be handled by NanoRacks, a company that provides clients services and hardware a path to the station. Straub, Wegerson and others involved hope to watch their creation launch from a camera held by an astronaut. Real-world learning Though the process from concept to design to creation has required long hours, participants said the experience has been invaluable. Thomas McQuire, a mechanical engineering student, said hes spent much of his time at UND working on the project and picking up skills along the way. Ive gained a lot of random technical skills and just a lot of stuff thats really going to help in the future that I wouldn't have gotten by just going to class and working on stuff that way, he said. Employers look highly on the experiential learning aspect of the project, Wegerson said, attributing his success in securing two internships to his work with the satellite. For those involved with the initiative, its a constant exercise in problem solving as the group follows very specific parameters for creating and assembling parts. If it doesnt fit in the launcher, its not going in the launcher, Straub said. Quite literally, there is not a whole lot of wiggle room. Wegerson and others also are working on a business concept that would sell their satellite design and components to allow for varying degrees of customization. Once completed and refined, the group hopes to see its satellite built by various users, such as high school classes. Its one of the perks of our design, Wegerson said. The entire thing is open source so they can do what they want. They can take our stuff or they can take our standard and design their own stuff. to the worst affected areas to help with clean-up and rescue Advertisement Typhoon Nepartak has caused havoc in China with nine people confirmed dead and 18 others missing. More than 21.3 million people have been relocated with a direct economic loss of 900 million yuan (103 million), reports Huanqiu, an affiliation with the People's Daily Online. The Ministry of Civil Affairs released a statement on July 11 detailing the effects of the country's first typhoon which battered south-east China's Fujian province. Scroll down for video Disaster zone: A resident waits among the ruins in Bandong Town, China's Fujian Province, after it was hit by Typhoon Nepartak Tragedy: Picture shows a body in the mud on July 10 after the storm hit causing mass devastation in the province of Fujian, China A big clear up operation awaits: Aerial view of Bandong town after the typhoon caused mass flooding in the area and damage to crops Ruined: Residents stand on a bridge yesterday observing the damage caused by the mega storm after it made landfall in Fujian province Out for a wander: Residents walk through the flood water after the typhoon hit causing mass damage to the area Residents gather around piled cars in Bandong Town: The mega storm has killed at least nine people with 18 others missing A dramatic Nasa picture taken from space has emerged of Typhoon Nepartak moving ominously towards Taiwan last Thursday The mega storm made landfall in Shishi City on July 9. As of figures released by the Ministry of Civil Affairs on July 11, around 452,000 people in Fujian have been affected. At least nine people have died and 18 people are missing. Over 213,000 people have been relocated and 900 houses have collapsed. It's estimated that the direct economic loss is 900 million yuan (103 million). Pictures show the real devastation in China's Fujian province. Cars are left completely battered while houses are reduced to just planks of wood and debris. People can be seen looking dazed at their surroundings as they try to make their way through the rubble of people's homes. Villages have also faced heavy flooding. Emergency responders have been sent to the affected areas to help deal with evacuation and the clean up operation. Fujian Disaster Reduction Committee and Fujian Provincial Department of Civil Affairs have allocated 10 million yuan to the disaster area. Items such as towels, beds, emergency lights and other relief supplies are being sent to the region. Firefighters search through the ruins: Emergency teams have been dispatched to the most affected areas to help locals Shocking destruction: People walk along a destroyed bridge showing the true scale of the super storm that battered the region Two residents look at the ruins in Bandong Town: Houses have been destroyed and around 213,000 people have been relocated Complete write-off: A car sits among the rubble with its windows completely smashed and debris sitting on top of the roof Like from a movie: A man walks through a street completely destroyed by the ruins after the strong typhoon hit Taiwan has also been heavily affected by the typhoon. Typhoon Nepartak struck the region on Friday leaving two people dead and 72 injured. Planes and fishing boats were grounded while more than 15,000 people were evacuated. Around 390,000 households were faced with power cuts. Li Wei-sen, Taiwan's Central Emergency Operations Center spokesman told reporters over the phone that the typhoon was packing winds of up to 163 kmh (about 100 miles an hour). Super Typhoon Nepartak swept through Taiwan and the south-eastern part of mainland China, causing havoc to the region Not very clean: A resident's clothes are completely covered in mud after the typhoon caused mass flooding and damage to homes Now that's odd: A car leans on another in a peculiar position in Bandong town after they were moved around during the storm But an excavation in the Israeli port of Ashkelon may give us clues about the arch enemies of the ancient Israelis Little is known about the ancient people, who counted Goliath - the 'giant' conquered by David - among their number Advertisement An archaeological discovery in Israel may have solved one of the most enduring biblical mysteries - where did the ancient Philistines come from? Little was known about the Philistines prior to the recent excavation in the Israeli port city of Ashkelon. The famed arch enemies of the ancient Israelites flourished in this area of the Mediterranean, starting in the 12th century BC, but their way of life and origin have remained a mystery. That stands to change after what researchers have called the first discovery of a Philistine cemetery. It contains the remains of about 150 people in numerous burial chambers, some containing surprisingly sophisticated items. The team also found DNA on parts of the skeletons and hope that further testing will determine the origins of the Philistine people. We may need to rethink today's derogatory use of the word philistine, which refers to someone averse to culture and the arts, said archaeologist Lawrence Stager, who has led the Leon Levy Expedition to Ashkelon since 1985. 'The Philistines have had some bad press, and this will dispel a lot of myths,' Stager said. Stager's team dug down about 10 feet to uncover the cemetery, which they found to have been used centuries later as a Roman vineyard. 'After decades of studying what Philistines left behind, we have finally come face to face with the people themselves,' said Daniel M. Master, professor of archaeology at Wheaton College and one of the leaders of the excavation. 'With this discovery we are close to unlocking the secrets of their origins.' US anthropologist and pathologist, Sherry Fox shows a skull discovered at the excavation site of the first Philistine cemetery ever found A team of foreign archaeologists extract skeletons at the excavation site of the first Philistine cemetery to be studied Workers uncover the bones of Philistines, who were known as the archenemy of ancient Israel from the Hebrew Bible Little was known about the Philistines prior to the recent excavation, pictured, in the Israeli port city of Ashkelon Pathologist Sherry Fox holds aloft a skull of a Philistine, in the Mediterranean coastal Israeli city of Ashkelon The cemetery's discovery marks the 'crowning achievement' of some three decades of excavations in the area A skull of a 35-year-old Philistine woman after is was removed from the first-ever Philistine cemetery discover in the Ashkelon National Park A few human remains at Philistine sites had been discovered in past years, but they provided too small a sample to draw conclusions, he added. The archaeologists kept the discovery a secret for three years until the end of their dig as they did not want to attract ultra-Orthodox Jewish protesters. 'We had to bite our tongues for a long time,' Master said. On hands and knees, workers brushed away layers of dusty earth to reveal the brittle white bones of entire Philistine skeletons reposed as they were three millennia ago. Decorated juglets believed to have contained perfumed oil were found in graves. Some bodies were still wearing bracelets and earrings. Others had weapons. The archeologists also discovered some cremations, which the team say were rare and expensive for the period, and some larger jugs contained the bones of infants. 'The cosmopolitan life here is so much more elegant and worldly and connected with other parts of the eastern Mediterranean,' Stager said, adding that this was in contrast to the more modest village lifestyle of the Israelites who lived in the hills to the east. Bones, ceramics and other remains were moved to a tented compound for further study and some artifacts were reconstructed piece by piece. The team mapped the position of every bone removed to produce a digital 3D recreation of the burial site. The Philistines buried their dead with perfume bottles, placed near the face. Near the legs were jars that likely held oil, wine or food. In some cases, archaeologists found the dead were buried wearing necklaces, bracelets, earrings, and even toe rings. Some were buried with their weapons. Archaeologist Adam Aja said: 'This is how Philistines treated their dead, and it's the code book to decoding everything.' THE PHILISTINES: ARCH ENEMIES OF THE ANCIENT ISRAELITES WHO COUNTED GOLIATH AMONGST THEIR PEOPLE The origins of this 'sea people' - a term also used to describe their Phoenician contemporaries - remain a mystery. Their red-and-black pottery suggests they may have come from the Mycenaean civilisation of the Aegean. 'What is certain is that they were strangers in the Semitic region,' where their presence between 1200 and around 600 BC is evident on a thin coastal strip running from present-day Gaza to Tel Aviv, said Master. Traders and seafarers, they spoke a language of Indo-European origin, did not practice circumcision and ate pork and dog, as proven by bones and marks found on them in the ruins of the other four Philistine cities: Gaza, Gath, Ashdod and Ekron. Beyond the previously scanty archaeological record, the Philistines are known mostly from the Old Testament account given by their neighbours and bitter enemies, the ancient Israelites. The book of Samuel describes the capture by Philistine fighters of the Ark of the Covenant and the duel between their giant warrior Goliath felled by a stone from David's sling. From these biblical descriptions of savage marauders comes the modern usage of 'philistine' to mean a person without culture or manners. A few hundred yards from the dig, at its outdoor laboratory, anthropologist and pathologist Sherry Fox told the skeletons' story. 'In their teeth, we can see that they did not have an easy life,' she said. 'We see these lines that indicate a growth interruption as the teeth are forming. There were problems in childhood with either fever or malnutrition.' 'We also see from their bones that they were hard workers, they practised inbreeding and they used their teeth as tools, probably in the weaving industry,' she said softly, holding up a skull. She said they were 'normal size' with no evidence of any Goliath-sized giants. Advertisement Professor Lawrence E. Stager, Dorot Research Professor of the Archaeology of Israel, speaks from the excavation site Stager's team dug down about 10 feet to uncover the cemetery, which they found to have been used centuries later as a Roman vineyard The team found DNA on parts of the skeletons and hope that further testing will determine the origins of the Philistine people The archaeologists kept the discovery a secret for three years until the end of their dig as they did not want to attract ultra-Orthodox Jewish protesters On hands and knees, workers brushed away layers of dusty earth to reveal the brittle white bones of entire Philistine skeletons reposed as they were three millennia ago The Philistines buried their dead with perfume bottles, placed near the face. Near the legs were jars that likely held oil, wine or food A solar powered aircraft has set off on the penultimate leg of its attempt to fly around the world using only the energy from the sun. Solar Impulse 2 took off from Seville in southern Spain in the early hours of Monday morning with pilot Andre Borschberg behind the controls. The single-seat aircraft is expected to take 50 hours and 30 minutes to reach Cairo in Egypt. Scroll down for video Solar Impulse 2, which is powered entirely by the energy from the sun, has taken off from Seville in Spain (pictured) for a 2,200 mile flight to Cairo in Egypt. With Swiss aviator Andre Borschberg at the controls, the flight is the penultimate leg of the team's attempt to fly around the world without using fuel Once there the team will prepare the aircraft for its final trip to Abu Dhabi to complete the world record-breaking journey around the world. HOW DOES SOLAR IMPULSE WORK? Solar Impulse 2 is powered by 17,000 solar cells and on-board rechargeable lithium batteries, allowing it to fly through the night. Its wingspan is longer than a jumbo jet but its light construction keeps its weight to about as much as a car. Solar Impulse 2 relies on getting enough solar power during the day to survive the night. It is also extremely light - about the weight of a car - and as wide as a passenger jet. Both of these combined means it is extremely susceptible to the weather. In high winds it can struggle to stay aloft at the altitudes necessary to gather sunlight. Advertisement Cruising at an altitude of around 10,800 feet after take off, the aircraft was initially powered by its batteries until the sun rose high enough in the sky for its solar panels to begin charging. It has more than 17,0000 solar cells built in to its wings and travels at a cruising speed of around 43mph (70km/hour). Mr Borschberg, who is taking turns flying the aircraft with fellow Swiss pilot Bertrand Piccard, said the second to last leg was a milestone in their round the world attempt. The flight will take the aircraft 2,200 miles through the airspace of Spain, Algeria, Tunisia, Malta, Greece and finally into Egypt. Mr Borschberg said: 'This flight across Europe will touch a large number of countries, very diverse in terms of culture, climate and geography. 'But in addition to all being on the shore of the Mediterranean Sea, they have a common denominator - the potential benefit of using renewable energies and clean technology,' Swiss pilot Andrew Boschberg (pictured before taking off at San Pablo airport in Seville, Spain) is flying the aircraft on the penultimate leg of the round the world journey, meaning his fellow pilot Bertrand Piccard will take the aircraft on the final journey to Abu Dhabi The aircraft has travelled more than 22,000 miles and when it lands in Cairo it will be just one journey away from completing the round the world flight (illustrated) The aircraft arrived in Spain two and a half weeks ago after crossing the Atlantic Ocean from New York in an epic flight taking two days, 23 hours and 8 minutes. The globe-circling voyage began in March 2015 from Abu Dhabi, the capital of the United Arab Emirates, and made stops in Oman, Myanmar, China and Japan. Mr Borschberg set a new endurance record for the longest non-stop solo flight in July last year with a 118-hour trans-Pacific crossing, over five days and five nights, from Japan to Hawaii. He also set new duration and distance records for solar-powered flight. However, their round the world journey was put on hold after the aircraft suffered battery damage during the crossing to Hawaii, meaning it was grounded for nine months. The round the world journey has been an attempt by the Solar Impulse team to demonstrate that solar energy can be used to power aircraft in the future. On its journey from Spain to Cairo it flew over the Gemasolar Thermosolar Plant in Seville (pictured) The Swiss team behind Solar Impulse are campaigning to bolster support for clean-energy technologies like solar power (aircraft flying over solar energy plant outside Seville pictured) The team began their journey again earlier this year, crossing from Hawaii to the west coast of the US and then traversing across North America to New York. The Swiss team's ultimate goal is to achieve the first round-the-world solar-powered flight, part of its campaign to bolster support for clean-energy technologies. With a wingspan exceeding that of a Boeing 747 but an ultra-light carbon-fiber skin and overall weight of a car, the Solar Impulse cruises at speeds ranging from only 34 to 62 miles per hour (55 to 100 km/h). With a wingspan exceeding that of a Boeing 747 but an ultra-light carbon-fiber skin and overall weight of a car, Solar Impulse 2 (illustrated) has more than 17,000 solar cells built into its wings Solar Impulse 2 landed in Seville on 23 June after flying for two days and 23 hours across the Atlantic from New York (aircraft pictured landing at San Pablo airport in Seville) The four engines of the propeller-driven aircraft are powered exclusively by energy collected from more than 17,000 solar cells built into its wings. Excess energy is stored in four batteries during daylight hours to keep the plane flying after dark. The plane can climb to 28,000 feet (8,500 meters), but generally flies at lower altitudes at night to conserve energy. Mr Piccard and his colleague Andre Borschberg have been taking turns piloting the plane on each leg of the journey. Both have trained to stay alert for long stretches of time by practicing meditation and hypnosis. They are now thought to be confident of finishing the round the world challenge but Mr Piccard said they were not taking success for granted yet. He said: 'After 36,000 km (22,000 miles), people might start to find it obvious to fly day and night without fuel, but it's still a very difficult endeavor and the challenge will remain open until the last minute.' China may have lost contact with its first ever space station raising fears that it could career back to Earth in an uncontrolled re-entry. The Chinese Tiangong-1 space station module was launched in 2011 and was expected to be deorbited at the end of its life to crash into the ocean or burn up in the atmosphere. But there are reports China has lost control of the spacecraft, meaning it could crash back into the Earth's atmosphere, exploding into molten metal that could be devastating over inhabited areas. The Tiangong-1 space station module (artist's depiction) is China's first step towards its ultimate goal of developing, building, and operating a large Space Station as a permanent human presence in Low Earth Orbit Returning a spacecraft to Earth is tricky business, mostly due to the intense heat produced if the re-entry is uncontrolled. But satellite trackers who have been watching the movement of Tiangong as it orbits the Earth believe China may have lost control of the unmanned 8 ton (7.3 tonnes) vehicle. Thomas Dorman, an amateur satellite tracker, told Space.com: 'If I am right, China will wait until the last minute to let the world know it has a problem with their space station. 'It could be a real bad day if pieces of this came down in a populated area, but odds are it will land in the ocean or in an unpopulated area.' Despite the claims, Chinese officials have yet to confirm any plans for the fate of Tiangong-1, and some experts think it may still be possible to bring the spacecraft down in a controlled fashion. Tiangong-1, which means 'Heavenly Palace', was launched in September 2011 with the hope of creating a larger space complex that China wants to be operational in Earth orbit around 2020. The module has performed a series of docking exercises, including the uncrewed Shenzhou-8 mission in 2011 and the crewed Shenzhou-10 mission in 2012. Tiangong-1 features flight-proven components of Chinese Shenzhou Spacecraft as well as new technology THE TIANGONG-1 SPACE STATION MODULE Tiangong-1 is Chinas first Space Station Module. The vehicle is the nations first step towards its ultimate goal of developing, building, and operating a large Space Station as a permanent human presence in Low Earth Orbit. The module was launched on September 29, 2012. Tiangong-1 features flight-proven components of Chinese Shenzhou Spacecraft as well as new technology. The module consists of three sections: the aft service module, a transition section and the habitable orbital module. The vehicle is 10.4 metres long and has a main diameter of 3.35 metres. It has a liftoff mass of 8,506 kilograms and provides 15 cubic metres of pressurized volume. Advertisement According to the China Manned Space Engineering (CMSE) office, Tiangong-1 also contains Earth observation instrumentation and space environment detectors. In a statement in 2014, officials from CMSE, said: 'Tiangong-1 has obtained a great deal of application and science data, which is valuable in mineral resources investigation, ocean and forest application, hydrologic and ecological environment monitoring, land use, urban thermal environment monitoring and emergency disaster control.' While Tiangong-1 has collected huge amounts of data, earlier this year, state-run news agencies in China reported that the CMSE had terminated Tiangong-1's data-gathering activities. Additionally, CMSE officials explained that the telemetry connection to the space lab had failed, which suggests that the vehicle will make an uncontrolled re-entry to Earth in the future. However, other experts have reassured that such speculation does not mean that Tiangong-1 is definitely out of control. Dr Thomas Kelso, a Senior Research Astrodynamicist at the Centre for Space Standards & Innovation, has plotted the altitude of the space station throughout its time in orbit, and says that it was re-boosted relatively recently. The vehicle is 10.4 metres long and has a main diameter of 3.35 metres. It has a liftoff mass of 8,506 kilograms and provides 15 cubic metres of pressurized volume The vehicle is 10.4 metres long and has a main diameter of 3.35 metres. It has a liftoff mass of 8,506 kilograms and provides 15 cubic metres of pressurized volume While Dr Kelso does not have a way to measure the space station's stability, he told Space.com: 'We might expect to see the rate of decrease in altitude - the slope between re-boosts - increase if it was tumbling, since the station would have higher drag. 'Instead, we see the slowest decrease in altitude in recent years - consistent with the lower drag at a higher altitude.' This would suggest that Tiangong-1 is dormant but stable. Dr Kelso added: 'That might be why the Chinese aren't responding - they probably don't understand why they would need to. The module (artist's depiction) consists of three sections: the aft service module, a transition section and the habitable orbital module 'I guess I would want to see some very specific data, notionally covering a period where Tiangong-1 was supposed to be stable, to show that it is now uncontrolled, before reading too much more into this.' However, if China does have control over the space lab, there are questions on why they would keep it in orbit and not bring it back to Earth. Mr Dorman, said: 'The reason China hasn't done a re-entry of Tiangong-1 is, the space station is low on fuel, and China is waiting on a natural decay to a much lower orbit before they can do a burn to bring the station down.' Over billions of years this could've built up into ice sheets, study says Dark spots near poles receive no direct light, cold enough to collect ice Ceres might be most well-known for its strange glowing spots - 130 bright patches dotted across the dwarf planet which brighten and fade during the day - for reasons that continue to be a mystery. But the dwarf planet could be hiding something even more fascinating in its shadows, according to the latest data from Nasa's Dawn spacecraft. Craters at Ceres' poles are permanently engulfed in darkness, a new study suggests, and these spots might be cold enough to have been collecting ice over billions of years. Scroll down for video Permanently shadowed regions capable of accumulating surface ice were identified in the northern hemisphere of Ceres - using images taken by Nasas Dawn mission, combined with sophisticated computer modeling of illumination MAPPING THE CERES CRATERS Dr Norbert Schorghofer and his colleagues used images from Dawn's camera to study the northern hemisphere. They used these images to create a 3D map of the dwarf planet, complete with craters and plains. This was input into a computer model, which was used to determine the parts of the planet the sunlight reaches, and how much radiation makes it to the surface. The group also modelled how the conditions change over the course of a year on Ceres. Advertisement 'The conditions on Ceres are right for accumulating deposits of water ice,' said Dr Norbert Schorghofer, a Dawn guest investigator at the University of Hawaii at Manoa. 'Ceres has just enough mass to hold on to water molecules, and the permanently shadowed regions we identified are extremely cold - colder than most that exist on the moon or Mercury.' Because of the way the dwarf planet is tilted, the poles never receive direct sunlight. Even the indirect sunlight that reaches the poles never heats it up to more than minus 151 degrees Celsius (minus 240 degrees Fahrenheit), making both the poles a 'cold trap'. Dr Schorghofer and his colleagues used images from Dawn's camera to study the northern hemisphere, which they demonstrated in a video. They used these images to create a 3D map of the dwarf planet, complete with craters and plains. This was input into a computer model, which was used to determine the parts of the planet the sunlight reaches, and how much radiation makes it to the surface. The group also modelled how the conditions change over the course of a year on Ceres. Dozens of large, permanently shadowed regions showed up across the northern hemisphere. The largest of these was inside a 10-mile-wide (16-kilometre) crater, less than 40 miles (65 kilometres) from the north pole. When combined, Ceres' permanently shadowed regions occupy about 695 square miles THE MYSTERIOUS WORLD OF CERES Ceres is 590 miles (950 km) across and was discovered in 1801. It is the closest dwarf planet to the sun and is located in the asteroid belt, making it the only dwarf planet in the inner solar system. Ceres is the smallest of the bodies currently classified as a 'dwarf planet'. It lies less than three times as far as Earth from the sun - close enough to feel the warmth of the star, allowing ice to melt and reform. Nasa's Dawn spacecraft made its way to Ceres after leaving the asteroid Vesta in 2012. There is high interest in the mission because Ceres is seen as being a record of the early solar system. Advertisement Dozens of large, permanently shadowed regions showed up across the northern hemisphere. The largest of these was inside a 10-mile-wide (16-kilometre) crater, less than 40 miles (65 kilometres) from the north pole. When combined, Ceres' permanently shadowed regions occupy about 695 square miles (1,800 square kilometers, less than 1 percent of the surface area of the northern hemisphere. The team expects the permanently shadowed regions on Ceres to be colder than those on Mercury or the moon, because Ceres is quite far from the sun, and the shadowed parts of its craters receive little indirect radiation. 'On Ceres, these regions act as cold traps down to relatively low latitudes,' said Erwan Mazarico, a Dawn guest investigator at Goddard. 'On the moon and Mercury, only the permanently shadowed regions very close to the poles get cold enough for ice to be stable on the surface.' According to the team's calculations, about 1 out of every 1,000 water molecules generated on the surface of Ceres will end up in a cold trap over the course of a year on Ceres, which lasts 1,682 Earth days. This is enough to build up thin but detectable ice deposits over 100,000 years. Ceres is the largest body in the asteroid belt between Mars and Jupiter and the only such object classed as a dwarf planet. Nasa's Dawn spacecraft (illustrated) has been in orbit around Ceres for more than a year. One of the biggest surprises has been the discovery of bright spots, which reflect more light than their surroundings The team expects the permanently shadowed regions on Ceres (artist's impression) to be colder than those on Mercury or the moon. According to the team's calculations, about 1 out of every 1,000 water molecules generated on the surface of Ceres will end up in a cold trap over the course of a year on Ceres, which lasts 1,682 Earth days 'While cold traps may provide surface deposits of water ice as have been seen at the moon and Mercury, Ceres may have been formed with a relatively greater reservoir of water,' said Chris Russell, principal investigator of the Dawn mission who is based at the University of California, Los Angeles. 'Some observations indicate Ceres may be a volatile-rich world that is not dependent on current-day external sources.' The researchers are hoping to verify their study with direct measurements. Some say relationships are all about give and take, and this is certainly true for a tiny species of fish that lives on the coral reefs of Panama. The chalk bass, a fish found on the reefs of the Carribean, owes much of its evolutionary success to the fact it can switch genders up to twenty times a day so that both partners can contribute eggs. But a new study has found that this frequent changes in sex could allow the creatures to remain in monogamous relationships for life. Scroll down for video Some say relationships are all about give and take, and this is certainly true for a tiny species of fish that lives on the coral reefs of Panama (pictured). The chalk bass, a fish that tends to stay in monogamous relationships for life, owes some of its evolutionary success to the fact it can switch genders THE CHALK BASS MATING RITUAL For their entire adult lives, the fish mating partners come together for two hours each day before dusk in their refuge area, or spawning territory. They chase away other fish before beginning a half-hour foreplay ritual of nipping and hovering around each other, during which they decide which partner will become the female in the first of many spawning rounds. Finding a new mate every evening is time-consuming and risky for a fish that only lives for about a year. Having a safe partner may help ensure that individuals get to fertilize a similar number of eggs as they produce, rather than risk ending up with a partner with fewer eggs. Advertisement When one partner is not producing enough eggs, the other will switch and make up the numbers, the new study found. Researchers, led by Professor Mary Hart from the University of Florida, studied the hermaphrodite fish to discover which neurological patterns lie behind their strange reproductive habits. They found the fish have generally the same partners for their one-year life span, and the duos can swap genders The strategy allows individuals to fertilize about as many eggs as they produce, giving the neon-blue fish a reproductive edge, Professor Hart said. Each half of the duo motivates the other to contribute eggs to the relationship because if one partner lacks eggs, the other will match whatever it produces. 'The fish also match each other's egg production. So if one fish wants its partner to produce more, the only way to do that is to increase the number of eggs it produces itself,' Professor Hart said. 'That way the other fish is motivated to give more to the relationship.' The only way for a partner to convince its mate to produce more eggs is to pick up the slack and generate more itself, she said. 'Our study indicates that animals in long-term partnerships are paying attention to whether their partner is contributing to the relationship fairly - something many humans may identify with from their own long-term relationships,' said Professor Hart, lead author and professor in the university's biology department. For six months Professor Hart, along with her husband Dr Andrew Kratter, studied the fish's mating ritual (pictured) which is also shown in the video above. For their entire adult lives, the fish mating partners come together for two hours each day before dusk in their refuge area, or spawning territory DO THESE FISH HOLD THE SECRET TO A HEALTHY RELATIONSHIP? Professor Mary Hart, lead author of the study, thinks the sex lives of the fish could teach humans about lasting relationships. 'Our study indicates that animals in long-term partnerships are paying attention to whether their partner is contributing to the relationship fairly - something many humans may identify with from their own long-term relationships,' she said. 'I think the"get what you give" in egg resources exchanged within pairs result, along with the potential for both positive and negative feedback within partnerships were very insightful,' she said. 'Not even one of the original pairs that I observed switched mates while its partner was still alive. 'That strong matching between partners and the investment into the partnership was surprising.' Advertisement For six months Professor Hart, along with her husband Dr Andrew Kratter, studied the fish's mating ritual. For their entire adult lives, the fish mating partners come together for two hours each day before dusk in their refuge area, or spawning territory. In a half-hour foreplay ritual of nipping and hovering around each other, they decide which partner will become the female in the first of many spawning rounds. Mary said: 'Then they swim up and release sperm and eggs. They go back and forth, back and forth, changing their sex role each time. But always with the same partner. 'It's extraordinary. I don't know of anything else like it.' With only 3 to 5 percent of animals known to live monogamously, this is a rare find, and one of the first for a fish living in a high-density social group, Dr Kratter said The only time one of the fish changed partner was when its original mate did not reappear. As the chalk bass only has a one-year lifespan, Professor Hart thinks it is probable the fish she noticed with new partners had suffered the loss of their first love. By the end, this had happened to a large number of the study group. Only 3 to 5 percent of animals known to live monogamously. Beavers, otters (pictured) and wolves are a few other species that spend their life in pairs Beavers, otters and wolves are a few other species that spend their life in pairs. If a wolf is widowed, though, it will quickly replace its former mate. Life for a chalk bass after losing its partner may be more difficult. Since adults are all paired, it seems likely that finding a new mate would be difficult for a lone fish. Professor Hart said further investigation is needed to say for sure. The chalk bass, however, is not opposed to the occasional fling. If one partner has more eggs than the other, it may share the extra with other couples. Professor Hart said this infrequent option, which happened only 20 per cent of the time in the study group, may add stability to the system of simultaneous hermaphroditism paired with monogamy. British scientists have created a new way to charge smartphones - using urine. Researchers have revealed a new system which transforms urine into electricity using a microbial fuel cell. Just over half a litre of urine is enough for six hours of charge time, which can power a smartphone for three hours. Scroll down for video The charger of the future? Bristol researchers have revealed a new system which transforms urine into electricity using a microbial fuel cell. HOW DOES IT WORK? The units contain bacteria that breaks down the chemicals in urine, in the process releasing energy in the form of electricity which is stored on a capacitor within a fuel cell. 'The microbial fuel cells (MFC) work by employing live microbes which feed on urine for their own growth and maintenance,' explained Professor Ioannis Ieropoulos. 'The MFC is, in effect, a system which taps a portion of that biochemical energy. 'This technology is about as green as it gets, as we do not need to utilise fossil fuels and we are effectively using a waste product that will be in plentiful supply.' Advertisement The average toilet break produces around 600ml of urine. Researchers at the University of the West of England hope the technology will eventually allow people to stay connected when off-grid. Professor Ioannis Ieropoulos, Director of the Bristol Bioenergy Centre, said: 'This project is all about generating electricity from waste material. 'In other words, turning waste into something really, really useful. 'And the microbial fuel cell technology is something that does exactly that. It takes the waste that we naturally produce and it converts it directly into electricity.' The fuel cells contain living microorganisms such as those found in soil or the human gut. In breaking down and processing the urine they produce electrons which can then be harnessed into electricity The team has already powered mobile phone but has now developed the technology far enough to fuel power-hungry smartphones. Professor Ieropoulos said: 'We are excited to announce several global firsts - this development was possible by employing a new design of MFCs that allowed scaling-up without power density losses. 'Although it was demonstrated in the past that a basic mobile phone could be charged by MFCs, the present study goes beyond this to show how, simply using urine, an MFC system successfully charges a modern-day smartphone.' The team has previously built a prototype toilet that generates 'everlasting' electricity from urine near a university bar. The urinal is the result of a partnership between researchers at the University of the West of England in Bristol and Oxfam. Just over half a litre of urine is enough for six hours of charge time, which can power a smartphone for three hours. The fuel cells contain living microorganisms such as those found in soil or the human gut. It is located near the students' union at the university's Frenchay campus and researchers will be hoping for brisk business at closing time. Students and staff are being asked to use the urinal to donate pee to fuel the microbial fuel cell stacks that generate electricity to power indoor lighting. The research team is led by Professor Ioannis Ieropoulos, of the Bristol Robotics Laboratory at UWE Bristol, who is an expert at harnessing power from unusual sources using microbial fuel cells. 'We have already proved that this way of generating electricity works,' the professor said. 'Work by the Bristol BioEnergy Centre hit the headlines in 2013 when the team demonstrated that electricity generated by microbial fuel cell stacks could power a mobile phone. A prototype toilet that generates 'everlasting' electricity from urine has been launched near at a university bar (stock image used). The scientists behind the 'pee-power' toilet hope it can be used by aid agencies in disaster zones to supply much-needed electricity to refugee camps 'This exciting project with Oxfam could have a huge impact in refugee camps. 'The microbial fuel cells work by employing live microbes which feed on urine for their own growth and maintenance. 'The microbial fuel cell is in effect a system which taps a portion of that biochemical energy used for microbial growth, and converts that directly into electricity - what we are calling urine-tricity or pee power. 'This technology is about as green as it gets, as we do not need to utilise fossil fuels and we are effectively using a waste product that will be in plentiful supply.' The urinal on the university campus resembles toilets used in refugee camps by Oxfam to make the trial as realistic as possible. The technology that converts the urine in to power sits underneath the urinal and can be viewed through a clear screen. The toilet is located near the students' union at Bristol university's Frenchay campus and researchers will be hoping for brisk business at closing time.Students and staff are being asked to use the urinal to donate pee to fuel the microbial fuel cell stacks that generate electricity to power indoor lighting Andy Bastable, head of water and sanitation at Oxfam, said: 'Oxfam is an expert at providing sanitation in disaster zones, and it is always a challenge to light inaccessible areas far from a power supply. 'This technology is a huge step forward. Living in a refugee camp is hard enough without the added threat of being assaulted in dark places at night. The potential of this invention is huge.' They hope the abundant, free supply of urine will make the device practical for aid agencies to use in the field. A China Southern Airlines plane was severely damaged during a hail storm as it descended towards its destination of Chengdu. The battering by hailstones caused multiple cracks across both windshields, obliterating the view and forcing the pilots to fly virtually 'blind'. Fortunately, the crew managed to land the aircraft safely at the Chinese airport and there were no injuries reported. Both windshields of the China Southern Airlines plane were severely damaged during a hail storm as it descended towards its destination of Chengdu The nose of the plane had most of its paint stripped off by the hailstones with the metallic bodywork showing As well as damage to the front of the plane, the leading edge of the left engine fan was also said to be damaged According to AV Herald, the Airbus A320 was travelling from Guangzhou to Chengdu on July 9. Flight CZ3483 encountered the hail storm as it descended towards the southern Chinese city. AV Herald described the windshield as having become 'basically opaque'. This meant the pilots had to navigate using their instruments rather than by sight. As well as damage to the windshields, the hail stones stripped off the paint from the nose of the plane. The leading edge of the left engine fan was also severely damaged. Airline crew were able to land the aircraft safely and it arrived at Chengdu Airport at 7.51pm local time. A close up shot of the windshield shows the extent of the damage, where the glass was completely cracked but managed to hold its shape Airline crew were able to land the aircraft safely and it arrived at Chengdu Airport at 7.51pm local time China Southern Airlines released a statement via the company's Weibo account, China's equivalent of Twitter. It read: 'On July 9, a China Southern Airlines B-9931 A320 aircraft, flight CZ3483 travelling from Guangzhou to Chengdu, took off from Guangzhou Baiyun Airport at 18.05. It arrived at 19.51 in Chengdu Shuangliu Airport. 'After the plane landed, maintenance staff checked over the plane and established that the radar cover on the front of the plane, both pilot windshields and parts of the body of the plane were damaged. 'Their initial investigation concluded that the damage was as a result of hail stones mid-flight. 'The plane is parked at the airport for repair. Advertisement A budding photographer captured the moment a hot air balloon rider attempted to create a man-made solar eclipse during the perfect sunrise in Myanmar. Spanish student and photographer Gonzalo Navarro Bendito, 22, shot the image while visiting Old Bagan, Mandalay, Myanmar - formerly known as Burma. In a series of images set against the backdrop of dusk or dawn, Bendito documented candid moments of the daily lives of Old Bagan citizens - walking across bridges and playing in the sand - creating a perfect silhouette against the orange sky. A balloon-air eclipse: Spanish student and photographer Gonzalo Navarro Bendito took picture of the sunrise and sunset in Old Bagan, Mandalay Sometime the low sun provided the perfect backdrop for photographs of residents going about their daily business In one of Bendito's photos, a man is captured playing with sand as the sun sets over Old Bagan, Mandalay Birds fly over the town of Old Bagan, Mandalay, as the buildings rise out of the early morning mist He said: 'Myanmar turns into a super magical red tone when the sun sets or rises. 'The beautiful environment and the interesting Burmese people that keep their traditions makes it a really great opportunity to play with the silhouettes and the golden light. 'In some of them you can see people crossing the famous U-Bein Bridge in the city of Mandalay which is one of the most inspiring places I have ever been too. 'Others show a sunrise at the famous ancient city of Bagan, with the balloons flying through the frame of my photo, the birds hunting for the first light of the day, and the foggy layers coloured by the powerful sunrise.' A modern day Icarus: One thrill-seeking hot air balloon rider appeared to create a man-made solar eclipse as their balloon obscures the sun People's silhouettes walking across a bridge create bold shapes against the fiery backdrop of orange sky He continued: 'To conclude [the photo series], my friend Marcus from Malaysia, who I was travelling with together with another friend from Poland, playing with the sand at the beach on the west coast of Myanmar during an amazing sunset.' Bendito is currently studying in the country as part of a year abroad from his Spanish university. He said: 'I love travelling, and I have already been to more than 50 countries. 'With my photography work I try to show my own vision of the world when I travel and then show it to my family and friends, who encourage me a lot, and also to the rest of the photographic community. 'With this type of photography I love the warm colours and human elements.' Waves crash onto the beach and a swimmer emerges at Old Bagan, Mandalay, Myanmar, as the water is tinged orange by the glare of the sun A local makes their way across the famous U-Bein Bridge in the famous city of Mandalay A thrifty traveller who has hitchhiked through 50 countries has revealed her secrets to exploring the world on a shoestring budget. Iris Veldwijk quit her studies and left her home in the Netherlands five years ago to thumb-it across Europe, Asia and South America. Currently, the intrepid explorer is in Argentina, having hitched around South America over the last five months. Iris Veldwijk left her home in the Netherlands to hitchhike around the world. Above, she's hitching a ride on a plane in Malta She has so far travelled across Europe and explored parts of Asia. Above, Veldwijk is pictured in Chile One of the more unusual places she visited was Iran. Above, she is sitting in a truck on the Iranian island of Qeshm Veldwijk travels with her guitar, which she uses to busk for food money and keeps her eyes peeled for odd local jobs. In cities at night, she either sofa surfs or finds a hostel offering free accommodation in return for work. She also takes a tent with her for wild camping when she's in rural locations. The 24-year-old said: 'In the beginning the appeal was saving money, I didn't think about safety issues. 'Now I really prefer it to other travelling because I feel like I see life through the eyes of a local person or family. The solo-traveller takes a tent with her for wild camping when she's in remote places. Above, she's pictured in Gran Canaria in 2015 Veldwijk travels with her guitar, which she uses to busk for food money and keeps her eyes peeled for odd local jobs. In the picture above, she is hitchhiking in Chile and looking to go south, or sur in Spanish One of Veldwijk's biggest surprises was how easy it was to travel through Iran. Veldwijk shows off her visa to the country 'Travelling alone works well for me because it's hard to find people who are looking for the same thing I am. 'I would like to travel with another woman though.' However, Veldwijk admitted that hitchhiking solo is not without its hazards. She explained: 'There's been situations where I have not felt safe. 'Some people think I am a prostitute. I have to lie about having a boyfriend, and I have a ring I can put on my ringer.' One of Veldwijk's biggest surprises was how easy it was to travel through Iran. She revealed: 'I heard all the warnings, people said it was my funeral. 'But it was probably the friendliest country. People were so curious because I was a woman travelling alone, which is unheard of there.' Usually Veldwijk spends at least three weeks in each country, returning to her home town for Christmas. Currently, the intrepid explorer is in Argentina (pictured), having hitched around South America over the last five months The travel enthusiast has hitchhiked through 50 countries already but has been to many more. On the left, she's in the Atacama Desert in Chile and on the right, she's pictured in Albania Veldwijk has to be back in her home in Utrecht by 2020 to complete her studies but plans to hitchhike until then. She visited Liverpool (above) in 2013 However, for her current trip, which began in November 2015, Veldwijk plans to be gone for a few years. The young woman admitted her family struggled to come to terms with her vagabond life style. She said: 'They used to be unsupportive. I quit my studies to do this because I was unhappy there. 'They didn't like that and they still don't love that I miss birthdays and family events. Family is really important to us. 'When my grandfather passed away, I had to hitchhike back from Serbia and it took me one and a half days. That was hard.' Veldwijk has to be back in her home in Utrecht by 2020 to complete her studies but plans to hitchhike until then. She said: 'I'm not looking forward to going back. I love my life, meeting new people and seeing new countries. 'My friends know that if I am around, I'm in need of a shower and food. It will be hard to fit back in at home life.' The young woman admitted her family struggled to come to terms with her vagabond life style. Pictured is a remote area of Iran Pictured is Veldwijk in Tabriz, Iran, where the young traveller has set up her tent for a night's wild camping Whoever said rules were meant to be broken has clearly never ignored signs instructing tourists not to feed the monkeys. This hapless man learnt the hard way when he attempted to hand out snacks to local primates. A picture has emerged online of what looks like a tourist being swarmed by the furry creatures. A picture has emerged online of what looks like a tourist being swarmed by monkeys after trying to feed them Surrounded by the remnants of a bag of treats, the monkeys clamour to grab some food, with some of the braver ones even climbing onto the man's head. In the photo, which appeared on Reddit, just part of the man's shorts and T-shirt can been seen through the wall of furry limbs. Predictably, the internet responded with a barrage of memes. The location and the man were both mocked up in a range of different scenarios. The internet responded with a barrage of memes, including this mock-up of the Gladiator film Redditors also referenced Brad Pitt's World War Z film The Photoshop gurus pretended that the man in question had doused himself with an aftershave that attracted the animals They included a scene from the Russell Crowe blockbuster Gladiator and the cartoon Tarzan. But while the man appeared to be enjoying himself, in most places, feeding monkeys is highly discouraged for several reasons. One of the main issues is that monkeys are very susceptible to contracting diseases from human hands and they can easily fall ill from germs that have no effect on men, women and children. It is also dangerous for humans as irregular feeding by tourists can cause aggressive behaviour from monkeys, they often carry diseases like hepatitis B and rabies as well. Another comedy picture saw the tourist dressed up in a giant banana costume A clever mock-up show Tarzan rescuing Jane from the mob of monkeys Harry Potter's wizard hat was also referenced in the many memes Even Donald Trump made an appearance in the mock-ups, with the insinuation that his fans are monkeys Despite these warnings, and many other reasons for not feeding monkeys, tourists continue to do so in various countries around the world. Just a couple of months ago, MailOnline Travel reported on a video of a horrified tourist having her mobile snatched by a monkey in Leshan, Sichuan Province, China. to greetings around the world can be very different and you can easily get it wrong Advertisement Meeting people for the first time can be a social minefield fraught with misunderstandings if you don't have the correct grip on local etiquette. While many may be familiar with shaking hands, hugging or kissing people on first meeting, greeting methods vary even further. For example, in Japan, people may bow to each other as a sign of respect while in Tibet, the locals are more likely to stick their tongue out at you. Greetings can be very different around the world and as this infographic reveals, it's not always a hand shake or kisses on the cheek In both Thailand and India, you'll be met with two palms together as a sign of respect, while in the Philippines, you're more likely to receive a salute according to a new infographic from Madame Vacances. Shaking hands is another common greeting around the world but how you do it also varies from country to country. According to the infographic, you should offer a firm hand shake as a sign of power and formality in the USA but you don't need to be as firm in England. In Turkey, offering both hands during the greeting, with your fingers horizontal or pointing down, is common; while in Botswana, you should hold both hands up in a clasp with your fingers pointing up. For continental Europe, kisses on the cheeks are part of the usual greeting. In France, where kissing on the cheeks is the norm in greetings, there are different regional rules on how many pecks to give It's seen in Belgium, Spain and Italy but in France, there are all sorts of different etiquettes according to the part of the country where you're in. In Tolouse and Bordeaux, the standard number of kisses is two while for Lille it's up to three. And although the standard number of pecks is two in Paris, it could go up to three. Marseilles and Montpellier in the south of the country will offer three kisses as part of the greeting and for Nantes in the west, it's a total of four. Getting your body language wrong can also cause you to fall foul of local customs. Touching the bottom of your chin with the backs of your fingers is considered extremely rude in Italy, Belgium and France. In Greece, Spain and Brazil, joining your thumb and index finger together to form a circle, while simultaneously raising your remaining three fingers, symbolises a body part - even though it might mean okay elsewhere in the world. And in Finland, simply folding your arms is considered arrogant. He declared his love for her on national television 10 months ago. And Snezana Markoski is still clearly the apple of Sam Wood's eye with the couple putting on a loved-up display at the Pared Eyewear launch party in Melbourne on Sunday. The 36-year-old personal trainer couldn't keep his hands off his fiancee as they tried on different styles of sunglasses. Scroll down for video Lovebirds: Sam Wood and Snezana Markoski only had eyes for each other as they attended an eyewear launch in Melbourne on Sunday The good-looking couple clearly had fun as they joked around and posed for the cameras, with Sam pulling Snezana in for a kiss at one point. The Tasmanian-born Bachelor kept his outfit casual with a pair of light blue jeans and a white T-shirt worn underneath a black jacket. He finished off the look with a pair of white Converse sneakers. Perfect pair: Sam and Snezana both wore black, with the personal trainer sporting blue jeans and white shoes Too much fun! The Bachelor couple goofed around with one another as they tried on different sunglasses Snezana meanwhile, looked gorgeous in an all-black ensemble with tan heels. Last Thursday, the molecular science student and her 10-year-old daughter Eve finally moved into the Melbourne house she purchased with Sam. Snezana, who is originally from Perth, bought the three-bedroom home with Sam in January, shortly after their engagement. Sam announced the exciting news last Wednesday night, claiming to have been up late into the night cleaning in preparation for the big moment. 'Do these make me look cool?' Tasmanian-born Sam showed off his goofy side as he tried on a pair of colourful cat-eye sunglasses He posted on Facebook: 'When you've spent all night cleaning the house as your fiance and her beautiful little girl are finally coming to live and you know it still isn't clean enough (sic).' The interstate move has been a long time coming for the Macedonian beauty and the fitness entrepreneur. The pair have been making their relationship work long distance as Sam continued to run his business in Melbourne while Snezana finished her degree in Perth. Pregnant Megan Fox and her husband Brian Austin Green took their two sons - Bodhi, 2, and Noah, 3 - to brunch in Malibu on Sunday. The expecting 30-year-old covered her prominent bump with a vampy black maxi-dress, and she rocked a leather choker and chambray shirt as she held Noah's hand. The Stormfall: Rise of Balur spokesmodel's father Franklin recently revealed that his Tennessee-born daughter will welcome a third son. Eating for two! Pregnant Megan Fox and her husband Brian Austin Green took their two sons - Bodhi, 2, and Noah, 3 - to brunch in Malibu on Sunday 'Once a vampire...always a vampire': The expecting 30-year-old covered her prominent bump with a black maxi-dress by Rachel Pally, and she rocked a leather choker and chambray shirt as she held Noah's hand 'I can't believe she is going to have three boys!' The Stormfall: Rise of Balur spokesmodel's father Franklin recently revealed that his Tennessee-born daughter will welcome a third son Making their marriage work: Next month marks a year since the on/off couple - who split in 2009 - technically filed for divorce from each other 'All I can say is that they are together and they are happy. I've met Brian a ton of times now and he is a great guy,' the 65-year-old parole officer told the Daily Mail last month 'Megan is an amazing mom and I can't believe she is going to have three boys. Becoming a grandfather has been a wonderful experience and brought us all even closer together.' The unshaven Anger Management funnyman - who's turning 43 next Friday - bared his calves in capri sweats, a blue T-shirt, and trainers. Next month marks a year since the on/off couple - who split in 2009 - technically filed for divorce from each other. 'This baby wanted me to live somewhere else': The acting couple - who met on the 2004 set of Hope & Faith - just moved their growing family from Bel-Air to Malibu on an embryonic hunch Megan revealed on the May 31 episode of Jimmy Kimmel Live: 'I also feel like this baby is telling me it's kind of like a Wernher von Braun or an Elon Musk, like a super genius' But the acting couple - who met on the 2004 set of Hope & Faith - just moved their growing family from Bel-Air to Malibu on an embryonic hunch. 'This baby wanted me to live somewhere else,' Megan revealed on the May 31 episode of Jimmy Kimmel Live. 'So we're moving to a whole different place in Los Angeles because I feel like that's where this baby wants to be raised.' The TMNT actress continued: 'I also feel like this baby is telling me it's kind of like a Wernher von Braun or an Elon Musk, like a super genius.' Due out this year! The astrology/chakra enthusiast will next play Soledad Paladin in James Franco's 1969-set dramedy Zeroville alongside Will Ferrell, Danny McBride, and Seth Rogen Vampyros Lesbos A photo posted by James Franco (@jamesfrancotv) on Nov 6, 2014 at 12:19am PST Fox admitted that each of her pregnancies have gotten consecutively easier since she's 'had a baby every other year since 2012.' The astrology/chakra enthusiast will next play Soledad Paladin in James Franco's 1969-set dramedy Zeroville - due out this year - alongside Will Ferrell, Danny McBride, and Seth Rogen. Meanwhile, Brian also has a 14-year-old son Kassius with former Beverly Hills, 90210 castmate Vanessa Marcil - who recently starred in a school production of Legally Blonde. Green will next reprise his role as Callan in the action comedy Cross 2 - hitting US theaters August 5 - alongside Danny Trejo and Tom Sizemore. 'Backstage': Meanwhile, Brian also has a 14-year-old son Kassius with former Beverly Hills, 90210 castmate Vanessa Marcil - who recently starred in a school production of Legally Blonde She has been on the market for seven months after splitting with former NRL star Braith Anasta. But actress Jodi Anasta has insisted she still isn't ready to find love with someone else, despite being linked to multi-millionaire Jason Huljich. The 31-year-old told the latest TV Week magazine that she isn't 'even thinking about it [dating] and that she is very content with her current relationship status. Scroll down for video Not ready to move on: Actress Jodi Anasta has claimed she still isn't ready to find love following her separation from Braith, despite being linked to multi-millionaire Jason Huljich last month 'I have great friends and family, between them, Aleeia and work, I'm very content for now,' she explained. But while she spends her time commuting between Melbourne and Sydney for work, the Neighbours star says she has been 'lucky' to have a support group around her, including Braith. During her interview with the magazine, the mother-of-one praised the ex-sportsman on being supportive of her career. Happy with life: The 31-year-old said in her latest interview, she isn't 'even thinking about it [dating] and that she is very content with her current status Side-by-side: But while she spends her time commuting between Melbourne and Sydney for work, she says she has been 'lucky' to have a support group around her, including Braith 'Braith is incredibly supportive as well, so it's a good dynamic,' the runway model said. Last month Jodi was reportedly spotted getting cosy with the Jason at Sydney hotspot Miss Sippy. At the time, The Daily Telegraph reported the couple were seen 'in deep conversation and cuddled up' in a corner of the Double Bay establishment. Sources claimed the actress and Jason looked 'extremely happy' to be in each other's company before the mother-of-one left with friends at 8pm. Former flame: Last month the mother-of-one was reportedly spotted getting cosy with the Jason at Sydney hotspot Miss Sippy The past: It comes seven months after Jodi confirmed her split with the former NRL star Jason is the executive director of Centuria Capital. The company is listed on the ASX and specialises in fund management, with $1.6 billion in funds under management. The reports come after Jodi and former partner Braith ended their three year marriage in December 2015. They welcomed daughter Aleeia in 2014 and despite the separation, Braith maintains a close bond with the two-year-old with the exes are able to amicably co-parent. Last month, former AFL star Brendan Fevola told of just how much his stint on I'm A Celebrity...Get Me Out Of Here! had helped rekindle his relationship with his ex-wife Alex. And now the 35-year-old has revealed he has proposed to her again, after the pair divorced several years ago. Surrounded by their family and close friends, Brendan got down on one knee in front of their three children, including Alex's older daughter Mia from a previous relationship, and their kids Leni and Lulu. Scroll down for video Getting hitched...again! Former AFL star Brendan Fevola has revealed he proposed to ex-wife Alex during the radio ratings break, saying he was 'nervous' Brendan, 35, told radio host Dave Thorton on the Fifi and Dave show: 'I was a bit nervous Dave, I didn't think she'd say yes.' 'Because we've been married before and obviously been divorce. 'It was really beautiful with the kids there.' During the chat, he also referred to the stunning makeup artist, 39, as 'snooksy.' Family: The couple share three children, including Alex's older daughter Mia from a previous relationship, and their kids Leni and Lulu History: The pair are seen in at an AFL event in Melbourne in 2006 He added that the couple's children wanted them to get married in Hawaii. Brenda joked that he proposed in front of the children because Alex 'cant say no in front of the kids, and I gave her a couple of wines before hand.' He said he offered to buy her a new ring and has a tattoo representing their first marriage, joking he'll 'put times two.' 'I've got a wife, whose an ex wife, whose now my fiancee,' he joked during the chat. Sweet: He said he 'got down on one knee' and their children want them to get married in Hawaii (seen in 2008) Popping the question: About the proposal, he joked he did when he did because she 'cant say no in front of the kids, and I gave her a couple of wines before hand' (seen together at a Sydney event earlier this year) Last month, Brendan told The Herald Sun how appearing on I'm A Celebrity... Get Me Out Of Here! helped their relationship, with they rekindled in October. 'We didn't go into the show thinking we were going to get anything out of it, it was just like, 'Go in there and see how you go.' 'It's opened up a whole new chapter, which is amazing,' he said. The pair confirmed in March 2014 their rocky romance was over, and divorced. They were married for almost a decade when they announced their split, having tied the knot in October 2005. The couple share three children, including Alex's older daughter Mia from a previous relationship, and their kids Leni and Lulu. Struggles: Last month, Brendan told The Herald Sun how appearing on I'm A Celebrity Get Me Out Of Here! helped their relationship, with they rekindled in October (pictured in 2007) Happy: The former Carlton star - who has a bit of a reputation as a bad boy - won I'm A Celebrity and during his time on the show, gushed about Alex Brendan and Alex had also previously split in 2005, after Brendan's controversial affair with Australian model Lara Bingle, who is now married to actor Sam Worthington. Alex has previously confirmed the betrayal ruined their marriage but, at the time, Lara denied the allegations. In 2010, a nude image of Lara in the shower resurfaced from her alleged affair with Brendan. Fresh start: The pair confirmed in March 2014 their rocky romance was over, and divorced. They were married for almost a decade when they announced their split, having tied the knot in October 2005 However, 14 months after the scandal first came to light, Alex and Brendan reconciled. The former Carlton star - who has a bit of a reputation as a bad boy - won I'm A Celebrity and during his time on the show, gushed about Alex. He said after getting back with her last year, he now takes more of an interest into what she does and what she likes. She's known for her impeccable figure. And Diane Kruger showcased her flawless physique in a stunning black and white Instagram snapshot on Sunday. The Inglourious Basterds actress - who turns 40 on Friday - flaunted her toned tummy and incredible bikini bod in the image she captioned: 'Sunday Fun Day.' Blonde bombshell! Diane Kruger - who turns 40 on Friday - flaunted her toned tummy and incredible bikini bod in an Instagram snapshot on Sunday The German beauty donned a black bikini top as she threw her arms outstretched above her head in the playful photo. She stood waist-deep in an infinity pool among a picturesque landscape behind her and gorgeous skyline. Her signature blonde tresses blew through the relaxed breeze in natural waves as she posed for her fresh-faced look. 'I have yet to be paid the same amount': The Inglourious Basterds actress recently revealed she's never earned as much as her male co-stars in the August issue of Town & Country The Screen Actors Guild Award winner recently graced the cover of Town & Country magazine for their 2016 August issue. She revealed she's never earned as much as her male co-stars and has found it difficult to gain ground when she demanded equality. Kruger is among other Hollywood actresses who have voiced their concerns about the matter including Jennifer Lawrence, Sandra Bullock, Jessica Chastain and Gillian Anderson. 'I've been labeled a bitch': Kruger has found it difficult to gain ground when she demanded equality 'I have yet to be paid the same amount as a male costar,' the stunner admitted in the cover story. 'And absolutely I've been labeled a bitch, or difficult to work with, when I've spoken up about something. Or its "She doesnt really know what shes talking about,"' she added. The talented star has been in a relationship with Joshua Jackson, 38, and although she said she's in no rush to get married, she recently moved to New York to be closer to her beau of ten years. She told The EDIT: 'I just moved [to New York].' Cover girl: The Screen Actors Guild Award winner looks stunning on her cover photo shoot 'I need to unpack and buy some house stuff, like candles and books [Moving here] was a major commitment.' 'Thats a big step into adulthood for me, to allow that time for someone else out of my time.' Kruger admitted that the next step in her life might be the possibility of marriage. Sasha Mielczarek paid an emotional tribute to his 'amazing girlfriend' Sam Frost a year after she chose him as her partner on The Bachelorette finale. The 31-year-old construction manager posted an Instagram photo from the couple's recent holiday in Bali and also shared a romantic message. 'One year has passed since that memorable day in New Zealand!' he wrote on Sunday. 'Happy one year anniversary to my amazing girlfriend with hopefully many more to come'. Scroll down for video Happy anniversary! Sasha Mielczarek paid tribute to his 'amazing girlfriend' Sam Frost on Instagram on Sunday - a year after they officially became a couple on The Bachelorette finale Sasha also showed off his playful side with the hash tags, 'Love your head,' and 'My milkshake brings all the boys to the yard'. It has been 12 months since Sam declared her love for Sasha on the final episode of Network Ten's popular dating show. Holding back tears of joy and wearing a beautiful bridal-esque gown, she said last year: 'I've been waiting my whole life to meet you'. 'One year has passed since that memorable day in New Zealand!' The 31-year-old construction manager shared a romantic message about his radio host girlfriend Perfect couple: Sasha also showed off his playful side with the hash tags, 'Love your head,' and 'My milkshake brings all the boys to the yard' Standing in a luscious green field with roaming hills, she told Sasha how she felt they would make 'the ultimate team' together. 'From the very first moment that I met you, I got a feeling that I've never felt before, and I can't even describe it,' she began. 'I used to be so nervous that I couldn't even look you in the eye. Those feelings grew into so much more. 'I got a feeling that I've never felt before': It has been 12 months since Sam, 27, declared her love for Sasha on the final episode of Network Ten's dating show, which was filmed in New Zealand Secretly dating: Despite being filmed in July 2015, The Bachelorette's finale was not broadcast until October and the couple had to keep their relationship under wraps for three months 'I love when I'm around you and how easy and effortless it is and I love that we complement each other and we are the ultimate team.' Earlier in the episode, she bid farewell to real estate agent Michael Turnbull, 35, in an emotional final rose ceremony. Despite being filmed in July, the episode was not broadcast until October and the couple had to keep their relationship under wraps for three months. The look of love: In the last episode, Sam told Sasha, 'I used to be so nervous that I couldn't even look you in the eye. Those feelings grew into so much more' He's not a keeper! In the last episode of The Bachelorette, Sam bid farewell to soccer player Michael Turnbull (back row, far right) in an emotional rose ceremony Snezana Markoski and her daughter Eve finally moved to Melbourne, Victoria to be with Sam Wood over the weekend. And on Sunday, the former Bachelor quickly jumped into stepdad mode, successfully braiding the 11-year-old's hair before bedtime. In Snezana's latest social media upload, she praised her fiance for mastering the hairstyle, writing: 'Perfect Sunday night! @samjameswood on hair duty and killing it!' Scroll down for video Daddy duties: Sam Wood quickly jumped into stepdad mode on Sunday and successfully braided fiancee Snezana Markoski's daughter Eve's hair after the pair finally moved into their Melbourne home In the snap, the businessman is seen sitting on top of their grey couch, leaning forward as he attempts to style Eve's long brunette hair. While he concentrated on keeping the locks neat and tide, Eve is directing her attention towards her smartphone. Fans of Sam and Snezana were quick to comment on the post, with many suggesting the brunette beauty was one 'lucky' lady. Pure joy: In Snezana's latest social media upload, she praised her beau for mastering the hairstyle, writing: 'Perfect Sunday night! @samjameswood on hair duty and killing it' He's a keeper: Fans of Sam and Snezana were quick to comment on the post, with many suggesting the brunette beauty was one 'lucky' lady 'That's so cute...Sam is such a great guyTakes someone special to have this kind of bond,' one follower posted. Another wrote: He is so good for u snez. So glad he is a good father and taking care of you both its really hard being a single mum. You deserve all the happiness with Sam and more xxxxx (sic).' The day after Sam took to Instagram to share a photo of him and his two ladies as they ventured out on their first school drop off. In the captured frame, the three pulled their heads close together and displayed large smiles for the lens. Reporting for duty: The day after Sam took to Instagram to share a photo of him and his two ladies as they ventured out on their first school drop off Making the move: The personal trainer announced the news that Snezana and Eve were moving to Melbourne last week He captioned the family photo: 'And we're off to school! #firstday #melbourne #nervousmiles.' The hunky personal trainer announced the news that Snezana and Eve were moving to Melbourne last week. He posted on Facebook: 'When you've spent all night cleaning the house as your fiance and her beautiful little girl are finally coming to live and you know it still isn't clean enough (sic)'. The moving news comes a day after Sam teased of an announcement on his Facebook page after sharing the cover of an upcoming magazine which read, 'Our exciting news...We can't wait'. Excited: Sam wrote on Facebook: 'When you've spent all night cleaning the house as your fiance and her beautiful little girl are finally coming to live and you know it still isn't clean enough' Jessica Alba looked summery fresh as she left a friend's house with daughter Honor in Los Angeles on Sunday. The 35-year-old Golden Globe nominee dressed her yoga and spin-toned 5ft5in figure in a trendy off-the-shoulder, white linen flounce frock and cute black slides. The Honest Company mompreneur's eight-year-old princess rocked a yin-yang tattoo choker, blue bandeau beneath denim dungarees, and white Teva sandals. Scroll down for video Mother-daughter bonding: Jessica Alba looked summery fresh as she left a friend's house with daughter Honor in Los Angeles on Sunday 'We're going to go with the kids somewhere chilling so that will be nice,' Jessica revealed to Hello! magazine this week. 'We are going to take eight days and just hunker down as a family.' On Saturday, Alba shared a Snapchat video of Honor alongside and her youngest Haven - who turns 5 next month - at their two-week summer camp. Elegant: The 35-year-old Golden Globe nominee dressed her yoga and spin- toned 5ft5in figure in a trendy off-the-shoulder, white linen flounce frock and cute black slides 'Flatforms': The Honest Company mompreneur's eight-year-old princess rocked a yin-yang tattoo choker, blue bandeau beneath denim dungarees, and white Teva sandals Jessica revealed to Hello! this week: 'We're going to go with the kids somewhere chilling so that will be nice. We are going to take eight days and just hunker down as a family' The privileged pair had just performed in a wigged-out recital based on the sixties-set musical Hairspray created by John Waters. The Bad Blood video vixen also made time on Saturday for a kids' party with gal pals, including reality stars/designers Nicole Richie and Rachel Zoe. Missing from the weekend shenanagans was Jessica's husband of eight years Cash Warren who - not unlike Rob Kardashian - fronts his own Target sock line, Pair of Thieves. 'My little stinkers': On Saturday, Alba shared a Snapchat video of Honor alongside and her youngest Haven - who turns 5 next month - at their two-week summer camp The Corny Collins Show! The privileged pair had just performed in a wigged-out recital based on the sixties-set musical Hairspray created by John Waters '#girlfriends #momlife': The Bad Blood video vixen also made time on Saturday for a kids' party with gal pals, including reality stars/designers Nicole Richie (L) and Rachel Zoe (M) Not unlike Rob Kardashian: Missing from the weekend shenanagans was Jessica's husband of eight years Cash Warren who fronts his own Target sock line, Pair of Thieves The Pomona-born beauty currently fronts the fall collection of her DL1961 denim collaboration, as well as ZICO Coconut Water's new 'Inside is Everything' campaign. Alba can be seen as hula-hooping dancer Daisy in Kevin Connolly's 1962 coming-of-age film Dear Eleanor - now on VOD/DVD - alongside Luke Wilson, Josh Lucas, and Ione Skye. The Veil actress will next play Gina in the action sequel Mechanic: Resurrection - hitting US/UK theaters August 26 - with Jason Statham, Tommy Lee Jones, and Michelle Yeoh. 'That's a wrap!' The Pomona-born beauty currently fronts the fall collection of her DL1961 denim collaboration, as well as ZICO Coconut Water's new 'Inside is Everything' campaign What if your inner & outer voice were the same? Thoughts from our new #InsideisEverything campaign with @JessicaAlba pic.twitter.com/5tLmnN23UL ZICO Coconut Water (@zicococonut) June 23, 2016 Available on VOD/DVD! Alba can be seen as hula-hooping dancer Daisy in Kevin Connolly's 1962 coming-of-age film Dear Eleanor alongside Luke Wilson, Josh Lucas, and Ione Skye She's the E! Australia host known for her beauty and effortlessly chic style. And Ksenija Lukich displayed her striking natural looks in a make-up free Instagram selfie on Monday. The TV personality, 26, showed off her flawless skin as she praised the glowing results of a recent beauty treatment. Flawless: E! host Ksenija Lukich displayed her striking natural beauty in a make-up free selfie on Monday Declaring she was feeling 'fresh', Ksenija thanked Sydney beauty salon Face Plus Medispa and skincare brand Dr. Spiller. On Thursday, she shared a throwback snap of herself with fiance Dan Bragg to celebrate their eighth anniversary. 'This is the very first photo that Dan Bragg and I took together,' she wrote in the caption. '8 years and counting...Happy Anniversary xo'. Style: The 26-year-old model and TV personality claimed her glowing skin was the result of a beauty treatment All made up! Ksenija previously shared an Instagram photo with Australian supermodel Miranda Kerr (left) Taken in her late teens, Ksenija is shown wearing a white kaftan-style dress with her long brunette hair styled straight. The couple announced their engagement on Instagram in February 2015 after almost seven years of dating. Ksenija confirmed lawyer Dan proposed on her birthday as she flashed her sparkly engagement ring while beaming with joy. Happier than ever! On Thursday, Ksenija shared a throwback snap of herself with fiance Dan Bragg to celebrate their eighth anniversary Wedding bells! The couple announced their engagement on Instagram in February 2015 Earlier this year, Ksenija told Daily Mail Australia that the couple had been too busy to properly plan their upcoming wedding. 'Obviously we're still getting married but we've both been so busy we haven't even had a chance to get there.' However she hoped to set a date 'sometime this year' and confirmed they have been looking around for the perfect venue. 'Best birthday ever!' Ksenija confirmed lawyer Dan proposed on her birthday as she flashed her sparkly engagement ring on Instagram last year She is Hollywood royalty, who is a Goodwill Ambassador for the UN Refugee Agency. So it comes as no surprise to see Cate Blanchett mix business with pleasure as she met the cast of Queens Of Syria during the opening tonight at Londons Young Vic last week. The 47-year-old happily mingled with over a dozen female Syrian refugees, who are currently acting out the story of their own experiences from the Syrian conflict. Scroll down for video Stealing the limelight! Cate Blanchett met the cast of Queens Of Syria during the opening tonight at Londons Young Vic last week Looking fashionably understated, the award-winning actress kept things relatively chic in a brightly coloured dress with floral prints and a tailored black blazer. With her blonde tresses left loose in tousled waves, Cate added a pair of stylish reading glasses to the look while allowing her natural beauty to shine with minimal traces of makeup. Directed by Zoe Lafferty, Queens Of Syria tells the stories of the 13 refugees - none of whom are professional actors - as they recall snippets of their carefree lives before the outbreak of the war in 2011. Stars of the show: Directed by Zoe Lafferty, Queens Of Syria tells the stories of 13 refugees (pictured) - none of whom are professional actors - as they recall snippets of their carefree lives before the outbreak of the war in 2011 The group will visit various cities around the UK, and will end with a West End gala performance at the New London Theatre on 24 July. It's not an easy task to take some of the most difficult life experiences you've had and speak about them on stage, the director said, reports the BBC. At the time of the first production, the women were very fresh out of Syria - the experiences were very new. This is a few years later, and that time allows us to address some of the more happy memories of Syria and explore what it means to be a refugee, as they've had this status for three or four years. Monochrome mavern: The Hollywood star oozed heaps of glamour in a chic flared jumpsuit at the Haute Couture Fashion Week, in Paris, on Tuesday Meanwhile, it was announced earlier this year that Cate would be making her return to the stage to make her debut on Broadway. Dates and venue have now been set for her playwright husband's adaptation of Anton Chekhov's first and long-forgotten play, The Present, beginning previews on December 17. The mother-of-four will star opposite Richard Roxburgh, and the pair have previously appeared together off-Broadway in 2012 in Upton's adaptation of Chekhov's Uncle Vanya. He is embroiled in a bitter divorce with Amber Heard. But Johnny Depp certainly has not let that ugliness get in the way of his music career. The 53-year-old actor waved to his adoring fans while heading into his band's gig at Coney Island in New York on Sunday night. Scroll down for video Happy: Johnny Depp was all smiles as he arrived for his band's gig at Coney Island in New York on Sunday night Johnny plays guitar and sings back-up for the band Hollywood Vampires and several of his adoring followers could not pass up the opportunity to see the talented star. He did not leave them disappointing as he smiled from ear-to-ear while waving and even clapping for his fans while heading into The Amphitheater in Brooklyn. The Pirates Of The Carribean star donned his regular rocker chic look as he sported a worn-out black T-Shirt with baggy blue jeans featuring paint spots and fades on it. He also wore black leather lace-up boots as he tied a camouflage jacket around his waist along with a scarf draped out of his denim pocket. Rock on: The 53-year-old actor plays guitar and sings back-up for the band Hollywood Vampires and several of his adoring followers could not pass up the opportunity to see the talented star Round of applause: He even clapped for fans in attendance Rocker chic: He wore a beat-up old black shirt, baggy jeans, lace-up boots, aviator shades, necklaces and had a camouflage Army jacket tied around his waist Johnny accessorised with white-rimmed aviator shades,many necklaces and a scarf wrapped around his neck and several bracelets with a watch. His short tapered locks were done in a pompadour as he sported just a bit of scruff in the mustache and chin area. The aviator shades, Army jacket and even haircut were definitely reminiscent of Robert De Niro's character Travis Bickle in 1976 classic film Taxi Driver. Inspiration? The aviator shades, Army jacket and even haircut were definitely reminiscent of Robert De Niro's character Travis Bickle in 1976 classic film Taxi Driver The gig did have a scary moment, however, as Depp's bandmate and legendary guitarist Joe Perry fell ill and had to leave the stage. The 65-year-old Aerosmith member sat down and struggled to play before he had to be treated by medics backstage during the Hollywood Vampires's first song. He was taken to the hospital in critical condition, but has since stabilized according to several reports. Tough times: Johnny's bandmate and legendary Aerosmith guitarist Joe Perry sat down and struggled to play before he had to be treated by medics backstage during the Hollywood Vampires's first song during Sunday's gig The gig comes just days after reports that he has turned to self-expression to help him cope with the unpleasantness of it all, reportedly altering a tattoo on his right hand to read 'Scum'. According to TMZ, the actor inked his knuckles with the word SLIM - Amber's nickname - shortly after they married last year, but, as a series of photos show, he has now tweaked the inking to spell SCUM. The Pirates Of The Caribbean actor was recording a video message for 95.5 KLOS with a microphone in his right hand when eagle-eyed fans spotted a change in his knuckle dedication. Johnny has plenty of experience using body art to cope with relationship woes. Eagle-eyed: Just days ago, fans of the star spotted that the 'L' in his tattoo tribute appeared more curved like a 'C' when he filmed and interview on the radio KLOS as he is embroiled in a bitter divorce with Amber Heard From SLIM to SCUM? The new images (left) appear to show his dedication was altered from when it was pictured in May (right, at the Alice Through the Looking Glass premiere) During his four-year partnership with actress Winona Ryder in the early 90s, Johnny tattooed the phrase Winona Forever on his upper right arm. Following their highly publicised split he altered it to read 'Wino Forever.' Johnny and Amber did not have a prenuptial agreement which entitles her to half the money he made over the course of their relationship, which looks to be somewhere between $20 and $30million. Heard, 30, had also asked a judge for spousal support and her legal fees to be covered during the divorce, but that was rejected by the court. Turmoil: The Pirates Of The Caribbean star is currently in the middle of a messy divorce from his wife of 15 months Amber Heard (here in January 2015) who has accused him of domestic violence Luckily for Johnny - who is worth an estimated $400million - he made a good chunk of that possible payoff this week by selling two of his prized Jean-Michel Basquiat paintings for $11.5 million. A 1981 three-paneled piece titled Self Portrait was expected to land $1.9 million. It was snapped up by New York gallery owner Bill Acquavella for $4.7 million. The second, another 1981 piece painted on the back of a door titled Pork, had an estimated price tag of $3-5 million. It sold to an anonymous phone bidder for $6.8 million. Depp spent 25 years collecting eight of the Brooklyn artist's groundbreaking pieces, and now intends selling the other six. Last month marked seven years since her father, Michael Jackson, passed away. Paris Jackson paid tribute to the late King of Pop with a tattoo on her hand which she proudly displayed via Instagram on Sunday. 'I f***ing love you,' the 18-year-old captioned an image of her right hand with 'Bad' inked in red; the single from his seventh studio album of the same name. 'I f***ing love you': Paris Jackson, 18, paid tribute to her late father Michael Jackson with a hand tattoo with 'Bad' inked in red; the single from his seventh studio album of the same name Paris also shared a snapshot with her brother Prince, 19, who also got a tattoo by artist Justin Lewis in support of his martial arts institute, the Gracie Academy Beverly Hills. 'It's truly an honor and a privilege to call myself their student they've taught me so much about not only the sport but also life,' he wrote alongside the close-up image of the colourful tattoo. The platinum blonde beauty also got a tattoo of the iconic lightning bolt to represent the late David Bowie who passed in at the age of 69 in January. 'Tattoo buddies': Paris Jackson proudly posed with her brother Prince Jackson, 19, (L) and tattoo artist, Justin Lewis (center) Prince's purple 'Love Symbol' was also newly inked on the top of her hand, along with the band Van Halen's logo. Paris dressed in her typical edgy rocker style in ripped skinny jeans with a vintage black cropped top that showcased her toned tummy. She wore a red and black flannel around her slender waistline and finished her street chic look off with lace-up boots. 'They've taught me so much': Prince also got a tattoo in support of his martial arts institute, the Gracie Academy Beverly Hills The teen appeared in good spirits as it was recently reported by TMZ that she reached out to her mother Debbie Rowe after learning the 57-year-old was diagnosed with breast cancer. She allegedly told her mother she 'loves her' and has promised to visit after her surgery. Paris and Debbie - who was married to Michael from 1996 to 1999 - are believed to have stopped speaking more than a year ago and in May this year the teen stopped following her mother on social media. Debbie responded on Facebook saying it was 'one of the saddest things in this world is to see a child grow up hating one of their parents because they only got one side of the story.' They made everyone jealous with sizzling bikini snaps in the Greek islands last week. But now Natasha Oakley and Devin Brugman have returned to London after their month-long European vacations and immediately begun their other favourite pastime shopping. Though they spend most of their time wearing sexy bikinis in exotic locations to promote their lines of swimwear, the Australian-born girls traded their skimpy outfits for city chic. Scroll down for video We're back! Natasha Oakley and Devin Brugman have returned to London after their month-long European vacations and immediately begun their other favourite pastime shopping Despite covering up for the cool London summer, Tash managed to tease her busty cleavage in a tight-fitting low-cut black top under a matching cropped jacket. The shapely number was tight enough to show off the outline of her ample assets underneath and hugged all the right curves to flaunt her incredible figure. The 25-year-olds beige trousers were equally tight-fitting, giving a leggy display that allowed onlookers to imagine the perfectly toned pins underneath. Stunning: Despite covering up for the cool London summer, Tash managed to tease her busty cleavage in a tight-fitting low-cut black top under a matching cropped jacket 'Prepping for Miami': The shapely number was tight enough to show off the outline of her ample assets underneath and hugged all the right curves to flaunt her incredible figure, as she grabbed some raclette to eat The bikini blogger let her blonde locks fan out in a messy natural style and posed on a London high street twirling one strand in her fingers. She completed her urban look with a pair of round designer sunglasses, a steel watch and a Fendi-branded black leather handbag. Her best friend and business partner Devin flashed a hint of her toned midriff in a white shirt that was tied up around her navel, and rolled up at the sleeves for a trendy look. London chic: Devin flashed a hint of her toned midriff in a white shirt that was tied up around her navel, and rolled up at the sleeves for a trendy look BFF: The inseparable pair smiled and laughed as they walked side by side through Londons Borough Markets or cuddled up as they fetched something to eat The buttoned top seemed to be under some stress from the 25-year-olds famously ample assets, producing folds in the fabric that might not be visible on anyone else. She showed off her trim pins in a skin-tight pair of blue jeans and sexy knee-high suede boots while carting around a similar black handbag to Tash. The models chocolate locks cascaded over her slender shoulders framing her smiling face which was adorned with a pair of designer sunglasses. Model pair: They were joined by Tashs French model boyfriend Gilles Souteyrand, who wore a simple white T-shirt that showed off his chiselled arm muscles and designer stubble The inseparable pair smiled and laughed as they walked side by side through Londons Borough Markets or cuddled up as they fetched something to eat. Showing my American friend around Borough Markets, Tash wrote on Instagram, before saying she was prepping for Miami Swim Week with some raclette while holding a plate of the dish. Devin appeared similarly happy to be out with her BFF, writing that they can communicate via facial expressions. They were joined by Tashs French model boyfriend Gilles Souteyrand, who wore a simple white T-shirt that showed off his chiselled arm muscles and designer stubble. Big shirmpin: In one photo from a meal the trio shared at Cajun restaurant The Big Easy in Chelsea, a smiling Devin held up a very big specimen she was about to devour while wearing a plastic bib 'Just about to kill my ribs': Another photo posted to Gilles account showed him excitedly brandishing a knife ready to stab into some ribs while Tash looked on sheepishly next to him The previous day the trio dined at Cajun restaurant The Big Easy in Chelsea, chowing down on copious qualities of shrimp and brisket. In one photo from the meal, a smiling Devin held up a very big specimen she was about to devour while wearing a plastic bib. Big shirmpin, she wrote on Instagram. Another photo posted to Gilles account showed him excitedly brandishing a knife ready to stab into some ribs. Sizzling: Tash and Devin made everyone jealous with sizzling bikini snaps in the Greek islands last week Big Easy with the fam. Just about to kill my ribs, he wrote, while Tash looked on sheepishly next to him in perhaps one of the most candid shots ever taken of her. In May Tash discussed her fashion choices for different cities, saying visiting colder cities allowed her to experiment with winter style. 'I've moved city so often (that) I have a completely different style in Sydney, Los Angeles, New York and Paris,' she told The Hype. 'It's a tough job, but somebody's got to do it:' Tash showed fans how her and business partner Devin's popular blog and Instagram pages come together 'It's been nice being in the colder cities because I can play around with my wardrobe a little bit more. 'Everywhere I go I have a different style. So rather than the climate being the thing that confuses me it's like, "Okay, what city am I in?" '"Okay, I'm in Sydney? I need a bikini, I need some cut-off denim shorts. Okay, now I'm in New York, I need a band T-shirt, I need a leather jacket, I need some fringe".' Their partnership has Hollywood in Hot Pursuit. So its no wonder Reese Witherspoon was full of praise as she opened up about her working partnership with Australian producer Bruna Papandrea. The dynamic duo, who set up their production company Pacific Standard in 2012, released two high-profile films last year - Gone Girl and Wild - both of which are based on best-selling books. Scroll down for video 'I knew she was the real deal': Reese Witherspoon opens up about her working partnership with Australian producer Bruna Papandrea on Sunday Night In a snippet in the upcoming episode of Sunday Night, the stars discussed their unlikely friendship something which didnt initially kick off to a good start. Immediately I just knew she was the real deal, teased Reese, before it cut to Bruna: I actually played hard to get, she will tell you that I played hard to get. As well as Gillian Flynns Gone Girl, Adelaide-born Papandrea and Witherspoon were also behind hit comedy Hot Pursuit which also starred Sofia Vergara. 'I actually played hard to get': In a snippet in the upcoming episode of Sunday Night, the stars discussed their unlikely friendship something which didnt initially kick off to a good start Hot talent: The dynamic duo, who set up their production company Pacific Standard in 2012, released two high-profile films last year - Gone Girl and Wild - both of which are based on best-selling books Speaking about their on-set antics, Reese added: Ill be like, I dont like how you did this! and then shell be like, I dont like how youre talking to me! I thought it was time to bet on myself, whats the worst thing could happen, remarked Bruna. According to Deadline, the highly sought-after pair will be producing a new hour-long show, Please Don't Go, for ABC network. The upcoming drama, written by Meaghan Oppenheimer, will reportedly focus on a Dallas-based divorce lawyer, who's left behind her trailer park days for a life of luxury and success. Dream team: As well as Gillian Flynns Gone Girl, Adelaide-born Papandrea and Witherspoon were also behind hit comedy Hot Pursuit which also starred Sofia Vergara (pictured left) Starring role:" Reese famously portrayed Cheryl in the 2014 film, Wild, based on the author's 1,100-mile hike between California and Washington Reese and Bruna also have a project in development for HBO. The women will be producing Tiny Beautiful Things, based on the book from Wild author Cheryl Strayed. The book featured a collection of the author's advice she's given throughout her time as a columnist for an online magazine. Reese famously portrayed Cheryl in the 2014 film, Wild, based on the author's 1,100-mile hike between California and Washington. She's the lovable host of daytime talk show Studio 10. And on Monday, Sarah Harris showed she doesn't take life too seriously as she busted out cringeworthy dance moves made famous by Seinfeld's Elaine. 'That time I did 'The Elaine' on national telly...' the 34-year-old journalist tweeted alongside footage of her dancing in front of her co-hosts and the live audience. Bust a move: Sarah Harris showed off her quirky version of Elaine from Seinfeld's dance moves on Monday The mother-of-one was wearing an orange top and skirt ensemble with black strappy heels when she stood up from the couch on set and showed off the moves. Sarah's Twitter followers praised the journalist for her sense of humour. 'Sarah is also a very funny lady, you make me laugh every day, you have great sense of humour,' one user commented. Fan favourite: The 34-year-old TV host's Twitter followers were big fans of her awkward dance moves The blonde beauty has been a host of the Channel Ten morning show since August 2013, alongside Jessica Rowe, Ita Buttrose and Joe Hildebrand. The former Today show reporter took a brief hiatus from the show following the birth of her son Paul in December last year. Upon returning to her spot on the Studio 10 panel, Sarah explained that despite having over 15 years broadcast experience, she still felt 'a little bit nervous' before going in front of the camera. Doting mum: Sarah gave birth to her son Paul in December last year and returned to her gig on the Channel Ten show four months later 'It was like the night before the first day of school,' she said of her last-minute nerves on Studio 10. 'But instead of packing lunch, I packed a breast pump!' the TV host announced as laughter erupted in the studio, before adding: 'So that was interesting.' Sarah and Tom have been married since July 2014 and first announced she was expecting a baby in July last year. They're the celebrity couple whose successful marriage has lasted more than 20 years. And Hugh Jackman wants the world to know he's a 'proud husband' as he gave a shout-out to Deborra-Lee Furness' new television drama, Hyde & Seek, on Instagram. Sharing a screenshot from the Channel Nine show to his 6.9 million followers, he wrote: 'Australia! Check out the new trailer to Hyde & Seek. Featuring the amazingly talented Deborra-lee Furness! #ProudHusband' Scroll down for video Back on our screens: The 60-year-old actress and producer joins an all-Australian cast in the new Channel Nine crime drama The show marks the 60-year-old actress and producer's first time starring in an Australian production in 10 years. The blonde star joins an all-Australian cast in the new crime thriller, fronted by Underbelly actor Matt Nable and Love Child's Mandy McElhinney. In a post shared on her Facebook page, Deborra-Lee teased fans of the first trailer for the series, which will be premiering soon. Action-packed: The show will follow Matt Nable's character, Detective Gary Hyde looking for justice following the murder of his best friend Proud husband! Hugh Jackman praised his talented wife Deborra-Lee Furness as he promoted her latest TV show on Instagram The show will follow Matt Nable's character, Detective Gary Hyde looking for justice following the murder of his best friend. Together with his new partner Claire McKenzie (played by Emma Hamilton), the detectives uncover a criminal underbelly that will threaten lives, young families and make them question everything they believe in. Also joining the all-star cast is Home and Away hunk Tai Hara, Packed to the Rafters actress Zoe Ventoura, Claire Lovering, Andrew McFarlane, Aaron Faaoso and Stephanie Panozzo. Star-studded cast: The show is fronted by Underbelly actor Matt Nable and also features Love Child's Mandy McElhinney Deborra-Lee has had a long and successful career on Australian television finding fame in 1988 and meeting her Hugh on set of Correlli back in 1995. The loved-up couple were married the following year in Melbourne. After 20 years together, Deborah and Hugh jetted to the Caribbean island of St. Barts in April to celebrate their milestone. The trip comes after Hugh and his family were recently in Australia for the premiere of his new movie Eddie The Eagle. She confirmed she had broken up with her boyfriend Andrew Steel after nine months together on Sunday. And Heather Maltman appears to be wasting no time moving on from the up-and-coming actor, sharing a snap of her getting her motorbike licence, laced with suggestive language. 'Sometimes you just know when it's time to get back on the bike... (Oh yeah, take that double meaning!),' she wrote. Scroll down for video 'Time to get back on the bike': Heather Maltman appears to be wasting no time moving on from ex-boyfriend Andrew Steel, sharing a snap of her getting her motorbike licence laced with suggestive language The former Bachelor contestant posed in a heavy white riding jacket brandishing the temporary licence slip in one hand with her helmet in the other, next to a man who may be her assessor or a friend. The 29-year-old pulled a face and raised one eyebrow behind her flowing brunette locks that spilled over one shoulder, clearly proud of her achievement. 'FYI. Only girl in class. BOOM!!!' she wrote. Single, sexy, and free! Heather Maltman confirmed her split from Andrew Steel on Monday The shot could easily be interpreted as a snarky attempt to make her ex jealous and let him know she is not missing him one bit - and was ready to meet new men. She rubbed more salt in the wound hours earlier when she posted a Cyanide & Happiness comic where a character dumps her boyfriend because of the size of his manhood. Heather confirmed the split to the Daily Telegraph, on Sunday but failed to divulge any specific details about why they broke up - but if her posts are any indication, it was not amicable. The twosome first met last year at a festival after Heather was recovering from being rejected by fitness entrepreneur Sam Wood on The Bachelor. Goodbye: The couple first met at a festival last year and dated for nine months before their demise Claim to fame! Heather first entered the spotlight as a standout contestant on season three of The Bachelor Speaking to Fitzy and Wippa on Nova 96.9 last October, the aspiring actress revealed: 'We met at a Melbourne web Festival a week or two after the show and ended up becoming really good friends.' She continued: 'Because that's my thing, and I didn't want to date because Sam [Wood] polarised my heart.' The twosome soon started flaunting their romance at every opportunity, regularly splashing loved-up selfies on social media and walking the red carpet together at glitzy events around Sydney and Melbourne. Heather's return to single life comes at a bad time now that Channel Ten has already cast ambitious newswoman Georgia Love to front season two of The Bachelorette. Dumped: The 29-year-old was tragically dumped on national TV by fitness entrepreneur Sam Wood Too late: If Heather had split with Andrew earlier, she may have been able to become the next Bachelorette. Instead, that role has been given to ambitious newswoman Georgia Love (pictured) The quirky Maltman was a fan favourite during her stint on The Bachelor, and many hoped to see her follow in Sam Frost's footsteps as the next Bachelorette until she started dating Andrew. The brunette beauty, who co-hosted this year's I'm A Celebrity...Get Me Out Of Here! NOW, is now working on launching a career as an actress or director. In April, she flew to Hollywood to enter a web series she had filmed into festivals. She is often overshadowed by her younger, more glamorous daughters. But Kris Jenner ensured this would not be the case for once when she headed out on her own for a meal in a revealing black bodysuit in Los Angeles on Sunday. The self-styled momager created quite the stir as she headed into the trendy Craig's eatery in West Hollywood, with fans taking photographs of their idol as she passed by on their mobile phones. She's not shy: Kris Jenner lapped up the attention as she flaunted her form in a bodysuit in LA on Sunday The reality television doyen seemed to be lapping up the attention, and wore a wide grin as she showed off her extremely ambitious skin-tight black bodysuit that left virtually nothing to the imagination. She completed her look with a black overcoat and towering high heels. As if that was not enough, she insisted on wearing a pair of dark sunglasses, even though the sun had already gone down. Meanwhile it seems that when she is not flaunting her firm physique, Kris cannot resist when it comes to meddling in her daughters' affairs. For in a Keeping Up With The Kardashians teaser clip she gives Scott Disick advice on how to curry favour with his estranged lover Kourtney, who split from the self-styled Lord after he was caught canoodling with another woman last year. All that glitters: The wealthy matriarch's diamond necklace sparkled in the light as she headed indoors Causing a stir: Some young fans could not resist taking a picture of their idol on their mobile phones She said: 'The thing that I hear her say is that she just wants you to be a good person and a great dad. And to do it first for yourself, and then for your kids, and then for her.' Scott replies: 'I know she says that but let's say if in a year from now or ten years from now we worked things out, I would hated to have missed a birthday.' Kris firmly replied: 'Yeah but you know what would be the best birthday present ever? 'Just be that fun dad and that responsible dad that she is always praying that you will turn into.' Scott is father to all three of Kourtney's kids: six-year-old son Mason, three-year-old daughter Penelope and 19-month-old son Reign. Not left in the shade this time: Kris ensured all eyes were on her by leaving her daughters at home Taking a Gamble: No wonder her toyboy lover Corey is so enamored with the 60-year-old She is known as one of the top models from the Seventies to the Nineties. And Christie Brinkley still looks runway ready. The 62-year-old showed off her model legs at the launch of Nicole Miller La Plage in the Hamptons on Sunday. Stunning in her sixties: Christie Brinkley showed off her model legs at the launch of Nicole Miller La Plage in the Hamptons on Sunday She looked absolutely fantastic in a see-through navy mini dress at the event hosted by The Daily Summer and Nicole Miller in New York. Christie wore an intricate dark blue sheer number featuring plenty of patterned cut-outs over a white mini dress. She kept warm on the chilly day in affluent New York city with a blue full zip-up hoodie and white patterned Adidas Stan Smith trainers. Hamming it up: The 62-year-old star looked absolutely fantastic in a see-through navy mini dress at the event hosted by The Daily Summer and Nicole Miller in New York Looking good: Christie wore an intricate dark blue sheer number featuring plenty of patterned cut-outs over a white mini dress Hamming it up: She later posed on a swing Back and forth: She kept warm on the chilly day in affluent New York city with a blue full zip-up hoodie and white patterned Adidas Stan Smith trainers Beaming: She seemed to be reliving her childhood as she grinned from ear-to-ear The supermodel accessorised with a large intricately patterned tote bag with furry detailings and a white and navy captains hat. Her signature blonde locks were worn down flowing over her shoulders as she let her natural looks with complimentary make-up on her face. As she is known for being able to maintain her model looks over the decades, she recently admitted to having some help in keeping her skin looking great. Stunner: Her signature blonde locks were worn down flowing over her shoulders as she let her natural looks with complimentary make-up on her face Gorgeous: She smiled as she chatted it up with other guests Fancy meeting you: She hung out with artist Peter Dayton while playing with a baby boy The blonde stunner opened up to People magazine about the steps she takes in keeping her youthful looks. She told the weekly that she is aware of the sun exposure she has had in her life and 'occasionally I'll do a bit of filler' but not Botox. As she owns her own skincare line, she maintains that she has learned a lot on keeping her fresh looks as she explained: 'Im a model. I want my skin to look its best.' Beach vibes: She hung out with the designer Nicole Miller Pretty in patterns: Christie and the 64-year-old designer posed for a snap together Relaxed: Nicole sported an off-the-shoulder patterned mini dress Christie is well aware of what people have to say about her and even credited one of her own products for helping her look great. She explained: 'Some women on my Instagram will say, "Oh, shes so fake. Its not her skincare, she gets filler." 'And its like okay if I only did filler and I didnt use my Recapture 360, I wouldnt have the moisturizing, I wouldnt have that bounce back its the whole thing. You have to take care of the texture of your skin.' She's frequently seen with a full face of make-up and experimenting with striking shades of lipstick and eye shadow. But beauty queen-turned-reality TV star Tegan Martin touched down at Sydney Airport last week, looking fresh-faced and seemingly make-up free. While significantly less dolled up than usual, the former Miss Universe Australia still looked stylish in a head-to-toe black outfit with a dove grey coat coat to keep her warm. Scroll down for video Toning it down: Beauty queen-turned-reality TV star Tegan Martin touched down at Sydney Airport last week, looking fresh-faced and seemingly make-up free What the former Celebrity Apprentice star lacked in cosmetics she more than made up for in excitement, looking positively ecstatic to be back home as she sported a big, bright smile. The 23-year-old glowed with positive energy as she wheeled her white suitcase across the Sydney Airport arrivals terminal with a spring in the step of her thigh-high black boots. Her all-black outfit included a loose-fitting top that bunched up around her slender neck, and a tight pair of leggings that showed off her trim pins, while her elegant coat billowed around her. The Newcastle native was seen perusing the duty-free area, examining some new products as she carried a cream designer handbag big enough to carry her new purchases. Beaming with joy: What the former Miss Universe Australia lacked in cosmetics she more than made up for in excitement, looking positively ecstatic to be back home as she sported a big, bright smile Getting new ideas? The Newcastle native was seen perusing the duty-free area, examining some new products as she carried a cream designer handbag big enough to carry her new purchases Tegan's outfit was even more conservative than the frock she wore to the Australian Star Trek Beyond premiere red carpet on Thursday. Her lace-covered black ensemble featured mesh panelling and a high neckline - all of which did well to hide her bustline. The petite blonde completed her demure ensemble with a pair of simple black heels, a matching black clutch bag and a pair of stud earrings. Tying her fair locks into a windswept updo, Tegan flaunted a somewhat natural make-up look, which featured a swipe of peach blush and a slick of pink gloss. The outing came after the blue-eyed stunner showcased ample cleavage in a plunging black dress as she attended a lunch date with friends the week before, sparking questions of a breast enhancement. Demure: Miss Universe Australia Tegan Martin, 23, wore a more conservative dress during a red carpet appearance at the Australian Star Trek Beyond premiere on Thursday Gorgeous: Tying her fair locks into a windswept updo, Tegan flaunted a somewhat natural make-up look that featured a swipe of peach blush and a slick of pink gloss Tegan recently split with her ex Angus Hood in November after almost a year of dating. Two months ago, the bubbly starlet admitted to Maxim Australia she was 'seeing someone' but remained tight lipped on further details, adding they weren't yet officially dating. She also gave the magazine's readers some tips for the bedroom, adding: 'One thing Id advise men not to do is have sex with a girl and then roll over and go to sleep! 'It doesnt sit well with any woman and is a hot topic of discussion in female land.' Racy: Her outing comes after the blue-eyed stunner showcased ample cleavage in a plunging black dress as she attended a lunch date with friends last Saturday Did she upgrade? Tegan appeared to have sported a smaller bust in December last year (pictured) It has been nearly three weeks since her father, Oliver Curtis, was sentenced to two years behind bars for conspiracy to commit insider trading. But Instagram sensation and daughter of PR maven Roxy Jacenko, Pixie Curtis, looks none-the- wiser in a snap shared to her Instagram account on Monday. The four-year-old can be seen holding an impressive-looking layered rainbow cake with white icing as she looks on in amazement at the delicious-looking treat. Scroll down for video A delicious day: Pixie Curtis, daughter of PR maven Roxy Jacenko, looked on in shock at her layered rainbow cake dessert in an image shared with her 110,000 Instagram followers on Monday The tiny tot, who has 110,000 Instagram followers on her account which is administered by her mother, appears to be wearing pyjamas as she sits cross-legged on a bench. The caption alongside the photo says: 'When you get afternoon tea delivered from @chesterstreetbakery in Brisbane and it looks this good!!' Meanwhile, Roxy visited her husband at Parklea Correctional Centre on Friday alone - reportedly her first trip since Oliver was sentenced to two years in prison last month. Happy family: Roxy shared this photo of herself with husband Oliver, 30, and their two children Pixie, four, and Hunter, two According to The Daily Telegraph, the Sydney socialite says she will never be taking Pixie and son Hunter, two, to visit their father in prison during his one year incarceration - despite visitation rights. Roxy recently spoke to the publication about adjusting to life as a single mother while Oliver serves his time in jail. 'I have had challenges throughout my whole life, this is no different,' explained the PR maven. 'The bravest people I know': Roxy has been putting on a brave face since Oliver was sentenced to two years behind bars for conspiracy to commit insider trading Looking on the bright side: Roxy shared this snap of her and her children enjoying some time together in Bondi after Oliver was sent to jail 'Not everyone has to deal with challenges as publicly as I have, but that is the business I have chosen,' she explained. The 36-year-old also claimed her children were doing well despite their father's absence. 'They are good, they are just good kids, being kids, it's all play dough and painting the furniture, it's everything as normal,' she told the publication. On June 24, Oliver was sentenced to two years in jail, to be released after one year on a good behaviour bond, at the NSW Supreme Court. No-go: The Sydney socialite said she will not be taking her children to visit their father while he is behind bars Earlier that month, 30-year-old Oliver was found guilty of conspiracy to commit insider trading on 45 separate occasions. The court heard he shared in AUD$1.4 million in illegal profits using confidential information between May 2007 and June 2008. Daily Mail Australia revealed that Oliver had spent five nights in the cells at Sydney Police Centre in Surry Hills as overcrowding had left him without a place in prison. She makes no secret of her avid appetite for fitness. So Ellie Goulding is naturally keen to show off the results of her hours in the gym, as illustrated when she headed to Lewis Hamilton's victory party at London's Cafe Royal on Sunday. The 29-year-old Burn hitmaker opted for a volumunious navy shirt, under which she flashed her sexy lace bra while also showing off her long and lithe legs. Scroll down for video Sexy look: Ellie Goulding is naturally keen to show off the results of her hours in the gym, as illustrated when she headed to Lewis Hamilton's victory party at London's Cafe Royal on Sunday in a blouse by La Mania Ellie was on hand to help the Formula One ace celebrate his triumphant turn at Silverstone earlier in the day, where he won his fourth British Grand Prix. Her navy shirt was unique in shape as the body of the number was styled like a normal button-down shirt yet the sleeves were styled into huge flamenco-esque frills. Shunning wearing a modesty-protecting camisole beneath the sheer shirt, Ellie opted for out and out sex appeal as she flashed her lace bra beneath the top. She was also set to show off her incredibly toned legs in a pair of silken black shorts which she pulled up to expose the maximum amount of flesh. Changing look: Ellie has had something of a style evolution since bursting on to the music scene in 2009, where she initially favoured boho ensembles and minimal make-up Adding in stacked heels with leather wraparound straps, Ellie boosted her height further with the teetering shoes. The blonde beauty styled her tresses into loose waves falling from a centre parting while keeping her make-up natural and pretty. Ellie has had something of a style evolution since bursting on to the music scene in 2009, where she initially favoured boho ensembles and minimal make-up. Formula Fun: Rita Ora was once again in the company of Formula One ace Lewis Hamilton, 31, as she was seen heading to his victory party on Sunday night following a triumphant turn at Silverstone earlier in the day Fur-bulous: Rita, who performed at Wireless festival earlier in the day, looked sensational in her racy leather skirt which clung to every inch of her famous curves Other stars attending the bash included Rita Ora who upped the glamour to full as she sizzled in a fur coat with a leather pencil skirt Man of the moment Lewis ensured he stood out on the night as he sprung for white jeans with a white top adorned with heavy black beading to coordinate with the black sleeves. Despite the party taking place in the wee hours, the Stevenage-born star pulled on a pair of sunglasses to ensure everybody present recognised his superstar status. He is one of Hollywood's most talked about leading men, and even more so at the moment as his latest film - Jason Bourne - hits cinemas. And although Matt Damon does a graceful job of embracing the huge amounts of fame that come with his career, he's grateful his wife of eleven years, former bartender Luciana Barroso, isn't an actress. Speaking to GQ Australia, and appearing as the cover star of their August issue, the high-profile actor revealed his marriage is under a lot less pressure than those of his fellow actor friends. Scroll down for video Suave! Matt Damon looks dapper on the cover of GQ Australia's August issue (on sale Monday) wearing a trench coat and giving a smouldering look 'Im really lucky that I fell in love with a woman whos not in the business, because there isn't the appetite for my private life that there is for some of my friends,' the 45-year-old said. 'And I know from being close to people who have their private life played out in public theyre not courting it, and it sucks, because people dont feel any sympathy for you when your private life gets dragged out.' The handsome actor, who is step-father to Alexia, 17, and father to Isabelle, 10, Gia, seven, and Stella, five, said things are easier when his family isn't in the public eye. Grateful: The 45-year-old said: 'Im really lucky that I fell in love with a woman whos not in the business' 'As long as our (situation) doesn't change and everyone leaves us alone, that takes so much pressure off our marriage,' the doting father and husband noted. Adding: 'But it's a different story when two actors get together. It's not that the attention doubles - it just grows exponentially.' Damon opened up about life at home in a candid interview with Rahni Sadler on Channel Seven's Sunday Night earlier this month, revealing the success of his career doesn't mean he gets to call the shots at home. Loved-up: The Hollywood hunk and his wife, Argentinian-born Luciana Barroso, have been married for 11 years In fact, it's his four daughters and wife who have clear control of the reins. Damon recalled a comical story where his father told him: 'Those girls run you'. He was quick to bow down and admit: 'That's true,' with a laugh. He said his father and brother took great joy in comparing him to Jackie Gleason's character Ralph Kramden on The Honeymooners - a man who was respected in his own home despite being a bus driver - saying Damon was the complete opposite. Normality: Matt and Luciana share four daughters, including one from Luciana's previous marriage - Alexia, 17 Isabelle, 10, Gia, seven, and Stella, five His father said to him: 'Everybody thinks you're a big deal, and then you get home and you don't run s***.' Damon admitted to regularly feeling outnumbered at home. 'There's a lot of oestrogen,' he said with a laugh. 'I occasionally need to leave to take my walk around the park by myself,' he said, before quickly adding: 'But its really wonderful.' Damon was recently in Australia promoting his latest film and the return of the Bourne series, Jason Bourne. Opening up: Appearing on Sunday Night, Matt Damon revealed his success in his career doesn't mean he gets to call the shots at home and his father told him the women in his household call the shots In the hotly-anticipated film, Damon returns to the spy franchise that made him a full-blown movie star with all the freedom such status affords. Given how much actor credits the series with, it's a welcome homecoming. He revealed that even though he left the films behind after The Bourne Ultimatum in 2007, he always knew he wanted to reprise the role. It was just about finding the right time, and doing it with the right people, namely the director Paul Greengrass. 'I said I wouldn't do it without Paul. He and I would talk about it, and after a while, we thought "We're kind of d**** if we don't do another one".' Normality: Damon laughed as he recalled his father telling him: 'Everybody thinks you're a big deal, and then you get home and you don't run s***' They were all competing against each other for Sam Frost's heart on the 2015 series of The Bachelorette. But there is clearly no love lost between Dave Billsborrow, Davey Lloyd, Sasha Mielczarek and Richie Strahan, as they caught up over the weekend and appeared to be thick as thieves. And Dave Billsborrow is clearly wishing the fun times from the weekend weren't over, posting a snap of himself with the three boys to Instagram on Monday night. 'Good catch up with the boys': Former The Bachelorette contestants Sasha Mielczarek, Dave Billsborrow, Davey Lloyd and Richie Strahan reunited for some fun over the weekend The photo shows the four boys huddling around each other and smiling broadly for the camera during a night out. Richie, who is the star of the upcoming series of The Bachelor, appeared to be feeling the cold and was seen sporting a khaki beanie. And Sasha Mielczarek, who won the series and is still with Bachelorette Sam, is sporting a grey cardigan over a similar hue T-Shirt. 'A bit dusty today but enjoyed every minute with these fellas': Davey Lloyd shared this snap of the boys together on Saturday Dave captioned his snap: 'Still feeling the weekend. Good catch up with the boys!!' And it appears the group of friends were together for at least one night, with Davey sharing his own snippet of the weekend to Instagram on Saturday. 'A bit dusty today but enjoyed every minute with these fellas,' wrote Davey. The four friends were all wearing shoes, minus Davey, who opted for flip-flops instead. Back together: Dave Billsborrow reunited with his The Bachelorette co-stars Sam Frost and Richie Strahan on Friday This isn't the first time that seen each other recently, with Richie, Dave, and their former TV fling Sam Frost enjoying an afternoon together on Friday. The trio kicked back on plumber Dave's couch while reminiscing about the past, present and future. In great company @fro01 missed her flight home and @richie_strahan arrived to Sydney. Good to be with these 2 muppets, Dave captioned the picture of three reality stars beaming at the camera. A lot has happened over the past 12 months since Richie, Dave and Sam were all seen in the same room and filming for Channel 10s highly popular relationship series. Going strong: Sam is still dating Sasha Mielczarek one year since wrapping up filming The Bachelorette Since then, Sam has moved from business administration into breakfast radio. The 27-year-old is still dating Sasha Mielczarek, with the lovebirds purchasing a plot of land in Bowral for an estimated $810,000 recently. Meanwhile, Richie was announced as this year's The Bachelor. The 31-year-old from Western Australia will have 22 beauties all vying for his attention when the show hits TV screens later this month. Love nest: The pair have also purchased a plot of land together in Bowral where they will build their dream home The line-up includes an eclectic mix of women all with different occupations, ages and backgrounds. Can't wait to start this adventure as The Bachelor! I hope to meet someone amazing.This will be a wild experience, get excited! Richie said in a post to Instagram months earlier. Dave is still working as a tradesman, but enjoyed a brief romance with former The Bachelor series one star Sarah-Mae Amey. Former flames: Dave enjoyed a brief romance with former The Bachelor series one star Sarah-Mae Amey Mutual friends: Dave and Sarah-Mae met in November after being introduced by her best friend Sam the woman Dave was vying for on the Bachelorette Dave and Sarah-Mae met in November after being introduced by her best friend Sam the woman Dave was vying for on the Bachelorette. Sarah-Mae confirmed her relationship with Dave on Instagram posting a picture of the former reality star as the pair babysat Sam and Sasha's pet puppy Rocky. Along with an image of Dave and the pooch Sarah wrote: ''My boys... Puppy sitting duties.' But the relationship failed to last the test of time, and Dave and Sarah-Mae called it quits in March. While Sara-Mae has struggled to find a new man, the plumber was spotted cuddling up to a new woman of the name Cortnee Spessot. In May, the pair were seen canoodling outside a Sydney gym. When The Block returns to screens later this year for season 12 it will feature its first ever lesbian couple. Melbourne partners Julia, 31, and Sasha, 42, were announced on Monday among the contenders for the show, which also includes the oldest Blockheads in history. The couple, who have been together for four years, are not the show's first same sex couple, with Gavin Atkins and Warren Sonin appearing in the 2004 series. Scroll down for video First lesbian couple: Partners Julia, 31, and Sasha, 42, were announced on Monday among the contenders for the new series of The Block, making them the first same-sex couple since 2004 to appear on the show However, they are the first gay couple to appear on the show since then and are determined to achieve the highest auction price out of the five teams this year. Julia and Sasha will be joined by Perth's Dan, 55, and Carleen, 52, as they transform the almost 100-year-old art deco factory in Port Melbourne, which will serve as the backdrop of the series. The couple, who have been married for 30 years, proudly admit to being the oldest contestants to appear on the labour-intensive show in the promo clip, which debuted during The Voice Australia finale on Sunday. The clip told viewers and fans to 'prepare yourself' before touting the biggest and most extraordinary transformations in the show's history. Older and wiser? Julia and Sasha will be joined by Perth's Dan (L), 55, and Carleen (R), 52, who proudly admit to being the oldest contestants to appear on the labour-intensive show He's back: Scott Cam (centre) will reprise his role as host as five teams battle it out on The Block Best mates: Andy, 25, and Ben, 24, from Geelong in Victoria are primary school teachers with no renovation experience The promo clip for the new season, which is likely to air after the Rio Olympic Games, showed a total of five teams taking on the dilapidated building. The other teams will include best mates and primary school teachers Andy, 25, and Ben, 24, from Geelong in Victoria and 25-year-old couple Karlie and William from Queensland. Also competing this year will be teacher Kim, 32, and her Policeman husband Chris, 34, who have been married for four years and hail from Newcastle in New South Wales. Scott Cam reprise his role as host, while Foreman Keith and Foreboy Dan also return to their roles. Arresting renovations! Also competing this year will be teacher Kim, 32, and her Policeman husband Chris, 34, who have been married for four years and hail from Newcastle in New South Wales Big task! Also taking on the big task will be 25-year-old couple Karlie and William from Queensland (second right, in red) Challenging: The choice of venue for this year's season is a run-down space that holds almost 100 years of heritage Task at hand: Couples will need to transform the interiors of this dilapidated space, while still holding onto its old-world charm While Shelley Craft returns as co-host and Neale Whitaker, Shaynna Blaze and Darren Palmer all return as judges. The choice of venue for this year's season is a run-down space that holds almost 100 years of heritage and the teams will have the daunting task of bringing its walls back to life while still holding its long-standing charm. The new property is located in Port Melbourne and the show has been working through one of the most brutal winters in Melbourne's history. Location, location: The new property is located in Port Melbourne and the show has been working through one of the most brutal winters in Melbourne's history. They are best known for their dramatic storylines as Sarah-Louise Platt and Eva Price in ITV's Coronation Street. But Tina O'Brien and newlywed Catherine Tyldesley, both 32, took a night off from the drama of Weatherfield to attend the glamorous 10th Birthday Party of Tastecard at Manchester's Hotel Gotham at the weekend. The soap beauties dressed to impress for their night out on the town, with both turning heads in effortlessly elegant dresses in celebration of the restaurant discount brand. Blonde beauties: Tina O'Brien and newlywed Catherine Tyldesley, both 32, took a night off from the drama of Weatherfield to attend the glamorous 10th Birthday Party of Tastecard in Manchester over the weekend Catherine, who recently returned from her honeymoon in Dubai with new husband Tom Pitfield, 29, glowed in a bright red floral number adorned with delicate blue flowers, the vibrant frock embracing the summer season and enhancing her fresh tan. The dress nipped in at the waist to show off her petite frame and sported a halter style neckline, to elegantly display her bust. The actress accessorised with a pair of classy white court shoes and a white clutch with gold chain lining, which complemented her classic gold hoop earrings, perfectly tying the outfit together. Lady in red: Newlywed Catherine, 32, glowed in a bright red floral number adorned with blue flowers, the vibrant frock embracing the summer season and enhancing her fresh honeymoon tan Leaving her blonde hair to fall loose across her shoulders and keeping her make-up dewy and natural, the newlywed looked the epitome of both glamour and sophistication. Mother-of-one Catherine did not attend the event alone, with her personal trainer other half Tom appearing at her side on the red carpet. He kept the spotlight on his new wife by wearing a simple monochrome shirt and chino combo, but still paired it with trendy loafers, cutting them a stylish image as a couple. Loved-up: Catherine's new husband Tom, 29, appeared at her side on the red carpet after returning from their idyllic honeymoon in Dubai Tina, 32, wowed in an on-trend culotte jumpsuit in sleek black, looking a far cry from her traumatised Corrie character Sarah Louise Platt, who recently confessed to murder on the soap. In keeping with the classic black look, the actress, who first appeared on Corrie when she was just 16, paired the one-piece with some nude patent open-toe heels and a bold red lip, evoking the glamour of Old Hollywood. Her shimmery silver clutch and turquoise necklace added a touch of colour and glitz to the outfit as she beamed at the cameras. Trendy Tina: Corrie star Tina, 32, wowed in a bang-on-trend culotte jumpsuit in sleek black as she posed up a storm at Manchester's Hotel Gotham Pin-up pretty: Tina was the epitome of old Hollywood glamour pairing the one-piece with nude patent open-toe heels and a bold red lip The Corrie stars attended the event in their stomping ground of Manchester alongside cast members of the Real Housewives of Cheshire and multiple Hollyoaks stars, including Stephanie Waring. DJ of JLS fame Marvin Humes played an exclusive set at the star-studded bash straight from his performance at Wireless Festival, in order to celebrate the achievement of Tastecard's taking on 4 million members and 7000 partnered restaurants in one decade. The gals pals seemingly partied the night away before leaving together arm in arm, looking just as glamorous as when they arrived, with goody bags in hand. Catherine may have headed home for a cosy night-in with her new husband, having arrived back in England after an idyllic honeymoon in the sun at the Le Royal Meridien hotel in Dubai in recent weeks. Blondes have more fun: The glamorous girls were the epitome of glamour and sophistication, looking very put-together as they left they party Star-studded: Pregnant Real Housewives Of Cheshire star Tanya Bardsley and Sam Reece also attended the restaurant event in Manchester Catherine and Tom tied the knot in May at Colshaw Hall in Cheshire, joined by many of their co-stars including Shayne Ward, who sang at the wedding. The fitness-loving pair embarked on their relationship in March 2014, and announced their engagement in August of that year following Catherine falling pregnant with their now two-year-old tot, Alfie. Despite being back in the UK, Catherine made sure to share a collage of idyllic photos from the holiday on her Instagram, posting wistfully: 'Could do with being back here right now! #Dubai #royalmeridian #sunplease.' MasterChef Australia saw the contestants compete in their toughest challenge yet on Monday night's episode - combining a team cook and a pressure test. Two Michelin star chef Dominique Creen let the contestants take over her San Francisco kitchen in a two-course challenge, reproducing two of her renowned dishes for their chance to fast track to the finals round. It was a perfect dessert from Mimi, Matt and Elena's red team that impressed Dominique the most, with the chef admitting she would have thought it was made by one of her own pastry chefs if she had been blindfolded. Scroll down for video Blood sweat and tears: It was a perfect dessert from Mimi, Matt and Elena's red team that won them a spot in the fast track to finals round during one of the toughest cooks yet on MasterChef Australia on Monday But it wasn't smooth sailing for the trio with Mimi slicing open her hand early on in the cook as she performed one of the first steps in the extravagant beetroot dessert. While it seemed like a major set back for the team, the blonde worked her magic on the dessert after her hand was tended to by the medical staff, as Elena and Matt set off cooking the main dish. As the team presented the dessert to the judges they were left stunned, with Matt Preston saying: 'I think it's an amazing version. And there's a real spirit about it.' Pushing through: While it seemed like a major set back for the team, the blonde worked her magic on the dessert after her hand was tended to by the medical staff, as Elena and Matt set off cooking the main dish Perfect: As the team presented the dessert to the judges they were left stunned, with Matt Preston saying 'I think it's an amazing version. And there's a real spirit about it' Dominique simply said 'Wow', while George Calombaris added: 'I'm impressed because, I mean, we saw what was going on in that kitchen. It was chaos.' Gary Mehigan echoed their thoughts and after tasting his plate said: 'It is unmistakably your dish Dominique.' Mimi wasn't the only one who stumbled at the start of the cook. Enjoying it: Dominique simply said 'Wow', while George Calombaris added 'I'm impressed because, I mean, we saw what was going on in that kitchen. It was chaos' Going solo: The blue team's Elise was floundering at the beginning after feeling overwhelmed by the intricate recipe they had to complete The blue team's Elise was floundering at the beginning after feeling overwhelmed by the intricate recipe they had to complete. Elena joined Mimi in the kitchen and quickly got back on track, putting together the chocolate crumb element which appeared to settle her nerves slightly. As the final minutes of the challenge drew closer she suddenly realised they had not made the final element of the dish - a beetroot glaze to cover their sorbet. In their 15 minutes of plating time Matt frantically took over making the glaze, halving the recipe to speed up the process so they could finish the dish. Down to the wire: In their 15 minutes of plating time Matt frantically took over making the glaze, halving the recipe to speed up the process so they could finish the dish The blue team struggled throughout the cook with Harry so focussed on the main he was not able to help Elise with her dessert until the last half hour of the cook. It was close between the teams in the end, with the judges claiming the blue team's main was 'far better balanced' but their soft sorbet and wet soil ultimately cost them the win. The red team of Mimi, Matt and Elena will now join Trent later in the week to cook for a chance to fast track to the finals - a special challenge which will see one contestant win a guaranteed place in the last week of competition. She arrived on the Cote d'Azure last week, and has since been enjoying a luxurious break aboard a yacht. So it was little surprise to see Toni Garrn showcasing her model figure in the Mediterranean sun as she settled firmly into the holiday mindset off the coast of Villefranche-sur-Mer. Clearly in the mood to enjoy some R&R on Sunday, the 24-year-old German beauty donned a tiny mismatched bikini set as she soaked up the rays, before cooling down with a dip in the sea. Scroll down for video Sizzling in the sun: Toni Garrn showcased her model figure in the Mediterranean sun as she settled firmly into the holiday mindset off the coast of Villefranche-sur-Mer Toni - who dated Leonardo Dicaprio from 2013-2014 - looked to have settled into the pace of life aboard the mega yacht - which she is staying on with friends. Heading onto one of the vessel's many decks to soak up the on a lounger, the blonde beauty stood looked to be in her element. Choosing to go for a tiny mismatched bikini set, the Hamburg-born model showed off her slender curves and taut tummy. With Toni opting for a strappy white bikini top, she wasn't afraid to show some skin as she topped up her tan. Taking the plunge! Clearly in the mood to enjoy some R&R on Sunday, the 24-year-old German beauty donned a tiny mismatched bikini set as she soaked up the rays, before cooling down with a dip in the sea Teaming her top with a pair of blue patterned, low-cut bikini bottoms, the model subtly showcased her taut tummy. Wearing a short red sarong for her stint on the lounger, the model place attention on her long and lithe legs. But as the temperatures soared throughout the day, the model discarded the wraparound garment and headed for the sea. Throwing caution to the wind, Toni jumped off of the deck and bombed into the sea - making sure to grab her nose as she hurtled into the glittering waters. Toned and tanned: Choosing to go for a tiny mismatched bikini set, the Hamburg-born model showed off her slender curves and taut tummy Clearly having had enough However, it wasn't long before the baking heat of the French sun convinced the model to take a dip in the glittering sea. Having made a splash and cooled down, it wasn't long before Toni was clambering back aboard the luxurious yacht, rejoining her friends. Before she headed out to enjoy the sun in the South of France the model made an appearance at the star-studded Serpentine Summer Party in London, on Wednesday. In a recent interview with Glamour magazine, Toni revealed that her busy calendar and country-hopping antics is down to the fact she likes to keep busy. She said: 'I have a pretty classic look, so people think I'm pretty calm but I'm the opposite - I can't sit still. I'm hyper. I'm an external person, I'm not internal at all. 'I get bored when I have time off and then end up signing up to online courses - things on global history or medical issues. 'I travel so much in those countries that I need to know the depth of what's going on - I don't want to throw money at the situation and it not do anything because there was an issue I didn't know about.' On all the invite lists: Before she headed out to enjoy the sun in the South of France the model made an appearance at the star-studded Serpentine Summer Party in London, on Wednesday Carol Vorderman has dubbed her age gap relationship 'a bit silly'. The former Countdown star spoke to Mirror about her lost love as she admitted to being 'happily single' since the demise of the relationship with Graham "Duffy" Duff, who is 14 years her junior. After splitting up twice, it appears the 55-year-old maths whizz is moving on from Duffy, 41, as she insists she is independent and doing her own thing. Scroll down for video Long time: Carol Vorderman has dubbed her age gap relationship 'a bit silly' Carol dated the Red Arrows pilot for a total of four years although it seems she is ready for a new start, admitting she would not date someone so young again. She tells the publication: 'Im happily single, freely independent and doing my own thing. Im not opposed to going out with someone 10 years younger, its acceptable. But Duffy was 14 years younger that was a bit silly really.' The stunning star is mother to 24-year-old daughter Katie and son Cameron, 19, who she shares with her second husband Patrick King, who she divorced in 2000 after a decade of marriage. Speaking of motherhood and singledom, she said: 'When you bring up children you run on somebody elses clock for all of that time. And now I dont. Doing her: The former Countdown star spoke to Mirror about her lost love as she admitted to being 'happily single' since the demise of the relationship with Graham "Duffy" Duff, who is 14 years her junior Lost love... After splitting up twice, it appears the 55-year-old maths whizz is moving on from Duffy, 41, as she insists she is independent and doing her own thing 'The best thing about being single is being able to do what you want. If I want to lie in, I will. Or Ill go to see a friend, without having to check in with anyone. 'I cant bear checking in. Asking, Oh, is it all right, darling? Do you mind if I go?. I cant do that any more theres no way Im going to start again.' Carol met Duffy while doing charity work for RAF and the pair hit it off instantly. Duffy previously flew fighter jets in Iraq before joining the Red Arrows, the aerobatics display team of the Royal Air Force, in 2008. He had since quit to launch a mobile phone app. Onwards and upwards: Duffy previously flew fighter jets in Iraq before joining the Red Arrows, the aerobatics display team of the Royal Air Force, in 2008. He had since quit to launch a mobile phone app Before calling it quits last September, Duffy had been helping Carol prepare for her solo 29,000 mile trip around the world, a dream she is still working towards. Keen flyer Carol took to the skies on a number of occasions during their relationship, with the former Countdown host calling her toyboy boyfriend her 'ideal travel companion'. 'He and I are used to being trapped in a cockpit... so we travel very well together', she added. It was initially reported that Carol and Duffy's relationship had 'naturally run its course' after two years. Formerly defensive: Having certainly changed her tune, Carol previously blasted critics who declared her romance with Duffy wouldn't work because of their 14-year age gap 'Its sad for both of them but Carol felt it had naturally run its course as relationships sometimes do', her spokesperson confirmed at the time, 'She decided that it would be best to bring it to an end as amicably as possible, so they separated a number of weeks ago.' Having certainly changed her tune, Carol previously blasted critics who declared her romance with Duffy wouldn't work because of their 14-year age gap. She declared: 'What on Earth has age got to do with it? I like people for who they are, regardless of age.' She's admitted keeping busy has helped her in the grieving process for her late husband Paul Daniels. And Debbie McGee, 57, enjoyed a relaxing day on the Thames as she took the boat she shared with the magician for a ride near her riverside home over the weekend. The blonde was seen sipping on a glass of Buck's Fizz before being joined by a female friend for a game of cards. Life on the river: Debbie McGee, 57, enjoyed a relaxing day on the Thames as she took the boat she shared with her late husband Paul Daniels for a ride near her riverside home Debbie was dressed elegantly in an off-the-shoulder grey sweater, with her hair piled into a chic up do. She later added a furry-collared white jacket around her shoulders to keep warm in the chilly temperatures. Debbie enjoyed a leisurely trip down the Thames in the boat named for her by Paul, 'The Lovely Debbie McGee.' Time to myself: The blonde was seen sipping on a glass of Buck's Fizz as she took a leisurely trip down the Thames in the boat named for her by Paul, 'The Lovely Debbie McGee' She was seen laughing with a friend as they got stuck into a game of cards over their bottle of bubbly. Back in April Debbie told Good Morning Britain how she was coping following the death of the beloved magician. She put on a brave face as she told hosts Piers Morgan and Susanna Reid that she was grateful she had a 'happy life with Paul,' who passed away from a brain tumour aged 77 in March. Good spirits: She was seen laughing with a friend as they got stuck into a game of cards over their bottle of bubbly She said: 'You have no idea how you will cope until you're in this position. We had a happy life and he didn't know he was dying. I keep counting my blessings. 'I loved living with him and we had such a good life and he had more to live for. Obviously it is a huge loss, a tragedy and I'm sad, but it helps that everyone has been amazing.' Debbie also said that Paul wouldn't have believed how much love and affection would have been shown in the wake of his death. Chic: Debbie layered a furry-collared jacket over a grey sweater and white trousers for her trip, carrying a basket of goodies to the boat Enjoying the summer: Debbie looked in good spirits during the fun afternoon on the water 'He would have had no idea,' she said. 'He wasn't a person to look back. Living for the minute was always important to Paul.' She also spoke of her relief that she left nothing unsaid with her husband. 'We were always telling each other we loved one another. After getting over the shock after Paul was diagnosed, I thought, well actually, we have no regrets. There's nothing we've left unsaid. Loss: Debbie shared the boat with Paul, who passed away from a brain tumour aged 77 in March Living her life: Back in April Debbie told Good Morning Britain how she was coping following the death of the beloved magician 'I was so comforted by the fact that we always said "I love you". We enjoyed every day of life. We were a team, even through the bad times. 'I will miss watching him with other people. Most people he met, he made them feel good, they took something away which was nice. It was lovely to see that.' Talking about events leading up to his death, Debbie reiterated how glad she was that her partner of over 30 years had no idea he was dying. Relaxing: Debbie revealed after her husband's death: 'You have no idea how you will cope until you're in this position. We had a happy life and he didn't know he was dying. I keep counting my blessings' 'He was very happy, joking and laughing up until 48 hours before he died. He could still interact with you but I'm not sure what he was taking in.' Debbie also said that she was glad that she and Paul proved the doubters wrong about their relationship - after enduring a long and happy relationship despite their 20 year age gap. 'We would always say that we knew what the truth was and anyone who met us would see how well suited we are. We would just ignore it. Home: Debbie still lives in the house she shared with her husband on the banks of the Thames With loved ons: Debbie has surrounded herself with friends and family over the past few weeks 'We would giggle about it and it's nice that we proved people wrong.' Paul - arguably the nation's most-loved magician - enjoyed a highly successful career before the shock announcement that he was suffering from terminal brain cancer in February. His passing on March 17, 2016 was announced by his publicist and confirmed by his son Martin, who revealed the star was diagnosed with terminal cancer after a fall at home. The magician's family initially thought the fall was due to a stroke but doctors diagnosed the tumour after a series of tests. Rob Kardashian has reportedly been found guilty of excessive speeding, after he was caught whipping through California with his girlfriend Blac Chyna earlier this year. The 29-year-old reality star was on his way back from rescuing his 28-year-old fiancee from Texas in January, where she had reportedly been charged with public intoxication and possession of a controlled substance. According to reports he was caught doing 107 MPH in his Bentley during the 1,377 mile trip, and had already been stopped for speeding on his way to Texas the day before. Oh dear! Rob Kardashian has been found guilty of excessive speeding, after he was caught whipping through California with Blac Chyna in January Following the incident his licence was reportedly suspended, but TMZ have now reported that he has in fact been found guilty and fined. In April Rob confessed that he takes Ubers 'daily' because his driver's license is both expired and suspended due to an unpaid speeding ticket. Explaining what had happened at the time he said: 'Just life. I really don't even know. I think I just have to take care of something... My birthday just passed, it expired, so... 'I think I just have to take care of a ticket for driving to Texas to get her and I was speeding a couple of times,' he concluded. Rescuing his girl: The 29-year-old reality star was on his way back from rescuing his 28-year-old fiancee from Texas in January Getting bigger: Last week Blac, who announced she was expecting a baby with Rob in May shortly after they got engaged, shared this photo of herself in a skintight pale pink dress that showed off her blossoming belly Excited: Three-year-old son King Cairo, whose dad is rapper Tyga, is seen reaching out a hand to touch is mum's tummy TMZ later reported that Rob's attorney was in court at the time to enter a not guilty plea for one of the tickets. In January TMZ reported that Blac Chyna was arrested at Austin-Bergstrom International Airport for alleged 'drunk and disorderly' conduct aboard a flight, and 'possession of a controlled substance'. The former exotic dancer was taken into custody by police who were waiting for her at the airport when the plane landed, according to the news site. Rob and Blac- who is mother to rapper Tyga's three-year-old son Cairo, announced they were expecting a child in May, weeks after revealing their engagement. Former 90210 star Tori Spelling and her husband of 10 years Dean McDermott have reportedly been slapped with a large tax lien. TMZ reported on Monday that the State Of California's tax lien against the couple is for the amount of $259,108.23. Tori, 43, and Dean's lien is for unpaid state taxes from the year 2014, added the website. This means the couple, who have often cried they are broke, made at least $1m in that year. Tori's mother Candy Spelling is worth over $600m. Ouch: Former 90210 star Tori Spelling and her husband of 10 years Dean McDermott, pictured in Los Angeles in March, have reportedly been slapped with a tax lien for over a quarter of a million dollars, according to a new report from TMZ The site added that as Tori and Dean, 49, are renters, it's unlikely their home will be impacted by the lien. Tori and Dean married in 2006 and are parents to Stella, eight, Hattie, four, and sons Liam, nine, and Finn, three. It hasn't been smooth sailing for the couple, who met while both married to other people in 2005. The couple hit a serious snag in winter 2013 following Dean's affair while he was shooting a movie in Canada. Date night: The couple were dressed to the nines for an appearance in Los Angeles earlier this year in January It erupted into a scandal with the drama flaring in several episodes of True Tori that saw the former Beverly Hills 90210 star struggling to cope with the emotional upheaval. But all that seems to be in the past and the couple seem happier than ever. The reality star recently showed off a tattoo she got in May in Paris to celebrate 10 years of marriage to Dean during an appearance on Hollywood Today Live. Family: Spelling and McDermott appeared at the Kids' Choice Awards with two of their children, Liam and Stella Getaway: The couple and their children were pictured during a holiday in Paris in April The reality star and her husband got matching ink inscriptions on their upper inner left arms that read: 'Tout mon coeur. Toute ma vie,' which translates as 'All my heart. All my life.' Tori starred for a decade as Donna Martin on Beverly Hills, 90210. She more recently starred in the Lifetime movie Mother, May I Sleep With Danger, which also stars James Franco. Paris is for lovers: Spelling posted an Instagram snap of herself kissing her husband during their trip to Paris Home sweet home: It emerged in February that the actress had moved her family to a rental in Encino She just returned home from a trip to Paris and Rome yet Bella Hadid was still on the go on Monday. Despite the warm temperatures in the Big Apple, the 19-year-old model curiously wore an outfit more appropriate for fall. The brunette beauty headed out of her New York apartment looking chic in a slouchy sweater with cropped MADE GOLD jeans and boots. Model behaviour: Bella Hadid stepped out looking chic in New York on Monday She flashed one of her slender shoulders in the knitted garment which she wore over the lace-up pants and suede ankle boots. Bella carried a bowler style handbag and shaded her eyes with ombre sunglasses. On Thursday the 5ft 9in beauty conquered the catwalk in a regal inspired look for Fendi's 90th anniversary celebrations in Rome. Feeling the chill? Bella looked dressed for fall in a slouchy sweater and grey lace detail MADE GOLD jeans All black everything: The 19-year-old model teamed the look with some suede ankle boots and a bowler style handbag The sister of Victoria's Secret beauty Gigi Hadid walked over a transparent platform suspended over the iconic Trevi Fountain wearing an ornately designed velvet gown and coat. German designer Karl Lagerfeld and Silvia Fendi walked onto the catwalk at the end of the show to rapturous applause. Karl is the head designer and creative director of the fashion house Chanel as well as the Italian house Fendi and his own fashion label. Surprise! Bella shared a snap of her brother Anwar visiting her that evening Striking: Bella wowed at the Fendi 90th anniversary show in Rome on Thursday Stunning backdrop: The models walked on a transparent bridge suspended over the Trevi Fountain Meanwhile Bella's modelling career keeps going from strength to strength. She appears in the new Calvin Klein Fall 2016 campaign posing in white underwear. 'So excited to announce my new Calvin Klein campaign!! shot by Tyrone Lebon,' wrote Bella as she shared photos from the shoot on Instagram last week. 'I mirror you in #mycalvins. Thank you @calvinklein.' Julianne Hough traded in her hiking boots for heels as she promoted her appearance on the hit NBC survival show, Running Wild With Bear Grylls. The 27-year-old put her fashion credentials on show as she dropped by SiriusXM in New York on Monday, showing off her dance-honed physique in a pair of high waist blue shorts that nipped in at her toned torso. Striking a sexy over-the-shoulder pose, Julianne put her top's exposed back on display. Flash of skin! Julianne Hough put her fashion credentials on show as she dropped by SiriusXM in New York on Monday, showing off her dance-honed physique in a pair of high waist blue shorts that nipped in at her toned torso Julianne teamed the shorts with a silky white blouse that had long sleeves, a collared neckline, and asymmetrical ruffles. She wore her light blonde locks down in long waves that were parted in the center, and highlighted her complexion with pink blush and mascara to brighten up her blue eyes. Ohh la la: Striking a sexy over-the-shoulder pose, Julianne put her top's exposed back on full display Teamwork! The reality star was joined by the host of the NBC show himself, Bear Grylls, who kept it casual in a long-sleeved grey shirt, jeans, and trainers Finishing off the super sexy summer look, Julianne stood tall in a pair of strappy nude heels. Julianne was joined by the host of the NBC show himself, Bear Grylls, who kept it casual in a long-sleeved grey shirt, jeans, and trainers. The reality star is taught a new set of survival skills in Africa during her appearance on Bear's reality show. Picture perfect: She wore her light blonde locks down in long waves that were parted in the center, and highlighted her complexion with pink blush and mascara to bring out her blue eyes Learning the ropes! The reality star is taught a new set of survival skills in Africa during her appearance on Bear's reality show She also revealed to Access Hollywood that Grylls had her eat elephant feces: 'Right off the bat hes like, "here, lets do this!"' Speaking about the show during a separate appearance on Today that same morning, Julianne said the show reminded her of camping trips she took with her family as a child. 'Honestly, it was such a thrill and such an adventure,' Julianne said. 'It really reminded me of back when I grew up with my family, and we would always go on these big hikes in Utah, and all the big national parks and stuff. 'So for more, it was just that sense of like, a little bit of nostalgia, of my family, just feeling free.' She also revealed to Access Hollywood that Grylls had her eat elephant feces: 'Right off the bat hes like, "here, lets do this!"' 'Its just like coming through my fingers and down my arm. And he just decides to put it on my face.' Now entering its third season, the show has previously featured Kate Hudson, Michelle Rodriguez, and Channing Tatum. Julianne's episode will air on NBC on August 2. She's been enjoying a sun-drenched holiday in Greece, taking a break from photoshoots and catwalks. But Adriana Lima wasn't averse to snapping a few shots of herself during her getaway to Mykonos, with the Victoria's Secret Angel showcasing her incredible bikini body in a series of sizzling snaps. Taking to her Instagram account on Monday, the 35-year-old model shared a series of sun-drenched images of herself in a range of skimpy swimwear with her 7.7million followers. Scroll down for video Sizzling in the sun: Adriana Lima wasn't averse to snapping a few shots of herself during her getaway to Mykonos, with the Victoria's Secret Angel showcasing her incredible bikini body in a series of sizzling snaps Clearly taking the chance to grab a slice of R&R while on the Greek island for a friends wedding, the Brazilian beauty looked to have jumped at the chance to top up her golden tan. And being one of Victoria's Secrets famed Angels Adriana wasn't afraid to opt for the saucier side of this summer's swimwear collections. In one of the posts, the brunette beauty can be seen reclining on a sofa bed with the sun beating down on her. Stretched out on a towel, the Vogue cover girl inadvertently showcased her gym-honed abs thanks to the teeny tiny pink bikini she'd chosen. Bikini body: Taking to her Instagram account on Monday, the 35-year-old model shared a series of sun-drenched images of herself in a range of skimpy swimwear with her 7.7million followers With her dark hair swept off of her face, the striking model threw a pouting stare at the camera. Sharing some more snaps from her holiday in Aegean, Adriana dared to bare even more in next bikini snap, as the model posed up a storm in a sultry selfie. Wearing a strapless green two-piece the billboard beauty can be seen casting a steamy glare into the lens as she poses with one hand cocked on her hip. Squeezing int he sight seeing? Clearly taking the chance to grab a slice of R&R while on the Greek island for a friends wedding, the Brazilian beauty looked to have jumped at the chance to top up her golden tan. Thanks to her strapless top and the angle of her phone, the model flashed more than a hint of her cleavage. And teamed with a pair of low-slung bikini bottoms, her taut, toned and tanned tummy was once-again highlighted. Drawing attention to the fact it was her second swimsuit selection of the day, she captioned the snap: 'Afternoon bikini.' And clearly making the most of her time in the stunning location, she headed down to the beach, where she posed nonchalantly against the sea, leaning on an outcrop of rocks. Queen of the catwalk AND the night: In another image from her account, Adriana posed up a storm in a lace-embellished LBD, which featured an extremely low-cut neckline Though the model has also been out and about on the island, sampling its nightlife. In another image from her account, Adriana posed up a storm in a lace-embellished LBD, which featured an extremely low-cut neckline. Sat atop a wall overlooking a bay on the island, the catwalk queen can be seen staring off into the distance. Teaming the dress with a pair of strappy peep-toe stilettos, she drew attention to her lithe and slender legs. Though the model hasn't just jetted off for a sunny jaunt, as she flew to the Island to watch Ana Beatriz Barros marry Karim El Chiaty. Joining a star-studded invite list, which included the likes of Paris Hilton and Jessica Hart, Adriana watched the loved-up couple tie the knot on Friday. She's been living the high life in Ibiza - but it seems Amy Childs has been mixing business and pleasure on her sun-kissed holiday. The former TOWIE star has announced she is branching into chokers too with Forever On Trend UK after posting numerous sizzling snaps of her posing in barely-there swimwear. Taking to Instagram on Monday, the 26-year-old reality star shared a series of saucy shots of herself in a selection of sexy swimsuits. Scroll down for video Missing Ibiza? Amy Childs shared a series of saucy shots of herself in a selection of sexy swimsuits on her Instagram page on Monday Amy was keen to share memories of her fun-filled holiday on the Spanish island, as well as her figure-flaunting collection of swimwear, with her fans. Squeezing her curves into one black zip-front one-piece, she looked phenomenal as she oozed body confidence. She said: 'Exciting news! I am currently behind the scenes shooting my first range of chokers which will be added to my range on @foreverontrenduk !! 'I wanted to do chokers because I am such a big fan of them and they are this years must have accessory!' Taking the plunge: The former TOWIE star, 26, was keen to share memories of her fun-filled holiday on the Spanish island, as well as her figure-flaunting collection of swimwear, with her fans Better than a postcard? The beautician turned reality star treated her social media fans to plenty of sexy shots during her Ibiza beach break last week The beautician turned reality star treated her social media fans to plenty of sexy shots during her Ibiza beach break last week. Sharing the snaps with her 923,000 follows on Instagram, Amy ensured she snapped an array of fun photos to keep her fans updated with her movements on the island. In one shot, the busty beauty can be seen in a tiny pink bikini, which leaves little to the imagination. With an extremely low-cut halterneck, the red-headed Essex girl showed off more than hint of her ample assets. Sizzling selfies: Amy shared a series of saucy shots of herself in a series of eye-popping swimsuits And teamed with a pair of tiny matching bottoms, she drew attention to her plentiful posterior, before rounding her look off with a pair of towering gold gladiator heels. With her hair pushed back off of her face, the former TOWIE star added a pair of over-sized mirrored aviators to her look. Uploading another saucy selfie, the businesswoman donned a figure-hugging black one-piece. Posing in the mirror, the reality beauty put on a VERY busty display thanks to the low-cut, dipping neckline of the suit. Snappy snap: And not content with stirring up a saucy storm on one social media channel, she took to Snapchat to snap a series of pouty and busty selfies Pouting and posing: Amy was never far from her phone in the sun She rounded the look off with a pair of figure-accentuating white gladiator heels, and added a slim black choker and sunglasses to round her look off. And not content with stirring up a saucy storm on one social media channel, she took to Snapchat to snap a series of pouty and busty selfies. The busty beauty has been enjoying a fun-filled holiday to Ibiza, and partied up a storm on arrival with the likes of Wayne Lineker and Dan Bilzzerian at Ocena Beach Club. Fun in the sun: The busty beauty has been enjoying a fun-filled holiday to Ibiza, and partied up a storm on arrival with her cousin Harry Derbidge at the island's Ocean Beach Ibiza Amy, who owns clothing and beauty boutiques in her native Essex, recently told new! magazine that she would love to return to the reality show which made her a household name. She said: 'Now I've done Masterchef, I can do anything! I'd like to do a cookery book for girls who can't cook. I'm happy I'm back on TV. 'There were talks the other day about me going back on TOWIE. If they got all the original cast back - that's when I'd come back. I don't know any of the new people. 'TOWIE's changed and there's so much bitchiness now! I had such a laugh when I was on it, but it's got really serious. They need to get the fun back.' She prides herself on her raunchy social media displays. And Ashleigh Defty once again showed off her enviable frame as she centered the attention to her ample assets in a risque Instagram snap. The 21-year-old Ex On The Beach star donned a sexy caged laced bikini which just about covered her in the right places and held her snugly in place. Scroll down for video Sex On The Beach! Ashleigh Defty, 21, centered the attention to her ample assets in a risque Instagram snap where she wore a sexy lace caged bikini Adding to the edgy vibe, the brunette sizzler wore a thick studded choker as she plumped her pout while lying on her bed. And the gym lover wasn't done there as she shared a full length image in a flirty black play-suit which exhibited a peek of her rock hard abs. Strutting an effortless pose, the model stood tall in her lace-up heels which showed off the definition in her lean legs. And always one to get up close and personal, a handful of selfies showed off her head-turning good as she smouldered with her smokey eyes. Gym-honed: The reality star shared another full length image in a flirty black play-suit which exhibited a peek of her rock hard abs Giving face: Ashleigh showed off her head-turning good as she smouldered with her smokey eyes and carved out cheekbones Sex-Kitten: Ashleigh prides herself on her raunchy social media display No matter what angle she posed in, Ashleigh's deep tan was evident and is a result of her recent sun-drenched getaway to Cyprus. The reality star used the time to clad herself in skimpy bikinis as she lounged around on the beach and filled her 246,000 Instagram with envy. Ashleigh entered the Ex On The Beach house as Scotty T's ex-girlfriend before she became romantically involved with James Moore - who is now back with his former love Olivia Walsh. While the stunning starlet was enjoying a girls' trip in Greece, in Ibiza former EOTB co-star and beau James was enjoying a frolic with his rekindled flame Olivia. Beach babe: Ashleigh's deep tan is a result of her recent sun-drenched getaway to Cyprus where she wore a series of skimpy swimwear Ex On The Beach season four caused an extremely intricate love situation, with Olivia enjoying a night of passion with Ashleigh's ex Scotty T. After James entered the villa, he and Ashleigh immediately hit it off leading to a complicated scenario, yet their romance ended due to James' repeated inability to perform sexually. Series 5 of Ex On The Beach is slated to start on 16 August and is set to star familiar faces Gary 'Gaz' Beadle, Chloe Goodman, Jemma Lucy and Jess Impiazzi. On Saturday they helped their father Sylvester Stallone celebrate his 70th birthday in Saint Tropez. And on Monday the sun-soaked relaxation continued for sisters Sistine, 18, and Scarlet, 14, as they stepped off their family's yacht and headed to the exclusive Club 55 beach club to take in more of the French Riviera. Sharing their father's love of fitness and nutrition, the Stallone sisters wore matching denim shorts and white sneakers as they headed off for lunch. Girls' next door: On Monday the Stallone sisters Sistine (right), 18, and Scarlet, 14, stepped off their family's yacht and headed to the exclusive Club 55 beach club to take in more of the French Riviera And while their looks were very similar, these girls definitely have individual style, as seen in their distinct tops. Scarlet opted for a white lace top which was certainly fashion forward. The elder Sistine slipped into an airy linen top that plunged all the way to her stomach, showing off her smooth decolletage. What a pair! Contrasting with their daughters, who looked angelic in all-white ensembles, Sylvester Stallone and his wife Jennifer Flavin coordinated in black, with both of them showing off their sculpted legs A family affair: As the wind blew mother Jennifer held her billowing dress in check as daughters Sistine and Scarlet admired the gorgeous water and coastline Leaders of the pack: Sistine and Scarlet led the way from boat to club as they remained close to one another Bikini bod! While her younger sisters joined the family on shore, Sophia, the oldest of the girls at 19, sunned on the yacht Unlike their daughters, who looked angelic in their white ensembles, Sylvester and his 47-year-old wife Jennifer Flavin decided to rock black garments despite all the sunshine. Sylvester, who still cuts a hulking figure and put on a pec-tacular display in a tight black T-shirt and a pair of loose-fitting black Nike running shorts. His gym-honed legs and his thighs flexed and swelled with each step he took down the dock. Picture perfect: Jennifer and her daughters proved they have some wondrous genes as they stepped on shore Still got it! Sylvester, who still cuts a hulking figure, put on a pec-tacular display in a tight black t-shirt and a pair of loose-fitting black Nike running shorts Leggy couple: Sly's gym-honed legs and his thighs flexed with each step he took down the dock. Not to be outdone, his wife flaunted her perfect pins too Banter: Sly enjoyed a chat with the crew as they ferried him and his family from their yacht back to land Having jetted out to the Cote d'Azure on Thursday, Jennifer looked incredibly well-rested and relaxed as she headed to lunch. The former model wore an airy black dress that stopped north of her knees, allowing her to show off her perfect pins. Clearly feeling the freedom of her French getaway, she frequently carried her sandals, letting her feet feel the heat of the sand. Windswept: Sly's greying hair blew backwards as the boat rushed to the shore Howdy! The Rocky star, who frequently holidays in the region, is well-known to locals Carrie Ann Inaba has been dating soap opera actor Robb Derringer for several months. And on Monday the Dancing With The Stars judge flashed a ring on her wedding finger while co-hosting Live! with Kelly Ripa. The 48-year-old beauty explained the ring was not an engagement ring but more of a promise ring as she stunned in a tight floral and black dress. She's taken... sort of: Carrie Ann Inaba said she was wearing a ring from boyfriend Robb Derringer while guest hosting on Live With Kelly on Monday He was there for support: In the wings was the beau who wore a black shirt and smiled The ring came up when Ripa started talking about Inabas 'smokin hot fiance.' That took the DWTS vet by surprise. The ABC TV star quickly explained that they were not engaged but said: 'Thats the plan, though.' The day before: The Dancing With The Stars judge gave a thumb's up as she held tight to Robb in NYC The camera then flashed to Derringer as he stood in the wings wearing a black shirt and smiling. The star also shared a photo on Instagram the day before where she gave a good look at the ring as she hugged her beau while crossing a busy Manhattan street. Robb has also appeared on General Hospital, All My Children, Melrose Place, The Lake, Eyes and Beverly Hills, 90210. The ABC dance queen was last engaged to Jess Sloan. He proposed when she was appearing on Live With Regis And Kelly in 2011 and she accepted. She later broke off the engagement. Pals: Ripa also told Inaba, 'We love having you here, and welcome back.' Kelly has been trying out guests hosts as her last partner, Michael Strahan, left to work on Good Morning America Ripa also told Inaba, 'We love having you here, and welcome back.' Kelly has been trying out guests hosts as her last partner, Michael Strahan, left to work on Good Morning America. The two ladies then talked about the shootings in Dallas. Ripa said she her Friday show was taped so she was not able to address the tragedy then. The blonde beauty asks members of her audience to look around and realize that everyone is '99.999 percent good.' Inaba then gave Ripa credit for bringing so much 'joy' each morning amid times of tragedy. She said, 'Thats what this is all about. The mornings here are all about remembering that we all love each other, we have fun, and its okay, and lets all be uplifting and loving.' Jack Wagner shared his relief with his 39K Twitter followers after reconnecting with his missing son Harrison. 'Harrisons been in touch, he's 21 & in charge of his life,' the 56-year-old soap veteran wrote Monday. 'ty for the lv & prayers, please continue to share your struggles, it helps us all.' Scroll down for video Close call: Jack Wagner shared his relief with his 39K Twitter followers after reconnecting with his missing son Harrison The three-time Daytime Emmy nominee's update came the day after he revealed the Hardrock Hotel & Casino server had relapsed and disappeared for five days. 'I fear for my youngest sons safety. Harrison has struggled w drugs & alcohol just as I did when I was younger. He's relapsed & is MIA 5days,' Jack wrote Sunday. The Crespi Carmelite High School grad - who mans the decks as DJ Hale - had last posted a selfie July 4th safe and sound in Sherman Oaks. The 56-year-old soap veteran wrote Monday: 'Harrisons been in touch, he's 21 & in charge of his life' 'I fear for my youngest son's safety': The three-time Daytime Emmy nominee's update came the day after he revealed the Hardrock Hotel & Casino server had relapsed and disappeared for five days Everything okay? The Crespi Carmelite High School grad - who mans the decks as DJ Hale - had last posted a selfie July 4th safe and sound in Sherman Oaks Harrison's mother (Wagner's ex-wife Kristina) spent Sunday on the set of The Storyteller with their older son, 25-year-old Peter, who was making his acting debut as a radiologist. Jack and the 53-year-old General Hospital star ended their 13-year marriage in 2006, but remain amicable and co-starred in Hallmark Channel's When Calls the Heart last year. This isn't the first real-life family drama for the Wedding March actor. Working together: Harrison's mother (Wagner's ex-wife Kristina) spent Sunday on the set of The Storyteller with their older son, 25-year-old Peter, who was making his acting debut as a radiologist Frisco and Felicia forever! Jack and the 53-year-old General Hospital star ended their 13-year marriage in 2006, but remain amicable and co-starred in Hallmark Channel's When Calls the Heart last year In 2011, the former Dancing with the Stars contestant met his adult daughter Kerry for the first time at a Florida concert after she was put up for adoption by an unnamed birth mother following a one-night stand. 'Initially [Harrison and Peter] were a little confused and wanted a DNA test so we did that,' Wagner told Anderson Live in 2012. 'They really hit it off. They are close now. They're in constant contact.' Beth Behrs and her long-time beau Michael Gladis are engaged - and they took to their social media accounts on Monday to share the good news with their fans and followers. 'I said yes!!' the 30-year-old 2 Broke Girls star gushed in the caption for a collage of snaps of them both at their engagement party. A lot to celebrate: Beth Behrs and her long-time beau Michael Gladis are engaged and they took to their social media accounts on Monday to share the good news with their fans and followers '6 years down a lifetime to go! #love,' Beth added along with lots of hearts and engagement ring emojis. The shot included a collage of pictures taken at New York City's Parkside Lounge where they celebrated with family and friends at the weekend. In one image the couple are holding glasses of champagne with the city's iconic skyline and in another romantic shot they kiss. In the caption for a second collage, Beth added: 'Thank you also to my beautiful friends and family! Cant wait to celebrate! #love.' Love is in the air: The 30-year-old's collage of pictures included this one of them raising a toast at New York City's Parkside Lounge where they partied with family and friends at the weekend Romantic moment:The couple kissed as the band played. Beth captioned the collage, 'I said yes!! 6 years down a lifetime to go! #love' Michael, best known for his role as Paul Kinsey in AMC's Mad Men, shared one of his fiancee's photo collages via Twitter as he doesn't have an Instagram account. 'She said yes!!' the actor told his followers. 'So happy. So relieved. So hung over!' Aside from family, guests at the bash included actresses Esperanza America, Nneka Okafor and Courtney Locks plus Broadway's Max Clayton and Matt Doyle. Bring on the bling: Beth's ring was slightly more visible in the photobooth shots in this collage Together in October: The beautiful blonde and her 38-year-old beau have been together six years. He shared one of her collages on Twitter, saying, 'She said yes!! So happy. So relieved. So hung over!' They danced the night away to a live band and had fun posing in a photo booth. Beth shared the snaps in her two collages. Meanwhile, Beth just wrapped a run in the off-Broadway play A Funny Thing and will start work on the new 2 Broke Girls season soon. Michael has finished work on the first season of AMC's Feed The Beast, starring David Schwimmer, about two friends who open a restaurant together. Working girl: Beth, centre, in an episode of CBS's 2 Broke Girls, which will soon begin filming its new season Devin Brugman and best friend and business partner Natasha Oakley enjoyed a short trip to London this week after returning from an escape to the Greek Islands. And now the pair are gallivanting around in Miami, with Tash and Devin taking to Instagram to show off their latest swim wares. Showing off their sun-kissed skin, Australian model Tash flaunted her ample assets in a yellow bikini while Devin frolicked in the ocean in a skimpy orange triangle two-piece. Scroll down for video Heating things up in Miami! Natasha Oakely and Devin Brugman flaunted their ample assets in sexy bikinis as they hit the beach in Miami on Monday In one idyllic snap, Devin is seen standing in the water while looking off camera. In another, she sits down on the sand as the waves crush around her. She has her long dark locks out and over her shoulders and appears to be makeup free. Natasha is also in the idyllic location, and looked positively glowing while posing on the beach in a yellow bikini. Soaking up the sun: In one image, she sits down on the sand as the waves crush around her What a view! The Monday Swimwear duo flaunted their flat abs and toned stomachs as they frolicked on the beach together Photogenic besties: The duo again flaunted their figures in another Instagram post as they posed with a rainbow in the background as the sunshine hit their svelte frames just right The swimwear designers are in Florida as they prepare for Miami Swim Week, which begins on Thursday. Their trip comes after they enjoyed a short jaunt in the UK this week, having returned from the Greek Islands. In London, the two stunners traded their usual skimpy attire for city chic as they enjoyed shopping at Borough Markets. Another day, another location: It comes after Devin and Natasha Oakley (L) enjoyed a short trip to the UK this week (pictured), having returned there from the Greek Islands White hot: Devin is seen here in a skimpy bikini in the Greek Islands Natasha recently opened up to Forbes about the pair's business and working together. 'Theres nothing like working with your best friend,' she said. Knowing that we trust each other fully, and have each others' best interests at heart, is a really special thing which enables us to strive. It was a natural transition from friendship into a business relationship really,' she added. Sweet: Natasha recently opened up to Forbes about their business and working together, saying: Theres nothing like working with your best friend Dallas top cop becomes face of tragedy As America reeled from a week of violence pitting police officers against civilians, Dallas Police Chief David Brown came to personify the nation's trauma. After a black army veteran killed five white officers at a peaceful march in the Texas city, the 55-year-old police chief, who is African-American, delivered a simple but poignant message: "We are heartbroken," he said. "This must stop. This divisiveness between our police and our citizens." Dallas Police Chief David Brown prays during a a vigil at Thanks-Giving square in Dallas, on July 8, 2016, following the shootings during a peaceful protest a day earlier, which left 5 police officers dead Laura Buckman (AFP/File) Brown's own story, marked by personal tragedy, encapsulates the painful tensions surrounding policing, race and gun violence in America. Shortly after Brown took the helm of the Dallas police force in 2010, his 27-year-old son, David Brown Jr, fatally shot an officer and another man while high on drugs, before being killed by police. The city's top cop and Dallas native -- who was moved to join the force to confront a crack cocaine epidemic in his own inner city neighborhood -- also lost both his brother and a former police partner to gun violence. "That man went through a lot," said Ron Franklin, one of the many Dallas residents come to pay tribute at a memorial outside the police headquarters. "I can feel his pain. He's trying to do the best that he can do." Despite the blows he has suffered, Brown is steadfast in his drive to help mend the nation's fraying social fabric and burnish the image of law enforcement. "Police officers are guardians of this great democracy," Brown said Friday, the day after the atrocity. "The freedom to protest, the freedom of speech, the freedom for expression. All freedoms we fight for with our lives; it's what makes us who we are as Americans." - 'He's human' - As police chief, Brown has gained national recognition for his progressive approach to law enforcement, working to cultivate more amicable relations between cops and community members. "He's human. He understands a lot of different sides. Not just his own. Not just from a police perspective," said Julie Gavran, the southwest director of the organization Keep Guns Off Campus. "He's got a lot of experience and I think he brings that to the course, and that opens dialogue that's much needed," said the gun control activist. Despite facing initial internal reluctance, Brown has geared the force away from violence as a first resort, training cops with simulation exercises to de-escalate confrontation without using their weapons. Department statistics have shown a steady decline in the number of officer-involved shootings since 2012, which last year dropped to their lowest level in a decade. "Our chief in the last couple of years has done great things to strengthen the community and the relationship with the police department," said Gavran. - Words aren't enough - This increasing conviviality was evident Thursday, as police and residents shook hands and took selfies in the hours before gunman Micah Johnson opened fire, turning the demonstration into a chaotic combat zone. In the wake of the attack, Brown came to appear, as he briefed a stunned public on the tragedy in Texas, more like a political leader than a cop. "We are not going to let a coward who ambushed police officers change our democracy," Brown said. "Our city, our country, is better than that." University of Iowa sociologist Jessica Welburn said the fact that Brown is black man leading a major police force of 3,500 officers marked a "step in the right direction." "He definitely seems to have experienced each side of the situation," Welburn said. "He definitely recognizes the position he is in." She was less sure, though, whether Brown's words can really help heal the wounds that have given rise to the Black Lives Matter protest movement. "The chief only has so much power. His words can only go so far." As for being thrust into the spotlight, Brown's message has been one of humility. "This city has embraced me as its police chief," he said on CNN's "State of the Union." "I have always felt a sense of urgency about delivering police service. "But I never wanted this job to be about me." Dallas Police Chief David Brown speaks during a vigil at Thanks-Giving Square in Dallas, Texas, on July 8, 2016, following the shootings during a peaceful protest a day earlier, which left 5 police officers dead Laura Buckman (AFP/File) After win, Abe calls for debate on Japan constitution An emboldened Shinzo Abe called Monday for debate on Japan's pacifist constitution, which he said it was his "duty" to revise after scoring a strong win in weekend elections. Voters backed the hawkish prime minister, despite a lacklustre economic performance, handing his Liberal Democratic Party and its allies control of more than half of the upper house of parliament. Analysts say with the support of small nationalist parties, Abe may now have the numbers to push through a change to the constitutional bar on Japanese troops waging war. Voters handed Prime Minister Shinzo Abe's Liberal Democratic Party and its allies control of more than half of the upper house of parliament Kazuhiro Nogi (AFP) "We have always set a goal of revising the constitution...that is my duty as president," Abe said. "But the party does not have more than two-thirds of seats in both chambers by itself, so I don't expect the draft would pass as is," he said, referring to the parliament's lower house as well, and suggesting compromise was needed. "So I hope debate will steadily deepen." Japan's constitution, imposed by occupying United States forces after World War II, prohibits the use of aggression to solve international conflicts. The provisions are popular in the public at large, but reviled by rightwingers like Abe, who see them as outdated and punitive. The LDP's own draft amendment plan calls for keeping the war-renouncing spirit of the constitution, but wants to remove language it sees as infringing on the country's means to defend itself. Unofficial results from Sunday's vote compiled by media show the LDP and its Buddhist-backed allies, Komeito, now occupy more than half -- at least 147 -- of the seats in the upper chamber of parliament. Full official results are expected Tuesday. With backing from fringe parties that also favour consitutional change, Abe could now have the two-thirds majority that he needs in both houses to push through amy proposal to amend the country's basic law. However, observers point out that corralling support for a revision from its coalition partner Komeito which has traditionally shied away from nationalist posturing could be difficult. - 'Too early' - And the proposal would still face a referendum, with pollsters saying the vast majority of the public are wary of any softening of the country's pacifist stance. The conservative mass circulation Yomiuri Shimbun daily described the expansion of the pro-amendment bloc as "progress" but expressed caution about prospects for change. "It is too early for an amendment proposal to be realistic as opinions on specific revision points vary between parties," it said Monday in an editorial. Abe had soft-pedalled his constitutional ambitions during the campaign, preferring to stress his management of the economy. The 61-year-old was swept to power in 2012 on a promise of kickstarting growth after decades of lassitude and underperformance. But despite massive fiscal stimulus, his "Abenomics" programme has largely failed to deliver, having done little more than weaken the value of the yen -- which is now back on the rise. Voters, meanwhile, appeared to hold out little hope for the dissolute opposition to do a better job, and Abe seems to have benefited from his incumbency and perceived competence. He also garnered support from the young after Japan's voting age was lowered from 20 to 18, with Jiji Press exit polling data showing more than half of votes from those 18 and 19 years of age went to the ruling coalition. Abe said the election result shows that he has popular support for his economic policies. "I take it as people's strong confidence in Abenomics and for its acceleration." Stocks surged on the election results, with expectations high Abe would unleash yet more stimulus. The Nikkei 225 surged 3.98 percent, or 601.84 points, to 15,708.82, while the broader Topix index of all first-section shares climbed 3.79 percent, or 45.91 points, to 1,255.79. He said he would direct the government's minister in charge of boosting the economy to "prepare economic measures" but did not specify their size. Officials open ballot boxes to count votes cast for Japan's upper house election at an electoral office in Tokyo Toru Yamanaka (AFP) Bitter legacy of rival Koreas' Kaesong experiment Five months after Seoul shut down a jointly run industrial park in North Korea, South Korean factory owners are still waging a defiant campaign to reopen what was the last major symbol of inter-Korean cooperation. The shock closure of the Kaesong complex in early February forced businessmen behind the 124 South Korean plants operating there to abandon everything. What has remained is a bitter resentment towards the South Korean government over its handling of the affair, and a feeling among the owners that they and their businesses were sacrificed to political point-scoring. The shock closure of the Kaesong complex in early February forced businessmen behind the 124 South Korean plants operating there to abandon everything Ed Jones (AFP/File) "For years, the government kept praising us for working on the frontier of inter-Korea cooperation and promised our business would be protected regardless of politics," said Jeong Gi-Seob, CEO of a Seoul clothing firm, S&G. "Was that just an empty promise?" said Jeong, who heads an association of the former Kaesong-based companies. The Seoul-funded zone, born out of the "sunshine" reconciliation policy of the late 1990s, was opened in 2004 just north of the border. At its peak, Kaesong was home to 124 South Korean plants employing 53,000 North Korean workers who churned out products from watches to clothes. For more than a decade it managed to ride the highly volatile swings in North-South relations, but that all came crashing down in February when Seoul announced the shutdown in response to the North's fourth nuclear test a month earlier. The next day, an angry Pyongyang ordered the immediate expulsion of all South Korean managers and the seizure of their assets -- including the plants, raw materials and finished goods worth hundreds of millions of dollars. - Court challenge - In early June, the owners demanded that Seoul green-light a trip to Kaesong, to allow them to check on the state of their factories before the rainy season begins. The Unification Ministry turned down the request with spokesman Jeong Joon-Hee saying it would be "inappropriate" at a time when the international community is stepping up sanctions on the North to denuclearise. At the time of the pullout, North Korea said it was placing the complex under military control, and since then there has been something of an information blackout. "It's hard for us to figure out exactly what is happening inside Kaesong because there is no inter-Korea communication about that," said a government official who declined to be identified because of the sensitivity surrounding the issue. "We don't see any particular movement there, except for a small number of people coming in and out, perhaps to maintain the facilities," the official said. The owners, meanwhile, have grown increasingly impatient with what they see as government indifference to their plight. In May, a group of businessmen representing 100 Kaesong plants filed a complaint with the Constitutional Court, challenging the legality of the closure on the grounds that it had violated their property rights. - Protest campaign - And Jeong's association is currently planning an "all-out campaign", including street rallies and protests, to push for Kaesong to be reopened and proper compensation paid. Seoul has offered financial assistance worth 520 billion won ($440 million), but the owners say their losses are twice that amount. Jeong noted that he had moved his firm's entire production to Kaesong last year, investing over 10 billion won ($8.6 million) to expand an existing factory. "They are saying we need to move on, because the government changed its policy direction ... but we can't accept that," Jeong said. "We haven't given up on Kaesong," he added. But some government official argue that the owners' complaints are exaggerated. "Investment involves risk, and the Kaesong companies must have been fully aware of the risk of doing business in the North," said a Unification Ministry official who also declined to be named. One of the roles initially envisaged by Seoul was of Kaesong as a beachhead for market reforms in North Korea that would spread from the complex and expose others in the isolated country to the outside world's way of doing business. Although that vision never really materialised, some analysts believe it was an ideal worth persevering with. "Kaesong was the only test bed for people to experiment on how Koreans could work and do business together," said Hong Soon-Jik, research fellow at the Korea Institute for National Unification. "Kaesong was a beacon that offered North Koreans a first taste of capitalism ... and the loss of that experience is immeasurable," Hong told AFP. - Choco Pie market - One small capitalist breakthrough, was the black market Kaesong spawned for Choco Pies -- South Korean snacks that were handed out as a bonus to North Korean workers. They proved so popular that, according to some estimates, up to 2.5 million Choco Pies were being traded in North Korean private markets every month. Kim Kyoung-Jin spent five years delivering Choco Pies to Kaesong and, now unemployed, deeply regrets its closure. "I still can't believe that they just shut it down, with no consideration for so many people whose lives depended on it," Kim said. "Maybe for them, we are just chips to be moved around on their political chess set." Vehicles leaving Kaesong pass through disinfectant spray before a checkpoint near the Demilitarized Zone in Paju on February 11, 2016 Ed Jones (AFP/File) At its peak, Kaesong was home to 124 South Korean plants employing 53,000 North Korean workers who churned out products from watches to clothes Kim Doo-Ha (AFP/File) Decade after war, Israel's 'main enemy' Hezbollah mired in Syria Israel this week marks a decade since its 2006 war with its "main enemy" Hezbollah, but the Lebanese militia's involvement in Syria has made another conflict less likely for now. The war sparked by Hezbollah's capture of two Israeli soldiers on July 12, 2006 is viewed negatively in Israel, with analysts saying there was a lack of a clear strategy. Chief of Staff Gadi Eisenkot, who was head of operations at the time, posted an open letter on Sunday paying tribute to the troops involved, and also saying lessons had been learned. Israeli tanks are seen arriving at the Israel-Lebanon border, after returning from south Lebanon on August 16, 2006 Menahem Kahana (AFP/File) "The threat from Lebanon still exists and contains many challenges, and necessitates the (Israeli military) to be prepared for any scenario," Eisenkot wrote. Earlier this year, he described the Iran-backed Hezbollah as Israel's main enemy, and deputy chief of staff Yair Golan said in April that the Shiite militia's improved capabilities were worrying. Golan also warned that in any future crisis "it's going to be full-scale war". He said that because of the presence of Hezbollah fighters in civilian areas, "there is no other way to take out this threat without really creating large damage to the Lebanese infrastructure, to Lebanese houses and other civilian facilities". Israel's military believes Hezbollah has between 100,000 and 120,000 short- and medium-range missiles and rockets, as well as several hundred long-range missiles, with the medium-range missiles capable of reaching Tel Aviv. - Objectives not met - But now the group's focus is on the war in Syria where it is fighting in support of President Bashar al-Assad. It has sent thousands of fighters to help regime forces battle rebels and jihadists. "For now, Hezbollah's attention is on Syria," said Phillip Smyth, an adjunct fellow at the Washington Institute for Near East Policy. "It's hard for them to open another front against a markedly superior foe like the Israelis, especially as they have to deal with fighting a multitude of foes across Syria." Amid the chaos in Syria, Israel has also acknowledged attacking dozens of convoys there that were transporting weapons destined for Hezbollah. Israel occupied parts of Lebanon for 22 years until 2000, with Hezbollah claiming credit for its withdrawal following persistent guerrilla attacks, and the two countries are still technically at war. The 34-day conflict in 2006 led to the deaths of 1,200 people in Lebanon, mainly civilians, and 160 Israelis, mostly soldiers. It began when Israel retaliated over a cross-border raid in which Hezbollah captured two Israeli soldiers and killed three, and quickly spiralled into a fully fledged war. Hezbollah fired thousands of rockets into northern Israel, which carried out devastating strikes across Lebanon. Many people in Israel considered the massive ground and air war on Lebanon to be a failure because it did not halt Hezbollah rocket fire or recover the two captured soldiers. - 'Serious missed opportunity' - Their bodies were returned two years later in exchange for the release of five Lebanese prisoners. Then prime minister Ehud Olmert and military leaders at the time faced heavy criticism. A key government report on the war called it a "serious missed opportunity" for Israel, saying there was a lack of planning and no clear exit strategy. The so-called Winograd report highlighted the controversial ground offensive launched in the final days of the war, while the United Nations was brokering a ceasefire agreement, saying it did not achieve its objectives. "I think it's still viewed as a blemish on the IDF for not being prepared for it," said Efraim Inbar, director of Israel's Begin-Sadat Centre for Strategic Studies, referring to the Israeli military. "A lot of confusion at the highest echelons in the military. And also the political strategic leadership failed," he told AFP. But as the years have passed, some in Israel have adopted a more forgiving view, noting the relative quiet along the Lebanese border even before the Syrian war began in 2011 and drew in Hezbollah, said Jonathan Spyer, director of Israel's Rubin Centre for international affairs research. There are concerns, however, over whether Hezbollah could benefit from its fighting experience in Syria, becoming more battle-hardened and knowledgeable after having fought on the same side as Russia, which also backs Assad. Spyer said the likelihood of another conflict with Hezbollah was low until the Syrian war ends. But he also noted that "Hezbollah remains committed to the destruction of Israel" and that the militia is "much stronger now than it was back in 2006". Children stand in front of the coffins of 72 people killed in Israeli air strikes in the southern Lebanese city of Tyre, on July 21, 2006 Hassan Ammar (AFP/File) A Lebanese soldier stands guard in front flaming fuel tanks at the Beirut International airport, after an Israeli air strike on July 14, 2006 Ramzi Haidar (AFP/File) Hundreds join funeral of murdered Cambodian critic Hundreds of mourners gathered for the funeral Monday of a prominent Cambodian political commentator gunned down in broad daylight, as the country's premier vowed a thorough investigation. Kem Ley, a well regarded political analyst and grassroots campaigner, was shot dead on Sunday as he drank coffee at a convenience store attached to a petrol station in the capital Phnom Penh. The slaying of the 46-year-old has shocked the nation and comes as tensions boil between strongman premier Hun Sen and the country's political opposition. Cambodians pay their respects at the funeral of murdered political commentator Kem Ley at a pagoda in Phnom Penh Tang Chhin Sothy (AFP) Buddhist monks led a large crowd, many wearing black and white, at a temple in Phnom Penh where Kem Ley's body was laid out, covered by Cambodia's national flag and strewn with flowers. One distressed mourner cut his arm with a razor blade in front of the victim's body, according to an AFP journalist at the scene, in an act of protest at the silencing of the respected analyst. Police say they have arrested the gunman, who identified himself as Chuob Samlab -- an unlikely Khmer name which translates as "meet to kill" -- and confessed to killing the analyst over an unpaid debt. But the suspect's apparent motive and his name have been questioned by activists in a country where the rule of law is threadbare and criticism of powerful figures carries great risk. Hun Sen on Monday described the murder as "a heinous act" and ordered authorities to bring anyone behind Kem Ley's murder to book. "I hope people will let authorities conduct their work thoroughly and avoid turning this tragedy into a political case which will lead to a troubling situation," Hun Sen said. "I hope that other politicians will not politicise this case to incite (people), that would lead the nation into chaos," he added. Police could not be reached for comment on Monday. Washington led the international outcry over the murder of a well-known anti-government critic. The US was "deeply saddened and concerned by reports of the tragic killing," State Department spokesman John Kirby said in a statement. "We are following developments in this case closely, noting the Cambodian government's call for an investigation, and urge that authorities ensure this process be thorough and impartial," he added. Kem Ley was critical of both the government and opposition parties, advocating for a new era of clean politics in a notoriously corrupt nation which is expected to hold a general election in 2018. Hun Sen, a former army commander who defected from the Khmer Rouge, has held power alongside a small but powerful coterie of political allies that have become enormously wealthy during his 31-year rule. Scores of government critics and rights workers have been arrested in recent months while others have been tied up in ongoing legal cases. Cambodians pay their respects at the funeral of murdered political commentator Kem Ley at a pagoda in Phnom Penh Tang Chhin Sothy (AFP) Even if Anthony had a year to analyze and dissect each piece...(he couldn't tell if it would)... stand the harsh light of public exposure. WUWT insider Willis Eschenbach tells you all you need to know about Anthony Watts and his blog, WattsUpWithThat (WUWT). As part of his scathing commentary , Wondering Willis accuses Anthony Watts of being clueless about the blog articles he posts. To paraphrase: Click here to read more. Japan maker of bamboo whisks eyes Brexit risks Britain might be a world away, but the future of a 500-year-old family company in rural Japan could rest on the UK's decision to quit the European Union. Chikumeido, a speciality maker of delicate bamboo whisks used in traditional tea ceremonies, started selling the niche products in the United Kingdom last year, its first major push overseas. Sabun Kubo, its 71-year-old president, hoped the gamble would pay off by setting up his little company for a launch into the potentially lucrative European market, and counter years of falling sales. Chikumeido, a speciality maker of delicate bamboo whisks used in traditional tea ceremonies, started selling the niche products in the UK last year Toru Yamanaka (AFP) Things got off to a good start for the company, which has been run by 24 generations of Kubo's family -- and then Britain voted to quit the EU. "We just started exporting to London last year as our sales base in Europe," said the septuagenarian craftsman. "This was part of our efforts to take a chance on doing business overseas. I thought our products would be well received in Europe, and especially in Britain where there is a tea culture. "But then, all of a sudden, Brexit happened. It was a shock." Since the June 23 vote, firms in Japan and across Asia have been scrambling to get a read on how they'll be impacted by Brexit, and what to do about it. Japan Inc has also been hit as worries over the vote sparked a sharp rally in the yen. The currency is seen as a safe investment in tumultuous times, but its rise hurts Japanese exporters' profitability. The threat to Kubo's minnow firm illustrates how the breakaway vote will not just affect multinational giants like Toyota and Hitachi, which have major operations in England. - Frothy formalities - More than 1,000 Japanese companies do business in Britain, employing some 140,000 local people, and Japan's direct investment in the country has topped 10 trillion yen ($99 billion) to date. "The main problem for Japanese companies is that the single (EU) markets are very small and diverse with different languages and business cultures and often different standards," said Martin Schulz, senior research fellow at the Fujitsu Research Institute in Tokyo. "They really need a position from where they can serve the overall market. That has been London." For Kubo, Brexit rekindles worries about the 100 full and part-time employees working for the company near temple-dotted Nara, one of Japan's ancient capitals. The firm is known nationwide for being one of the only manufacturers able to produce as many as 120 different types of the lightweight whisks, called chasen. The small utensils are made by hand from a single piece of bamboo. They take centre stage in a formal ceremony, usually performed in a tatami-floored room, that includes drinking a powdery form of green tea called matcha. "When you're making tea, you need to have a tool that can beat the surface into a froth," Kubo said of the emerald-green beverage. But the chasen business is a tough go these days as low-cost rivals in China and South Korea keep eating away at a shrinking market. Around 1970, the peak period for sales, about 50 manufacturers moved around one million whisks yearly -- and Kubo's employees were run off their feet, he said. Today, the number of makers has fallen by more than half while annual sales have tumbled to about 300,000 a year, Kubo said, adding that his firm controls about 30 percent of the domestic market. While the company had previously sold some products abroad through Japanese buyers, last year was the first time it sold via wholesalers overseas. The whisks went on sale at department stores in England for about $50 each. "After Brexit and now with the strong yen, I'm really wondering how this is going to change our business overseas, starting with England," Kubo said. "I don't have much reason for optimism." Sabun Kubo (R), head of Chikumeido, his son Sayuki and daughter-in-law Eriko make bamboo whisks at his studio in Ikoma Toru Yamanaka (AFP) Thai journalist charged under draconian charter law A Thai journalist and four activists were charged Monday under a draconian law banning criticism of the junta's new constitution which will be voted on in a referendum next month. Taweesak Kerdpoka, a reporter with the news outlet Prachatai, was arrested on Sunday morning alongside four members of the New Democracy Movement, one of the few activist groups that dare to challenge the military since generals seized power two years ago. "Their actions were violating the referendum bill article 61 clause 2," police colonel Amnuay Pongsawat, from Ban Pong district in central Ratchaburi province, told AFP. Since the military's takeover Thailand has undergone a major rights crackdown, with scores of activists jailed and skyrocketing lese majeste convictions Pornchai Kittiwongsakul (AFP/File) He did not elaborate on how their actions had broken the law, but the men face up to ten years in prison if convicted. The clause outlaws critical discussion of the junta's new draft constitution, which if passed will become Thailand's 20th in less than a century. Prachatai editor Chiranuch Premchaiporn said Taweesak was travelling in the same car as the activists to report on their activities. Police stopped the car and found documents that they deemed were in breach of the referendum law. "He is a reporter that covers human and environmental rights," she told AFP. "He was just doing his job." All five were later bailed, she added. Thais will vote on the the new charter on August 7, the first return to the ballot box since the 2014 coup. The junta says the document is the antidote to Thailand's caustic political divide. But politicians on both sides of the divide have dismissed it as an attempt to further entrench the military's hold on power through an appointed senate. Video posted online showed the five shackled men making their way to court on Monday morning as supporters handed them roses. The activists could be heard shouting: "Voting 'no' is our right, it is not against the law". A message from Taweesak on his Facebook page read: "Being arrested for referendum campaigning is bad, but what should we call being arrested for reporting on the referendum campaign?" Thai junta chief Prayut Chan-o-Cha, who often rails against the media, said police were entitled to make the arrest. "If (journalists) violate laws, they will be arrested," he told reporters, adding that the press often pushed for reform "but do not reform themselves". Prachatai has a history of investigative journalism that frequently riles Bangkok's ultra-nationalist establishment. Last year its editor Chiranuch lost an appeal against a conviction under the country's notorious royal defamation law for failing to speedily remove reader comments deemed critical of the monarchy. Since the military's takeover Thailand has undergone a major rights crackdown, with scores of activists jailed and skyrocketing lese majeste convictions. The kingdom has been handicapped by more than a decade of political deadlock and violence including two military coups. US to send 560 more troops to aid Iraq's anti-IS fight Pentagon chief Ashton Carter said Monday that Washington will deploy 560 additional troops to aid Iraq's fight to retake Mosul from jihadists, deepening US military involvement in the country. The announcement, which will bring the total authorised number of American military personnel in Iraq to more than 4,600, came two days after Baghdad said it had recaptured an airbase south of Mosul that is seen as key for the eventual battle for the city. Iraq's second city Mosul has been under Islamic State group control since June 2014, when the jihadists overran large parts of the country, carrying out atrocities including execution-style killings, mass kidnappings and rape. The US has 4,600 troops in Iraq, mostly in advisory or training roles Mehdi Fedouach (AFP/File) IS also holds territory in neighbouring Syria, but has lost significant ground in both countries, and Carter wanted to highlight successes, even as the jihadists have struck back with devastating attacks in Iraq and abroad. "I am pleased to report today that... we agreed for the United States to bolster Iraqi efforts to isolate and pressure Mosul by deploying 560 additional troops," Carter said at the Baghdad airport following meetings with the Iraqi premier and defence minister. "With these additional US forces we are describing today, we will bring unique capabilities to the campaign and provide critical support to Iraqi forces at a key moment in the fight," he said. - 'Springboard' to Mosul - President Barack Obama made ending America's nearly nine-year war in Iraq a centrepiece of his presidency, but Washington has been pulled back into the country by the war against IS. And while most of the US forces in Iraq are in non-combat roles, others have directly battled IS, and three American military personnel have been killed by the jihadists. "The additional troops will provide a range of support for Iraqi security forces, including infrastructure and logistical capabilities at the airfield near Qayyarah," the Pentagon said in a statement. Prime Minister Haider al-Abadi announced Saturday that Iraqi forces had recaptured the Qayyarah airbase, some 60 kilometres (35 miles) south of Mosul, which IS seized in June 2014. The facility had suffered some damage and IS fighters put up only minimal resistance. The Pentagon said the base would become a vital "springboard" for the Iraqi forces' push on Mosul. Lieutenant General Sean MacFarland, commander of the US-led operations against IS, said the "preponderance" of the 560 additional troops will be based at Qayyarah, and would start being deployed "in fairly short order". Earlier on Monday, Carter met with Abadi as well as Defence Minister Khalid al-Obeidi, offering condolences for recent IS attacks and congratulations on Iraqi advances. IS has carried out bloody attacks against civilians as it loses ground, including a bombing in Baghdad earlier this month that killed 292 people, one of the deadliest to ever hit the country, and an attack on a Shiite shrine a few days later in which 40 died. MacFarland said such counter-attacks were to be expected in the short term. "As the enemy loses control over (towns) ... they lose a base of operations, they lose finances, they lose the ability to plan, to create the fake documentation that they need to get around the world," he said. - Pushing IS back - Carter said he and Abadi discussed the next moves in the war against the jihadists, including Mosul and ways the United States could help beef up security into Baghdad and assist in detecting explosives being smuggled into the capital. The ultimate goal was "the recapture of all of Iraqi territory by the Iraqi security forces, but of course Mosul is the biggest part of that", Carter said earlier. US defence officials say the campaign's first "10 plays" have been successfully completed in the US-led counter-IS campaign in Iraq and Syria. These steps include the recapture of several important areas across the two countries, including Ramadi in Iraq and Al-Shadadi, a town in northeastern Syria previously considered a strategic IS stronghold. Carter and Obama have been criticised for the pace of the campaign, which began in autumn 2014 and started slowly, particularly in war-torn Syria, where the United States had few assets on the ground to provide targeting information. The Pentagon has announced several measures to speed up the war, including a revised mission to train anti-IS rebels in northern Syria and extra advisers for Iraqi forces. Coupled with coalition air support, the results have seen IS losing roughly half its territory in Iraq and about 20 percent of its Syria claim, the Pentagon said. Iraqi Prime Minister Haidar al-Abadi (right) meeting with US Pentagon chief Ashton Carter in Baghdad on July 11, 2016 STRINGER (Iraqi Prime Minister's Press Office/AFP) The Pentagon praised Iraqi forces after the western city of Fallujah from the Islamic State group on June 26, 2016 Moadh Al-Dulaimi (AFP) Protesters try to storm airbase in Indian Kashmir Hundreds of protesters tried to storm a military airbase in Indian Kashmir on Monday, the third day of deadly clashes between government forces and demonstrators angered by the killing of a popular young rebel. Police said 30 people had now died in the violence, most of them protesters killed by gunshot wounds as government forces fired live ammunition and tear gas to try to enforce a curfew imposed across the Kashmir Valley. Thousands again defied the curfew to take to the streets on Monday. Police said several hundred protesters tried to storm an Indian Airforce base about 25 kilometres (15 miles) south of the capital Srinagar as the worst civilian unrest since 2010 spread. Life in the Kashmir Valley was paralysed for a third day Monday with shops and businesses closed Tauseef Mustafa (AFP) "A few hundred protesters stormed the airforce base," said a senior officer on condition of anonymity. "We do not know if firearms were used, but the protesters were pushed back," he said, adding there were no immediate reports of casualties. Protesters also set police stations and vehicles on fire. On Sunday one police officer drowned when his armoured vehicle was pushed into a river. The demonstrations follow the killing on Friday of Burhan Wani, a 22-year-old commander of Kashmir's largest militant group Hizbul Mujahideen (HM), during a gun battle with government forces. HM is one of several homegrown militant groups that have for decades been fighting around half a million Indian troops deployed in the region, calling for independence or a merger with Pakistan. Around 300 people have been injured, including nearly 100 police, and hospitals say they are overwhelmed. There were also reports of injured protesters being targeted -- one local doctors' association said Sunday that tear gas canisters had been fired inside a hospital emergency room. Another group, the Jammu and Kashmir Coalition for Civil Society, alleged that police had attacked ambulances taking the wounded to hospital. The state government has called for calm and has cut off Internet and mobile phone networks to try to stop the protests spreading. It is the worst civilian violence to hit the restive region since 2010, when mass protests broke out against Indian rule. - India warns Pakistan - New Delhi warned Islamabad late Monday not to meddle in India's internal matters after Pakistan accused it of violating human rights in the aftermath of Wani's killing. "We have seen statements from Pakistan on the situation in the Indian state of Jammu and Kashmir. They reflect Pakistan's continued attachment to terrorism and its usage as an instrument of State policy," an Indian foreign ministry statement said. "Pakistan is advised to refrain from interfering in the internal affairs of its neighbours." On Sunday, Pakistan expressed deep shock at Wani's death. A statement from the prime minister's office condemned the "excessive and unlawful force" used against those protesting the killing, adding: "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self determination." Kashmir has been divided between rivals India and Pakistan since 1947, but both claim the territory in its entirety. Tens of thousands of people, mostly civilians, have died in the fighting since 1989. Violence has sharply declined in recent years following a major crackdown by the hundreds of thousands of forces deployed in the region. But a recent uptick in militant attacks has galvanised frustrated young Kashmiris, many of whom deeply resent the military's presence. Wani joined the HM rebel group at the age of just 15 after his brother was allegedly tortured by government forces. His strong presence on social media where he posted pictures of himself in army fatigues and videos of his band of fighters, made him a rallying point for Kashmir's youth and tens of thousands of mourners attended his funeral. On Monday, separatist leaders said a shutdown protesting his death should be extended by two more days, and called a rally in the main city of Srinagar on Friday. Also Monday, paramilitary troops evacuated 23,000 Hindu pilgrims left stranded after authorities shut the main highway. The government has decided to suspend the annual pilgrimage to the Amarnath shrine, one of Hinduism's most revered sites 3,800 metres (12,800 feet) above sea level. Map of Indian Kashmir, where at least 18 people were killed in clashes at the weekend Kashmiri protestors clash with Indian police in Srinagar on July 10, 2016 Tauseef Mustafa (AFP) Indian Kashmiri volunteers at a hospital bring in an injured man who was shot during clashes between security forces and protesters in Srinagar on July 10, 2016 Tauseef Mustafa (AFP) Solar plane leaves Spain for penultimate leg of world tour The Solar Impulse 2 left southern Spain on Monday on its way to Egypt for the penultimate leg of the solar-powered airplane's landmark round-the-world journey. The experimental aircraft took off from Seville at 6:20 am (0420 GMT) for a flight that should last about 50 hours and will take it over the Mediterranean Sea. Swiss national Andre Borschberg was at the controls for the journey that will pass through through Algerian, Tunisian, Italian, Maltese and Greek airspace. Solar Impulse is to land in Cairo on Wednesday. Swiss pilot Andre Borschberg takes off in the sun-powered Solar Impulse 2 aircraft from Sevilla aiport on July 11, 2016, to Cairo for the penultimate stage of its world tour Cristina Quicler (AFP) The plane, which is no heavier than a car but has the wingspan of a Boeing 747, will then set out for Abu Dhabi on the final leg of its voyage. It took off from the United Arab Emirates capital on March 9, 2015 with the aim of promoting clean, renewable energy. Solar Impulse is being flown on its 35,400-kilometre (22,000-mile) trip around the world in stages, with Borschberg and his Swiss compatriot Bertrand Piccard taking turns at the controls of the single-seat plane. Applause broke out on June 23 when the aircraft touched down in Seville after its pilot, Piccard, made the first solo transatlantic crossing of a plane with only solar power. The exhilarated 58-year-old told AFP at the time he had thought a lot about aviation pioneer Charles Lindbergh, the first man to fly solo across the Atlantic, during the 6,765-kilometre flight. "I met him when I was 11, we were both at the Apollo 12 take-off, and for me Lindbergh is one of these heroes who did what no one thought was possible," Piccard said by phone. Borschberg piloted a 8,924-kilometre flight between Japan and Hawaii that lasted 118 hours, smashing the previous record for the longest uninterrupted journey in aviation history. Verdict on dissolving Bahrain opposition due next week A Bahraini court will hand down its verdict next week in the government's bid to dissolve the main opposition group Al-Wefaq, accused of harbouring "terrorism," a judicial source said Monday. The date of July 17 was set as the court convened in the absence of the defence team which walked out last month in protest at the government's push to accelerate the process. The United States has called on Bahrain to reconsider the move to dissolve Al-Wefaq, which it has called "alarming". Bahraini protestors hold up placards depicting portraits of Sheikh Ali Salman, head of the Shiite opposition movement al-Wefaq, during clashes with riot police in the village of Sitra, south of the capital Manama, on January 29, 2016 Mohammed Al-Shaikh (AFP/File) Al-Wefaq was the largest bloc in parliament before its lawmakers resigned in protest at the crushing of 2011 protests calling for an elected government in the Sunni-ruled kingdom. The justice ministry has accused the Shiite bloc of providing a haven for "terrorism, radicalisation and violence" and opening the way for "foreign interference" in the kingdom's affairs. That was an allusion to Iran, which Bahrain accuses of fomenting unrest among its Shiite majority. Tiny but strategic Bahrain lies just across the Gulf from Iran and is the home base of the US Fifth Fleet. In May, an appeals court more than doubled a four-year prison sentence handed down against Al-Wefaq leader Ali Salman on charges of inciting violence. Meanwhile, authorities on Monday freed Sunni opposition leader Ibrahim Sharif after he served a one-year jail term for anti-regime incitement, opposition activists said. Sharif, who headed the secular Waed party, already served four years of a five-year sentence over the 2011 protests before being released under a royal amnesty in June last year. The Philippine government's top lawyer called Monday for police to kill more suspected drug criminals, as he defended President Rodrigo Duterte's brutal war on crime against mounting criticism. Police have confirmed killing more than 110 suspects since Duterte won elections in May promising a law-and-order crackdown that would claim thousands of lives and fill funeral parlours. As the official death toll has mounted, and other bodies not confirmed killed by police have been found with placards declaring them drug traffickers, human rights lawyers and some lawmakers have expressed deep concerns about the war on crime spiralling out of control. Philippine police examine the body of an alleged drug dealer -- his face covered with packing tape and a placard reading "I'm a pusher" -- who was shot dead in Manila, on July 8, 2016 Noel Celis (AFP/File) In response to the criticism, Solicitor General Jose Calida held a press conference on Monday at national police headquarters to insist on the legality of the police killings and to encourage more deaths of people suspected of being involved in the drug trade. "To me, that is not enough," Calida said of the killings so far. "How many drug addicts or pushers are there in the Philippines? Our villages are almost saturated (with drugs)." Duterte, who took office on June 30, has repeatedly warned that drastic action is needed to stop the Philippines from becoming a narco-state. A lawyer and a former prosecutor, Duterte has urged law enforcers to kill those they believe are involved in the drug trade, as well as other criminals. In one of the deadliest single incidents, police reported killing eight "drug personalities" during a pre-dawn raid on Saturday in a small southern town. As in the other cases, police insisted they were forced to shoot after encountering resistance. One of the nation's top human rights lawyers, Jose Manuel Diokno, warned last week that Duterte had "spawned a nuclear explosion of violence that is spiralling out of control and creating a nation without judges". Former senator Rene Saguisag, a prominent human rights lawyer during the regime of dictator Ferdinand Marcos, also criticised Duterte's statements naming and shaming alleged drug lords and police officers ahead of a formal investigation. "Do we still probe and have a trial as part of due process? Useless, it seems to me," Saguisag wrote in an online column last week. Some opposition lawmakers have also called for a congressional investigation into the spate of killings. Calida, a Duterte appointee, said he would protect police from or during congressional probes, while emphasising it was up to critics to prove allegations of abuse rather than base inquiries on speculation. "I am here to encourage the (police) not to be afraid of any congressional or senate investigations. We will defend them ... I am the defender of the (police)," he said. Philippine President Rodrigo Duterte has been in power since June 30 Rebels launch fierce assault in Syria's divided Aleppo Rebel fighters launched a major assault on government-held districts of Syria's long-divided Aleppo on Monday, after the regime severed their only remaining supply route into the battleground city. Once Syria's economic powerhouse, Aleppo has been ravaged by the war that began with anti-government protests in 2011 and which has since killed more than 280,000 people. Rebels launched the offensive at dawn to reopen the Castello Road, their last lifeline into the northern city, an AFP correspondent said. Emergency personnel remove the body of a man from the rubble of a damaged building following reported air strikes in Aleppo's rebel-held neighbourhood of Tariq al-Bab, on July 7, 2016 Thaer Mohammed (AFP/File) The Britain-based Syrian Observatory for Human Rights said 19 regime forces were killed Monday when rebels blew up a tunnel in the Old City. Observatory chief Rami Abdel Rahman said: "The opposition has not advanced because of the heavy aerial bombardment the regime is carrying out on the areas where fighting is underway." Air raids on the rebel-held parts of Aleppo killed 13 civilians Monday, the Observatory said, most of them in the Bab al-Maqam neighbourhood near the front line. An AFP journalist saw rescue workers help a stocky, shirtless man out of the rubble in Bab al-Maqam, his face and thick beard caked in dust. The unidentified man entered a field hospital and embraced the limp bodies of two young boys. "He was martyred. He's gone," he said crying over the body of one them. Several rounds of UN-brokered talks to end the conflict have failed, but the UN's special envoy Staffan de Mistura said Monday that a "crucial moment" had been reached in efforts to secure a political settlement. But despite the diplomatic flurry, fighting has intensified in Aleppo, divided between government forces in the west and rebels in the east since mid-2012. - Barrage of shells - Rebels fired a barrage of at least 300 shells into western Aleppo, killing nine civilians, the Observatory said. Ahmed, a resident of the government-controlled west of the city, said his home in the Syriaq quarter was completely destroyed. "The shells have rained down on the western neighbourhoods since 4:30 am," he told AFP. Residents lifted debris in the Syriaq quarter and helped neighbours gather their belongings so they could leave in search of shelter elsewhere. Mahmud Abu Malak, a spokesman for the Nureddin al-Zanki rebel group, described fierce fighting. "All kinds of heavy artillery and machine-guns are being used in the assault, which is intended to ease the pressure on the Mallah and Handarat fronts," he said, referring to areas near the rebel supply route into Aleppo. The Castello Road route was effectively severed last Thursday when government forces seized a hilltop within firing range. The advance leaves the opposition-held east of the city cut off, and raises the prospect of total siege. On Sunday, at least 29 opposition fighters were killed when rebels launched a fruitless assault to push government forces back from the road and reopen the route. - Meagre food stocks - The severing of the Castello Road has already created shortages of food and fuel in the east of Aleppo, with local market stalls sparsely stocked. "There are very few vegetables today because the Castello Road is closed," said Abu Mohamed, a vendor in the Bustan al-Qasr neighbourhood. "If we hadn't planted eggplant and zucchini inside the city, we wouldn't have had any vegetables at all," he said, pointing to his meagre stock of vegetables. Residents also described searching in vain for fuel, whether for vehicles or home use. The UN says nearly 600,000 Syrians live in besieged areas of the country, most surrounded by government forces, although rebels also use the tactic. The latest violence comes despite an extension until early Tuesday of a nationwide truce declared last week by the government to mark the Muslim holiday of Eid al-Fitr. In northwest Idlib province, 17 people including two children were killed in air strikes which the Observatory said were carried out by either regime or allied Russian warplanes. US Secretary of State John Kerry will travel to Moscow this week to seek common ground on how to deal with the ongoing bloodshed, it was announced Monday. The current truce does not cover jihadists from the Islamic State group or the Al-Qaeda-linked Al-Nusra Front. Also Monday, IS jihadists entered the historic city of Palmyra in central Syria for the first time since being defeated there by regime forces in March. But they were killed and the attack was now over, according to the Observatory. IS fighters also claimed a suicide attack on a rival rebel group in the town of Dumeir, east of Damascus, on Sunday that killed 16 people. Once Syria's economic powerhouse, Aleppo has been ravaged by the fighting that began in mid-2012 The rebel assault came a day after a failed bid to push regime forces back from a key supply route that leads into opposition-held Aleppo George Ourfalian (AFP) Herders kill 'scores' in central Nigeria: authorities Gunmen believed to be nomadic Fulani herdsmen have killed scores of villagers in central Nigeria's Benue State in a long-running conflict over grazing rights, the state government said on Monday. "The magnitude of killings is enormous. Scores of people were killed in the past two weeks by Fulani herdsmen in at least 10 local government areas of the state," spokesman Tahav Agerzua told AFP. The state government was collaborating with security agencies to contain the violence, which is the latest flare-up between local farmers and the herders in the state. The mainly Muslim Fulani herders and largely Christian farmers have clashed for decades in Nigeria over increasingly scarce land and resources, particularly in the religiously mixed central states Ali Ngethi (AFP/File) Benue state police spokesman Moses Yamu confirmed the killings but said the affected communities had returned to normal. "It's not a recent development. The clashes happened last month and we are on top of the situation," he said. Nigeria's Channels television put the number of dead at 81 in the past two weeks after attacks on farming villages in the Logo and Ukum areas of the state. The mainly Muslim Fulani herders and largely Christian farmers have clashed for decades over increasingly scarce land and resources, particularly in the religiously mixed central states. In February, hundreds of people were said to have been killed and about 1,000 homes destroyed in the Agatu area of Benue in a wave of attacks blamed on Fulani. Those attacks appeared to be in revenge for the death of a Fulani leader and the theft of his cattle, which was blamed on the Agatu people. President Muhammadu Buhari, northern Muslim, has proposed the creation of grazing land to prevent further clashes but Fulani groups say in Benue the government has opposed the plan. N. Korea threatens action over US anti-missile system North Korea threatened Monday to take "physical action" after Washington and Seoul announced they would deploy a sophisticated US anti-missile defence system to counter the growing menace from Pyongyang. Seoul and Washington had on Friday revealed their decision to deploy the Terminal High Altitude Area Defense (THAAD) system in the South following recent North Korean missile and nuclear tests. The two allies have not yet revealed exactly when and where the system, which fires projectiles to smash into enemy missiles, would be deployed but said they were in the final stage of selecting a potential venue. The test-fire of a submarine-launched ballistic missile at an undisclosed location in North Korea in April 2016 "The DPRK will take a physical counter-action to thoroughly control THAAD... from the moment its location and place have been confirmed in South Korea," the artillery bureau of the North's military said in a statement, according to the official Korean Central News Agency (KCNA). North Korea's military, which has "sufficient latest offensive strike means", will take "more merciless and powerful successive corresponding measures against the US keen to ignite a war by deploying THAAD", it said. It also warned the South of "miserable self-destruction" as a consequence of deployment of the THAAD system. "We once again warn the enemies that it is the steadfast will of the (Korean People's Army) to make merciless retaliatory strikes to reduce South Korea to a sea in flames, debris once an order is issued," the statement said. Seoul denounced the "ridiculous threats" by the North, which had staged serious provocations including a nuclear test in January and a long-range rocket launch in February. "North Korea must recognise who is threatening peace and stability on the Korean Peninsula and first apologise for its provocations," defence ministry spokesman Moon Sang-Gyun told reporters. - Communications cut - Also on Monday, the North cut all communications with the US through its office at the UN -- a rare channel of communications between the two nations that do not have official diplomatic ties. The move was in protest at new US sanctions targeting leader Kim Jong-Un for a long list of serious human rights abuses, the first sanctions that name the leader of the isolated state. Pyongyang had earlier slammed the sanctions on Kim as a "declaration of war" and vowed to take strong retaliatory measures. Tensions are high since Pyongyang carried out its fourth nuclear test in January, followed by a series of missile launches that analysts said showed the North was making progress toward being able to strike the US mainland. Pyongyang also test-fired what appeared to be a submarine-launched ballistic missile a day after the THAAD announcement by Seoul and Washington, sparking swift international condemnation. Saturday's launch followed Pyongyang's back-to-back tests of powerful new medium-range Musudan missiles on June 22 -- theoretically capable of reaching US bases as far away as Guam. The planned deployment of the powerful anti-missile system has angered the South's neighbours including China, which said Friday the move would "seriously damage" regional security in northeast Asia. South Korean President Park Geun-Hye however defended the move as a "purely defensive" action aimed at protecting the South. "The international community will be aware that we have no intention to target or threaten another country... we are taking a purely defensive measure to protect our country and our people," Park said in a meeting with advisors. She also urged support from South Koreans over the deployment of powerful weapon, in the face of growing protest in the areas said to be potential venues. News of North Korea's latest Musudan missile test is broadcast at a railway station in Seoul on June 23, 2016 Jung Yeon-Je (AFP/File) People watch a news report on North Korea's first hydrogen bomb test at a railroad station in Seoul on January 6, 2016 Jung Yeon-Je (AFP/File) Hundreds join funeral of murdered Cambodian critic Hundreds of mourners gathered for the funeral Monday of a leading Cambodian political commentator gunned down in broad daylight, a killing that has sent fear rippling through civil society. Kem Ley, a political analyst and pro-democracy campaigner, was shot dead on Sunday as he drank coffee at a convenience store attached to a petrol station in the capital Phnom Penh. The slaying of the 46-year-old comes as tensions boil between premier Hun Sen and the country's political opposition, who accuse the strongman ruler of tying them up in spurious legal cases and deploying thugs to intimidate them. Mourners walk along the car transporting the body of Kem Ley who was shot dead at a convenience store in Phnom Penh, on July 10, 2016 Tang Chhin Sothy (AFP) Buddhist monks led a large crowd, many wearing black and white, at a temple in Phnom Penh where Kem Ley's body was laid out, covered by Cambodia's national flag and strewn with flowers. One distressed mourner cut his arm with a razor blade in front of the victim's body, according to an AFP journalist at the scene, in an act of protest at the murder of the respected analyst. Several women carrying joss sticks wept over the body, their hands clasped together in prayer. A man who identified himself as Chuob Samlab -- an unlikely Khmer name which translates as "meet to kill" -- was later taken to a Phnom Penh court amid tight security after allegedly confessing to shooting Kem Ley over an unpaid debt. But the suspect's motive as well as his name have been questioned by activists in a country where the rule of law is threadbare and criticism of powerful figures carries great risk. Authorities suspect he is using an alias but insist they have the right man. - 'Do not be cowed' - "No parents would give their kid that name," General Khieu Sopheak, spokesman for the ministry of interior, told AFP. But based on CCTV footage he is "the real killer", he added. The brazen murder has rattled the activist community in the capital, where Kem Ley's advocacy group -- 'Khmer for Khmer' -- did much of its work in support of land and worker rights as well as grassroots democracy. "I always worry for my safety... but the murder of Kem Ley deepens our fear now," Am Sam Ath, of rights group Licadho, told AFP. "But even with the fear I will continue my work. We already know what may happen to us," he said. Another civil society worker said it was "crucial" that justice is served. "However all too often in Cambodia we see farcical judicial proceedings where the real perpetrators enjoy impunity," Chak Sopheap, of the Cambodian Center for Human Rights, told AFP, adding she hoped activists "will not be cowed by his murder". A letter signed by 70 civil society groups expressed "outrage" at the brutal murder and called for a full, transparent police probe. Moving quickly to limit the fallout of the killing, Prime Minister Hun Sen described the murder as "a heinous act" and ordered authorities to bring anyone behind Kem Ley's murder to book. "I hope that other politicians will not politicise this case to incite (people), that would lead the nation into chaos," he added. Kem Ley advocated a new era of clean politics in a notoriously corrupt nation which is expected to hold a general election in 2018. Last week he gave a lengthy radio interview welcoming a report into Hun Sen's family fortune, saying it gave a clear idea of how Cambodia's political elite have become rich during his 31-year rule. The election in two years is seen as a major test of the wily Hun Sen, a former army commander who defected from the Khmer Rouge, in a country with a young population wearied by corruption and the stifling of democracy. Cambodians pay their respects for Kem Ley during a funeral ceremony in Phnom Penh, on July 11, 2016 Tang Chhin Sothy (AFP) Erdogan defends plan offering Syrians Turkish citizenship President Recep Tayyip Erdogan defended his plan to give Syrian refugees Turkish citizenship in comments published Monday, arguing the country has ample space after a backlash against the suggestion. Erdogan said on July 2 that Syrians could eventually be granted Turkish citizenship "if they want it", in remarks which were met with anger from opposition politicians and social media users. More than 2.7 million Syrian refugees now live in Turkey, where they have guest status, according to the Turkish government. President Recep Tayyip Erdogan sparked outrage by suggesting that Syrians could eventually be granted Turkish citizenship "if they want it" Mohamed Abdiwahab (AFP/File) In his first comments on the issue since announcing the plan, Erdogan said Syrians with dual citizenship did not have to return to Syria once the conflict was over. "Is it a must for dual citizens, for people with citizenship, to return to their countries of birth?" he told Turkish reporters on the sidelines of the NATO summit in Warsaw, quoted by the Hurriyet daily. "When Turks went to Germany in 1963, no one asked whether they would or would not return to Turkey," he said, referring to the Turkish so-called Gastarbeiter who helped Germany's economic recovery after World War II. He added: "There is no need to worry, this country has 79 million people living on 780,000 square kilometres of land. "Germany is half our size and has 85 million people," he added, lightly overstating the German population. "We are a country that can easily overcome (challenges)." - 'Solution needed' - The president even suggested that empty homes built by the state housing agency could be used to house Syrians. "Most of these Syrians work illegally. "What we're saying is, there needs to be a solution. Among these people, there are doctors, engineers, lawyers, health workers, teachers, all of these people can benefit our country: they can be given citizenship," Erdogan added. In January this year, Turkey allowed Syrian refugees to be given work permits but only 5,502 Syrians were granted such paperwork, according to Turkish media quoting labour ministry statistics. The president's comments come after Haberturk newspaper reported on Saturday that there were plans to give up to 300,000 Syrian refugees Turkish citizenship, targeting skilled individuals. Soon after the report appeared, #suriyelilerehayir ("No to Syrians") was the top trending topic on Twitter in Turkey. On Saturday, a Syrian man and Turkish teenager were killed after a fight between a group of Turks and Syrians in central Konya province in the latest violent incident highlighting the growing tension. Devlet Bahceli, leader of the Nationalist Movement Party (MHP), warned that Erdogan's plan would cheapen Turkish citizenship and cause ethnic conflict, saying "Turkish citizenship must be deserved and confirmed in good conscience". Deputy Prime Minister Numan Kurtulmus, who is government spokesman, said after a cabinet meeting Monday that work on the citizenship plan was in progress but had not been completed. "Currently work continues on how those Syrians who will benefit Turkey, have no links to terrorism and can build a bridge between Turkey and Syria can become Turkish citizens." More than 2.7 million Syrian now live in Turkey, but only 260,000 stay in the refugee camps Adem Altan (AFP/File) US transfers two Guantanamo detainees to Serbia: Pentagon The Pentagon on Monday transferred two Guantanamo detainees, one Yemeni and the other Tajik, to Serbia, bringing the population of the controversial US military prison to 76. Tajik national Muhammadi Davlatov and Yemeni Mansur Ahmad Saad al-Dayfi were both unanimously approved for transfer by six US government departments and agencies, the State Department said. President Barack Obama, as one of his first official acts after being elected in 2008, vowed to empty and shutter the notorious "war on terror" prison, which critics feel has tarnished America's reputation because of treatment deemed inhumane to the inmates there. The US flag flies above the US Naval Base at Guantanamo Bay, Cuba Paul J. Richards (AFP) "As directed by the president's January 22, 2009, executive order, the interagency Guantanamo Review Task Force conducted a comprehensive review" of Davlatov's case and unanimously approved his transfer, a Pentagon statement said. US defense, homeland security and other officials determined late last year that continued imprisonment of al-Dayfi "does not remain necessary to protect against a continuing significant threat to the security of the United States." The Defense Department statement thanked Serbia "for its humanitarian gesture and willingness to support ongoing US efforts to close the Guantanamo Bay detention facility" -- a sentiment echoed by US Secretary of State John Kerry. "The United States appreciates the generous assistance of Serbia as the United States continues its efforts to close the Guantanamo Bay detention facility," Kerry said in a statement. "This significant humanitarian gesture is consistent with Serbia's leadership on the global stage." The United States has in recent months accelerated the rate at which detainees who have been approved for transfer are released from the facility, which Obama urgently wants to close before he leaves office at the start of next year. Guantanamo is a US naval base carved out of a remote chunk of land on the tip of southeastern Cuba. The administration of George W. Bush opened a prison there to hold terror suspects. The Guantanamo prison has held about 780 inmates in all since it was opened shortly after the US-led invasion of Afghanistan in late 2001. Transfers have been slowed because many inmates were from war-torn Yemen, and so had to be returned to a third country that can provide rehabilitation and monitoring. S. African twins plotted to blow up US embassy: police Two South African brothers arrested on terrorism charges were plotting to blow up the US embassy in Pretoria and Jewish institutions, and planned to join the so-called Islamic State, police said Monday. The 23-year-old twins, Brandon-Lee and Tony-Lee Thulsie, appeared briefly in a Johannesburg magistrate court after being arrested at the weekend. "It is alleged that they wanted to bomb the US embassy and Jewish facilities in the country," Hangwani Mulaudzi, spokesman for South Africa's elite police, the Hawks, told AFP. The man suspected of being responsible for the attack was arrested after a search by police officers and local residents (file picture) The United States and Britain warned last month of possible imminent "terrorist" attacks by Islamic extremists in South Africa's major cities. The two men, who are accused of planning to join the Islamic State (IS) group in Syria, will remain in custody and return to court on July 19 to allow for further investigation. "They had been on our radar since 2015. They tried to leave the country twice, through OR Tambo airport and through Mozambique (to join IS)," Mulaudzi said. According to court papers, the brothers "conspired to commit the crime of terrorism by planning to cause explosions at a mission of the United States of America and Jewish institutions." The suspects were also accused of inciting other people to "aid and abet them" in the attack, according to the provisional charge sheet. Palestinian bus attacker given life sentence An Israeli court sentenced a Palestinian to life in prison Monday for a shooting and stabbing attack on a Jerusalem bus that was one of the bloodiest incidents in a wave of violence that began in October. Bilal Abu Ghanem was one of two Palestinians who carried out the October 13 attack that killed two Israelis and a US-Israeli dual national. The second attacker, Bahaa Allyan, was shot dead during the attack. Bilal Abu Ghanem (left) is escorted out of the Jerusalem District Court following a hearing on July 11, 2016 Ahmad Gharabli (AFP) According to the court, Abu Ghanem, a resident of the east Jerusalem Jabel Mukaber neighbourhood, opened fire on the bus with a pistol, shooting 14 rounds, while Allyan stabbed passengers. The Jerusalem district court sentenced Abu Ghanem, 22, to three life sentences plus 60 years for murder and attempted murder, among other charges. He was also ordered to pay 1.45 million shekels ($373,000/338,000 euros) in compensation to victims' families, including four people wounded and the bus driver. When leaving the court, Abu Ghanem, who was imprisoned in 2013 for membership in the militant Hamas movement, lashed out and said the attack was in part meant as revenge for what he and other Palestinians see as violations of the Al-Aqsa mosque compound in Jerusalem. The attack followed a series of clashes in September between Palestinian youths and Israeli police at the flashpoint holy site. "There are aggressions on our women and on our Al-Aqsa mosque," he said as guards sought to hurry him past journalists. "Retaliation should be like that." The bus attack was part of a wave of violence that began in October and which has killed at least 214 Palestinians, 34 Israelis, two Americans, an Eritrean and a Sudanese. Most of the Palestinians killed were carrying out knife, gun or car-ramming attacks, according to Israeli authorities. Others were shot dead during protests and clashes, while some were killed by Israeli air strikes in the Gaza Strip. The violence has steadily declined in recent months, though attacks continue to occur, including the fatal stabbing of a 13-year-old girl by a Palestinian in her home in a settlement in the occupied West Bank on June 30. A sentencing hearing in another high-profile case was postponed until September 22 on Monday. In that case, Ahmed Manasra, 14, has been convicted of the attempted murder of two Israelis in a knife attack in October. US, EU resume trade talks despite doubts The United States and the European Union on Monday resumed talks to clinch a trade deal this year, despite rising opposition and Britain's shock vote to exit the bloc. Negotiators met in Brussels for the 14th round of negotiations on the proposed trade pact that would create the world's largest free trade area. But it is facing increasing headwinds from major EU powers Germany and France, where negative attitudes to globalisation and free trade are on the rise. The Transatlantic Trade and Investment Partnership, which would create the world's largest free trade area is facing increasing headwinds Marten van Dijl (ANP/AFP/File) Officials are publically pushing to finalise negotiations on Transatlantic Trade and Investment Partnership (TTIP) before the end of the Obama administration at the end of the year. But behind the scenes top diplomats are increasingly wary this will be achieved, believing that a suspension of talks until after major elections in France and Germany in 2017 will be required. "I think a deal in 2016 is impossible and everyone knows it, including those who say otherwise," France's junior minister for trade Matthias Fekl said last week, although he does not participate actively in the talks. France is especially frustrated at the lack of progress on key issues, such as agriculture and access to public contracts in the United States by European companies. Environmentalists and anti-globalisation activists have opposed TTIP since negotiations began in 2013, believing it will provide unfair benefits to big business and allow multinationals to escape regulation through the backdoor. In Brussels, between 50 and 100 activists dressed in animal suits attempted to enter the EU building hosting the talks, but were turned away by security, Belga news agency reported. The costumed activists also stormed the headquarters of the EU's trade division, Belga said. The EU's top trade official Cecelia Malmstroem said last week that her teams were still pushing for a deal by the end of the year. On a visit to Washington, Malmstroem also insisted that TTIP talks would survive the Brexit vote, despite Britain being the EU's strongest backer of freer trade. "The rationale of TTIP remains as strong today as it was" before the vote, Malmstroem said. In 2014, the European Union's balance of trade with the United States stood at 105 million euros France hands Mandela trial recordings to S. Africa French President Francois Hollande on Monday handed his South African counterpart Jacob Zuma the digitised recordings of the Rivonia Trial in which Nelson Mandela was sentenced to life in jail. The deteriorating audio recordings of the 1963-1964 court case -- one of the most significant political trials in South African history -- were restored by France's National Audiovisual Institute (INA). "I would like to thank President Hollande for the digitisation of Rivonia Trial, which will safeguard an invaluable part of South African history for generations to come," said Zuma, who is on a state visit to France. French President Francois Hollande (right) gives an audio recording of Nelson Mandela to South Africa President Jacob Zuma, during a ceremony at the Elysee Palace in Paris, on July 11, 2016 Jeremy Lempin (Pool/AFP) The Rivonia Trial saw Mandela, who died in 2013, sent to Robben Island where he spent 18 of his 27 years in prison. During his defence in the trial, Mandela made a speech that electrified the world and became the manifesto of the anti-apartheid movement. It ended with the words: "I have fought against white domination, and I have fought against black domination. I have cherished the ideal of a democratic and free society in which all persons live together in harmony and with equal opportunities. "It is an ideal which I hope to live for and to achieve. But, my lord, if it needs be, it is an ideal for which I am prepared to die." On February 11, 1990, Mandela walked free from prison to lead political negotiations that paved the way for the country's first democratic elections in 1994 and his election as the country's first black president. Hollande said France and South Africa both wanted to "defend democracy". However Zuma's trip to France -- accompanied by seven cabinet ministers -- comes as he and his government are embroiled in a raft of scandals and critics warn that Mandela's legacy is in danger of fading away. A court recently ruled Zuma should face almost 800 corruption charges, while another ordered him to pay back $500,000 (452,000 euros) of public funds used to upgrade his private residence. Violent anti-government protests in the run-up to local elections and accusations of censorship by the state-run broadcaster SABC have further stoked divisions and acrimonious public debate in the country. The SABC -- the main source of news for the majority of the country -- has been accused of banning footage of anti-government protests and criticism of Zuma and blocked opposition campaign adverts. While in France Zuma will also oversee the commemoration of the World War I Battle of Delville Wood in which thousands of South Africans died one hundred years ago. Women demonstrate in Pretoria on June 16 1964, after the verdict of the Rivonia trial, in which eight men, including Nelson Mandela, were sentenced to life imprisonment Key dates since South Sudan's 2011 independence South Sudan declared independence in 2011 but since has been torn apart by a civil war replete with massacres that has left tens of thousands dead and ruined the economy. As it struggles to end the war and cope with famine, the world's newest nation this weekend cancelled its fifth independence celebrations. - 2011: Independence - South Sudan became the world's newest country after it gained its independence from Sudan in 2011 Roberto Schmidt (AFP/File) On July 9, South Sudan's President Salva Kiir proclaims independence before tens of thousands of jubilant citizens and several foreign leaders. Southern Sudan split from Sudan after six years of autonomy and decades of civil war, which lasted from the late 1950s to 1972, and then again between 1983 and 2005, leaving millions dead. A peace accord signed in 2005 by north and south opens the way to a referendum on independence, in which nearly 99 percent of southerners vote for secession. However numerous disputes remain between the two countries, notably on how to share oil revenues, the tracing of their common border and the status of disputed regions like Abyei. - 2012: Oil - From March to May deadly clashes pit the armies of Juba and Khartoum against each other in the oil fields of Heglig, an area to which both countries lay claim. South Sudanese troops briefly occupy the area, which accounts for half of Sudan's crude oil production. Upon independence, South Sudan inherited three quarters of Sudan's oil reserves, but, being landlocked, depends on the north's infrastructure to export crude. With bitter arguments over pipeline transit costs, the north confiscates part of the oil and Juba in response halts its production in January. More than a year later, in April 2013, oil from the south starts flowing again through Sudan. - 2013: Civil war - On December 15, heavy gunfire erupts in Juba. Tensions had spiked after Riek Machar, from the country's second-largest ethnic group, the Nuer, was fired as vice-president in July. Kiir, from the majority Dinka people, accuses Machar of a failed coup. Machar denies this and accuses Kiir of starting the war by launching a purge. The fighting is marked by ethnic massacres in Juba, spreading to several states. The northern city of Bentiu, capital of oil-rich Unity State, Malakal in Upper Nile, and Bor in Jonglei are among the main centres of the fighting. All three are razed by fighting. - 2015: Peace accord - On August 17, Machar signs a peace accord in Addis Ababa providing for a ceasefire and a power-sharing mechanism. On August 26, Kiir signs the accord, while expressing "serious reservations" on several of its provisions. - 2016: Unity government - On April 26, Machar finally returns with members of his security forces to Juba, where he is sworn in as vice-president of a unity government. Three days later, Kiir forms his transitional government. Fighting continues. On July 8, as many as 150 fighters are killed in a shootout between soldiers and former rebels in Juba that begins near the presidential palace as Kiir and Machar were due to address the press with a joint message of peace ahead of independence day. On July 10, fighting erupts again in South Sudan's capital with former rebels and government soldiers exchanging heavy fire. In New York, the UN Security Council urges the two rivals to call a ceasefire, redeploy their forces outside the capital and implement the peace agreement. But intense battles resume July 11 involving tanks, helicopter gunships and artillery and mortar fire, sending thousands of people fleeing to safety. There were no immediate details of casualties. Spent munitions lie on the ground at an abandoned oil treatment facility at Thar Jath in Unity State, South Sudan in February 2015 Tony Karumba (AFP/File) UN experts say Riek Machar (left) and Salva Kiir are both responsible for most of the violence committed during the civil war Albert Gonzalez Farran (AFP/File) Niger president moots regional anti-terror force President Mahamadou Issoufou of Niger on Monday proposed setting up a multinational west African force to fight terrorism. "We must work to strengthen the mandate and troop strength of MINUSMA to allow it to mount offensives against all kinds of terrorist forces in northern Mali," Issoufou said, referring to the United Nations Multidimensional Integrated Stabilization Mission in Mali. He was speaking at a summit of the Conseil de l'Entente, a regional five-member cooperation forum set up in 1959 and currently chaired by Niger. President of Niger Mahamadou Issoufou attends a press conference after meeting with German chancellor at the Chancellery in Berlin on June 17, 2016 John MacDougall (AFP/File) "Failing that, to effectively fight terrorism in this brother country, we should set up a mixed multinational force modelled on the force operating in the Lake Chad region," he said. Established a year ago, that force comprises 8,500 troops from Nigeria, Niger, Chad, Benin and Cameroon. "It's now operational and for several weeks has been mounting effective operations against Boko Haram," said Issoufou. "In the face of terrorism we have no choice but to combine our forces as well as our defence and intelligence capacities," he said. Attacks such as that on Grand Bassam, a coastal town near Ivory Coast's economic capital Abidjan which left 19 dead in March "show that the theatre of (terrorist) operations know no bounds," he said. Presidents Alassane Ouattara of Ivory Coast, Roch Marc Christian Kabore of Burkina Faso, Patrice Talon of Benin, and Faure Gnassingne of Togo attended the summit. Malian President Ibrahim Boubacar Keita was there as an observer, as Mali is not a member of the grouping. Families of US-Israeli victims sue Facebook over Palestinian attacks Israeli and American victims of Palestinian attacks sued Facebook Monday, claiming that the internet giant enables the militant Hamas group to use its platform and demanding $1 billion in damages. The civil action was filed in New York by Israeli legal NGO Shurat Hadin in the name of family members of four Israeli-Americans and one US citizen killed since June 2014. The plaintiffs say Facebook has knowingly provided its "social media platform and communication services" to Hamas in violation of the US Anti-Terrorism Act which prohibits US businesses from "providing any material support, including services, to designated terrorist groups and their leaders". The plaintiffs say Facebook has knowingly provided its "social media platform and communication services" to Hamas in violation of the US Anti-Terrorism Act Tobias Schwarz (AFP/File) Nitsana Darshan-Leitner, head of Shurat Hadin and one of the lawyers representing the families, said Hamas members had either perpetrated or endorsed the attacks against the victims at hand. She said the lawsuit's goal was to force Facebook to tighten its regulation of Palestinian "incitement". Facebook could not immediately comment on the lawsuit since it had not yet received it. However, a statement from the company said there was "no place for content encouraging violence, direct threats, terrorism or hate speech on Facebook", and stressed that users can report content "they believe violates our standards", so the company could "investigate and take swift action". Israel's internal security minister recently said Facebook bore "some responsibility" for the wave of violence, and said he would advance legislation making it illegal to publish "offensive content" that encourages attacks. But Darshan-Leitner said such a measure, which would necessitate reporting offensive posts that would eventually be removed, would be ineffective because of the sheer volume of Facebook activity. The only efficient way to deal with Palestinian "incitement" on Facebook is if the measures "come from Facebook itself", she said. "They have to be convinced that it is not in their interest to act otherwise," she said of the internet giant. "No amount of money can bring a dead person back, but an organisation barricaded in its Palo Alto ivory tower while people are murdered here and cares only about its money, will awaken only if it's hit back through its money," she said. Her group had in October filed a lawsuit against Facebook, demanding that it remove more than 1,000 inflammatory pages and improve its monitoring mechanisms, but did not seek monetary damages. Darshan-Leitner said that case was still ongoing. A wave of violence that began in October last year has killed at least 214 Palestinians, 34 Israelis, two Americans, an Eritrean and a Sudanese. Most of the Palestinians killed were carrying out knife, gun or car-ramming attacks, according to Israeli authorities. Others were shot dead during protests and clashes, while some were killed by Israeli air strikes in the Gaza Strip. S.African public broadcaster rebuked ahead of vote South Africa's communications authority on Monday slapped down the public broadcaster's new policy of not screening violent protests amid a dispute over alleged censorship ahead of key municipal elections. The South African Broadcasting Corporation (SABC) said in May it had imposed the ban to discourage copycat protests. But the Independent Communications Authority of South Africa (ICASA) ordered the broadcaster to reverse the decision. A Protester in chained hands and a gas mask atop his head rallies with others outside the offices of South Africa's public broadcaster on July 1, 2016 in Johannesburg to protest against alleged bias and self-censorship in news coverage John Wessels (AFP/File) "The SABC is directed to withdraw its resolution (to) no longer broadcast footage of the destruction of public property during protests," ICASA said. The SABC, the primary news source for millions of South Africans, has been accused of bias and self-censorship favouring President Jacob Zuma and the ruling African National Congress (ANC) party. The ANC faces tricky local elections on August 3, when opposition parties hope to gain ground due to record unemployment and frustration at poor education and housing more than 20 years after the end of apartheid. SABC board chair Mbulaheni Maguvhe said the broadcaster stood by its policy and was reviewing the regulator's ruling. "We still believe this is a moral and conscious decision... Freedom of speech and information doesn't mean that we should expose our children to these visuals that grow them to be hooligans," he said. In May, the SABC, which has 20 radio stations and three TV stations, stopped its early morning shows from reading out newspaper headlines, many of which are critical of the government. Several SABC journalists face disciplinary hearings after criticising its editorial policy, while others have been suspended for allegedly questioning orders to not cover a protest. The latest Ipsos opinion polls suggest that the ruling ANC could lose three major cities -- Pretoria, Johannesburg and Port Elizabeth -- to the main opposition Democratic Alliance (DA). Food crisis in NE Nigeria like Darfur, S.Sudan: UN Urgent funding is needed to keep thousands of people alive in Boko Haram-hit northeast Nigeria, the UN said Monday, stressing that the situation borders on famine and compares to crises in Darfur and South Sudan. Aid agencies have been warning increasingly of food shortages in hard-to-reach areas of Borno state, while one NGO said last week some inaccessible parts could be suffering from famine. Toby Lanzer, the UN's regional humanitarian coordinator for the Sahel, including the Lake Chad basin, said progress had been made in recent years, as the Islamists lost control of territory. This photo taken on June 30, 2016 shows a young boy suffering from severe acute malnutrition lying on the ground at one of the Unicef nutrition clinics, in the Muna informal settlement, which houses nearly 16,000 internally displaced people Stefan Heunis (AFP/File) But he said he was "horrified" by the condition of people on a recent visit to the town of Bama, adding that the situation in Dikwa and Monguno towns was "equally as worrying". "I have worked in many, many places -- Central African Republic, Darfur, South Sudan -- and the condition of people in very rural parts of Borno state is as bad as I have ever seen," he said. "It is an acute emergency," he told AFP by telephone from Cameroon. Lanzer said $220 million (200 million euros) was needed for the next 10 weeks "for the purposes of keeping people alive". Nigeria, whose revenues have been hit by sustained low global oil prices, does not have the resources to cope and cannot do much more, he added. - Starving to death - International NGOs have faced difficulties accessing places such as Bama, which is some 70 kilometres (45 miles) from the Borno state capital of Maiduguri, because of roads still prone to ambush and attack. The medical charity Doctors Without Borders (MSF) said last month at least 188 people had died mainly from diarrhoea and malnutrition at a camp in the town since June 22. AFP was told in early June at least 10 people were starving to death every day at a camp in Banki, 60 kilometres from Bama, and that 376 people had died in three months. Meanwhile, the UN children's fund UNICEF said 250,000 children under five risked severe acute malnutrition in Borno this year and if nothing was done, 50,000 could die. Lanzer said a UN team had managed to go to Banki from Cameroon three days ago but access was still a problem. "The condition of people is awful. There are dozens of people dying daily of malnutrition... Our assessment is there are 15,000 people and five of them are dying daily as we speak," he added. - The 'F-word' - Last Friday, the USAID-funded Famine Early Warning Systems Network (FEWS NET) said "a famine... could be occurring in the worst affected and less accessible pockets of the state". Famine is declared where at least 20 percent of an area's population faces an extreme lack of food and at least 30 percent of children are acutely malnourished. The death rate also has to exceed 2/10,000 per day. Lanzer said there were 4.4 million people in the wider northeast and 431,000 in Borno who are considered severely food insecure, "a step below the F-word" (famine). "In my 20 years of working in these type of places, I have never seen an F. I don't want one to appear on my watch. We will do everything we can to avoid it." Funding, if secured, will go towards providing clean water, medicine, blankets and nutrition, he said, praising Nigeria for its work on the relief effort. Nigeria has released 10,000 tonnes of emergency food supplies and is helping international agencies with visas and customs clearance, he added. In part, Lanzer blamed perceptions of Nigeria -- Africa's leading economy -- for foreign governments' lack of support in providing help. But he warned if nothing was done "thousands of people will die", adding: "I think the international community has been quite hesitant up to now to engage on any noticeable level. "But it's now at a stage where we really do need to step up." A picture taken on June 30, 2016 shows women and children collecting water from one of just two wells in the Muna informal settlement Stefan Heunis (AFP/File) South Sudan VP Riek Machar also calls his forces to cease fire South Sudan's vice president, a former rebel, called on troops loyal to him to stop fighting after three days of clashes in the capital Juba with forces supporting President Salva Kiir. "I inform all troops who have been fighting and have been defending themselves that they should observe the ceasefire and stay in position," Vice President Riek Machar said on Eye Radio Juba, shortly after Kir had ordered an immediate unilateral ceasefire. Machar said the ceasefire started at 8:00pm (1700 GMT) on Monday. Friend or foe? Texas open-carry gun law under scrutiny When shots rang out in Dallas last week, police zeroed in -- wrongly -- on men in camouflage gear carrying powerful military-grade rifles. People can carry such guns openly under Texas law, a provision now under scrutiny for complicating police work in a moment of acute crisis. About 20 such armed men had joined a march Thursday over the deaths days earlier of two black men at the hands of police, in Louisiana and Minnesota. Some even carried gas masks and wore bulletproof vests. The gunman who opened fire on Dallas officers during a protest against US police brutality, leaving five dead and seven others wounded, told negotiators he wanted to kill white cops, the city's police chief said July 8 Laura Buckman (AFP/File) The problem began when Micah Johnson, a black 25-year-old one-time Army reservist, launched an ambush attack with an assault rifle, targeting white police monitoring the rally. When the chaos that engulfed downtown Dallas was over, Johnson had killed five police and wounded seven others, plus two civilians. He told police negotiators before being killed by a robot bomb that he wanted to kill white cops. When Johnson initially started shooting, police immediately faced the dilemma of distinguishing armed but non-hostile protesters from the actual shooter or shooters in a moment of violent mayhem as crowds scurried for safety. Most US states, including Texas, let people carry long arms -- rifles and shotguns -- in public with no need for a permit. Since January, people in Texas can also carry handguns openly in public, but for that, they do need a license. Dallas police chief David Brown questioned the logic of carrying long firearms at a protest rally. "Doesn't make sense to us," Brown said. "But that's their right in Texas." "We don't know who the good guy is versus the bad guy," he said. Texan gun owner Joe Coker said Dallas police have not received enough credit for how well they handled the crisis Thursday: not getting trigger-happy as a man with an AR-15 assault rifle opened fire and others with those same weapons were running through the streets seeking cover. "You saw those guys that were open carrying those ARs and somebody carrying an AR-15 was shooting at them," Coker told AFP. Police held their fire. "They're trained to know what to do in those kinds of situations. They just don't go, 'Wow, a guy with an AR15' and then, bam, shoot him in the head," Coker said. But Dallas Mayor Mike Rawlings insisted that however well police managed the crisis, the open carry law makes their job harder. "That is one of the real issues with the gun rights issues that we face, that in the middle of a firefight, it's hard to pick out the good guys and the bad guys," he told CBS on Sunday. While the Dallas police did not exchange fire with any of the armed civilians in the crowd, they did briefly detain three of them. Police also released a photo of one of the armed men, identified as Mark Hughes, and said he was a suspect. They later pulled the picture from Twitter when they realized their mistake. Coker also said carrying long firearms during a rally was not a good idea. "To me in a protest situation, it's not a very bright thing for those gentlemen to do," he said. - Preventing another shooting - For Cody Harris, who sells guns at Ray's Sporting Goods in Dallas, the shooting at the demonstration was an extremely isolated incident that should not undermine the argument that people have the right to carry guns openly in public. There are "a lot of places in the world where there are guns all over the place, accessible to law-abiding citizens. Those places are actually the safest," Harris asserted. "Because someone can deal with the threat right away if something happens." Julie Gavran, southwestern director of the advocacy group Keep Guns Off Campus, said however that the way Thursday's events unfolded showed the exact opposite. "They always say, 'We're there to stop the next mass shooting,' but they heard the gun fire and ran away," she told AFP. "There were about 20 'open carry' activists downtown during that protest, and when they heard the shots being fired, they ran away," she said. Coker insisted that in many situations, armed civilians have thwarted crimes but the mainstream media does not report on those because they "have an agenda that they care about." - Game changer? - At Ray's Sporting Goods, the shooting at the rally appeared to have no effect on sales or customers. "It's really not a spike (in gun sales) as big as people think," he said. "I've been busy but it's Saturday. It's not even crazy." As of the end of 2015, Texas -- which has a population of 27 million -- had issued 937,000 licenses to carry handguns, according to the state public security office. "Another argument that the gun lobby makes is that all these mass shootings are happening in gun-free zones" where firearms are forbidden, said Gavran. "Downtown Dallas wasn't a gun-free zone. There were police officers everywhere. There were concealed carry everywhere, open carry," she said. "This incident, I hope, is a game changer with legislation -- not just in Texas, but in the country." An open carry rally at the Texas state capitol on January 1, 2016 in Austin Erich Schlegel (Getty/AFP/File) People rally in Dallas, Texas, on Thursday, July 7, 2016 to protest the deaths of Alton Sterling and Philando Castile Laura Buckman (AFP/File) Obama faces late test of leadership in Dallas Barack Obama will try to pull a fraying country together at a memorial for five slain Dallas policemen on Tuesday -- a monumental leadership test as his presidency winds down. Eight years ago, Obama's charisma and ability to inspire propelled him to office as America's first black president, and raised hopes that the country would overcome some of its deeply entrenched societal divides. Even on Saturday, as the country reeled from the Dallas sniper attack targeting police, Obama sounded a note of optimism. "I firmly believe that America is not as divided as some have suggested," President Barack Obama told a news conference at a NATO summit in Warsaw Wojtek Radwanski (AFP/File) "I firmly believe that America is not as divided as some have suggested," he told a news conference at a NATO summit in Warsaw. "There is sorrow, there is anger, there is confusion... but there is unity." But soaring words no longer seem enough. America is all too familiar with armed violence, but the country finds itself on a new precipice. From Charleston to Orlando to Dallas, the past year has seen a torrent of slaughter motivated by hate. Each new shooting is borne from a cocktail of toxic political issues that have pitted Americans against each other. They have brought a measure of common revulsion, but not a common purpose. In Orlando, a man pledging allegiance to the Islamic State group shot up a gay nightclub using legally bought automatic weapons. If the combination of sexuality, religion and extremism were not combustible enough, a disturbed black veteran executed five white cops in Dallas last week in the midst of spiking tensions over the fatal police shootings of two black men. Obama will address an interfaith memorial service for the victims and visit with the wounded officers and relatives of the dead on Tuesday. - Black and blue lives matter - Each week seemingly brings a new shaky image of a white police officer killing a black American that enrages another city. Each new spasm of violence is relived across the country again and again with every click of YouTube or Facebook. America's leaders -- their base baying for a hardline stance and their voice diminished by distrust of the political class that permeates western cultures -- have struggled to dial back the anger. Obama in particular has struggled most to tether the twin ghosts of race and guns that have been raised in this latest shooting in Dallas. "There is no contradiction between us supporting law enforcement... and also saying that there are problems across our criminal justice system, there are biases -- some conscious and unconscious -- that have to be rooted out," Obama said. "So when people say 'Black Lives Matter,' that doesn't mean blue lives don't matter; it just means all lives matter." Black protest leaders nevertheless accuse him of being too soft on a police force they believe is institutionally racist. Conservative gun-loving Americans are unlikely to listen to Obama for long enough to be coaxed down from the barricades to consider new gun control measures. They deeply mistrust a leader who, even as a candidate trying to win votes, derided them for clinging to guns and religion. The more prejudiced are not going to listen to a black man, full stop. At times, it seems like a dialogue of the deaf. - Consoler-in-chief - Obama has tried to turn down the volume of the debate by reminding Americans of how much they have in common, by ministering at a time of national crisis. Americans are fundamentally decent, he argues. And 2016 is not like the 1960s, when US cities burned, the Vietnam War raged and the Kennedy brothers and Martin Luther King were slain. Tuesday's address may see more of the same. But even the White House knows that will not break the logjam. At best, it could reassure the unproblematic middle, not the hardliners who must be brought into the fold. At worst, the optimistic message will seem dangerously out of touch to Americans reeling from one atrocity after another. With an election less than four months away, Americans could expect a new group of leaders to come forward. But Democratic candidate Hillary Clinton -- in this bitter climate and with 30 years served in the political trenches -- may equally struggle to bridge ideological fault lines. Republican presidential candidate Donald Trump appears not to want to try. "President Obama thinks the nation is not as divided as people think. He is living in a world of the make believe!" tweeted Trump. Obama, perhaps sensing that more divisive voices could fill the political void, uncharacteristically decided to cut short a visit to Spain and return to the United States a day early. That in itself could be seen as an indictment of Clinton's inability to take up the mantle. US President Barack Obama (C) embraces Eliana Pinckney, the daughter of the slain Reverend Clementa Pinckney, during his funeral in Charleston, South Carolina on June 26, 2015 Mandel Ngan (AFP/File) A squad car parked outside the Dallas Police Headquarters on July 8, 2016, serves as a memorial for victims of the sniper shooting the previous evening Laura Buckman (AFP/File) Israel outlaws 'Iran-funded' Palestinian group Israel announced Monday it had outlawed a Palestinian group it says acted as a front for Iran-directed militant activities targeting Israelis and the regime of Palestinian president Mahmud Abbas. Defence Minister Avigdor Lieberman signed the order outlawing Al-Hirak Al-Shababi ("the youth movement") at the recommendation of the Shin Bet internal security agency, a ministry statement read. The decision followed "significant information indicating that the group is directed by Hezbollah and Iran to carry out attacks against Israelis, and ignite a wave of violence in the West Bank and east Jerusalem at Israel and the Palestinian Authority," it read. Israeli Defence Minister Avigdor Lieberman (L), pictured on May 25 2016 with Prime Minister Benjamin Netanyahu (R), has signed the recommendation to outlaw the group Al-Hirak Al-Shababi Menahem Kahana (AFP/File) While the group claimed to be a collective of youths seeking to change the situation in the West Bank through civil activity, it was in fact "a terror group headed by two opponents of the Palestinian Authority", the statement read. The defence ministry named them as Munir Asli, living in Lebanon, and Hilmi Balbisi, living in Jordan. It said they are "directed and funded by Hezbollah and Iran", which have both transferred "hundreds of thousands of shekels" over the past two years to fund the group's activities. Members of Al-Hirak Al-Shababi were engaged in firebombing and bombing attacks on Israeli targets in the West Bank and Jerusalem, as well as stirring unrest on the volatile Al-Aqsa mosque compound in the city. The group's goals included "toppling the regime of (Palestinian President Mahmud Abbas) and collapsing the Palestinian Authority". Israel's army sees the Iran-backed Lebanese militia Hezbollah as its main enemy, and Prime Minister Benjamin Netanyahu considers Iran to be the region's main destabilising element. Trump: 'I am the law and order candidate' Donald Trump proclaimed himself the "law and order candidate" Monday, emphasizing increased threats to police and declaring only he can keep Americans safe in a nation reeling from gun violence. "I am the law and order candidate," the Republican party's presumptive presidential nominee said in a speech in Virginia Beach, Virginia. The declaration, reminiscent of remarks made by former president Richard Nixon, came as Trump highlighted recent killings including the horrific shooting deaths of five Dallas officers in a gunman's sniper-like assault. Presumptive Republican Presidential candidate Donald Trump delivers a speech on July 11, 2016 in Virginia Beach, Virginia Mark Wilson (Getty/AFP) "The attack on our Dallas police is an attack on our country. Our whole nation is in mourning," Trump said, as he pledged to "fight" for law enforcement personnel and ensure they have Washington's full backing. "Without safety we have nothing." Trump, who veered from his speech on veterans issues to discuss the violence, also stressed that he is the candidate of compassion. "But you can't have true compassion without providing safety for the citizens of our country," Trump said. The billionaire political neophyte's reaction to the latest US events has been closely scrutinized in recent days. Often quick to lash out on Twitter or offer scathing critiques, Trump has largely steered clear of aggressive criticism after the deaths of two black men last week at the hands of police officers. He halted his campaigning for a day after Thursday's Dallas police murders, as did his Democratic rival Hillary Clinton. But on Monday Trump insisted he was uniquely prepared to back the nation's police forces, and hammered Clinton as "weak, ineffective" and pandering. "America's police and law enforcement personnel are what separates civilization from total chaos and the destruction of our country as we know it," Trump said. New Jersey Governor Chris Christie, a former 2016 presidential candidate and a potential Trump running mate, was on board with Trump's message. "We need a president who once again puts law and order at the top of the priority of the presidency of this country," Christie told the crowd shortly before Trump spoke. Trump's declaration echoed the pronouncements of former president Richard Nixon, who as a candidate declared he would be tough on crime. "I pledge to you, we shall have order in the United States," Nixon said in a 1968 campaign ad. Libya's unity government moves into proper offices Libya's UN-backed unity government on Monday moved into its official Tripoli offices, more than 100 days after working from a naval base in the capital. The move comes three months after Prime Minister Fayez al-Sarraj sailed into Tripoli under naval escort along with several members of his Government of National Accord (GNA). "Today we were handed the (formal) government headquarters, after spending more than 100 days in the naval base," Deputy Prime Minister Mussa al-Koni told reporters. Libya's UN-backed prime minister-designate Fayez al-Sarraj (C) chairs a meeting of his Government of National Accord (GNA) on July 11, 2016, held for the first at the official government headquarters in the capital Tripoli Mahmud Turkia (AFP) He said this "is the real start" of the government's work. Sarraj chaired a cabinet session at the official seat of government after a ceremony attended by police officials and some ministers. The GNA was the result of a UN-brokered power-sharing deal struck in December, but it is still struggling to unite the divided North African country and fully assert its authority. A rival government in the eastern city of Tobruk is refusing to cede power until Libya's elected parliament passes a repeatedly delayed vote of confidence. However, the GNA has won the loyalty of the central bank and national oil corporation -- depositors of the country's wealth -- as well as cities and armed groups in western Libya. Since March 30, when Sarraj arrived in Tripoli, the GNA has also taken control of several key ministries. The GNA faces a fearsome set of military, economic and political challenges in a country that has faced chaos since the overthrow of veteran dictator Moamer Kadhafi in 2011. French FM urges end to Lebanon political paralysis French Foreign Minister Jean-Marc Ayrault on Monday told rival Lebanese leaders they must solve the political paralysis that has prevented the election of a new president since 2014. "A solution must be found and it is up to the Lebanese political parties to find a way to comprise," Ayrault said at the start of a two-day visit to Lebanon. Deep divisions among the country's Christians, Sunni and Shiite Muslims and Druze leaders have sparked a political paralysis. UNIFIL deputy Head of Mission Imran Riza receiving French Foreign Minister Jean-Marc Ayrault (R) upon his arrival at the UNIFIL headquarters in the southern Lebanese village of Naqura on July 11, 2016 Pasqual Gorriz/UN (UNIFIL/AFP) The tiny Mediterranean country has been without a president since May 2014 when Michel Sleiman's mandate expired, and parliament has extended its own mandate twice since 2009. As a result, government institutions are paralysed and the country faces a myriad of problems, including the burden of hosting more than a million refugees from worn-torn Syria -- nearly a quarter of its population. The government is also split roughly between a bloc led by the Shiite movement Hezbollah and another headed by former prime minister Saad Hariri. Hezbollah is backed by Iran and has sent men to fight in Syria alongside the forces of President Bashar al-Assad's regime, while Hariri's bloc is supported by Saudi Arabia and the United States. Ayrault, speaking to a group of politicians invited to a banquet at the French embassy in Beirut, said Syria's five-year war "alone cannot justify the absence of a solution to the institutional crisis" in Lebanon. He held separate talks with some of Lebanon's political leaders and he is to hold further meetings on Tuesday. US envoy Kerry plans trip to Moscow US Secretary of State John Kerry will make his latest trip to Moscow this week to seek common ground on how to deal with the ongoing bloodshed in Syria. The State Department said Kerry would speak with senior Russian officials about Syria and Ukraine, as well as tensions between Armenia and Azerbaijan over the Nagorny-Karabakh region. Spokesman John Kirby played down reports that Washington and Moscow may agree on coordinated US-Russian military action against jihadist groups Al-Nusra and the Islamic State in Syria. US Secretary of State John Kerry (L) and Russian Foreign Minister Sergey Lavrov hold a news conference at the Kremlin in Moscow on March 25, 2016 Andrew Harnik (Pool/AFP/File) "But, as we've said before, we continue to explore options, alternatives and proposals with respect to the fight against Nusra and Daesh in Syria," he said. "And... to the degree to which the Russian military is willing to be committed to the fight against those two groups, and exclusively those two groups, that's a conversation that we're willing to have," Kirby added. "But there's no military coordination going on now." There was no word as to who Kerry will meet in Moscow on Thursday and Friday, but on previous such trips, he has met with Foreign Minister Sergei Lavrov before heading to the Kremlin to see President Vladimir Putin. Russian forces are in Syria to support President Bashar al-Assad's regime in its five-year-old civil war against a variety of rebel forces, whereas a US-led coalition is focused on fighting the Islamic State group. Washington has repeatedly urged Moscow to pressure its ally into agreeing on a ceasefire with so-called "moderate" guerrillas and to enter peace talks to end the civil war, but fierce fighting has continued. Kerry's trip to Moscow come as part of a six-day, five-nation itinerary that will see him celebrate Bastille Day in France on July 14 before heading to Russia, Luxembourg and Belgium. Judge tosses out bid by Sumner Redstone's ex for new trial A Los Angeles judge on Monday threw out an appeal by the ex-companion of media mogul Sumner Redstone asking that she be re-instated as the 93-year-old billionaire's caretaker. In a 21-page ruling, Superior Court Judge David Cowan dismissed Manuela Herzer's petition, saying there was no new evidence to warrant a new trial and that he did not wish for the case to turn into a spectacle. "The court... must consider what is in Redstone's interests as a patient, including protecting his dignity and privacy," Cowan wrote. Viacom's Sumner Redstone, pictured in 2013, was executive chairman of both Viacom and CBS before stepping down in February 2016 Frazer Harrison (Getty/AFP/File) "The court found that a trial was contrary to those interests. "This case is not theater and this court is not in the business of providing a spectacle; this is about protecting the health of a man in his twilight years in the privacy of his home." Herzer has been battling to be reinstated as Redstone's caretaker after he stripped her of that title in October and cut her out of his will. The high-stakes lawsuit she filed after her dismissal was thrown out in May after the judge heard videotaped testimony from Redstone in which he used expletives in referring to her and said he wanted his one-time lover and longtime companion out of his life. Redstone was executive chairman of both Viacom and CBS before stepping down in February. In his latest ruling, Cowan cast doubt on Herzer's motive, noting that shortly after he had dismissed her initial petition in May, she had filed a lawsuit against Redstone's daughter, Shari, accusing her of orchestrating her ouster from the mogul's entourage. "The filing of that other case may somewhat undercut Herzer's professing herein to be interested only in Redstone's health and welfare," Cowan wrote. The case, which has unfolded like a soap opera, has captivated Hollywood and Wall Street, with court papers laying out salacious details about Redstone's private life and his troubled relationship with his daughter. Children's character Curious George observes Ramadan The beloved American children's book character Curious George is celebrating Ramadan this year -- with chocolate-covered bananas, of course. The just-released children's book "It's Ramadan, Curious George" teaches kids about the Muslim holiday. It comes amid rising tensions fueled by worries about Islamist extremism and a heated presidential campaign during which Republican presumptive nominee Donald Trump has called for a ban on all Muslims entering the United States. A child reads "It's Ramadan, Curious George" in New York on July 5, 2016 Thomas Urbain (AFP/File) US author Hena Khan "wanted to focus on the celebratory aspects" of Ramadan, so George attends family gatherings and accompanies his friend Kareem, who is fasting, to a mosque to put together charity baskets, she told AFP. A writer of Pakistani origin from Rockville, Maryland, Khan has already written two children's books about Islam, "but there's something different about having Curious George, a mainstream, well-known, iconic character recognize your tradition and make you feel included." The story assumes the humorous tone of other volumes in the series, which has sold more than 75 million books since it debuted in 1941 and went on to become an animated television series and 2006 feature film. Khan said the publisher granted her freedom to shape the project, and that she focused on making the holiday approachable. "It was really a reflection of the way Americans that I know celebrate and observe Ramadan, in a very simple way that's understandable for children," the mother of two said. The book's release coincides with a particular rise in anti-Islamic rhetoric, she noted. Dallas gunman changed after military service: parents The parents of the gunman who fatally ambushed police at a Dallas protest last week say he was "disappointed" and grew reclusive after being discharged from the US Army in 2015. "The military was not what Micah (Johnson) thought it would be," his mother Delphine told TheBlaze network in an interview released Monday. "He was very disappointed, very disappointed. But it may be that the ideal that he thought of our government, what he thought the military represented, it just didn't live up to his expectations." Micah Johnson served six years as a private in the Army Reserve force and was in Afghanistan from November 2013 to July 2014 She called her son's deadly rampage "injustice." Micah Johnson served six years as a private in the Army Reserve force and was in Afghanistan from November 2013 to July 2014, the Army said. He was a carpentry and masonry specialist. It was after his service that Johnson began studying black history and expressed interest in his heritage, according to his father, James. Delphine said her son became a "hermit." But relatives stressed that Johnson had never shown any signs of hatred for white people or other racial groups. His stepmother Donna is white. The gunman opened fire at the Dallas demonstration that followed the deaths of two black men at the hands of police. He shot dead five police, wounding nine more officers and two civilians. He was killed by a bomb carried by a police robot device. "I don't know what to say to anybody to make anything better. I didn't see it coming," said the 25-year-old's father, breaking down in tears. "I love my son with all my heart. I hate what he did." After Dallas massacre, African Americans look to next steps With the spirit of Thursday's protest march shattered by a deadly sniper attack, members of Dallas's black community have begun the work of regrouping and taking charge of their future. About a thousand people gathered late Sunday at the Friendship-West Baptist Church, called together by the Next Generation Action Network, which had helped organize the march. The protest ended in bloodshed, with five police officers killed, nine wounded and two civilians also hurt after a lone gunman ambushed police. Parishioners of Frienship-West Baptist Church in Dallas, Texas, pray together on July 10, 2016 Laura Buckman (AFP) African American activists, entrepreneurs and elected officials at the townhall meeting weighed potential next steps and how to build a movement. But the evening was also dominated by ordinary people who had come to express their exasperation. They spoke about police brutality and Eric Casebolt, a white policeman who, in June 2015, violently slammed a 15-year-old black girl to the ground at a party deemed too noisy and drew a gun on two of her friends. None of them was armed. A grand jury decided last month not to indict the officer from the Dallas suburb of McKinney, TX, touching off a wave of indignation. Others acknowledged that the problem had less to do with the Dallas Police Department, which has made significant reforms in recent years, than with law enforcement in surrounding towns. Dallas Police Chief David Brown, who has received plaudits, still came in for his fair share of criticism. Early on as the situation developed, his department tweeted out a photograph of a man later identified as Mark Hughes, who had been carrying an assault-type rifle at the protest, as a suspect before reversing themselves. His image was beamed around the world. "Everybody is singing Kumbaya for the chief of police," said Mark's brother Cory Hughes, one of the organizers of Thursday's march. Brown "put my brother out there and he has yet to pick up the phone and call us, to send an email, to send out a tweet, and we can't even go home!" Hughes said, noting the family has received numerous death threats. - A plan of action - Other participants in the meeting said the problems go beyond law enforcement. In some suburbs of Dallas, blacks and Hispanics are not welcome, according to activist Carlos Quintanilla, president of Accion America. He pointed to Garland and Arlington, while others mentioned Duncanville. And in neighborhoods heavily populated by blacks, rats run rampant in school cafeterias, while mold spreads in classrooms. Participants pointed to South Oak Cliff High School, which Brown himself attended several decades, as one such school. Dominique Alexander, the charismatic founder of the Next Generation Action Network, spoke of a "school-to-jail pipeline" in majority black, poor neighborhoods. Wearing a T-shirt emblazoned with the name of late boxer and civil rights activist Muhammad Ali, senior pastor Frederick Douglass Haynes III called for far-reaching answers. "There are wounds to our souls," said Haynes, who paid tribute to the slain officers. "We can't settle for another Kumbaya moment that is superficial," he said. "We need a plan of action." Civil society representatives urged people to vote, contact their elected leaders, to be present when movements get started and make donations. "I get a hundred likes when I post a picture" on Facebook, said a young woman with long braids. "I get four when I say come to this townhall meeting." The gathering set a date for the next city council meeting on August 3 and called for another townhall on Thursday in the hopes of setting something in motion. After Thursday's killings, "Dallas is ground zero for change," said Royce West, a Democrat in the Texas Senate. "We don't have a choice," he told AFP. "The loss of lives of officers and citizens, it creates the perfect storm for change." Police may change tactics at protests after Dallas shooting MINNEAPOLIS (AP) In the wake of protests in Ferguson, Missouri, where authorities were criticized for what some called heavy-handed tactics against demonstrators, many departments took a more restrained approach. Now, after the shooting deaths of five officers at a Dallas protest decrying last week's police killings of two more black men, some experts are suggesting it's possible the pendulum could swing from hugs back to flash-bang grenades and mass arrests. After days of peaceful protests in St. Paul, officers in riot gear met protesters who blocked Interstate 94 late Saturday in the biggest confrontation between police and demonstrators since an officer fatally shot a black man during a suburban Twin Cities traffic stop last week. About 100 people were arrested half during the highway standoff and the other half early Sunday in another part of St. Paul. Marchers block part of Interstate 94 in St. Paul, Minn., Saturday, July 9, 2016, during a protest sparked by the recent police killings of black men in Minnesota and Louisiana. (Glen Stubbe/Star Tribune via AP) Twenty-one law enforcement officers were hurt during the protests, including six state troopers. Police Chief Todd Axtell called the pelting of officers with rocks, bottles, firecrackers and other objects "a disgrace." Police used smoke bombs to clear the crowd of more than 200 people blocking the interstate. It was a contrast to their approach at protests outside the governor's mansion in the wake of motorist Philando Castile's police shooting death Wednesday in the suburb of Falcon Heights. Gov. Mark Dayton, a Democrat, has praised the calmer demonstrations outside his home and said he won't try to have people removed. Protests have broken out nationwide following the deaths of 32-year-old Castile and 37-year-old Alton Sterling in Baton Rouge, Louisiana. In Dallas, five police officers were killed when a gunman opened fire on a protest march Thursday. But most of the demonstrations haven't been as tense as the scene in Dallas that night or the highway standoff in St. Paul. In Oakland, California, police ceded a highway to protesters last week and did not stop them from shutting it down. In Philadelphia, plainclothes officers have mingled with protest crowds. Even in Dallas before the shooting, officers mingled and posed for photos with people at the demonstration. "I think officers are vigilant every time they put the badge on and the uniform on. I don't think it's going to stop the officer from interacting with the men and women who are out there at a peaceful protest," said Sean Gormley, executive director of Law Enforcement Labor Services, Minnesota's largest police union. Craig Lally, president of the union representing Los Angeles police officers, said he suspects changes will be made at departments across the country when it comes to staffing protests and similar events. "I think they're going to have to be much more aware of their surroundings. The next march in Dallas, I guarantee they're going to have sniper teams all along the march, cops with high-powered rifles, to see if anyone is going to be a copycat," Lally said. Former FBI agent James Wedick envisions departments having officers stake out high areas with binoculars and possibly sniper rifles, but keeping them out of sight to avoid causing panic. "It's not just to defend the cops, it's also to defend the protesters," said Wedick, who was with the FBI for 35 years. But Dallas Mayor Mike Rawlings said Sunday he doubts his city's protocol will significantly change. Denver Police Chief Robert White said last week that officers will continue to monitor protests in their standard, blue uniforms unless conditions demand riot gear. He said the goal is to be visible without escalating an otherwise calm demonstration. That drew fire from the Denver Police Protective Association, the city's biggest police union, which said the decision puts officers in harm's way "simply for appearance's sake." After a 24-year-old black man, Jamar Clark, was fatally shot in November in a confrontation with two white officers in Minneapolis, police sometimes clashed with protesters camped outside a police station. Authorities finally cleared the encampment with dump trucks, arresting eight people during the eviction. Police said they balanced free speech rights with the precinct's safety. The U.S. Department of Justice is reviewing how the city responded to protests after Clark's death. ___ Associated Press writers Amanda Myers in Los Angeles, Nomaan Merchant and Jonathan Poet in Dallas, Sadie Gurman in Minneapolis and Todd Richmond in Madison, Wisconsin, contributed to this report. ___ Follow Jeff Baenen on Twitter at https://twitter.com/jeffbaenen . His work can be found at http://bigstory.ap.org/author/jeff-baenen . ___ This story has been corrected to reflect that 21 officers in total were injured in Minnesota. Law enforcement form a line across Interstate 94 on Saturday, July 9, 2016, in St. Paul, Minn., in response to protesters who blocked the highway. The protesters were rallying in response to the death of Philando Castile, who was shot and killed by a suburban St. Paul police officer on July 6. (AP Photo/Joe Danborn) Guapaza gets first US win in River Memories Stakes NEW YORK (AP) Guapaza got her first U.S. victory on Sunday, beating Trophee by a half length in the $95,000 River Memories Stakes for fillies and mares on the turf at Belmont Park. The 5-year-old from Chile had turned in a trio of quality efforts since joining Chad Brown's barn this year, hitting the board in all three against tougher competition. The River Memories was a modest spot against only three rivals, and the 4/5 favorite responded with her seventh win in 21 starts. Javier Castellano was aboard for the 1 1/2 miles in 2:33.49. Guapaza paid $3.60 and $2.10. Trophee returned $2.90. Humane Society takes South Korean dogs being kept for meat HELENA, Mont. (AP) The Lewis & Clark Humane Society is caring for nine dogs a South Korean woman said she saved from being killed for meat. Two dog farms near Seoul have closed as a result of Humane Society International's efforts that resulted in the rescue of 80 dogs, Humane Society director Gina Wiest said. Several groups, including Free Korea Dogs and Humane Society International, worked with Jinoak Oh, who rescued the dogs from a chicken farm that planned to sell them for meat production, the Lewis & Clark Humane Society said. The other dogs were sent to other shelters. In this Thursday, July 7, 2016, photo, Samantha Wiest, an animal welfare technician at Lewis and Clark Humane Society, receives a lick from a dog saved from being killed for meat in South Korea after the canine and eight others were transported to the shelter in Helena, Mt. (Adam McCaw/Helena Independent Record via AP) The humane society plans an open house Tuesday to showcase the dogs from Korea, Wiest said. The animals don't yet understand English commands. The dogs were transported from the Gin Oak Shelter, operated by Oh in South Korea, to Montana. Oh asked shelters in the United States on her web page for help, saying the dogs desperately needed help and were not being cared for. The dogs were cleaned up at the Montana shelter and given a microchip if they get lost after being adopted, the Helena Independent Record reported (http://tinyurl.com/j22jnsm ). An adoption price hasn't yet been set, although it is typically $120 for a dog, which pays for spaying or neutering, vaccinations and time spent at the shelter pending adoption. ___ Information from: Independent Record, http://www.helenair.com Kidnapping victim missing even though 4 arrested in case VALLEJO, Calif. (AP) Police in Northern California are investigating the kidnapping for ransom of a woman who remains missing even though four suspects have been arrested in the case. Elvira Babb, 57, was last seen June 29 by a co-worker who dropped her off at a market in Vallejo, Lt. Jeff Bassett said Sunday. Her son, John Babb of San Francisco, received a text message the next day demanding money a figure under $100,000 and threatening his mother's life if he did not comply or went to the police. Babb replied, but he didn't get a response, Bassett said. These undated mug photos released by the Vallejo Police Department shows suspects, top, left to right: Emmanuel Espinoza, Jalon Brown. Bottom, left to right: Larry Young, and Lovely Rauzol. On Friday July 1, 2016 the Vallejo Police Department received a report from John Babb, of San Francisco that his mother, Elvira Babb, of Vallejo was a victim of a kidnaping for ransom. Vallejo Police detectives developed sufficient information to obtain an arrest warrant for the primary suspect in this case. He has been identified as Emmanuel Espinoza of Stockton. Espinoza was located and arrested in the Sacramento area. Espinoza has not divulged the location of the victim. All four suspects were booked into the Solano County Jail on a charge of kidnapping for ransom. (Vallejo Police Department via AP) Babb went to his mother's home after receiving the text and found her Chihuahua dog dead. On July 1, Babb reported his mother's kidnapping to Vallejo police. A weeklong investigation, which included the FBI, the Sacramento and Fairfield police departments, Solano County Sheriff's Office and U.S. Marshals Service, led authorities to Emmanuel Espinoza, 26, of Stockton, who was arrested in the Sacramento area and is considered the primary suspect, Bassett said. Espinoza was an acquaintance of Elvira Babb, though the extent of their relationship was unknown, police said. Authorities also arrested Jalon Brown, 32, and Larry Young, 23, of Sacramento and Lovely Rauzol, 27, of Vallejo. The suspects are not cooperating with police and have not divulged the location of the missing victim. Investigators have no leads as to where Babb may be or whether she is alive, Bassett said. "We definitely have the primary suspects," he said. "If there are others, the suspects aren't cooperating with us." The department waited until Saturday to release the information "for the safety of the victim," he said. The Vallejo Police Department came under fire last year after describing the kidnapping of Denise Huskins from her Vallejo home as a hoax. Federal prosecutors later charged disbarred Harvard-educated lawyer Matthew Muller with Huskins' kidnapping. Campers from Alabama arrested in connection with wildfire BOULDER, Colo. (AP) Two campers from Alabama have been arrested in connection with a wildfire that has destroyed three homes and three buildings north of Nederland in Boulder County. Boulder sheriff's deputies said Sunday afternoon that Jimmy Andrew Suggs, 28, and Zackary Ryan Kuykendall, 26, both of whom are from Vinemont, Alabama, face felony arson charges because of the dangers the fire poses, the Denver Post reported . The men were booked into the Boulder County Jail, the newspaper reported. Authorities say the men left a poorly extinguished campfire, smoldering as hot, dry winds whipped down from mountain peaks, that sparked the fire Saturday, forcing evacuations of nearly 2,000 people southwest of Boulder, the Post reported. A trail of slurry is deposited by a bomber while fighting the Cold Springs Nederland fire in Colorado on Saturday July 9, 2016. (Paul Aiken/Boulder Daily Camera via AP) Authorities are warning that shifting high winds and high temperatures on Sunday could put more homes in danger. The fire that started on Saturday spread quickly, and it scorched over 500 acres as it moved to the northeast, with no containment. Power was knocked out to some of the homes in the surrounding area. Boulder County Sheriff Joe Pelle said evacuations won't be lifted because of the weather forecast, with hot weather and high winds making it too dangerous. Officials said about 200 firefighters were on the ground and 12 aircraft are fighting the fire from above, with more help on the way. Resident Lee Kennedy was evacuated from her home on Ridge Road, but she got a glimpse of the fire before she left, the Boulder Daily Camera reported (http://tinyurl.com/zpwbhby ). "We went outside and looked and saw an inferno in the sky," Kennedy said. In northern Colorado, firefighters say a wildfire near the Wyoming border has burned another 1,000 acres, and they are warning that the fire could spread rapidly on Sunday because high winds are forecast. An evacuation order was issued for Parsons Draw landowners on Saturday. That fire started on June 19 and now covers more than 22 square miles. Officials say that fire isn't expected to be contained until late October. National Forest officials said the fire is burning in heavy timber killed by beetles. They are letting the fire burn in some areas because bug-infested trees can easily blow over and kill or maim firefighters. This photo provided by the Boulder County Sheriff's Office shows Zackary Ryan Kuykendall. Kuykendall and another man from Alabama have been arrested in connection with a wildfire that has destroyed at least three homes and three buildings north of Nederland in Boulder County. (Boulder County Sheriff's Office via AP) This photo provided by the Boulder County Sheriff's Office shows Jimmy Andrew Suggs. Suggs and another man from Alabama have been arrested in connection with a wildfire that has destroyed three homes and three buildings north of Nederland in Boulder County. (Boulder County Sheriff's Office via AP) A fire burns behind a house during the Cold Springs Nederland fire in Colorado on Saturday July 9, 2016. (Paul Aiken/Boulder Daily Camera via AP) Paul Aiken Staff Photographer Boulder Daily Camera A helicopter prepares for a water drop while fighting the Cold Springs Nederland fire in Colorado on Saturday July 9, 2016. (Paul Aiken/Boulder Daily Camera via AP) A trail of slurry is deposited by a bomber while fighting the Cold Springs Nederland fire in Colorado on Saturday July 9, 2016. (Paul Aiken/Boulder Daily Camera via AP) Protesters cleared from I-40 bridge over Mississippi MEMPHIS, Tennessee (AP) Hundreds of protesters angry over police killings of black people occupied a key bridge over the Mississippi River Sunday night, blocking an interstate highway for hours before officers moved them off. Traffic on Interstate 40 stopped in both directions after Black Lives Matter protesters marched onto the bridge. Police in squad cars tried to stop them, but several hundred had already made their way up the ramp, and the crowd swelled to more than 1,000. Interim police director Michael Rallings locked arms in solidarity with people marching off the bridge. Several hundred remained until riot police with shields slowly pushed them off. Black Lives Matter protesters gather on the Hernando Desoto Bridge in Memphis, Tenn., Sunday, July 10, 2016. Protesters angry over police killings of black people occupied the key bridge over the Mississippi River, blocking an interstate highway for hours. (Jim Weber/The Commercial Appeal via AP) PICTURED: Editor selections from Latin America, Caribbean This photo gallery highlights some of the top images last week by Associated Press photographers in Latin America and the Caribbean. Italian dancers in Colombia's capital amazed watchers as they appeared to float in the air with their performance "From Hell to Paradise. Trips of the Soul." Bogota also hosted its annual gay pride parade. Elsewhere in Colombia, a farming family was shown as the make a humble living with their coca crop in the country's hot, misty mountains. They said they make about $900 for each kilogram of coca paste, which fetches thousands of dollars in the U.S. and Europe after it's turned into cocaine. In this Thursday, July 7, 2016 photo, members of the Italian Nogravity Dance Company perform "From hell to paradise, Trips of the soul," at the Julio Mario Santo Domingo Theater in Bogota, Colombia. The dancers are suspended form the air with cables and ropes and perform behind a semi-transparent screen. (AP Photo/Fernando Vergara) Striking teachers got support from a passing motorist as they marched through Mexico City. Police shields seemed to be covered in blood, but it was red paint thrown by protesters. Also in Mexico's capital, a 24-year-old endangered western lowland gorilla named Bantu died of a heart attack as he was being prepared for transfer to another zoo. High definition digital X-ray technology gave Mexican researchers their first glimpse of the interior of a centuries-old, life-size Roman Catholic reliquary of St. Felicitas of Rome. In Venezuela, a girl posed for photos holding a weapon larger than she was after a military parade marking independence day. Some Bolivians are drinking fresh donkey milk during the raw winter in the belief it fights colds, asthma, bronchitis and pneumonia, though there is scientific research to support that. After becoming the world's murder capital last year and posting an equally bloody start to 2016, El Salvador saw its monthly homicide rate fall by about half. Brazilian fishermen protested pollution in Guanabara Bay as officials acknowledged a real cleanup of the body of water in Rio de Janeiro bay will take 20 years. In Argentina, people forced their way into the news cooperative Tiempo Argentino and beat a security guard, destroyed furniture and damage its server. ___ This photo gallery was curated by photo editor Leslie Mazoch in Mexico City. ___ Associated Press photographers and photo editors on Twitter: http://apne.ws/150o6jo In this Wednesday, July 6, 2016. photo, a passing driver pumps his fist in support of demonstrators belonging to a dissident teachers union as they participate in one of four coordinated marches in Mexico City. The teachers union is striking against plans to overhaul the country's education system which include federally mandated teacher evaluations. (AP Photo/Rebecca Blackwell) In this June 21, 2016 photo, an inmate paints over Mara Salvatrucha gang graffiti in the April 22 neighborhood in Soyapango, El Salvador. After becoming the world's murder capital last year and posting an equally bloody start to 2016, El Salvador has seen its monthly homicide rates fall by about half. The government attributes the drop to a tough military crackdown on the country's powerful gangs, while the gangs themselves claim credit for a nonaggression pact between the three biggest criminal groups. (AP Photo/Salvador Melendez) In this May 24, 2016 photo, donkey milk vendor Andrea Aruquipa, an Aymara indigenous woman, pours a glass of milk from her donkey for a client in El Alto, Bolivia. "You have to drink donkey milk with faith and you have to believe," Arequipa said. "It's cured me from pneumonia. Before I drank it all the time, now I'm feeling a little pain again so I'm drinking donkey milk again." (AP Photo/Juan Karita) In this Jan. 7, 2016 photo, coca extract is mixed with gasoline during the production of coca paste at a small makeshift lab in the mountain region of Antioquia, Colombia. Gasoline is used to extract alkaloid from the liquid mix. (AP Photo/Rodrigo Abd) This Feb. 8, 2006 photo shows male gorilla "Bantu" in Chapultepec Zoo in Mexico City. Zoo officials in Mexico City say the endangered western lowland gorilla has died as he was being prepared for transfer to another zoo. The capital's zoo and wildlife office said Thursday, July 7, 2016 that the 24-year-old gorilla known as Bantu appeared to have suffered a heart attack after being sedated the night before. Doctors spent 30 minutes trying to revive him. (AP Photo/Marco Ugarte) In this Sunday, July 3, 2016 photo, revelers participate in the gay pride parade in Bogota, Colombia. Thousands paraded through the streets of Bogota during the annual gay pride parade in support of peace and against sexual discrimination. (AP Photo/Fernando Vergara) In this Tuesday, July 5, 2016 photo, police riot shields are stained with red paint during a demonstration by a dissident teachers union in Mexico City. The teachers union is striking against plans to overhaul the country's education system which include federally mandated teacher evaluations. (AP Photo/Nick Wagner) In this Sunday, July 3, 2016 photo, fishermen protest the pollution in the Guanabara bay, in Rio de Janeiro, Brazil. Rio state officials have acknowledged a real cleanup of Guanabara will take 20 years after organizers promised to do it for the Olympics, with the city still pouring at least half of its untreated sewage into its surrounding waters, including Guanabara. (AP Photo/Silvia Izquierdo) In this Monday, July 4, 2016 photo, broken compact discs with a label in Spanish that reads "investigation" lay on a desk at the Tiempo Argentino newspaper. A group of about 20 people forced entry into the news cooperative Tiempo Argentino, beating a security guard, destroying furniture and damaging the server. Amnesty International has condemned it as an "attack on free speech." (AP Photo/Victor R. Caivano) In this Tuesday, July 5, 2016 photo, a girl poses for photos with soldiers and a weapon at the end of a military parade marking Venezuela's Independence Day in Caracas, Venezuela. Venezuela is marking 205 years of independence. (AP Photo/Ariana Cubillos) Friend or foe? Open-carry law poses challenge to police PARIS, Texas (AP) Gun-rights activists, some of them wearing camouflage and military-style gear and openly toting rifles and handguns, marched alongside the hundreds of people who flocked to downtown Dallas last week to protest police shootings of blacks. Their presence was part of the new legal landscape in Texas, which earlier this year allowed people to openly carry firearms in public. Moments later, when a sniper gunned down officers patrolling the peaceful march, killing five, the attack ignited panic and confusion. Who was shooting? Were the people with weapons friend or foe? Jeff Coursey, of Paris Texas, center, sits in a restaurant on Saturday, July 9, 2016. Coursey is considering starting a local chapter of Open Carry Texas, which supports the right to openly carry a firearm in public. Seated at the table with him are Bill Wilson, owner of Wilson Combat, an Arkansas gunmaker, and his wife, Joyce Wilson, executive director of International Defensive Pistol Association. (AP Photo/Lisa Marie Pane) It was the same nightmare scenario that some law enforcement officials predicted when Texas approved the open-carry proposal. Dallas Police Chief David Brown estimated that 20 to 30 open-carry activists attended the rally. He said some wore gas masks, bulletproof vests and fatigues. They ran when the shots rang out, but the presence of so many armed individuals at the scene of a sniper attack caused instant confusion. "Doesn't make sense to us, but that's their right in Texas," Brown told CNN, adding: "For our officers, they were suspects. And I support that belief. Someone is shooting at you from a perched position, and people are running with AR-15s and camo gear and gas masks and bulletproof vests. They are suspects until we eliminate that." One man in the crowd, Mark Hughes, was carrying an AR-style firearm and wearing a camouflage T-shirt. In the early moments after the attack, police released a photo of Hughes describing him as a suspect. He was questioned and released, and authorities soon announced that the attack had been the work of a single gunman named Micah Johnson. In the aftermath, President Barack Obama criticized the open-carry law. Police, Obama said, "have a really difficult time in communities where they know guns are everywhere. And as I said before, they have a right to come home, and now they have very little margin of error in terms of making decisions. So if you care about the safety of our police officers, then you can't set aside the gun issue and pretend that that's irrelevant." Forty-five states have laws that allow citizens to openly carry a firearm in public places. During debate over the open-carry issue, some people in Texas law enforcement expressed concerns, fearing that it would lead to panic, especially in active shooter situations. The state, which legalized concealed-carry firearms in the 1990s, now has more than 850,000 residents with licenses to carry. C.J. Grisham, the president and founder of Open Carry Texas, stood Saturday outside the Lamar County Sheriff's Department in Paris with a small group of people, most of them with a handgun and spare magazines visible and strapped to a belt. They were there in part to protest the agency's refusal to allow people to openly carry a firearm into the building, which is connected to a jail, and to talk about what issues are next on the frontier of gun rights. Grisham, who served in the military in Iraq and Afghanistan, travels around the state with a trailer in tow. Inside, he's got T-shirts, stickers and pamphlets that trumpet the group's key messages. "We're not the threat," Grisham said. As he spoke, members of the group nodded their heads. They expressed concern that requiring people to carry concealed weapons is sometimes impractical. Texas weather can make it difficult to conceal a gun without wilting in the summer heat or to shed a coat in the winter. That puts them at risk of breaking the law by revealing they are carrying a weapon. "That's what this is really about: being able to get comfortable and not become a criminal," Grisham said. "If you boil it down, the only difference between open carry and concealed carry is a thin piece of fabric." None of those who stood outside the sheriff's department said they had been stopped by police. And they maintain they haven't caused any panic among civilians worried when they see their firearm. Still, Grisham offers a practical suggestion for preventing alarm: Carry a long gun slung over your back with the muzzle pointed downward and don't touch it. That way, "it's hard to call it confrontational," he said. On Sunday, Hughes was quizzed by his pastor about his decision to attend the protest with a gun. "What in the world were you thinking?" Bishop T.D. Jakes asked him during services at The Potter's House, a megachurch with 30,000 members. The crowd of several hundred people laughed. "I'm just curious," Jakes said, chuckling. Hughes said it was an opportunity to exercise his rights and make a statement, and he would do it again. "I understand and respect your right," Jakes responded. "But I think the right is wrong." Several in the audience shouted in agreement. ___ Associated Press Writer Christine Armario contributed to this report from Dallas. ___ Follow Lisa Marie Pane on Twitter at http://twitter.com/lisamariepane . Her work can be found at http://bigstory.ap.org/journalist/lisa-marie-pane . Bill Wilson, owner of Wilson Combat, a firearms manufacturer based in Berryville, Arkansas, openly carries a firearm on Saturday, July 9, 2016 in Paris, Texas. Wilson said he's concerned that any restrictions _ whether its how or where a firearm can be carried or the type of firearm that can be bought _ is a slippery slope to restricting his Second Amendment rights. (AP Photo/Lisa Marie Pane) C.J. Grisham, founder and president of Open Carry Texas, holds up a T-shirt on Saturday, July 9, 2016 in Paris, Texas. Grisham supports Texas' new law allowing people to openly carry firearms in public. (AP Photo/Lisa Marie Pane) Israel's attorney general is to launch a probe into allegations Prime Minister Benjamin Netanyahu has been linked to receiving a large transfers of money to himself or one of his relatives. The examination, announced late Sunday, could prove a new challenge for Netanyahu, who has been fighting off accusations of shady dealings and financial mismanagement against him and his wife. Israel's Justice Ministry did not disclose what the suspicions against Netanyahu were. Israel's attorney general is to launch a probe into allegations Prime Minister Benjamin Netanyahu, pictured, has been linked to receiving a large transfers of money for himself or one of his relatives But reports in Israeli media in recent days have said that Netanyahu or one of his family members received large sums of money, allegedly unrelated to political campaigns. The ministry's statement said the media reports were 'incorrect to say the least' and that the attorney general had not yet launched a full-fledged criminal investigation against Netanyahu, a probe that could lead to charges. 'As happened in all previous instances, when deeds attributed to Prime Minister Netanyahu turned out to be baseless, here as well there will be nothing, because there is nothing,' said Nir Hefetz, an adviser to the Netanyahu family. Netanyahu and his wife Sara have been dogged by scandals in recent years that have contributed to an unflattering reputation for lavish living out of touch with the average Israeli. The scandals do not seem to have threatened his rule, but have been a source of embarrassment, providing more fodder for those who charge that power has corrupted the couple. Netanyahu and his wife Sara have been dogged by scandals in recent years that have contributed to an unflattering reputation for lavish living out of touch with the average Israeli Sara, who has long been a lightning rod of controversy, has been accused of mistreatment by former employees at the couple's official residence, and the police have recommended indicting her over inflated household spending and misuse of state funds including for private meals and for a caregiver for her ailing father. Netanyahu spent more than $600,000 of public funds on a six-day trip to New York last year, including $1,600 on a personal hairdresser. Arnaud Mimran, a French man convicted of a carbon tax fraud last week, claimed he gave Netanyahu large sums for one of his election campaigns, which, if true, would violate Israel's campaign finance laws. Netanyahu said he lawfully received a $40,000 donation from Mimran while he held no office. India wants new foreign investment pacts to limit lawsuits NEW DELHI (AP) India has triggered the escape clause on dozens of bilateral investment treaties, aiming to renegotiate toward securing better protection from foreign litigation. The notifications, issued earlier this year, effectively let governments know they have 12 months to broker new treaties before the old ones expire. The changes India seeks could make it harder for foreign investors to legally challenge government decisions that negatively affect their businesses in India. But observers say the move could potentially backfire by spooking investors and ultimately jeopardizing Prime Minister Narendra Modi's top priority bringing in new business from abroad. FILE - In this July 10, 2016, file photo, Indian Prime Minister Narendra Modi greets Tanzanians during an official welcome ceremony Sunday, July 10, 2016. Modi signed a number of trade agreements with Tanzania during the visit. (AP Photo/Khalfan Said, File) Already some have voiced concern. In a letter to India's commerce and finance ministers, the European commissioner for trade warned that India's notifying "a significant number" of European Union nations could "have serious consequences" if Brussels cannot negotiate a replacement by next April. "It would create a gap in investment protection and consequently discourage EU enterprises from further investing in India," Commissioner Cecilia Malmstrom said in the letter, dated May 25. Some investors "may perceive the investment climate as deteriorating," while some may be unable to secure financing without treaty protections in place. "Such an outcome would run contrary to the efforts of attracting more investment to India," the letter said. "I truly hope that India will not opt for such a radical policy shift with regard to investment from the EU." Investment protection treaties have long been considered a prerequisite to doing business abroad. More than 3,400 such treaties have been brokered worldwide since the first U.S. investment treaties in the 1980s, according to the U.N. Conference on Trade and Development. These treaties generally lay out rules protecting foreign investment and assets. They codify how disputes should be handled, and often guarantee that a government will offer investors the best possible deal. India may have surprised its investment partners in seeking to revise business relationships, but it is not the first to do so and it is not alone. Since 2012, according to UNCTAD, at least 60 countries have begun revising investment agreements, including South Africa, Brazil and Indonesia all large, developing economies like India. "Foreign investors are always coming from advanced economies, so they already have the upper hand," said economist and trade expert Biswajit Dhar, a professor at Jawaharlal Nehru University in New Delhi. "The countries that need more investment are the ones worried about giving too much away." The trend among developing countries stems from a growing feeling that investment treaties, as initially designed by Western nations, give too much protection to investors without safeguarding a country's ability to manage policy or regulations. That puts countries like India still working out how to exploit natural resources or farm out telecom licenses at a disadvantage in working out their policies, analysts say. Failing to negotiate replacements before old treaties expire wouldn't necessarily affect all business; existing investments would be covered by the old treaty for a period of about 10-15 years. But new investments would not be protected, and for a job-hungry nation like India, that could be a problem. India has been aggressively courting foreign investment and manufacturing to boost its economy. Since taking office in 2014, Prime Minister Modi has spent much of his time visiting foreign capitals and touting his "Make in India" campaign showcasing the country as a manufacturing destination with its large labor force, young population and investor-friendly tax regimes. Yet, India remains at the low end of the World Bank's ease of doing business ranking, currently placing 130th out of 189 countries surveyed. While foreign investment shot up to more than $44 billion in 2015 a 65 percent jump from when Modi took office the manufacturing drive so far has had lackluster results. The sector accounts for about 15 percent of India's gross domestic product, while employing about 12 percent of the work force. India entered into its first bilateral investment treaties as it was liberalizing its markets and courting foreign investment in the 1990s. Its first bilateral investment treaty, with Britain, went into effect in 1995, and was followed by more than 80 others. The idea for renegotiating quickly took hold about five years ago, as it was hit by a series of lawsuits. In 2011, India lost the first case in international arbitration to Australia's White Industries, a manufacturer of metal components that argued that the cancellation of a contract with Coal India violated the terms of Australia's 2000 bilateral investment treaty with India. Since then, more than a dozen cases have been filed against India, over issues such as retroactive taxation or canceled licenses. Britain-based Vodafone has a case pending with the International Court of Justice for arbitration over a bill for $2.5 billion that India's tax authorities say the company owes for a 2007 asset purchase, based on legislation passed only in 2012. And last month, an arbitration panel based in The Hague began adjudicating a similar dispute over retroactive taxation imposed on Cairn Energy, an oil and gas exploration company based in Scotland. "India is understandably worried about being held hostage by investors," said Dhar, the New Delhi professor. "It is very bad publicity to get caught up in disputes where the government looks helpless." Still, India's sudden move to renegotiate 47 treaties, by some reports, was jarring. "It's a huge number," Dhar said. "I'm worried about how it's going to play out in the next year." Modi's government had signaled its plans to renegotiate the treaties in 2015, when it adopted a new "model" pact by which it said all future bilateral investment treaties should be drafted. "Our Cabinet has approved a bilateral model the new terms," Finance Minister Arun Jaitley told The Associated Press recently in Beijing. "We are entitled to ask for a renegotiation, in terms of the new terms, of the changed agreement that we have drafted. And these will all be subject to negotiation with our foreign partners." But in notifying dozens of governments this year, India's timing couldn't be worse. With the world economy reeling after Britain's vote to exit the European Union, governments may decide that negotiating new investment treaties with India is not a priority, analysts said. Jaitley assured that the "structural changes" would not hurt business prospects. "These remain investor friendly," he said. The changes were being sought from "some governments," he said, but declined to say which or how many. But the changes India seeks could mean negotiations take longer than India expects. India's treaty template deviates from others worldwide in a number of ways that will give investors pause, including removing the possibility of international arbitration over disputes relating to taxation or licensing. Even in non-tax cases, under the new model treaty terms, foreign investors would have to exhaust all Indian judicial avenues for resolving a dispute before appealing for international arbitration. Given the notorious backlog in Indian courts, with some cases languishing for decades, this could prove an obstacle for investment. It also does not include a most favored nation clause a standard provision designed to ensure that investors are being given the best terms available. Still, the new terms may not matter to investors if they can still envision profits through investing abroad. "Some of these changes are inevitable, and this is not just India," said Sachin Chaturvedi, head of the Research and Information System for Developing Countries think tank in New Delhi. "What governments are doing are insulating the system from any kind of legal tangle they may end up in. And once the rules and regulations are streamlined, there will be more predictability for investors. Even if India does manage to reach dozens of new bilateral investment agreements within a few months, analysts say it may do damage just by reneging on past agreements and forcing new negotiations with little time to spare. "India doesn't really have the bandwidth to address all of the countries wanting to work in the country now," said Dipen Rughani, the immediate former chairman of the Australia India Business Council. "Modi's done a fantastic job of traveling around the world and garnering interest. But there are risks that have to be addressed." Australia which recently concluded more-comprehensive free-trade pacts with China, South Korea and Japan has seen its talks started with India in 2011 on a comprehensive economic partnership agreement stall, though its $16-18 billion in bilateral business was still covered by an investment treaty in force since 2000. "If this agreement is going to be revoked, then I think it's going to be very hard to attract the kind of investment" India is looking for, Rughani said. ___ Associated Press writer Joe McDonald in Beijing contributed to this report. ___ China must give European companies the same kind of market access Chinese firms enjoy in Europe, the EU trade commissioner Cecilia Malmstrom has said China must give European companies the same kind of market access that Chinese firms enjoy in Europe before discussions can start on a bilateral free trade agreement, the EU trade commissioner has said. Cecilia Malmstrom said market access and other issues need to be ironed out first in an investment agreement, which is currently being negotiated, to establish 'a more level playing field.' In a speech at a university in Beijing, Malmstrom said the 28-member EU supported Beijing's path toward a more market-oriented economy promised in 2013, but hadn't seen 'much progress.' Recently established free-trade zones in China have made 'relatively limited progress or been abandoned and there are still concerns about the enforcement of intellectual property rights, (and) discrimination against EU businesses remains a fact,' she said. She also said China had made steps backward with laws concerning national security and nongovernmental organizations, and in the field of cybersecurity. Concerns remain 'about the predictability and transparency of the legal and regulatory systems', Malmstrom added. In the past year, Chinese authorities have launched an unprecedented crackdown on lawyers and human rights defenders, passed a law that they said would help NGOs but that subjects them to police supervision, and enacted a national security law that particularly targets online activity. 'Moving China to the next phase of development requires that rule of law be part of that,' Malmstrom said. She also criticised limitations on lawyers and restrictions on online freedom. 'Barriers to that, whether it's banning social platforms or by requiring storage of content locally, impinge China's economic progress as much as freedom of expression,' she said. The EU is China's biggest trading partner, and China is the EU's second-largest trading partner, after the US. Malmstrom said people ask why can Chinese firms make high-profile purchases of European companies, including tire manufacturer Pirelli and Volvo Car Corp., 'when European investors face major barriers, including equity gaps, forced technology transfer or licensing restrictions' in various sectors in China. She added: 'Why do European steelmakers have to lay off workers when they are competing with Chinese firms who benefit from European subsidies? 'Why do Chinese firms competing in Europe get impartial treatment from independent regulators when the same treatment is not available in China? Speech: Cecilia Malmstrom said market access and other issues need to be ironed out first in an investment agreement, which is currently being negotiated, to establish 'a more level playing field' 'Keeping the EU market open is a benefit for us and for China but it requires us to address these questions of reciprocal openness.' Chinese officials have previously tried to reassure foreign companies they are welcome in its economy. In March, Xu Shaoshi, chairman of the Cabinet's National Reform and Development Commission, pledged to 'promote two-way opening up and liberalisation' before an audience that included executives of top global companies. Malmstrom said that the EU's long-term goal is a free trade agreement with China, 'but we need to get this investment agreement first.' 'This is to establish a more level playing field in investment, and until we have that it will be very difficult to enter into the specific free trade negotiations,' she said. Chinese Foreign Ministry spokesman Lu Kang told a daily briefing that China hoped to reach 'a mutually beneficial investment agreement with the EU as soon as possible.' He added: 'Particularly given the sluggish world economy, we believe that if China and the EU, as two major world economies, can reach such an agreement, it will benefit not only the two sides but also the prosperity, recovery and growth of the world economy.' Malmstrom spoke and answered questions from students at the University of International Business and Economics, ahead of a China-EU summit on Tuesday and Wednesday. Family: Military changed Dallas suspect; robot use defended DALLAS (AP) Military service changed the Dallas gunman from an extrovert into a hermit, his parents said in an interview excerpt published Monday. Micah Johnson's mother, Delphine Johnson, told TheBlaze website in an interview that her son wanted to be a police officer as a child. His six years in the Army Reserve, including a tour in Afghanistan, were "not what Micah thought it would be ... what he thought the military represented, it just didn't live up to his expectations." According to the military lawyer who represented him, Johnson was accused of sexually harassing a female soldier while deployed. His father, James Johnson said haltingly and through tears: "I don't know what to say to anybody to make anything better. I didn't see it coming." Dallas Police Chief David Brown answers questions during a news conference, Monday, July 11, 2016, in Dallas. Five police officers were killed and several injured during a shooting in downtown Dallas last week. (AP Photo/Eric Gay) The black 25-year-old fatally shot five officers in Thursday's attack while hundreds of people were gathered in downtown Dallas to protest recent fatal police shootings, and wounded at least nine officers and two civilians. Dallas Police Chief David Brown clarified Monday where Johnson was killed with a bomb delivered by a remote-controlled robot, saying that it happened on the second floor of El Centro College, not a parking garage as authorities previously described. Brown did not provide more details, including the locations of the negotiations that came before the bomb. The police chief again defended the decision to use the robot, saying he had "already killed us in a grave way, and officers were in surgery that didn't make it." "This wasn't an ethical dilemma for me," Brown said. "I'd do it again ... to save our officers' lives." Authorities have said Johnson had plans for a larger assault, possessed enough explosive material to inflict far greater harm and kept a journal of combat tactics. Eleven officers fired at Johnson and two used an explosive device, Brown said, adding that the investigation will involve more than 170 hours of body camera footage and "countless hours" of dashcam video. "Bravery is not a strong enough word to describe what they did that day," Brown said of officers' response to Thursday's events. Surgeons at Parkland Memorial Hospital spoke Monday afternoon about treating some of the victims. Dr. Brian H. Williams, who is black, said that not being able to save some of the officers weighs on his mind "constantly." He also added: "It has to stop. Black men dying and being forgotten. People retaliating against the people sworn to protect us." Dr. Alex Eastman, the director of the hospital's trauma center who also is a deputy medical director with the city's police department, said the shootings "rocked some guys to their core that I thought were unshakable." Brown provided details of authorities' negotiations with Johnson on Sunday on CNN's "State of the Union," saying Johnson "obviously had some delusion" as evidenced by rambling journal entries and writing "RB" and other markings in blood on walls near the shooting site the meanings of which were unclear and being looked at by investigators. Brown also said that Johnson, who insisted on speaking with a black negotiator, laughed at authorities, sang and at one point asked how many officers he had shot. Federal agents are trying to trace the origin of the weapons used by Johnson, including a semi-automatic rifle. About 30 agents are involved in identifying bullet casings, said William Temple, the Dallas agent in charge for the Bureau of Alcohol, Tobacco, Firearms and Explosives. Johnson's time in the Army was marked by a sexual harassment accusation in May 2014 while in Afghanistan. The Army sent him stateside, recommending an "other than honorable discharge" which is "highly unusual" because counseling is usually ordered before more drastic steps are taken, said Bradford Glendening, the military lawyer who represented him. "In his case, it was apparently so egregious, it was not just the act itself," Glendening told The Associated Press. "I'm sure that this guy was the black sheep of his unit." According to a court filing, the victim said she wanted Johnson to "receive mental help," and sought a protective order to keep him away from her and her family. Johnson was ordered to avoid all contact with her. It was unclear whether Johnson ever received counseling. The shootings just a few blocks from where President John F. Kennedy was slain in 1963 marked the deadliest day for U.S. law enforcement since the Sept. 11, 2001, terrorist attacks. This attack began during protests over the police killings of Philando Castile, who was fatally shot near St. Paul, Minnesota, and Alton Sterling, who was shot in Baton Rouge, Louisiana, after being pinned to the pavement by two white officers. Video from Dallas showed protesters marching along a downtown street about half a mile from City Hall when shots erupted and the crowd scattered, seeking cover. Two El Centro students hid in the building overnight, Brown said, because they were afraid to come out until the shooting stopped. Police got them out of the building Friday morning. Two officers from El Centro were injured. Speakers at a candlelight vigil held Monday night in downtown Dallas for the officers who were killed included police officials and friends of the slain officers. Portraits of the officers were propped up in front of the speaker's platform. A memorial service is planned Tuesday, with President Barack Obama, Vice President Joe Biden and former President George W. Bush and his wife, Laura, slated to attend. Texas Gov. Greg Abbott announced Monday he will not be able to make it because he'll be undergoing skin grafts on his feet after suffering second- and third-degree burns on his feet and both legs below the knees. His wife, Cecilia Abbott, will take his place. An FBI evidence response team works the crime scene, Sunday, July 10, 2016, where five Dallas police officers were killed Thursday, in Dallas. A peaceful protest over the recent videotaped shootings of black men by police turned violent Thursday night as gunman Micah Johnson shot at officers, killing five and injuring seven, as well as two civilians. (AP Photo/Gerald Herbert) This undated photo posted on Facebook on April 30, 2016, shows Micah Johnson, who was a suspect in the sniper slayings of five law enforcement officers in Dallas Thursday night, July 7, 2016, during a protest over two recent fatal police shootings of black men. An Army veteran, Johnson tried to take refuge in a parking garage and exchanged gunfire with police, who later killed him with a robot-delivered bomb, Dallas Police Chief David Brown said. (Facebook via AP) An FBI evidence response team works the crime scene, Sunday, July 10, 2016, where five Dallas police officers were killed Thursday, in Dallas. A peaceful protest over the recent videotaped shootings of black men by police turned violent Thursday night as gunman Micah Johnson shot at officers, killing five and injuring seven, as well as two civilians. (AP Photo/Gerald Herbert) An FBI evidence response team works the crime scene, Sunday, July 10, 2016, where five Dallas police officers were killed Thursday, in Dallas. A peaceful protest over the recent videotaped shootings of black men by police turned violent Thursday night as gunman Micah Johnson shot at officers, killing five and injuring seven, as well as two civilians. (AP Photo/Gerald Herbert) Dallas Police Chief David Brown answers questions during a news conference, Monday, July 11, 2016, in Dallas. Five police officers were killed and several injured during a shooting in downtown Dallas last week. (AP Photo/Eric Gay) Members of an FBI evidence response team work the crime scene, Sunday, July 10, 2016, where five Dallas police officers were killed Thursday, in Dallas. A peaceful protest over the recent videotaped shootings of black men by police turned violent Thursday night as gunman Micah Johnson shot at officers, killing five and injuring seven, as well as two civilians. (AP Photo/Gerald Herbert) An FBI evidence response team works the crime scene, Sunday, July 10, 2016, where five Dallas police officers were killed Thursday, in Dallas. A peaceful protest over the recent videotaped shootings of black men by police turned violent Thursday night as gunman Micah Johnson shot at officers, killing five and injuring seven, as well as two civilians. (AP Photo/Gerald Herbert) Smith County District Attorney Matt Bingham salutes a memorial to slain Dallas Police Officer Michael Smith after placing a flower Monday, July 11, 2016, during a prayer service at T.B. Butler Plaza in Tyler, Texas. Residents joined officers from several agencies in remembering the four Dallas police officers and one Dallas Area Rapid Transit officer who died July 7, 2016. (Andrew D. Brosig/Tyler Morning Telegraph Via AP) A protester holds up his fist as he stands behind Dallas police officers at their headquarters, Monday, July 11, 2016, in Dallas. Five police officers were killed and several injured during a shooting in downtown Dallas last Thursday night.(AP Photo/Eric Gay) New kidneys 40 years apart show transplant progress, hurdles WASHINGTON (AP) Brenda Hudson recalls weeks spent in a glass-enclosed isolation room after her first kidney transplant, her family allowed to visit only when suited up against germs. That transplant lasted a remarkable four decades and now Hudson's recovery from a second one, this time faster and surrounded by germy visitors, showcases how far organ transplants have come and the hurdles that still await. "I'm ready to be well again," Hudson exclaimed before being wheeled into an operating room at MedStar Georgetown University Hospital last month, far more confident than back at age 17 when she was that hospital's first recipient of a living-donor kidney. In this photo taken June 28, 2016, Brenda Hudson talks to surgeon Dr. Matthew Cooper as her husband and kidney donor Dana Hudson watches at left, prior to undergoing a kidney transplant at MedStar Georgetown University Hospital in Washington. Hudson's first kidney transplant lasted four decades, and her second showcases how far organ transplants have come and the hurdles that still await. More than 120,000 people are on the nation's waiting list for an organ transplant, most of them for kidneys, and thousands die before receiving one because of a dire shortage of donors. (AP Photo/Molly Riley) Transplants still require courage, but medical advances haven't just helped patients. Hudson's initial donor, her older sister, has a scar stretching from belly to side where doctors cut into her rib cage. This time Hudson's husband donated, and went home two days after surgeons squeezed his kidney through a roughly 3-inch incision. Hudson's own lupus-damaged kidneys were removed about a month before her first transplant. That's hardly ever done anymore nonworking kidneys shrink to make room. Back then, finding a donor was pretty miraculous. It still is. And with more than 120,000 people on the national waiting list for a kidney or other donated organ but only about 30,000 transplants performed each year new moves are getting underway to try to ease the critical shortage. Efforts range from smartphone apps letting would-be donors register with a few clicks, to helping transplant centers use some organs that today would be discarded for fear they're not good enough. "I really didn't think about getting another kidney. How could I be that fortunate?" said Hudson, 57, of Owings, Maryland, who this time went home five days after surgery. Her thoughts strayed to friends on dialysis: "I just wish we could see more donors coming out." The average kidney from a deceased donor lasts 10 years, while one from a living donor averages about 15 years, said Dr. David Klassen of UNOS, the United Network for Organ Sharing, which oversees the nation's transplant system. Doctors can't explain why occasionally people like Hudson beat those odds by a lot. Dana Hudson knew his wife wouldn't ask for another kidney so when her first deteriorated badly enough to require dialysis, he volunteered. Dr. Matthew Cooper, Georgetown's kidney and pancreas transplant director, examined the fist-sized organ and proclaimed it "a beauty." Sewing it into its new owner, however, would prove nerve-wracking. _____ More than 6,000 people died last year waiting for a new kidney, liver, lung or other organ, according to UNOS. Last month, the White House issued a call to reduce the wait, and highlighted $160 million in regenerative research that one day might offer alternative therapies. Kidneys are most in demand, with nearly 100,000 people on the national transplant list awaiting one. "Without a transplant, we lose way too many people," said Georgetown's Cooper. "It's just an organ supply problem." To try boosting that supply: Apple says its upcoming software update will let iPhone users register as an organ donor through its health app, linking to Donate Life America's national registry. Georgetown also is developing an app for smartphones and tablets that will allow a click for donor registration. Studies are underway to preserve donated organs longer by pumping them with oxygenated fluids, and to spur use of higher-risk organs that work despite not being in optimal condition, Klassen said. And the University of Pittsburgh Medical Center and Donate Life launched a new Facebook page to educate the public about the need for living donors; fewer than 6,000 every year give a kidney or part of their liver. It's hard to ask, and there are some disincentives. A living donor's surgery is covered by the recipient's insurance but not related costs such as lost wages. Dana Hudson expects to be away from his truck-driving job for about four weeks but said, "The most important thing is that she gets better." _____ Back at Georgetown, where about a third of kidney transplants now are from living donors, Dana Hudson underwent a battery of tests to be sure he was healthy enough to live with one kidney. The "keyhole" surgery used for living donation today is easier on patients but trickier for surgeons. Guided by a miniature camera, Dr. Seyed Ghasemian inserted long-handled probes through tiny abdominal incisions and painstakingly snipped the kidney free from surrounding tissue. It was producing plenty of urine and had great blood vessels, Ghasemian reported. But he paused before severing that blood supply, the point of no return. Across the hall, Cooper had found a problem with Brenda Hudson. Hunched tensely over the operating table, Cooper was uncovering arteries hardened by high blood pressure and Type 2 diabetes no good for sewing on her husband's kidney. Finally the surgeons devised a way for blood vessels to feed the incoming organ. "This was not an easy case," Cooper said. "You have to have a Plan B." Back across the hall again, Ghasemian made the last cut to Dana Hudson's kidney and tugged it through a slit in the abdomen. Taking the kidney, Cooper flushed out the donor's blood, cleaned away some yellowish fat and carefully carried it to Brenda Hudson with one brief stop. Using high-tech imaging, researchers scanned the kidney's filtering tubules as part of a study to better determine which donated organs will have the best outcome. Soon after being stitched into place, Brenda Hudson's new kidney started to work. Two days later, she softly told her husband: "It's pretty amazing what you did for me, honey." In this photo taken June 28, 2016, Brenda Hudson watches as her husband and kidney donor Dana, right, is taken to the operating room to undergo a living donor kidney transplant at MedStar Georgetown University Hospital in Washington. Hudson received a donated kidney from her sister Michelle 40 years ago, after lupus destroyed her own kidney's function. More than 120,000 people are on the nation's waiting list for an organ transplant, most of them for kidneys, and thousands die before receiving one because of a dire shortage of donors. (AP Photo/Molly Riley) In this photo taken June 28, 2016, Dr. Matthew Cooper carries the kidney donated by Dana Hudson to be transplanted into his wife, Brenda Hudson, in the operating room next door, at MedStar Georgetown University Hospital in Washington. Brenda Hudson underwent two kidney transplants 40 years apart, and the surgeries showcase how far organ transplants have come and the hurdles that still await. More than 120,000 people are on the nation's waiting list for an organ transplant, most of them for kidneys, and thousands die before receiving one because of a dire shortage of donors. (AP Photo/Molly Riley) In this photo taken June 28, 2106, Dr. Seyed Ghasemian begins surgery to remove the kidney of Dana Hudson, being donated to his wife as part of a living donor kidney transplant at MedStar Georgetown University Hospital in Washington. Brenda Hudson underwent two kidney transplants 40 years apart, and the surgeries showcase how far organ transplants have come and the hurdles that still await. More than 120,000 people are on the nation's waiting list for an organ transplant, most of them for kidneys, and thousands die before receiving one because of a dire shortage of donors. (AP Photo/Molly Riley) Solar-powered plane leaves Spain for Egypt MADRID (AP) An experimental solar-powered airplane has left Spain for Egypt in the penultimate leg of its globe-circling voyage. Organizers said the Solar Impulse 2 flew out of Seville airport Monday and was heading for Cairo. The flight, piloted by Swiss man Andre Borschberg, is expected to last 50 hours and 30 minutes. FILE - In this June 23, 2016, file photo, the Solar Impulse 2 plane prepares to land at the San Pablo airport in Seville, Spain. The experimental solar-powered airplane left Spain for Egypt in the penultimate leg of its globe-circling voyage. Organizers said the Solar Impulse 2 flew out of Seville airport Monday, July 11, 2016, and was heading for Cairo. (AP Photo/Laura Leon, File) The around-the-world voyage began in March 2015 in Abu Dhabi in the United Arab Emirates and is due to finish there too. The plane arrived in Seville on June 23 after an unprecedented three-day flight across the Atlantic. STATEN ISLAND, N.Y. -- Call him Dr. Ferreri, if you will! So the good news is Anthony C. Ferreri, executive vice president and chief affiliation officer, Northwell Health System -- which is a parent of Staten Island University Hospital -- has now earned the right to be referred to as Dr. Ferreri. Dr. Ferreri's new title was recently conferred as a result of his being awarded a doctorate in Business Administration from California Coast University. It took several years to complete doctorate -- a little longer than is customary -- since at the time he was fulfilling his role as dedicated president and chief executive officer of Staten Island University Hospital. Dr. Ferreri's passion for education and the field of medicine led him to pursue the advanced degree, while working at a high level executive position and persevering through major illnesses. During his tenure at Staten Island University Hospital, Dr. Ferreri was forced to undergo a triple cardiac bypass and a few years later he battled breast cancer and subsequent surgery and treatment. Dr. Ferreri earned a master's of science degree in Human Resources and Industrial Relations from Rutgers University and a bachelor of arts degree in Social Studies from Wagner College, where he's a member of the adjunct faculty in the Nursing Practice Management Doctoral Program. In his current role as Executive Vice President and Chief Affiliation Officer, Northwell Health System, Dr. Ferreri "explores possible new strategic alliances, affiliations and partnerships with other health care providers in the New York area and beyond." In fact, his most recent efforts resulted in the expansion of Northwell into Westchester County and he's now in the process of developing relationships with health care providers in Connecticut, New Jersey and Pennsylvania. Prior to his current position, the highly revered medical professional was the Regional Executive Director for Lenox Hill Hospital, Manhattan Eye and Ear Hospital, Northern Westchester Hospital, Phelps Memorial Hospital and Staten Island University Hospital. As President and Chief Executive Officer of Staten Island University Hospital from 2003 to 2015, he sat at the helm of the construction of the $39 million Elizabeth A. Connelly Emergency and Trauma Center, which opened in June 2009 and more than tripled the size of the Emergency Room at the Ocean Breeze campus. His other achievements? The building of the Regina McGinn Education Center at the Ocean Breeze site, selling the former Doctors' Hospital in Concord to the city Education Department to build a public school on the site and expanding services, medical sub-specialties and the robotics program. Interestingly, following a meeting at the Richmond County Medical Society, which at the time was located in the Spring Building on the campus of the former Doctor's Hospital, Dr. Ferreri had an opportunity to talk to former City Council Speaker Christine Quinn, and, at the time city council members, James Oddo, Andrew Lanza and Michael McMahon, about selling the site to the city of New York in hopes of developing the property for a new school. Dr. Ferreri explained: "The proceeds from the sale could be used toward the construction of a new emergency room at SIUH's north campus. Thanks to their efforts, the property was sold and the community benefited from a badly needed expanded emergency room and a badly needed new school. Up until that point, developers had been seeking to purchase the site for residential development." Under his tenure, Staten Island University Hospital garnered dozens of quality-achievement awards and earned the unwavering support of the community. Prior to his appointment as CEO, Dr. Ferreri served as the hospital's Executive Vice President. He first joined SIUH in 1995 as a member of the institution's board of trustees. Before joining SIUH, Dr. Ferreri was president and CEO of Metro Temp Services Company, which served the health care industry's specialized workforce needs. Prior to his founding the New Jersey-based company, he was Vice President of Human resources at St. Barnabas Medical Center, Livingston, N.J. A leader and mentor to many, in 2009 Dr. Ferreri was appointed to the Board of the New York City Industrial Development Agency and Build NYC and currently serves in those roles as Borough President James Oddo's representative. He also is a member of the Board of Governors, Greater New York Hospital Association since 2006. Dr. Ferreri is a past director of the Staten Island Economic Development Corporation, and a recipient of Modern Healthcare's Community Leadership Award, the Ellis Island Medal of Honor and the Louis R. Miller Business Leadership Award of the Staten Island Chamber of Commerce. Dr. Ferreri is the son of Lucy Ferreri and the late Joseph Ferreri. He's married to Michelle and the couple are the parents of Tony Ann Spinella, (son-in-law, Michael Spinella) and son Joseph Ferreri. They are the grandparents of two grandsons, Michael and Anthony Spinella. Throughout the years Dr. Ferreri's leadership, his unwavering courage, character and commitment to his craft and to the growth and expansion of the medical community, to his loving family and to the community in which he was born and raised -- has been unparalleled. CELEBRATIONS: JULY 12 Happy birthday Tuesday to Rose Mary Andersen, Barbara Leonard Kelly, Denise Speziale, Pete Carlson, Peg McHugh and John Bartlett. Criticism mounts over how police handle Louisiana protesters BATON ROUGE, La. (AP) Criticism mounted Monday over how Baton Rouge police dealt with throngs of protesters during the weekend, including nearly 200 demonstrators who were arrested and may yet face criminal charges. The protests have been growing around the country as people express outrage over the recent deaths of two black men at the hands of police in Louisiana and in Minnesota. East Baton Rouge District Attorney Hillar C. Moore III said Monday that his office hasn't made any decisions on charges against the protesters and that it will be done on a case-by-case basis. FILE In this Saturday, July 9, 2016 file photo, A protester is grabbed by police officers in riot gear after she refused to leave the motor way in front of the the Baton Rouge Police Department Headquarters in Baton Rouge, La. Police made nearly 200 arrests in Louisiana's capital city during weekend protests around the country in which people angry over police killings of young black men sought to block some major interstates. (AP Photo/Max Becherer) "We're going to do as good job as we can, as quickly as we can, to try to go through the (police) reports as they come in," he said. Moore suggested that "first offenders" and people who may have just "stepped over a line" could have their cases resolved more quickly than those for protesters accused of carrying guns or injuring officers. But with tensions rising since last week's killings of Alton Sterling in Baton Rouge and Philando Castile in Minnesota by white officers, and an attack on police by a black sniper in Dallas that killed five officers, many have questioned whether the police response has been appropriate. Kristy Carter said she's been protesting every night since Sterling was killed. She said that officers outside the police station said they don't have a problem as long as protesters don't cross barricades or stop traffic but that in practice it's different. "Yesterday evening we were standing here ... and they just started coming and attacking the crowd for no reason," Carter said of police. "They are telling us not to be violent, but they are being violent against us." Jade Flint said police seemed to be getting more agitated as the Saturday evening protests went on. "The job is to protect us while we are out here trying to protest for our rights. Not to agitate us and pick and grab people," she said. Kira Marrero, a 22-year-old resident of New Orleans who graduated last year from Williams College in Massachusetts, was the first protester freed from Baton Rouge's jail on Sunday. She accused police of acting in an "inflammatory" manner and said an officer had pointed a rifle at her and other protesters before her arrest. The American Civil Liberties Union of Louisiana said Monday that Baton Rouge police "used violent, militarized tactics on groups of people who have gathered peacefully in protest of Alton Sterling's killing." On Sunday, Amnesty International questioned the high number of arrests during Saturday's protests and whether it was a "proportionate response to peaceful protests." Louisiana authorities have said repeatedly that they have no problem with protesters and pointed out the number of rallies that have been coordinated with authorities and have gone off without problem. On Sunday some 2,000 people rallied outside the Capitol building, State Police Maj. Doug Cain said, calling that initial protest "very organized and peaceful." In the first few days following Sterling's death, police took a more reserved approach to enforcement, keeping a low profile as hundreds of people gathered outside the convenience store where Sterling died. Baton Rouge Police Chief Carl Dabadie Jr. said Friday that his department was striving to avoid a "military-style response" to the protests. But Friday, tensions ratcheted up. Police have arrested 200 demonstrators over a three-day period and taken to the streets in riot gear, carrying rifles and driving armored vehicles. Gov. John Bel Edwards said Sunday he's "very proud" of how Louisiana's law enforcement agencies responded to the protests and that he doesn't believe police officers have been overly aggressive. A Baton Rouge police spokesman said Monday that the arrests stemmed largely from people not complying with officers' commands. During a confrontation Sunday evening near an interstate ramp, a police officer in an armored vehicle had warned protesters over a loudspeaker that they would be arrested if they didn't leave the area. Within minutes, officers in riot gear began making dozens of arrests. "They had several opportunities to get out of the road, to disperse. They were ignored," said Baton Rouge Police Sgt. Don Coppola. Asked why some officers are armed with high-powered rifles at protests, Coppola said, "You don't really know what you're walking into. You want to have every precautionary means that you may need ... to disperse these crowds." Coppola said the department respects people's right to protest peacefully, and that people from outside Baton Rouge are largely responsible for confrontations at protests. Police have confiscated three rifles, three shotguns and two pistols during protests, Coppola said earlier in an email. One officer was hit by a projectile and injured in the weekend protests, authorities said. On Monday, convenience store owner Abdullah Muflahi filed a lawsuit against Baton Rouge police alleging that he was illegally detained after he recorded the confrontation between police and Sterling on his cellphone. The suit says he was kept in the back of a police vehicle for four hours and detained at the Louisiana State Police headquarters for two hours while he was questioned. A search warrant for surveillance video from the store said that, during the arrest, officers saw "the butt of a gun" in Sterling's pocket and that he tried "to reach for the gun from his pocket." The Justice Department has opened a federal civil rights investigation of Sterling's death. Louisiana Attorney General Jeff Landry said in a statement that he won't have access to the federal investigation on the shooting until it's completed and a decision has been made on potential federal charges. Moore, the local district attorney, said the Justice Department would rather not have "parallel investigations." "It's better that DOJ do their work. They're completely independent, from nowhere around here," he said. Moore also said he's recusing himself from any state criminal investigation into the shooting death of Sterling. He cited his professional relationship with the parents of one of the officers involved in the shooting, Blane Salamoni. Sterling's funeral will be held Friday in Baton Rouge. Services will be at the Southern University F.G. Clark Activity Center. A viewing is scheduled from 8 a.m. to 10:30 a.m., with the service to follow at 11 a.m. ___ Associated Press reporter Janet McConnaughey contributed from New Orleans. Police officers watch protesters gathering against another group of protesters in Baton Rouge, La., Sunday, July 10, 2016. Police officers responded to reports that protesters were en route to block Interstate 10 and prevent another group of protesters from marching. (Scott Clause/The Daily Advertiser via AP) Police officers detain protesters as they try to clear streets while protesters were gathering against another group of protesters in Baton Rouge, La., Sunday, July 10, 2016. Police officers responded to reports that protesters were en route to block Interstate 10 and prevent another group of protesters from marching. (Scott Clause/The Daily Advertiser via AP) Police arrest protesters after dispersing crowds in a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wondered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) After an organized protest in downtown Baton Rouge protesters wandered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse Police and protesters demonstrate in a residential neighborhood in Baton Rouge, on Sunday, July 10 On Dallas trip, Obama will try to make sense of shootings WASHINGTON (AP) For President Barack Obama, the decision to return early from an overseas trip after a series of shocking shootings will prove to be easy compared to his next challenge: Comforting an America rattled by the violence. After arriving from Spain late Sunday, Obama will fly Tuesday to Dallas, the scene of the massacre of police officers that, on the heels of two caught-on-video police shootings, has emerged as a tipping point in the national debate about race and justice. Obama is due to deliver remarks at an interfaith memorial service and is expected to meet with victims' families and with local law enforcement officials mourning their own. Former President George W. Bush, his wife, Laura, and Vice President Joe Biden will also attend, and the ex-president will deliver brief remarks. President Barack Obama waves on his return from a shortened visit to Spain, as he walks across the South Lawn of the White House in Washington, Sunday, July 10, 2016. (AP Photo/Jacquelyn Martin) To some degree, the trip is a familiar ritual for a president who has embarked in recent years on similar consolation missions with relentless frequency. But it's clear that Obama views the moment as distinct. In choosing to the deliver a high-profile speech, the president has tasked himself with ministering to Americans as they make sense of a frustrating cloud of issues swirling around the shootings. The president sees delivering this sort of guidance a core part of his leadership, so much so that some of his memorable speeches were in honor of mass shooting victims, including his challenge to protect children from guns in Newtown, Conn. "We're not doing enough." and his singing of "Amazing Grace" after the shooting in a black church in Charleston, S.C. But it's far from clear whether these moments fostered movement either on legislation or race relations and Obama has had to face the limits of his rhetoric. As he has in the past, Obama will search this week for a way to break through. As he traveled to Poland and Spain last week for meetings with European leaders, the president was publicly working through his thoughts. At times, he acknowledged "anger" and "confusion" in the public, and at other times he seemed to downplay the enormity of events. On the shootings by police of black men in Minnesota and Louisiana, Obama called for more activism and reforms. And he sought to impress upon white Americans what he said he and other African Americans already know: The problem is real. On the shooting in Dallas, Obama cast Micah Johnson, the sniper killed in a standoff with police, as "demented" and his motives as unknowable. People should not believe that "the act of a troubled individual speaks to some larger political statement across the country," he said. "It doesn't." Obama also pointed to other forces driving discontent at home and in Europe lone-wolf terrorism or economic instability wrought by globalization and tried to sell his policies aimed at each. The comments highlighted this president's rationality and a tendency to analyze people's fears rather than validate them both traits that at times have limited his ability to connect. Asked Saturday about rising worries about safety, Obama cited crime statistics. He bluntly dismissed comparisons to the domestic turbulence of the 1960s as overblown. "That's just not true," he said. Obama's remarks also captured the president continuing to try to serve as bridge builder between white and black Americans, protesters and police. It's a role that helped catapult him to political stardom, but one he's struggled to inhabit as president during a period of sharp political polarization and continued racial tensions. Still, Obama wasn't about to cede the role this week. White House officials said the decision to trim his trip to Spain by one day was driven in part by not wanting other, divisive voices to fill the void left in his absence. On Sunday, a few hours before returning home, Obama tried again to walk a center line, as he issued a plea for better understanding between police and demonstrators taking part in the protests across the country. "I'd like all sides to listen to each other," he said. 8 Somali soldiers killed in suicide car bomb, attack at base MOGADISHU, Somalia (AP) Eight soldiers were killed when a suicide car bomb targeted a Somalia military training camp and attackers then entered the base on foot, the military said Monday. The car bomb on Monday morning allowed the fighters to infiltrate the Lanta Buro base in Lower Shabelle region, a military officer, Said Mohamed Adawe, told The Associated Press. "They are still in control of the base," a senior Somali military official said, insisting on speaking on condition of anonymity because he was not authorized to speak to the media. The Somalia-based al-Shabab extremist group claimed responsibility for the attack, saying it killed 30 soldiers. Al-Shabab also targeted the camp last year with a similar attack, killing more than 15 soldiers. Residents said they heard heavy gunfire and an explosion Monday before the extremists raised their black banner over the base. Military officials said the attackers confiscated military vehicles and arms during the attack, which highlights the challenges facing the government and African Union forces in restoring peace and stability in the Horn of Africa nation. Al-Shabab, which maintains a military presence largely in rural areas, has stepped up attacks on military bases across large parts of south and central Somalia. The latest attack comes a few days after Somalia's armed forces chief warned troops of possible new attacks by "desperate" extremist fighters who lost key strongholds to Somali troops but later regrouped. Also Monday, al-Shabab fighters seized partial control of the port town of Marka after government troops withdrew, residents said. Resident Ali Haji said that fighters entered the town by sea and were patrolling the streets. African Union troops were still in control of parts of the western side of town. China intensifies opposition ahead of South China Sea ruling BEIJING (AP) China has intensified the drumbeat of its opposition to an international tribunal's ruling expected Tuesday that could threaten its expansive claims in the South China Sea. How Beijing responds to the ruling in the case filed by U.S. ally the Philippines could chart the course of global power relations in an increasingly dangerous hotspot. It comes as the U.S. has ramped up its military presence in the region and could seek to marshal world opinion to pressure Beijing into complying with the verdict. A new Philippine leader who appears friendlier to Beijing could also influence the aftermath of the ruling. The Hague-based tribunal will decide on the 2013 case that challenges the so-called nine-dash line that China uses to claim virtually the entire South China Sea and which Manila opposes because it infringes upon its own 200-mile exclusive economic zone. The dispute centers on waters through which an estimated $5 trillion in global trade passes through each year and are home to rich fishing stocks and a potential wealth of oil, gas and other resources. FILE - In this Oct. 27, 2015, file photo, provided by Filipino fisherman Renato Etac, a Chinese Coast Guard boat circles a Filipino fishing boat near Scarborough Shoal in the South China Sea. China has intensified the drumbeat of its opposition to a milestone ruling expected Tuesday July 12, 2016, by an international tribunal that could threaten its expansive claims in the South China Sea. (Renato Etac via AP, File ) The Philippines has also asked the tribunal to rule on whether several disputed areas are outcrops, reefs or islands, a move aimed at clarifying the extent of territorial waters they are entitled to or if they can project exclusive economic zones. More than merely about the sovereignty over the rocks and reefs or the actual waters, the South China Sea dispute has become a testing ground for a rising China to challenge the U.S.'s leadership in the Asian strategic order, analysts say. Beijing wants to use this dispute to show how "China's own growing maritime power and its economic significance to the United States and the global economy have reached the point where the United States can no longer afford to stand up to China," said Hugh White, professor of strategic studies at The Australian National University. "That calculation might prove to be wrong." China has boycotted the case, arguing that the tribunal has no jurisdiction and saying it won't accept the ruling. It has insisted that bilateral talks between Beijing and other claimants is the only way to address the dispute. Some experts have speculated that China could respond to an unfavorable ruling by establishing an air defense identification zone over all or part of the South China Sea. There is similar speculation that China might militarize a reef off the Philippine coast, the Scarborough Shoal, where a standoff with China prompted the Philippines to initiate the tribunal case in 2013. Beijing has given no direct indication of a tougher response, saying it remains committed to bilateral negotiations with Manila. Tuesday's ruling might further pressure China to clarify what exactly it is claiming with its "nine-dash line" boundary. Findings of the tribunal are binding on the parties, including China. But the court - without police or military forces or a system of sanctions at its disposal - can't enforce its ruling, so its potential impact remains unclear. Still, in recent weeks, China has spared no effort to denounce the proceedings as unlawful, publishing state media commentaries and deploying senior military officers, current and former top officials and academics to relentlessly convey Beijing's opposition. On Monday, the day before the verdict, the overseas edition of the ruling Communist Party's mouthpiece, the People's Daily, urged the Philippines to return to talks with China and the U.S. to stay out of the dispute. The arbitration outcome, known as an "award," was dismissed by former Chinese state councilor Dai Bingguo, in a conference in Washington, D.C., last week, as "nothing more than a piece of paper." Beijing has faced mounting calls to observe international law. At a U.S. congressional hearing last week, Abraham Denmark, deputy assistant secretary of defense for East Asia, urged both parties to comply with the ruling. Denmark said it was a chance to determine whether the region's future will be defined by adherence to international laws or by "raw calculations of power." China might use strong rhetoric but not take aggressive action to avoid having the topic dominate the agenda at upcoming multilateral forums, said Bonnie Glaser, senior adviser for Asia at the Center for Strategic and International Studies in Washington, D.C. Glaser said Chinese officials she's spoken to say privately they hope the case would not rule entirely in the Philippines' favor so that Beijing can say in internal discussions that Chinese interests have not been "irreparably harmed." A key factor that could change the equation of the consequences of this ruling is how the Philippines' new President Rodrigo Duterte decides to respond. His predecessor Benigno Aquino III's government filed the case, straining Manila's relations with Beijing, but Duterte has shown readiness to mend frosty ties with China. While Duterte has made critical remarks against the U.S., he has pointed out the benefits of nurturing friendly relations with Beijing, including a Chinese offer of financing railway projects in the Philippines. Duterte's rise has given China an opening to make inroads in one of America's closest security allies. Last week, Duterte said his government stood ready to talk to China if it gets a favorable ruling. "When it's favorable to us, let's talk," he said. "We are not prepared to go to war, war is a dirty word." It remains to be seen, however, how far Duterte can stray from Manila's critical stance on China's territorial assertiveness, given his country's close ties with the U.S. and growing nationalist sentiment against China's actions. Jay Batongbacal, an expert on South China Sea issues at the state-run University of the Philippines, said the government should avoid revealing its cards ahead of potential negotiations with Beijing, "otherwise you lose the leverage that you have." Left-wing activists protested at the Chinese consulate in metropolitan Manila on Monday, urging China to leave what they said were other countries' territories. "We're calling on our brothers in Southeast Asia that this call for a 'Chexit,' or China exit, now is not only for Filipinos but for all to call on China to respect our territorial integrity," said protest leader Mong Palatino. U.S. Defense Secretary Ash Carter called his Philippine counterpart, Delfin Lorenzana, Monday to reaffirm the strength of their countries' decades-long treaty alliance. They discussed the arbitration issue "and agreed to consult closely as the ruling is announced," according to a statement issued by the Philippine Department of National Defense. Experts say the outcome of the dispute could provide ammunition for other countries involved in disputes with China. Six governments have overlapping territorial claims in the South China Sea - China, Vietnam, the Philippines, Taiwan, Malaysia and Brunei. In addition, China's nine-dash line overlaps waters that are part of Indonesia's internationally recognized exclusive economic zone. "This is a time for China not to keep pushing forward too aggressively because they could embolden Vietnam and Indonesia to file a case as well," Glaser said. Regardless, the ruling is unlikely to stop China from continuing to pursue more effective control over the sea space and airspace of the South China Sea, Glaser said. Over the last few months, the U.S. has held combined exercises by two Navy aircraft carrier strike groups off the coast of the Philippines and freedom of navigation cruises near China's man-made islands to assert its presence in the Western Pacific. Chinese state media have accused Washington of trying to turn the South China Sea "into a powder keg" and warned it not to underestimate China's determination to defend its territorial claims. Chinese warships, fighter jets and submarines have held live-fire war games as part of what the People's Liberation Army Navy called routine exercises in the week running up to the tribunal's ruling, drills that were seen at least in part responding to the U.S. presence. "There's a real game of nerves going on here with China perhaps assuming that the U.S. is bluffing and the U.S. hoping that China will actually not test American resolve," Australian National University's White said. ___ Gomez reported from Manila, Philippines. Teresa Cerojano contributed reporting in Manila. Filipino activists hold slogans in front of the Chinese consulate to protest China's territorial claim over the disputed Spratlys island group during a rally at the financial district of Makati, south of Manila, Philippines on Monday, July 11, 2016. A landmark ruling on an arbitration case filed by the Philippines that seeks to strike down China's expansive territorial claims in the South China Sea will be a test for international law and world powers. China, which demands one-on-one talks to resolve the disputes, has boycotted the case and vowed to ignore the verdict, which will be handed down Tuesday by a tribunal in The Hague. (AP Photo/Aaron Favila) In this photo taken March 29, 2014, a Philippine flag flutters from the deck of the Philippine Navy ship LT 57 Sierra Madre off Second Thomas Shoal in the South China Sea. China has intensified the drumbeat of its opposition to a milestone ruling expected Tuesday, July 12, 2016, by an international tribunal that could threaten its expansive claims in the South China Sea.(AP Photo/Bullit Marquez) Filipino activists hold slogans as they walk towards the Chinese consulate to protest China's territorial claim over the disputed Spratlys island group during a rally at the financial district of Makati, south of Manila, Philippines on Monday, July 11, 2016. A landmark ruling on an arbitration case filed by the Philippines that seeks to strike down China's expansive territorial claims in the South China Sea will be a test for international law and world powers. China, which demands one-on-one talks to resolve the disputes, has boycotted the case and vowed to ignore the verdict, which will be handed down Tuesday by a tribunal in The Hague. (AP Photo/Aaron Favila) Bahraini political activist released from prison DUBAI, United Arab Emirates (AP) Bahraini activist Ibrahim Sharif was freed from prison on Monday after serving a yearlong sentence over comments supporting political change in the kingdom, his supporters and family said. Sharif is the former secretary-general of the secular, leftist National Democratic Action Society, which also goes by the Arabic name Waad. He is one of several civil society activists jailed in the tiny island kingdom since Arab Spring-inspired protests seeking greater political rights erupted more than five years ago. Sharif was most recently arrested last July over a speech supporting the protest movement, and was convicted in February of inciting hatred. He had been out of prison for less than a month when he was detained, having just served more than four years behind bars following a conviction by a military-led tribunal for his role in the uprising. FILE -- In this June 20, 2015 file photo, Bahraini Sunni Muslim opposition leader Ibrahim Sharif, who led the secular opposition WAAD (National Democratic Action Society) group, sits with his wife Farida Ghulam at their home, in Tubli, Bahrain. The Bahrain Institute for Rights and Democracy, a Bahraini rights group, said political activist Ibrahim Sharif has been freed from prison Monday, July 11, 2016, after serving a yearlong sentence over comments he made last year. The rights group said Sharif was released but is at risk of being arrested again because of an appeal by prosecutors, who are seeking an extended sentence. (AP Photo/Hasan Jamali, File) Bahrain's Sunni-ruled government crushed the 2011 protests, which were dominated by the country's Shiite majority, but low-level unrest continues. Waad confirmed Sharif's release, posting pictures of him greeting well-wishers online. The organization, which along with Amnesty International has described him as a prisoner of conscience, urged authorities to drop an outstanding appeal brought by prosecutors against him. Sharif's release follows an intensified crackdown on civil society activists in Bahrain, which hosts the U.S. Navy's 5th Fleet. Authorities in recent weeks have suspended of the country's largest Shiite opposition group, detained prominent rights activist Nabeel Rajab and stripped the citizenship of leading Shiite cleric Sheikh Isa Qassim. The office of the U.N. human rights chief last month urged authorities to deescalate the crackdown and ensure activists don't face undue pressure. The London-based Bahrain Institute for Rights and Democracy warned that Sharif could still be arrested again if prosecutors win their appeal asking for a longer sentence against him. ___ Putin ask monks to pray for Russians killed in Syria MOSCOW (AP) President Vladimir Putin has visited a famed Russian monastery to pray for Russian servicemen killed in Syria. Two Russian airmen died in Syria over the weekend after their helicopter was shot down by Islamic State group fighters east of the ancient city of Palmyra. Putin spokesman Dmitry Peskov says the president is spending his day at the 14th-century Valaam monastery in northwest Russia close to the Finnish border. Vatican goes international in new spokesman team VATICAN CITY (AP) Pope Francis on Monday named a former Fox TV correspondent, Greg Burke, as his spokesman and tapped a Spanish woman to be the deputy, the first time a woman has held the post. The change is aimed at making the spokesman's job long directed at Italy and Italians more international in focus, and reflects the demographics of the Catholic Church during the first-ever Latin American papacy. "Obviously Italian is the internal language of the Vatican," Burke said in a phone interview. "But half of the Catholic world population is Spanish-speaking, and if you want to speak to the globe, the language is English." Pope Francis shakes hands with Greg Burke at the Vatican, Monday, July 11, 2016. The pontiff has named a former Fox TV correspondent, Greg Burke, to replace his longtime spokesman and tapped Paloma Garcia Ovejero, of Spain, to be his deputy, the first time a woman has held the post. (L'Osservatore Romano/Pool photo via AP) Burke, 56, takes over from the Rev. Federico Lombardi, 73, a Jesuit like Francis who has been Vatican spokesman for a decade. Burke, who is a member of the conservative Opus Dei movement, in December moved in as Lombardi's deputy after working as a communications adviser in the Vatican's secretariat of state since 2012. His deputy will be Paloma Garcia Ovejero, 40, currently the Vatican correspondent for Spanish broadcaster Cadena Cope of the Spanish bishops' conference. Both, therefore, come into the spokesman's office having been part of the Vatican press corps and aware of the needs of a 24/7 news cycle that seems particularly interested in the Francis pontificate. The change is part of an overhaul of the Vatican's entire communications operations that has centralized authority under the new Secretariat for Communications headed by Monsignor Dario Vigano. Vigano presented Burke and Garcia to the Vatican press corps Monday after the three had a tete-a-tete with Francis. Lombardi was named spokesman exactly 10 years ago Monday, adding to his already heavy load as director of Vatican Radio. He won the respect of journalists for his dry humor, reliable readouts and cool amid many Vatican storms. From sex abuse scandals to Emeritus Pope Benedict XVI's historic resignation and the election of a fellow Jesuit as pope, Lombardi rarely seemed to get flustered. Lombardi told The Associated Press on Monday he didn't know what he would do in the future but that "I don't foresee disappearing completely from the Vatican," suggesting a possible informal communications advisory role down the line. He said he had always offered Francis his availability to step aside as part of the Vatican's revamping of its communications operations and said the time simply had come for the change. ___ Follow Nicole Winfield at www.twitter.com/nwinfield Pope Francis poses for a family picture with Greg Burke and Paloma Garcia Ovejero at the Vatican, Monday, July 11, 2016. Pope Francis has named a former Fox TV correspondent, Greg Burke, to replace his longtime spokesman and tapped Paloma Garcia Ovejero, of Spain, to be his deputy, the first time a woman has held the post. (L'Osservatore Romano/Pool photo via AP) Pope Francis greets Paloma Garcia Ovejero, right, and Greg Burke at the Vatican, Monday, July 11, 2016. The pontiff has named a former Fox TV correspondent, Greg Burke, to replace his longtime spokesman and tapped Paloma Garcia Ovejero, of Spain, to be his deputy, the first time a woman has held the post. (L'Osservatore Romano/Pool photo via AP) Giuliani continues sharp criticism of Black Lives Matter NEW YORK (AP) Former New York City Mayor Rudy Giuliani stepped up his sharp criticism of Black Lives Matter on Monday, saying he saved "a lot more black lives" during his time in office than the movement. The Republican made the comments during a morning appearance on "Fox and Friends," where he alluded to his tough-on-crime policies while leading New York and a significant drop in the murder rate during his tenure as mayor. "I believe I saved a lot more black lives than Black Lives Matter. I don't see what Black Lives Matter is doing for blacks other than isolating them," he said. "All it cares about is the police shooting of blacks. It doesn't care about the 90 percent of blacks that are killed by other blacks." FILE - In this Oct. 16, 2014 file photo, lawyer and former New York City Mayor Rudy Giuliani calls for the dismissal of a lawsuit filed against video game giant Activision by former Panamanian dictator Manuel Noriega outside Los Angeles Superior court in Los Angeles. During an appearance on CBS' "Face the Nation" on Sunday, July 10, 2016, Giuliani said saying the term "black lives matter" is "inherently racist." (AP Photo/Damian Dovarganes, File) Giuliani also repeated to Fox an assertion that he first made Sunday on CBS' "Face the Nation," that the term "black lives matter" is racist. "It's inherently racist because, number one, it divides us. All lives matter," he said Monday. Giuliani said he understands that police officers can act improperly and the reasons why the black community may distrust police, but added that he believed the American people were getting the "wrong impression" on the issue because of a continued focus on the Black Lives Matter movement. Alicia Garza, a co-founder of the official Black Lives Matter group, responded directly to Giuliani's remarks Monday during an appearance on MSNBC. "To be honest, he shows a real lack of understanding about what racism actually is," she said. "And then to chastise black people to solve a problem in our communities that is actually rather prevalent in every community is increasingly and incredibly short sighted." The Latest: Virgin Atlantic to buy 8 Airbus A-350-1000s FARNBOROUGH, England (AP) The Latest on the Farnborough International Airshow (all times local): ___ 3 p.m. Virgin boss Richard Branson with Virgin Atlantic staff after they arrive aboard a new Airbus A350 at the Farnborough International Airshow in Farnorough, south England, Monday July 11, 2016. Britain has signed a contract for nine new P-8A Poseidon military aircraft, and Boeing announced Monday a planned expansion for its British operation, as the airshow attracts large international companies to announce their latest plans. (Andrew Matthews / PA via AP) Virgin Atlantic Airways will buy eight A-350-1000s from Airbus in deal that also involves four new aircraft on lease terms. The A-350-1000s are scheduled for delivery starting in 2019 and four aircraft on long-term leases will start in 2020. There will be a lease option for a fifth aircraft. Terms were not disclosed. The aircraft will be deployed initially at London Heathrow to strengthen the company's trans-Atlantic network and subsequently at Gatwick airport on routes to the Caribbean. "The A350-1000 plays a pivotal role in our fleet program, helping to create one of the youngest, cleanest, greenest fleets in the sky," said Virgin Atlantic CEO Craig Kreeger. ___ 2:10 p.m. Boeing said that Norwegian has committed to its GoldCare maintenance coverage for the airlines 737 Max fleet and expanded coverage for the airlines entire 787 Dreamliner fleet. The new services agreement represents the largest commercial services order in Boeing history, the company said. The service will be launched when the first plane is delivered in May of 2017 and coverage will be provided through 2034. ___ 1:45 p.m. Boeing and Standard Chartered Bank announced that the financial institution ordered 10 737-800s in a deal valued at $960 million. In a deal announced Monday at the 2016 Farnborough International Airshow Standard Chartered, said the deal will add to the already 110 aircraft it has on operating lease to clients globally as it grows and diversifies its client base. The company's key markets are Asia, Africa and the Middle East, with a focus on emerging markets. ___ 12:40 p.m. China's Donghai Airlines and Boeing have announced that the airline intends to purchase 25 737 MAX 8s and five 787-9 Dreamliners in a deal valued at more than $4 billion. In a deal announced Monday at the 2016 Farnborough International Airshow, Shenzhen-based Donghai Airlines says its fleet expansion will help satisfy the growing travel market and help build its home base as the transportation hub in southern China. Donghai is converting its business model from cargo services to passenger services and has already order 15 Next-Generation 737-800s and 10 737 MAX 8s in 2013. Wong Cho-Bau, the chairman of the airline, says it is "committed to introducing new next-generation airplanes that deliver the industry-leading fuel efficiency and passenger comfort." Donghai Airlines currently has a fleet of 11 Boeing 737-800s serving for more than 10 cities across China. ___ 11:50 a.m. Britain has signed a contract for nine new P-8A Poseidon military aircraft in the first big deal announced at the Farnborough International Airshow. The Defense Ministry says the cost of delivering the deal, including paying for training of people, infrastructure and necessary support, will be around 3 billion pounds ($3.88 billion) over the next decade. Boeing said Monday it planned to work with the U.K. government to build a new 100 million pound ($129 million) support and training base for the aircraft at RAF Lossiemouth in Scotland. Boeing and the U.K. say a new long-term partnership will bring 2,000 new jobs to the U.K. The deal offers a boost to a government reeling from fears that jobs will be lost amid Britain's decision to leave the European Union. Britain's Prime Minister David Cameron, left, and Virgin boss Richard Branson talk at the Farnborough International Airshow in Farnorough, south England, Monday July 11, 2016. Britain has signed a contract for nine new P-8A Poseidon military aircraft, and Boeing announced Monday a planned expansion for its British operation, as the airshow attracts large international companies to announce their latest plans. (Andrew Matthews / PA via AP) Britain's Prime Minister David Cameron, left, and Virgin boss Richard Branson at the Farnborough International Airshow in Farnorough, south England, Monday July 11, 2016. Britain has signed a contract for nine new P-8A Poseidon military aircraft, and Boeing announced Monday a planned expansion for its British operation, as the airshow attracts large international companies to announce their latest plans. (Andrew Matthews / PA via AP) Israel group: Families sue Facebook over Palestinian attacks JERUSALEM (AP) Israeli and American families of victims of Palestinian attacks filed a $1 billion lawsuit against Facebook, claiming the social network is providing a platform for militants to spread incitement and violence, their lawyers said Monday. Shurat Hadin, an Israeli legal advocacy group, filed the suit on behalf of the five families in New York federal court late Sunday, alleging that Facebook is violating U.S. anti-terrorism laws by providing a service to militant groups that assists them in "recruiting, radicalizing, and instructing terrorists, raising funds, creating fear and carrying out attacks." The lawsuit focuses on the Islamic militant group Hamas, which runs the Gaza Strip and which has fought three wars against Israel since the Palestinian group overran the coastal territory in 2007. Hamas, an armed group sworn to Israel's destruction, has been designated a terrorist organization by the United States. FILE -- In this March 11, 2016 file photo, US military officers stand around the coffin of American Taylor Force, a 28-year-old MBA student at Vanderbilt University and a West Point graduate, who was killed in a stabbing attack, during a private ceremony, at Ben Gurion airport, near Tel Aviv, Israel. An Israeli rights group said Monday, July 11, 2016 that five families of victims of Palestinian attacks, including the family of Force, are suing Facebook, alleging the social network has provided militants with a platform for spreading incitement. The Shurat Hadin group says it's representing the families in the $1 billion lawsuit that claims Facebook is violating the U.S. Anti-Terrorism Act by providing a service to militant groups that helps them in "recruiting, radicalizing, and instructing terrorists." (AP Photo/Ariel Schalit, File) The five families in the lawsuit lost relatives in attacks over the last two years. Four were dual Israeli-American citizens while one victim was an American tourist. "Facebook can't sit in its stone tower in Palo Alto while blood is being spilled here on the streets of Jerusalem and Tel Aviv. It has a social responsibility. It can't serve as a social network for Hamas," said Nitsana Darshan-Leitner, the Israeli lawyer who is representing the families. The suit comes amid a 10-month outburst of Israeli-Palestinian violence that has seen scores of Palestinian attacks targeting Israeli civilians and troops. Israel says the violence is being fueled by a Palestinian campaign of incitement on social media while the Palestinians see it as the result of frustrations over nearly 50 years of Israeli occupation and a lack of hope for their own state. Since mid-September, 34 Israelis and two American tourists have been killed in Palestinian attacks. More than 200 Palestinians have been killed during the same time. The majority of the Palestinians are said by Israel to have been attackers. The rest were killed in clashes with Israeli troops. Among the plaintiffs in the lawsuit is the family of Taylor Force, a 28-year-old U.S. veteran who was visiting Israel in March when he was stabbed to death by a Palestinian. Other plaintiffs include the family of Richard Lakin, an educator and coexistence advocate who was shot on a Jerusalem bus last October, and relatives of Naftali Fraenkel, an Israeli teenager who was kidnapped and killed while hitchhiking in the West Bank two years ago. Lakin's son, Micah Lakin Avni, said the goal of the lawsuit was to get Facebook and other social media companies to "take responsibility" for the content floating around their sites. Avni said that his father was hospitalized for two weeks before he died, and during that time, Avni sat by his bedside trying to figure out what had happened. He said that in his research, he was shocked to see how much violent content was on Facebook. He said Hamas-related pages praised the attack and posted a video re-enactment. One of the attackers, he said, posted a "martyr's" last will and testament. "On Facebook, it's a free for all, because nobody has really called them to task," he said. Facebook had no immediate comment on the lawsuit, saying it had not yet received a copy. But in a statement, it said people need to "feel safe" when using Facebook. "There is no place for content encouraging violence, direct threats, terrorism or hate speech on Facebook," it said. "We have a set of Community Standards to help people understand what is allowed on Facebook, and we urge people to use our reporting tools if they find content that they believe violates our standards so we can investigate and take swift action." The case is among a handful to argue that U.S. anti-terrorism laws should take precedence over the provisions of the Communications Decency Act, which normally shield online companies for liability for what their users post. It is not clear whether the lawsuit will succeed. The court may rule that freedom of expression precedes anti-terror laws. Moreover, while the attackers in the five incidents had links to Hamas, the militant group has stopped short of claiming responsibility for the attacks, suggesting the assailants acted on their own. Benjamin Wittes, a senior fellow at the Brookings Institution in Washington, said the case "appears to be a more compelling complaint" than other similar suits filed in recent months. He said the most interesting argument is that beyond saying Facebook served as a conduit for hate speech, it says the service played a role in specific attacks. "This case will be well worth watching," he said. But Aaron Mackey, a legal fellow at the Electronic Frontier Foundation, a U.S. group promoting civil rights in the digital world, said he believed the lawsuit would fail. He said the plaintiffs would have to prove that Facebook was "actively participating" in terrorist attacks. He also said the Communications Decency Act provides a "broad shield" of protection for online platforms like Facebook. "What they are really asking for is for Facebook to not provide service to certain individuals or to certain parts of the world because they're afraid of the speech that might result," he said. Any attempt to impose broad filters on expression would "sweep up a whole lot of legitimate speech" as well, he said. The suit comes as Israel is considering how to contain what it sees as rampant Palestinian incitement on social media. Public Security Minister Gilad Erdan is preparing a bill meant to rein in content seen as incitement on social media and earlier this month, he said Facebook had become "a monster," adding that the company had "some of the victims' blood" on its hands. Shurat Hadin has challenged Facebook in courts in the past. Last year, it demanded an injunction to have Facebook remove and block incitement to violence. A decision is pending. Such lawsuits are not unprecedented. The father of a young woman killed in the Paris massacre last November is suing Google, Facebook and Twitter, claiming that the companies provided "material support" to extremists in violation of the law. A similar case was brought against Twitter in January by the widow of a contractor killed in an attack on a police training center in Jordan. ___ Dissolving heart stent for coronary diseases The use of a coronary stent that dissolves over time while in the body has been approved by the FDA. This stent is a device that, like biodegradable stitches and absorbable bone screws, melts away when placed in patients who have cardiovascular diseases. Abbott has its FDA stamp with the Abbott Vasculars Absorb GT1 Bioresorbable Vascular Scaffold System, the first coronary artery stent ever created for absorption by the human body and a bold leap in advanced medical technology. This new type of stent reabsorbs completely into the system in three years after implantation, once its done helping arteries in healing. The expectation is that the artery can then return to its natural curvature allowing it to constrict and dilate naturally. The scaffold of the stent is made from polylactic acid which is a type of polymer. The device performed on the same level as a drug-coated metallic stent, also from Abbott, called Xience in a human clinical trial involving some 2,000 patients. The trials found a lightly higher rate of thrombosis in patients who had received Absorb a fact that has made some cardiologists hesitant. But an FDA advisory panel endorsed the stent by a majority, paving the way for approval. Coronary heart disease kills over 370,000 Americans each year, according to the National Heart, Lung and Blood Institute. Coronary stents are only used on some 850,000 patients annually in the U.S. Abbott has not releases a price for the absorbable stents, but did say that these will be only modestly higher than their metal stents. : http://www.immortal.org/27784/dissolving-heart-stent-coronary-diseases-gets-fda-approval/ The Latest: Merkel: UK needs to clarify intentions quickly LONDON (AP) The Latest on the Conservative Party leadership race in Britain (all times local): 8:25 p.m. German Chancellor Angela Merkel says Britain "will have to quickly clarify how it wants to shape its relationship to the European Union in the future." FILE - This Sept. 30, 2013 file photo shows Theresa May. Britain's Conservative Party has confirmed that Theresa May has been elected party leader "with immediate effect" and will become the country's next prime minister. Prime Minister David Cameron has said he will step down on Wednesday July 13, 2016 and May will immediately replace him. (Stefan Rousseau/PA via AP) According to German news agency dpa, the chancellor made the comment Monday night at a reception for diplomatic corps near Berlin, but didn't explicitly refer to the news that Theresa May will become Britain's next prime minister. She said simply that it is now up to the United Kingdom to officially tell the EU that it wants to leave the bloc only then can the procedure begin. Dpa reported that Merkel said the UK would remain an important partner for Germany. According to the dpa, Merkel also said that, "I'm firmly convinced that the European Union is strong enough to absorb this break as well." ___ 6:25 p.m. David Cameron has gone out not with a bang, nor a whimper, but a mystery tune. Seconds after the British prime minister finished telling reporters of his intention to step down from office this week, he walked back through the door of his Downing Street residence and the live microphone he was still wearing caught him in an unguarded musical moment. "Do doooooooo, do doooo," he sang to himself, according to the clip sent by BBC reporter Daniel Sandford. It wasn't immediately clear what tune Cameron was singing, but the social media world poured forth with often-satirical suggestions. One writer opined that Cameron had just unwittingly revealed the secret "Open Sesame" musical code for the Downing Street door. Another theorized that it was Cameron's personal "game over" videogame hook. Some thought they detected a hint of "The West Wing" theme tune. Soon, hastily remastered clips of Cameron's doings accompanied to the beats of Dr. Dre were doing the social media rounds. ___ 5:40 p.m. Incoming British Prime Minister Theresa May says she is "honored and humbled" to be chosen as new leader. May addressed her supporters amid a dramatic and turbulent day, trying to reassure the public with characteristic grit and poise. The point was to underscore that the transfer of power will happen smoothly after the vote favoring a British exit from the European Union, or Brexit. In her brief statement, she repeated that "Brexit means Brexit" and she will make a success of it. One of the most experienced ministers in government, May is set to be the country's new prime minister following 18 tumultuous days in politics since the EU referendum. The 59-year-old became the leader in waiting when her only rival withdrew from the race, citing a need for national stability and continuity following the vote. ___ 5:10 p.m. Britain's Conservative Party has confirmed that Theresa May has been elected party leader "with immediate effect" and will become the country's next prime minister. Graham Brady, who heads the committee running the leadership election, says May was confirmed by the party board after her only remaining rival withdrew from the race. Prime Minister David Cameron has said he will step down on Wednesday and May will immediately replace him. May, who has been Britain's home secretary for six years, will become the country's second female prime minister. ___ 4 p.m. Prime Minister David Cameron says he will step down on Wednesday, making way for Theresa May to succeed him as British leader. Cameron says it's clear May has "the overwhelming support" of Conservative lawmakers. He says May's rival Andrea Leadsom made the right decision to withdraw from the race, ending the party leadership race. Cameron says he will attend a final session of Prime Minister's Questions in the House of Commons on Wednesday before going to visit Queen Elizabeth II and tendering his resignation. The queen has the formal role of appointing the new leader. ___ 3:55 p.m. The eurozone's top official says it's important that Britain's next prime minister gets on with sorting out the implications of Britain's decision to leave the European Union as soon as possible. Speaking after it became apparent that Theresa May could replace David Cameron as soon as this week, Jeroen Dijsselbloem said the next British prime minister will have to "find solutions for the Brexit which has been causing a lot of problems particularly for the U.K., but also for Europe." He said that the "sooner we can sort out this problematic situation the better." Dijsselbloem spoke ahead of a meeting of the eurozone's 19 finance ministers in Brussels. Though Britain's decision to leave the EU isn't a primary topic of discussion, the finance ministers have a number of thorny issues to address that have been elevated in the wake of the referendum result, such as the financial health of Italian banks. ___ 12:40 p.m. The head of the committee running the Conservative leadership contest says it and the party board will meet to discuss confirming Theresa May as the winner of the race and Britain's next prime minister. May's only opponent, Andrea Leadsom, has dropped out of the contest, saying she does not have enough support. Graham Brady, who heads the party's policymaking 1922 Committee, said he would consult the board on Monday before formally confirming that May is the new leader. He did not suggest re-opening the contest, saying May is now the only contender. Asked if May would be declared leader by the end of the day he said "it won't take nine weeks" the time the race had been due to last. ___ 12:25 p.m. Andrea Leadsom, who has withdrawn from the race to be Britain's prime minister, faced a media frenzy over the weekend after comments about the role of motherhood in politics. She said Monday that "business needs certainty" in the wake of Britain's vote to leave the European Union. The vote has unsettled the markets and sent the value of the pound plunging. She said Britain needs a government that will "move quickly to set out what an independent United Kingdom's framework for business looks like. "We now need a new prime minister in place as soon as possible," Leadsom said. ___ 12:20 p.m. Conservative lawmaker Andrea Leadsom one of two candidates to become Britain's prime minister has pulled out of the race. Leadsom says she has concluded she does not have "sufficient support" to win. She says the country needs certainty, not a nine-week leadership race. The announcement leaves Home Secretary Theresa May the only candidate standing to replace David Cameron as Conservative leader and prime minister. The party is expected to say whether it will to re-open the contest to candidates eliminated in earlier rounds, or declare May the winner unopposed. If so, May could be prime minister within days. ___ 12 p.m. One of the two Conservative candidates to be British prime minister has apologized for any hurt she might have caused her rival with comments that suggested being a mother was an advantage in the job. Andrea Leadsom said sorry to Theresa May, who has no children, amid the uproar touched off by her Times of London interview. Leadsom insisted she didn't want motherhood to be part of the campaign. The two women are in a Conservative Party runoff to replace Prime Minister David Cameron, who is resigning after British voters rejected his advice and chose to leave the European Union in a referendum last month. Leadsom told the Times that "I feel that being a mum means you have a very real stake in the future of our country, a tangible stake." Leadsom later accused the newspaper of practicing "gutter journalism" and twisting her sentiments in the story, run under the headline "being a mother gives me edge on May Leadsom." The Times released a recording of part of the interview to show it had quoted Leadsom accurately. Leadsom told Monday's Daily Telegraph newspaper that she believed that having children has "no bearing on the ability to be PM." "I deeply regret that anyone has got the impression that I think otherwise," she said. Leadsom's rivals said both her comments and her subsequent flip-flopping show the junior energy minister doesn't have the experience under pressure required to be prime minister. Her allies accused supporters of May Britain's interior minister of attempting to undermine Leadsom. British politics has been thrown into turmoil by the referendum result, which has sparked leadership struggles in both the governing Conservative and main opposition Labour parties. Labour lawmaker Angela Eagle was Monday launching an attempt to unseat party leader Jeremy Corbyn, a veteran left-winger who has a strong base of support among Labour members but little backing from the party's 229 lawmakers. Labour legislators have passed a no-confidence motion in Corbyn, and many of his top team in Parliament resigned from their jobs to protest his leadership. He is refusing to resign and says he can win a leadership battle, which would be decided by a vote of party members. Many Labour lawmakers believe the staunchly socialist, resolutely uncharismatic Corbyn lacks broad appeal to voters. Eagle said he "doesn't connect enough to win an election." ___ Associated Press writer Danica Kirka contributed to this story. Britain Home Secretary Theresa May officially launches her campaign to become prime minister in Birmingham, England, Monday July 11, 2016. May is embarking on a nationwide campaign to gain support for her bid to become Conservative Party leader and assume the office of Prime Minister, ahead of her rival Andrea Leadsom. (Chris Radburn / PA via AP) Britain's Prime Ministe, David Cameron, makes an announcement Monday July 11, 2016, outside No.10 Downing Street, London, after Theresa May secured her place as the United Kingdom's second female prime minister through the surprise withdrawal of her only rival in the battle to succeed him. Cameron says he will step down on Wednesday, making way for Theresa May to succeed him as British leader. Cameron says it's clear May has "the overwhelming support" of Conservative lawmakers. He says May's rival Andrea Leadsom made the right decision to withdraw from the race, ending the party leadership race. (Philip Toscano/PA via AP) Britain's Home Secretary Theresa May officially launches her campaign to become prime minister in Birmingham, England, Monday July 11, 2016. On the day that May launched her bid, her only contender Andrea Leadsom has announced that she is withdrawing, seemingly leaving Theresa May to assume the position of Conservative Party leader and the office of Prime Minister. (Chris Radburn / PA via AP) FILE- In this file photo dated Monday, July 4, 2016, Andrea Leadsom during the launch of her bid to become the Conservative Party leader in London, Monday, July 4, 2016. Andrea Leadsom announced Monday July 11, 2016, she is withdrawing from the race for party leadership and assuming the position as Britain's Prime Minister, seemingly leaving Theresa May as the sole candidate. (AP Photo/Matt Dunham, FILE) FILE - In this two photo combo, showing the two contenders to become the leader of the Conservative Party and assume the post of Britain's Prime Minister, with Theresa May, left, dated July 5, 2016, and Andrea Leadsom, right, dated July 4, 2016. Andrea Leadsom suggested in an interview published Saturday July 9, 2016, in national newspaper The Times, that her status as a mother gives her an advantage over rival Theresa May, who does not have children. (AP Photos / Matt Dunham, FILE combo) Spain calls in UK ambassador to protest Gibraltar incident MADRID (AP) Spain's Foreign Ministry has called in the British ambassador to protest what it described as reckless behavior by a Gibraltar police boat patrol toward a Spanish police vessel. In a statement, the ministry said Monday it had lodged a serious complaint with Ambassador Simon Manley, saying Gibraltar police had approached a Spanish police vessel twice at great speed Friday and only diverted its direction and avoided a collision at the last moment. It said the action, which occurred in waters between Gibraltar and Spain, had placed both crews at risk. Volkswagen repays European loans early amid diesel fallout BERLIN (AP) Volkswagen says it has decided to repay early a pair of loans from the European Investment Bank totaling 975 million euros (nearly $1.1 billion) as the company grapples with fallout from its diesel-emissions scandal. Volkswagen said Monday that the loans were approved at the end of 2014. In an emailed response to a query about the repayment, it stressed that it was voluntary but didn't specify when they were due for repayment. The company said it decided on the repayment "to defuse the public debate" in view of investigations into the scandal surrounding emissions-cheating software, but that there was no direct connection of any kind between the loans and the diesel issue. Advocates fear more heroin withdrawal deaths in jails LEBANON, Pa. (AP) In the days following her 18-year-old daughter's first arrest on heroin charges, Stephanie Moyer took solace in thinking she would be safe in jail until she got into a treatment program. However, Victoria "Tori" Herr sounded disoriented on a call home three days later. She feared she was dying and begged for something to drink, her mother said. Herr, who had a 10-bag-a-day habit, collapsed following days of severe vomiting and diarrhea at the Lebanon County Correctional Facility. She spent five days in the hospital, then died on Easter Sunday 2015. Stephanie Moyer poses for a photograph in Lebanon, Pa., Friday, July 8, 2016. Moyer's daughter Victoria "Tori" Herr died Easter Sunday 2015 following heroin withdrawal days into her first jail stint. (AP Photo/Matt Rourke) Her case is one of at least a half-dozen deaths nationwide during the last two years involving jail heroin withdrawal, and advocates fear the number will grow given the nation's heroin crisis. Advocates find the deaths particularly troubling because opioid withdrawal, while miserable, is rarely life-threatening if medication, monitoring and intravenous fluids are available. "This is a woman who died because she was detoxing," said Moyer's lawyer, Jonathan Feinberg, who filed a federal civil rights lawsuit Monday. "Had Tori Herr's withdrawal been treated ... she almost certainly would be alive today." Warden Robert Karnes told Moyer that his staff followed "all operational protocols," the lawsuit says. Jail and county officials didn't return calls Monday seeking comment. "This is an emerging, growing problem, and it's hitting communities all over the country. That's exponentially so in jails," said Emma Freudenberger, a co-counsel on the lawsuit. Other withdrawal deaths have been reported at jails around the country: In Oregon, a 26-year-old woman wrote increasingly dire notes to jail staff begging for help before she died after six days behind bars in 2014, The Oregonian reported. Near Detroit, a 32-year-old man lost 50 pounds during a monthlong stay in 2014 as he struggled to withdraw from methadone, opioids and the anti-anxiety drug benzodiazepine. A jail video shows him lying naked on a stone floor during what his family's lawsuit called his slow, painful death. In Colorado, a 25-year-old man died last year after he was prescribed a mixture of drugs to treat his withdrawal symptoms but never received them, according to his family's lawsuit. Dr. Eke Kalu, the general medical director of the Philadelphia prison system, said quitting heroin is one of the "safer withdrawals" compared with alcohol and some other drugs. The city screens inmates to assess their need for medication or IV fluids. Officials couldn't remember an opiate withdrawal death in the past decade. Officials at Rikers Island, in New York, have long run a methadone maintenance program, which experts believe can help detainees kick their habit and lower the risk of relapse. But smaller jails may lack in-house medical units or sufficient monitoring. Advocates say that can amount to cruel and unusual punishment. Freudenberger believes jail officials in Lebanon should have sent Herr to a hospital earlier. Herr was staggering by the time she was taken to the medical unit the last night there, according to Moyer's lawsuit. She was given water and Ensure, but resumed vomiting when she returned to her cell, the lawsuit said. Dehydration brought on by constant vomiting and diarrhea can lead to delirium, an electrolyte imbalance and cardiac damage. Herr also went without oxygen after she collapsed, the suit said. "I'm not a professional, but, as a mother Day 1 I would have taken her to the hospital if I would have seen her vomiting or not keeping things down," Moyer said. Herr graduated from high school in 2014 despite using heroin in the final months, something her mother attributes to her long struggle with anxiety. Moyer last saw her two days before her arrest, when they talked about an inpatient treatment program. "I told her that her name was Victoria and that's close to 'victorious,' and I promised her she would be victorious in getting through it," Moyer said. ___ This story has been corrected to show that one jail was near Detroit, not in Detroit, and that Moyer last saw her daughter two days before her arrest, not one day. A photo provided by Stephanie Moyer shows Moyer's daughter Victoria "Tori" Herr. Herr died Easter Sunday 2015 following heroin withdrawal days into her first jail stint. (Stephanie Moyer via AP) Stephanie Moyer displays one of her daughter Victoria "Tori" Herr's dreams kept on scraps of paper in a jar, during an interview with The Associated Press in Lebanon, Pa., Friday, July 8, 2016. Herr died Easter Sunday 2015 following heroin withdrawal days into her first jail stint. (AP Photo/Matt Rourke) Stephanie Moyer poses for a photograph in Lebanon, Pa., Friday, July 8, 2016. Moyer's daughter Victoria "Tori" Herr died Easter Sunday 2015 following heroin withdrawal days into her first jail stint. (AP Photo/Matt Rourke) Conflict destroyed factories, damaged Yemen economy SANAA, Yemen (AP) Businesses worth millions of dollars have sustained major destruction in Yemen's year-long conflict either by the Saudi-led coalition targeting Shiite rebels or ground fighting and random shelling by the rival parties, an international rights group said Monday. In a lengthy report, Human Rights Watch mainly blamed the coalition for the destruction of the factories saying that it documented airstrikes on 13 key facilities in Yemen since the beginning of the Saudi-led campaign in March 2015, through February 2016. The New York-based watchdog said those airstrikes killed a total of 130 civilians and left hundreds of Yemenis unemployed. The facilities that were hit had produced, stored, and distributed food, medicine, and electricity. It stated that 10 appear "unlawful" meaning there were no military facilities in the vicinity, suggesting also that the airstrikes could amount to "war crimes." HRW said that "taken together, the attacks on factories and other civilian economic structures raise serious concerns that the Saudi-led coalition has deliberately sought to inflict widespread damage to Yemen's production capacity." FILE - In this Thursday, Sept. 17, 2015, file photo, a worker looks at a chocolate factory destroyed by a Saudi-led airstrike in Sanaa, Yemen. Businesses worth millions of dollars have sustained major destruction in Yemens year-long conflict either by the Saudi-led coalition targeting Shiite rebels or ground fighting and random shelling by the rival parties, an international rights group said Monday. (AP Photo/Hani Mohammed) The bombings are coupled with a naval and air embargo imposed on Yemen since March last year, causing severe shortages of fuel, cash and basic necessities as Yemen depends on imports of its 90 percent of its food products. An estimated 19 out of Yemen's 22 governorates are facing severe food insecurity, according to the latest UN figures released on June 22. The latest figure prompted the UN humanitarian Coordinator for Yemen Jamie McGoldrick warns that Yemen is "one of the worst crises in the world and is continuing to get worse." Over the past year, factories for food products, cement, and wood across the country were hit by missiles or caught in crossfires in many cities including Sanaa, the western city of Taiz, the Red Sea port city of Hodeida, and the southern commercial hub of Aden. Mohammed al-Abssi, an economic analyst and blogger, told The Associated Press, "the coalition came to the rescue of Yemen but look at the situation on the ground, all the cement factories were hit by airstrikes without any reason." Yemen is in the grip of a civil war pitting government forces backed by the Saudi-led coalition against the country's Shiite rebels known as Houthis and army units loyal to a former president, who seized the capital in 2014 and forced the internationally-recognized president to flee. The conflict has killed an estimated 9,000 people and pushed the Arab world's poorest country to the brink of famine. It created deep political and security vacuum that enabled both Yemen's al-Qaida branch and an upstart Islamic State affiliate to seize large swaths of land and carry out large-scale attacks. U.N.-mediated peace talks hosted by Kuwait between Yemen's warring sides almost collapsed last month after weeks of failed negotiations while a cease-fire declared by the United Nations since April 10 remains shaky, with both sides reporting numerous breaches. Brig. Gen. Ahmed al-Asiri, the coalition spokesman, has claimed international rights groups and U.N. agencies are issuing misleading reports and depending on the Houthis as the primary source of information. Al-Asiri could not immediately be reached for a comment on the HRW report. HRW said its report was based on interviews with 37 witnesses in the Yemeni capital, Sanaa, and the city of Hodeida, as well as studies of remnants of munitions found at the site of the bombed facilities. It also said that in at least six of the sites, munitions were produced or supplied by the United States and Great Britain. Philippine troops kill 40 Abu Sayyaf extremists in south MANILA, Philippines (AP) Philippine troops have killed 40 Abu Sayyaf extremists and wounded 25 others in two battlefronts in the first major counterterrorism offensive in the south under the new president, the military said Monday. Regional military spokesman Maj. Filemon Tan said 22 militants had been killed and 16 others wounded in the assaults that started last week in the jungles of Sulu, a predominantly Muslim province where the ransom-seeking militants are also believed to be holding a number of foreign hostages. One soldier had been killed in the fighting in impoverished Sulu, about 590 miles (950 kilometers) south of Manila, he said. Indonesian Armed Forces Chief Gen. Gatot Nurmantyo, right, speaks to the media as Foreign Minister Retno Marsudi, second left, listens after their meeting on the abduction of three Indonesians by suspected Abu Sayyaf militants, in Jakarta, Indonesia, Monday, July 11, 2016. The suspected militants kidnapped three Indonesian fishermen on the weekend in the latest of a series of incidents highlighting weak security in the Celebes Sea that borders Malaysia, Indonesia and the Philippines. (AP Photo/Achmad Ibrahim) On the nearby island province of Basilan, 18 Abu Sayyaf fighters had been killed and nine others wounded in a simultaneous offensive centering in the town of Tipo Tipo, according to Tan. The thousands of troops waging the assaults were backed by rocket-firing helicopters and artillery fire. President Rodrigo Duterte, who started his six-year term on June 30, has warned the Abu Sayyaf to stop a wave of ransom kidnappings, saying he would eventually confront them. His military chief said last week a looming offensive would "shock and awe" the extremists. While past presidents have regarded Abu Sayyaf militants as bandits thriving on kidnappings for ransom and extortion, Duterte said last week he would not lump them with criminals. "These were the guys who were driven to desperation," he said. The militants, however, have shown no sign of heeding Duterte's call to stop kidnappings, which he said has sullied the country's image. Indonesian officials said Monday that suspected Abu Sayyaf gunmen kidnapped three Indonesian fishermen over the weekend off Lahad Datu in the Malaysian part of northern Borneo, the latest among several offshore attacks that have sparked a regional security alarm. Washington and Manila list the Abu Sayyaf, which has more than 400 armed fighters, as a terrorist organization for deadly bombings, ransom kidnappings and beheadings over the last three decades. Polish leader recalls 1943 slaughter of Poles by Ukrainians WARSAW, Poland (AP) The leader of Poland's ruling conservative party on Monday commemorated a massacre of Poles by Ukrainians during World War II, describing it as genocide. Jaroslaw Kaczynski, head of the Law and Justice party, laid flowers at a monument in Warsaw to the victims of the Volyn massacre on the 73th anniversary of a key moment in the killings. "We must never let this crime against Poles and any such crime be overlooked, relativized or described as anything but genocide," he said. Several days earlier, Ukrainian President Petro Poroshenko also visited the memorial site to pay tribute to the Polish victims, accompanied by Ukrainian pilot and lawmaker Nadiya Savchenko. Though older Poles still harbor bitter memories about the killings, overall ties remain strong between Poland and Ukraine, both countries that were formerly under Moscow's sway and which have sought closer ties with the West. From 1943-1944, Ukrainian nationalists killed up to 100,000 Poles in Volyn and eastern Galica, areas then in Poland but now in Ukraine. The peak of the killings, which involved Poles being butchered with axes and saws, was on July 11, 1943. South Africa twins accused of plot to blow up US mission JOHANNESBURG (AP) Two sets of South African siblings appeared in court Monday on accusations that include plotting to blow up the U.S. Embassy and various Jewish institutions in South Africa. The four were allegedly planning to fly to Syria, where they were due to undergo training by a terror organization, police spokesman Hangwane Mulaudzi said. They had been under surveillance for nearly a year. "The allegation is that after the training, they would come back to South Africa," Mulaudzi said, adding that security officials hoped there would be more arrests. Twin brothers Brandon-Lee Thulsie and Tony-Lee Thulsie were charged with conspiring to blow up the U.S. Embassy in Pretoria and various Jewish targets. Mulaudzi said the twins were arrested in Johannesburg on Saturday. A provisional charge sheet says the brothers may have links to the Islamic State extremist group. Siblings Fatima Patel and Ibrahim Mohammed Patel appeared in a separate court Monday after a stun grenade and live ammunition were confiscated during a raid on their home outside Johannesburg on Saturday night, said Phindi Louw, a spokeswoman for the National Prosecuting Authority. All four of the accused are expected to have the chance to apply for bail next week. Mulaudzi said the arrests are not connected to the warning the United States issued last month of possible terror attacks in South Africa during the holy month of Ramadan. The South African government accused Washington of creating unnecessary alarm and undermining the country's fight against terror. U.S. State Department spokesman John Kirby praised the work of South African authorities that led to the arrests. "We have full confidence in the South African judicial system to handle this case according to internationally accepted best practices for terrorist cases," he told reporters in Washington. Burberry names Gobbetti as new CEO to replace Bailey LONDON (AP) Burberry PLC replaced Christopher Bailey as its CEO on Monday, ending the project of having him both lead the fashion house and serve as its chief creative officer. The luxury goods maker named Marco Gobbetti, CEO of French luxury brand Celine, as its new chief executive. He will take over in 2017. Bailey will stay on as chief creative officer and as president, overseeing all elements of the brand known for its trench coats and checkered print. FILE - In this Nov. 1, 2012 file photo, fashion designer Christopher Bailey poses during the opening ceremony of the new Burberry flagship store in Hong Kong. Burberry PLC replaced Christopher Bailey as its CEO on Monday July 11, 2016, ending the project of having him both lead the fashion house and serve as its chief creative officer. (AP Photo/Vincent Yu, File) Gobbetti will be responsible for all commercial, operational and financial elements of the business. In many ways, Bailey was a victim of poor timing. The economic slowdown in China the company's main growth market began to bite soon after he was named to the job in October 2013. Sales suffered and Bailey took a pay cut to compensate for disappointing earnings. "On product, Bailey excels," said Anusha Couttigane, senior fashion analyst at Kantar Retail. "On things like pricing strategies, maximizing profitability and thoroughly reviewing every aspect of the business.these simply aren't his main strengths and I'm sure he will welcome the support that Gobbetti will bring in these areas." The company also announced that Julie Brown has been appointed to the new role of chief operating and financial officer, underscoring that management is under review. Carol Fairweather, the chief financial officer, will be leaving the business but will help manage the transition, the company said in a statement. Brown is currently the chief financial officer of medical technology company Smith and Nephew PLC. In many ways, Bailey was a visionary, attempting to bring the company into the digital age. Under his leadership, the company announced plans to combine its menswear and womenswear catwalk shows into a single presentation, and slash the amount of time it takes for customers to obtain items seen on the runway. The move from four shows to two and broke with traditions in the industry. They were meant to bring a closer connection between the experience and glitz of the shows and bring the magic more quickly to the customer. The more I travel on short work trips the less, I realise, I need to pack. Packing for an overnighter is like self-enforced Marie Kondo training: you have to pare back to the essentials because anything more is simply not chic. After a recent European sojourn with a seasoned fashion insider, Im suffering from a case of Competitive Light Packing syndrome. With two bags to her one tote, and three pairs of shoes to her one, I lost. Compared to business travel, beach-holiday packing is a cinch. With no professionalism or polish required, theres no reason to overstuff your suitcase. But these six things you cant do without: 1. Swimsuits/bikinis. Work out the colours that suit your skin tone (the only way to do this, Im afraid, is to try the damn things on) and pack two or three that you can mix and match. image: blue bridesmaid dresses 2. Easy footwear. Were not doing flip-flops any more, people. What you need is a pair of pool slides (one of those rare items where price and practicality meet fashion) or backless espadrilles. 3. An oversized shirt. A long blue cotton shirt was my most-worn item from last years holiday and its on standby once again. Much chicer than a sarong and better for sunburnt shoulders. Get one in a fabric that wont crease i.e not a crisp cotton or linen. 4. Sunglasses with coloured lenses. Best discovery of last summer: my rosy pink-tinted Ray-Ban aviators enhance a suntan. I swear. 5. A squashable sun hat. To maximise luggage space you need a hat that will fold easily. Foldability also makes it less likely to be left on a chair in a beachside restaurant. 6. A loose-cut dress. Embrace the hippy spirit of freedom and adventure, all while hiding your sunburn. No one will ever know. Also Read: long bridesmaid dresses Pokemon Go smartphone game leads woman to body in river RIVERTON, Wyo. (AP) Authorities in central Wyoming are investigating after a woman playing the popular smartphone game Pokemon Go found a man's body in a river. Shayla Wiggens told the Riverton Ranger newspaper (http://tinyurl.com/gop7lrr ) that she spotted the body in the Wind River near the city of Riverton on Friday while playing the new game. It sends players to real-world locations to capture virtual creatures. Fremont County Undersheriff Ryan Lee says the death appears to be accidental and possibly a drowning. He says evidence indicates the man went into the water where he was found. The victim hasn't been identified pending an autopsy. Lee says the FBI is helping in the investigation. It comes as police in Missouri say four teens used Pokemon Go to lure victims to a location and rob them. ___ US sending 560 more troops to Iraq as Mosul push intensifies BAGHDAD (AP) The United States will send 560 more troops to Iraq to transform a freshly retaken air base into a staging hub for the long-awaited battle to recapture Mosul from Islamic State militants, Defense Secretary Ash Carter said Monday. The new American forces should arrive in the coming weeks. Most of the engineers, logistics personnel, security and communications forces will concentrate on building up Qayara air base, about 40 kilometers south of Mosul. They will assist Iraqi forces planning to encircle and eventually retake the biggest city anywhere that has fallen under IS' control. The extremist group captured Mosul in the summer of 2014. It has used the city as a main headquarters since. Visiting U.S. Defense Secretary Ash Carter, left, shakes hands with Iraqi Defense Minister Khaled al-Obeidi at the Ministry of Defense, Baghdad, Iraq, Monday, July 11, 2016. As Carter arrived in Iraq, Monday, he said U.S. and coalition forces will use the newly retaken air base in Qayara as a staging hub as Iraqi security forces move closer to the long-awaited battle to recapture Mosul from Islamic State militants. Carter landed in Baghdad on an unannounced visit and says U.S. advisers are prepared to accompany Iraqi battalions, if needed, as those units move closer to the fight for Mosul. (AP Photo) "These additional U.S. forces will bring unique capabilities to the campaign and provide critical enabler support to Iraqi forces at a key moment in the fight," Carter said on an unannounced visit to the country. Lt. Gen. Sean MacFarland, the top U.S. commander in the fight against the Islamic State group, said they have already received warning orders to deploy and will flow in "relatively soon." Carter announced President Barack Obama's decision as he met about 120 troops in a building at Baghdad's airport, shielded from scorching desert hovering near 100 degrees Fahrenheit. It is Obama's second U.S. troop increase in Iraq in the last three months, and it brings the total U.S. force authorization there to 4,647. Asked how long America will continue to send more forces to the fight, Carter said that the U.S. is determined to defeat IS, and if commanders need for more troops, "I'll ask the president for them." He also said he has offered Iraqi leaders additional help in learning how to detect and counter explosives and roadside bombs, in order to enhance security in Baghdad, where there have been several recent deadly attacks. Carter told reporters that U.S. advisers are prepared to accompany Iraqi battalions in operations, as those units begin the Mosul siege. It's not clear when exactly that will happen. U.S. officials said a team of American troops went into Qayara for a quick site assessment Sunday and left. The airfield has two runways, officials said, making it easier to move supplies and personnel. But the facilities are damaged by years of fighting, and may require repairs to be operational. Officials weren't authorized to talk about the airfield publicly and demanded anonymity. Iraqi forces retook the Qayara air base from the Islamic State group on Saturday. Prime Minister Haider al-Abadi hailed the success and said residents should "get ready for the liberation." Carter called it a strategic victory. Before arriving in Baghdad, he said the base will be a hub to help Iraqi forces "complete the southern-most envelopment of Mosul." He likened Qayara to the eastern city of Makhmour, where U.S. troops set up a fire base for artillery to support advancing Iraqi units. Marine Staff Sgt. Louis F. Cardin was killed there in March in an IS rocket attack. MacFarland said the new forces won't go any closer to the fight than other troops operating out of Makhmour or Taqaddum, a staging base for the battles in Ramadi and Fallujah. Iraqi forces recently retook both cities. American advisers are working at brigade level with Iraqi special operations forces, but haven't accompanied them on operations. Obama in April allowed U.S. troops to assist Iraqi forces at brigade and battalion levels, where they could operate closer to the battle. They would still be behind front lines. They previously were limited to advising at headquarters and division levels, further away. Despite recent battlefield successes, the Islamic State still controls large parts of Iraq. And it continues to launch deadly attacks, including a massive suicide bombing last week at Baghdad's bustling commercial area of Karada. As many as 186 were killed. Visiting U.S. Defense Secretary Ash Carter, center left, accompanied by the Iraqi Defense Minister Khaled al-Obeidi, center right, arrives to the Ministry of Defense in Baghdad, Iraq, Monday, July 11, 2016. As Carter arrived in Iraq, Monday, he said U.S. and coalition forces will use the newly retaken air base in Qayara as a staging hub as Iraqi security forces move closer to the long-awaited battle to recapture Mosul from Islamic State militants. Carter landed in Baghdad on an unannounced visit and says U.S. advisers are prepared to accompany Iraqi battalions, if needed, as those units move closer to the fight for Mosul. (AP Photo) Latest: Protests in Baton Rouge calm after busy weekend BATON ROUGE, La. (AP) The Latest on protests in Louisiana over the shooting death of Alton Sterling in a struggle Tuesday with two Baton Rouge police officers (all times local): 11:15 p.m. Protests in Baton Rouge were low-key on Monday night following a tumultuous weekend marked by arrests and standoffs between protesters and police in riot gear. Police and protesters demonstrate in a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wondered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) Protesters gathered in front of the convenience store where 37-year-old Alton Sterling was fatally shot by police on July 5, sparking days of protests. Outside the store, members of the Nation of Islam renewed calls for boycotts of businesses. Protesters have targeted a boycott of the Mall of Louisiana, a large mall in Baton Rouge. ___ 8:30 p.m. The owner of a convenience store where a Louisiana man was shot and killed by police is suing Baton Rouge police, alleging officers illegally detained him for about six hours after he recorded the confrontation on his cellphone. The video shot by Abdullah Muflahi, the owner of Triple S Food Mart, sparked a wave of anger over the arrest and killing of Alton Sterling, a 37-year-old man who Muflahi allowed to sell CDs in front of his store. Muflahi filed suit in state court Monday. In the suit, he alleges police illegally seized his store's surveillance video equipment without a warrant. The suit also alleges that officers illegally seized his cellphone and did not allow him to call his family or lawyer. The suit says he was kept in the back of a police vehicle for four hours and detained at the Louisiana State Police headquarters for two hours while he was questioned. The suit says Muflahi was "only a witness" and should not have been detained nor had his store equipment seized. Sgt. Don Coppola, a police spokesman, said the department does not comment on pending litigation. ___ 8 p.m. Baton Rouge police allege in a search warrant filed in state court Monday that a 37-year-old man shot and killed by police July 5 refused to place his hands on the hood of a vehicle and that the officers saw a "butt of a gun" in the man's front pocket. An affidavit filed with the warrant, obtained by The Associated Press, provides the most detailed account yet by police of what happened in the deadly altercation with Alton Sterling. Sterling's death and that of a motorist in Minnesota has sparked nationwide protests. Sterling was selling CDs in front of the Triple S Food Mart when two officers confronted him after an anonymous caller said Sterling had threatened him with a gun. The warrant was filed to obtain surveillance video from the store. It says the officers gave Sterling "direct orders to place his hands on the hood of a nearby vehicle." The warrant says Sterling did not obey the order and resisted arrest, at which point the officers "deployed their BRPD issued Tasers." During the arrest, the warrant says the officers saw "the butt of a gun" in Sterling's pocket and that he tried "to reach for the gun from his pocket." Cellphone video of the altercation shows Sterling being struck by a stun gun and tackled by an officer before he is shot several times. ___ 7 p.m. Leaders of the Black Lives Matter movement have delivered to Memphis officials a list of changes they want to see to improve the lives of African-American residents in this majority black city. Minister Devante Hill, an organizer of a protest that blocked Interstate 40 on Sunday night, listed the group's demands during a community meeting with Memphis Mayor Jim Strickland and interim Police Director Michael Rallings at a church Monday. The gathering drew more than 1,200 people, many of whom attended the protest Sunday that also included marches on downtown Memphis streets, including the tourist destination of Beale Street. Traffic on Interstate 40 was blocked in both directions for hours after hundreds of angry Black Lives Matter protesters marched onto the bridge to show their anger about police killings of black people. Police in squad cars tried to stop them, but several hundred had already made their way up the ramp, and the crowd swelled to more than 1,000. ___ 4:50 p.m. The funeral for a Louisiana black man fatally shot by police will be held Friday in Baton Rouge. Alton Sterling's family will hold his funeral at the Southern University F.G. Clark Activity Center. A viewing is scheduled from 8 a.m. to 10:30 a.m., with the service to follow at 11 a.m. Carney and Mackey Funeral Home of Baton Rouge is coordinating arrangements. Sterling, a 37-year-old black man, was killed Tuesday by two white police officers. His death, captured on video by bystanders, has sparked days of protests. ___ 2:15 p.m. A Louisiana civil rights group is criticizing law enforcement officers over their treatment of protesters. The American Civil Liberties Union of Louisiana said Monday that Baton Rouge police "used violent, militarized tactics on groups of people who have gathered peacefully in protest of Alton Sterling's killing." On Sunday, Amnesty International questioned the high number of arrests during Saturday's protests and whether it was a "proportionate response to peaceful protests." Sterling, a 37-year-old black man, was killed Tuesday by two white police officers. His death as well as the video recordings of it captured by bystanders have sparked days of protests. Authorities have arrested about 200 people so far over three days of demonstrations. At times police have used riot gear and military-style vehicles in demonstrations. ___ 1:40 p.m. Louisiana's attorney general says he won't have access to the federal investigation on the Alton Sterling shooting until it's completed and a decision has been made on potential federal charges. Attorney General Jeff Landry's statement came minutes after the district attorney in the parish were Sterling died announced that he was recusing himself from the case. Landry said his department has been advised by the U.S. Attorney's Office that "we will not have access to the ongoing and extensive federal investigation being conducted." He said his office looks forward to "...fulfilling our responsibilities upon completion of the investigation and receipt of the complete investigative materials." The Department of Justice has opened a federal civil rights investigation into Sterling's death. But any decision on whether to pursue other charges such as murder or negligent homicide or assault would generally come from the state. ___ 12:10 p.m. Baton Rouge's top prosecutor says his office hasn't made any decisions on charges against protesters who were arrested over the weekend in demonstrations against killings by police. East Baton Rouge District Attorney Hillar C. Moore III said Monday at a news conference that those decisions will be made on a case-by-case basis. Police made nearly 200 arrests over the weekend in Baton Rouge. Moore also said that he's recusing himself from any state criminal investigation into the shooting death of Alton Sterling, a black man, at the hands of two white police officers. He cited his professional relationship with the parents of one of the officers, Blane Salamoni. Salamoni's parents have both worked for Baton Rouge police, and Moore says they've interacted directly and worked on hundreds of cases in common. ___ 9 a.m. New Orleans residents account for nearly half of the 50 people who were arrested Sunday in Baton Rouge at protests over deadly police shootings. A list released Monday by the East Baton Rouge Parish Sherriff's office shows that 38 of the 50 people arrested at protests that began Sunday are from Louisiana. Twenty-two of them are New Orleans residents, while seven are from Baton Rouge. A Baton Rouge police spokesman, Sgt. Don Coppola, has said that protests have become more violent as protesters from other cities arrived in Baton Rouge. More than 180 people have been arrested at protests in Louisiana since the July 5 fatal shooting of Alton Sterling, a 37-year-old black man, during a struggle with two white Baton Rouge police officers. Most of Sunday's arrests took place near downtown Baton Rouge, where police said they stopped a group of protesters from walking onto Interstate 110. ___ 2:30 a.m. Authorities made more than 160 arrests in Louisiana's capital during a long hot weekend of protests over killings by police, with only one reported injury among the ranks when an officer was hit by a projectile. Police in riot gear kept protesters from entering Interstate 110 in Baton Rouge on Sunday, thwarting a tactic activists have attempted around in the country in the aftermath of the killings of Alton Sterling in Louisiana and Philando Castile in Minnesota. More than a thousand demonstrators left a Black Lives Matter rally in Memphis, Tennessee, and occupied a Mississippi River bridge Sunday night, temporarily halting traffic on Interstate 40. Hundreds walked onto I-264 in Portsmouth, Virginia, marooning motorists for hours. Demonstrators failed to block highways in Atlanta, and in San Francisco in recent days. FILE In this Saturday, July 9, 2016 file photo, A protester is grabbed by police officers in riot gear after she refused to leave the motor way in front of the the Baton Rouge Police Department Headquarters in Baton Rouge, La. Police made nearly 200 arrests in Louisiana's capital city during weekend protests around the country in which people angry over police killings of young black men sought to block some major interstates. (AP Photo/Max Becherer) Protesters march to the state Capitol in Baton Rouge, La., Sunday, July 10, 2016. People are protesting the shooting death of a black man, Alton Sterling, by two white police officers at a convenience store parking lot last week. (Scott Clause/The Daily Advertiser via AP) Protesters demonstrate a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wandered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) Police march toward protesters in a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wondered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) Protesters demonstrate in a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wondered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) Police arrest protesters after dispersing crowds in a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wondered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) Police arrest protesters after dispersing crowds in a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wondered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) Police arrest protesters in a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wondered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) An armored police truck leads a troop of police through a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wondered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) Protesters march to the state Capitol in Baton Rouge, La., Sunday, July 10, 2016. People are protesting the shooting death of a black man, Alton Sterling, by two white police officers at a convenience store parking lot last week. (Scott Clause/The Daily Advertiser via AP) Protesters march to the state Capitol in Baton Rouge, La., Sunday, July 10, 2016. People are protesting the shooting death of a black man, Alton Sterling, by two white police officers at a convenience store parking lot last week. (Scott Clause/The Daily Advertiser via AP) Black Lives Matter protesters gather on the Hernando Desoto Bridge in Memphis, Tenn., Sunday, July 10, 2016. Protesters angry over police killings of black people occupied the key bridge over the Mississippi River, blocking an interstate highway for hours. (Jim Weber/The Commercial Appeal via AP) Black Lives Matter activist DeRay Mckesson talks to the media after his release from the Baton Rouge jail in Baton Rouge, La. on Sunday, July 10, 2016. Mckesson, three journalists and more than 120 other people have been taken into custody in Louisiana over the past two days, authorities said Sunday, after protests over the fatal shooting of an African-American man by two white police officers in Baton Rouge. (AP Photo/Max Becherer) Sirica Bolling raises her fist as she walks down Jefferson Avenue during a Black Lives Matter protest against police brutality in Newport News, Va., Sunday July 10, 2016. (Aileen Devlin/The Daily Press via AP) Protesters embrace after a speaker announced for everyone to hug each other while they surrounded the intersection of 5th and Bryant in San Francisco on Saturday, July 9, 2016. Several hundred protesters outraged by the police killings of black men in Louisiana and Minnesota took to the streets of San Francisco, blocking several roads and freeway on-ramps to the Bay Bridge. (Josh Edelson/San Francisco Chronicle via AP) Protesters rally against the recent fatal shootings by police of black men in San Francisco on Friday, July 8, 2016. The peaceful group marched about two miles to San Francisco City Hall. (AP Photo/Noah Berger) A man sits next to a his protest sign during a rally in San Francisco on Friday, July 8, 2016, against the recent fatal shootings by police of black men in Louisiana and Minnesota. Several hundred demonstrators marched peacefully through the city before rallying at City Hall. (AP Photo/Noah Berger) In this photo taken Thursday, July 7, 2016, demonstrators blocked traffic along Interstate 880 in Oakland, Calif., protesting deadly police shootings of black men. The protest began with a mostly peaceful rally and march. Officer Johnna Watson says in a news release that about 1,000 demonstrators then headed to Interstate 880, bringing traffic to a halt. (Jose Carlos Fajardo/San Jose Mercury News via AP) In this photo taken Thursday, July 7, 2016, protesters climb a semi-truck as they block traffic along Interstate 880 in Oakland, Calif., protesting deadly police shootings of black men. The protest began with a mostly peaceful rally and march. Officer Johnna Watson says in a news release that about 1,000 demonstrators then headed to Interstate 880, bringing traffic to a halt. (Jose Carlos Fajardo/San Jose Mercury News via AP) In this photo taken Thursday, July 7, 2016, protesters march down Broadway during a protest in Oakland, Calif., protesting deadly police shootings of black men. The protest began with a mostly peaceful rally and march. Officer Johnna Watson says in a news release that about 1,000 demonstrators then headed to Interstate 880, bringing traffic to a halt. (Jose Carlos Fajardo/San Jose Mercury News via AP) Protesters head towards Interstate 5 onramp, Thursday, July 7, 2016 in Seattle. Hundreds of people marched through downtown Seattle to protest the police-related shootings of two black men in Louisiana and Minnesota (Grant Hindsley/seattlepi.com via AP) 2 Guantanamo detainees sent to Serbia in latest releases PORT-AU-PRINCE, Haiti (AP) A Tajik and a Yemeni national each held for roughly 14 years at Guantanamo Bay have been freed and sent to the Balkan nation of Serbia, the U.S. Department of Defense announced Monday. The Pentagon said the two men were released from the U.S. base in Cuba after comprehensive security reviews. It identified the Yemeni as Mansur Ahmad Saad al-Dayfi and the Tajik as Muhammadi Davlatov. The latest detainee releases come amid a renewed push by the Obama administration to whittle down the number of men held at the U.S. base in Cuba. On Sunday, another Yemeni prisoner was released and sent to Italy after more than 14 years in custody. FILE - In this Nov. 21, 2013 file photo reviewed by the U.S. military, dawn arrives at the now closed Camp X-Ray, which was used as the first detention facility for al-Qaida and Taliban militants who were captured after the Sept. 11 attacks at Guantanamo Bay Naval Base, Cuba. A Yemeni and a Tajik national who have been held for over a decade at Guantanamo Bay have been freed and sent to the Balkan nation of Serbia, the Pentagon announced Monday, July 11, 2016. The Yemeni national is Mansur Ahmad Saad al-Dayfi and the Takik is Muhammadi Davlatov. (AP Photo/Charles Dharapak, File) The Monday transfers leave 76 prisoners at Guantanamo's detention center, which was opened in January 2002 to hold foreign fighters suspected of links to the Taliban or the al-Qaida terrorist organization. Some two dozen low-level prisoners are expected to be sent home or resettled in other countries in coming weeks. President Barack Obama has been seeking to close the detention center amid opposition from Congress, which has prohibited transferring detainees to the U.S. for any reason. The administration has been working with other countries to resettle detainees who have been cleared for transfer. Lee Wolosky, the U.S. State Department's special envoy for Guantanamo's closure, said Washington is grateful to Serbia for accepting the two men. These are the first detainee transfers to that country. "Serbia now joins other friends and allies in Europe in accepting multiple detainees for resettlement, bringing us closer to our shared goal of closing the facility," Wolosky said in an email. Officials say that before any detainee is transferred, the State Department obtains security assurances from the receiving country. Defense officials, with the intelligence community, also review the receiving nation's ability to mitigate any possible threat. The two men's Pentagon profiles released years ago both asserted they were of high intelligence value and were "likely to pose a threat to the U.S., its interests, and allies." But they were never charged with a crime, and authorities ultimately decided they did not pose a security threat and could be freed. The State Department said Monday that both detainees were unanimously approved for transfer by six U.S. government departments and agencies. The New York-based Center for Constitutional Rights said Davlatov had filed a habeas corpus petition a decade ago challenging the legality of his capture and detention. He been approved for release and was slated to be released to Tajikstan in 2008. However, he obtained a preliminary court injunction against his transfer there due to his arguments that he faced a serious risk of torture or unjust imprisonment there. In a Monday statement, the Center blasted the Obama administration for making "no meaningful efforts to transfer him" for years. He "never should have been brought to Guantanamo, and by the government's own admission he should have been released six years ago," said attorney J. Wells Dixon. ___ On new CD, Cheyenne Jackson says 'This is who I truly am' NEW YORK (AP) Cheyenne Jackson would like to get something off his chest: He's proudly old-fashioned when it comes to music. The Broadway star, whose credits include "All Shook Up" and "Finian's Rainbow," has recorded cast albums and his own pop records, but his heart is in the classics less Sarah McLachlan and more Sarah Vaughan. He's showing his true colors on "Renaissance," a new studio album adapted from his one-man show, "Music of the Mad Men Era." The CD has songs such as "Besame Mucho," ''Somethin' Stupid" and "A Change is Gonna Come." FILE - In this March 20, 2016 file photo, Cheyenne Jackson attends the 33rd Annual Paleyfest: "American Horror Story" in Los Angeles. Jackson released a new studio album, "Renaissance," adapted from his one-man show "Music of the Mad Men Era." (Photo by Richard Shotwell/Invision/AP, File) Jackson also has rejoined FX's "American Horror Story." Last season, he played the husband of Lady Gaga's character. The Associated Press caught up with Jackson to ask about both the music and the horror. AP: The CD has a great mix of songs, including ones by Joni Mitchell, Elton John and Sam Cooke. What connects them? Jackson: Over the last few years, I really have just been searching myself and figuring out what really is my voice. And, to be honest, I had to go back to the beginning of my life and the first music that I ever loved and responded to. And that was the American Songbook and jazz Nat King Cole and Sarah Vaughn and Ella Fitzgerald and Frank Sinatra. As a little kid in the woods up in northern Idaho, it's the stuff that always connected the most with me. AP: You've appeared on Broadway cast albums and written pop songs. Is this stuff more you? Jackson: The thing that makes my heart sing and the thing that connects the most is this style of music. When I first started singing it as a young person, everybody said, 'It's so old-fashioned.' I knew I didn't have a pop sensibility. I didn't listen to the stuff that was on the radio. I listened to older stuff and I kind of felt maybe a little embarrassed by it. As I've gotten older, I've said, 'No, no. This is who I truly am.' So this album is really a coming out, I guess. AP: Is there one song on the album that has lots of meaning? Jackson: Sure, 'A Song for You.' I've never performed it before but I feel like I have, if that makes any sense. It's a song that I've have loved since I was 10. I love the words. I love the melody. I love all the different versions of it. I just thought, 'I want to sing that someday when I can really sing the lyrics and really live them.' I kind of came back to it and I thought, 'Oh, it's the perfect time.' AP: Getting cast in "American Horror Story" seems like a nice stretch for an actor. Jackson: I never thought I'd be involved in a horror fantasy. I was a fan of the show but I didn't necessarily see myself on it in my head. I thought I'm more thought of as a comedic actor coming from '30 Rock' and 'Glee.' I just thought, 'Well, I'll just enjoy it from afar.' So when I was asked, I really had to envision it and then get on set and see if I could do it, to be quite honest. The best thing about it is that it's such a creative space. It's like a theater troupe. Everybody's constantly pushing each other and challenging each other and encouraging each other to make bold, crazy choices. AP: What is it like working with Lady Gaga? Jackson: Getting to know her has been one of the great joys of this last year and a half. I am a huge fan. Prior to meeting her, I saw her in concert maybe six times over the years, from when she was first blowing up to huge arenas and then to her jazz stuff with Tony Bennett. Musically, I think she's just unbelievable. So I was excited. AP: We know everyone is very tight-lipped about Season 6. So what if we asked if you've had to learn any special skills? Jackson: I wish I could say more, but wild horses could not drag it out of my body. Suffice it to say it's very, very exciting, very new and that's all I can say. ___ Online: US envoy 'encourged' by talks on Macedonia crisis SKOPJE, Macedonia (AP) A senior U.S. envoy visiting Macedonia says she's hopeful the country's main political parties can overcome a months-long crisis. Victoria Nuland, assistant secretary of state for European and Eurasian Affairs, said Monday she was encouraged by meetings in the Macedonian capital, Skopje, with party leaders including former prime minister Nikola Gruevski and opposition leader Zoran Zaev. Macedonia has been locked in political crisis for more than a year, with anti-government protests fueled by allegations that the ruling conservatives had authorized wiretaps of opponents and thousands of senior officials. U.S. Assistant Secretary of State Victoria Nuland speaks at a news conference in Tirana, Sunday, July 10, 2016. The United States has urged Western Balkan countries to intensify efforts to consolidate their democracies. Nuland on Sunday started a Balkan tour in Kosovo and will follow with visits to Albania, Macedonia and Serbia. (AP Photo/Hektor Pustina) Political leaders have faced delays in implementing a European Union-brokered agreement to hold early elections. $2.7M worth of cocaine seized off Puerto Rico's north coast SAN JUAN, Puerto Rico (AP) Authorities in Puerto Rico have seized $2.7 million worth of cocaine off the U.S. territory's north coast. Police said Monday that they also arrested two people and are looking for one fugitive. They said the cocaine along with $2,400 worth of marijuana was found aboard a boat docked in the coastal town of Dorado. Thousands of Venezuelans stream over border to Colombia BOGOTA, Colombia (AP) Tens of thousands of Venezuelans streamed across bridges into Colombia over the weekend after Venezuela briefly lifted a year-old border closure to allow people to buy food and medicine. Colombian authorities said 35,000 Venezuelans made the trip Sunday during the 12-hour border opening. The dramatic scenes of the elderly and mothers storming Colombian supermarkets were a stark reminder of how daily life has deteriorated for millions in Venezuela, where the economy has been in a freefall since the 2014 crash in oil prices. Many started waiting before dawn and came back weighed down with flour, cooking oil, diapers and other essentials that have become impossible to find amid 700 percent inflation and severe shortages. The shortages look likely to worsen. Kimberly-Clark Corp. over the weekend announced it was suspending its factory and would stop selling in Venezuela staples like facial tissues and diapers. The government responded by threatening to seize the plant and take over production itself, but its track record for turning around once-thriving private businesses into socialist bulwarks is far from stellar. Magola Penaranda, 60, said she and her two daughters and a grandchild lined up at 6 a.m. for the chance to cross into Colombia. She said she spent about $25 nearly two months earnings at the minimum wage buying items like toilet paper and soap that she hasn't seen for months. "Even if you have lots of money you can't obtain rice," Penaranda told The Associated Press back at her home in San Cristobal, Venezuela. Venezuelan President Nicolas Maduro disputes blames the shortages on his opponents, who he accuses daily of trying to sow economic chaos to oust him from office. He dismissed as a "media show" scenes that went viral last week showing hundreds of desperate women pushing through a border checkpoint and into Colombia. Authorities in Colombia, the country most exposed to Venezuela's crisis, are taking the threat of a humanitarian crisis more seriously. Although estimates vary, as many as 5 million Colombians are believed to reside in Venezuela, many driven from their home by the country's long-running armed conflict. Before the border was shut in August 2015, more than 100,000 people daily used the two main crossings, according to the Venezuelan government. That has shrunk to just 3,000 a day, , many of them students and sick people given special day passes, nonprofit groups working in the region say. "We have to help the Venezuelan people and that's what we're going to do," Colombian Foreign Minister Maria Angelica Holguin said Monday, recalling the warm welcome millions of Colombians received for decades in Venezuela. It's precisely those migrants, many of whom have lived for decades in Venezuela, that represent the biggest spillover risk if the Venezuelan crisis worsens. Colombia already had a small taste of what's at stake when the government hastily had to set up tent cities for thousands of its citizens expelled by Maduro when he closed the 1,378-mile (2,219 kilometer) border to clamp down on criminal gangs smuggling over the border goods and gasoline sold at subsidized prices in Venezuela. Luiz Eladio Perez, who served as Colombia's ambassador to Venezuela until 2015, said there's little preventing as many as 500,000 Colombians plus untold masses of Venezuelans from seeking refuge across the border. If such an exodus does occur, he fears it will overwhelm the Colombian government's ability to respond in a notoriously dangerous and neglected border region. "If there's no food for Venezuelans, than there will be even less for foreigners," said Perez. ___ Associated Press writer Cesar Garcia contributed to this report. ___ 2 firefighters killed in Nevada crash as fires burn in West Two federal firefighters were killed in a crash returning from a wildfire patrol in remote northern Nevada, while crews battled blazes across the Western U.S. Federal fire managers on Monday reported active fires in at least nine states. Here's a look at some of them: ___ A plane drops retardant while battling the Cold Springs Fire near Nederland, as viewed from Sugarloaf, Colo., Sunday, July 10, 2016. Fire authorities are warning that shifting high winds and high temperatures could put homes in danger. The fire that started on Saturday spread quickly. (AP Photo/Brennan Linsley) NEVADA Tire failure may be to blame for a firetruck rollover crash that killed two federal firefighters and injured a third on a remote highway about 37 miles north of Winnemucca, the Nevada Highway Patrol said Monday. The driver, Jacob Omalley, 27, and a passenger, Will Hawkins, 22, both of Winnemucca, were killed in the wreck about 5:20 p.m. Sunday on State Highway 140, about 6 miles from the junction with U.S. Highway 95, Trooper Jim Stewart said. The other passenger, 23-year-old Zachery McElroy of Reno, was being treated Monday at Renown Regional Medical Center in Reno for non-life threatening injuries, he said. The U.S. Bureau of Land Management crew members were returning to Winnemucca from fire-spotting patrol following weekend lightning strikes near the Oregon state line town of Denio, BLM spokesman Stephen Clutter said. The highway patrol and local agencies escorted the bodies of the two dead firefighters during the 165-mile trip on Interstate 80 from Winnemucca to Reno, Clutter and Stewart said. Stewart said the preliminary investigation indicates the truck overturned due to tire failure. ___ COLORADO Five homes have been destroyed by a Colorado wildfire that authorities say two transient men accidentally started. Officials said Monday that two more houses burned. Three homes were confirmed lost Sunday near the mountain town of Nederland, roughly 20 miles west of Boulder. Court documents say 28-year-old Jimmy Andrew Suggs of Vinemont, Alabama, told investigators that he and Zack Ryan Kuykendall didn't put dirt on their campfire to extinguish it, just rocks. They were arrested Sunday at a shelter for people evacuated by the fire, which has burned about a square mile and has been fueled by hot, dry weather. A day before they were coincidentally interviewed by a reporter from the Daily Camera about the fire with Suggs saying they had "never seen anything like it." Some residents have lashed out at Suggs on a Facebook page that appears to be his and expressed frustration with people living in campsites in the area. It's not clear how long Suggs and Kuykendall had been camping in the area along with a 20-year-old woman who investigators say didn't help build the fire. According to the court documents, they told investigators they had been camping in the area at different sites on what turned out to be private property and reading their Bibles. The campfire that sparked the fire was about a mile away from an established campground popular with transients, Boulder County Sheriff's Office division chief Heidi Prentup said. ___ UTAH An unauthorized drone over a southern Utah wildfire was spotted by crews for the fifth time since it ignited nearly a month ago, stirring fears that firefighting aircraft could be at risk of a collision. Firefighters will still use their airplanes and helicopters but face random, illegal drone flights as one more hazard, said Megan Saylors, spokeswoman for a team of agencies fighting the 3.6-square-mile fire about 300 miles south of Salt Lake City. Saylors said aircraft are critical in the battle against the flames burning on a steep ridge above the town of Pine Valley because access for crews on the ground is limited. No aircraft were flying Sunday night during the latest drone sighting, but firefighters had to ground their planes for several hours Friday night during another drone flight, Saylors said. It's unclear if the same unmanned aircraft has been flying over the fire in all five incidents over the past month. ___ CALIFORNIA Crews bracing for another round of dry winds are dousing hot spots Monday as they continue to build containment lines around a smoky fire that forced weekend evacuations in the Santa Clarita Valley north of Los Angeles. Officials said late Sunday that the blaze in the foothills of the Santa Susana Mountains was 85 percent contained after consuming about 1.7 square miles of thick chaparral. The fire sparked Saturday prompted the South Coast Air Quality Management District to issue a smoke advisory through Monday for portions of the valley because of potentially unhealthy air. About 2,000 people sent fleeing from homes in the Stevenson Ranch area were allowed to return Saturday night. Aerial photos showed the flames came to the property line of a ridgetop home that was covered in fire retardant. The cause is under investigation. In this Saturday July 9, 2016 photo, a helicopter prepares for a water drop, fighting a wildfire in Nederland, Colo. (Paul Aiken/Daily Camera via AP) Rachel Klucewicz prepares to evacuate from a wildfire in Nederland, Colo., Sunday July 10, 2016. (Paul Aiken/Daily Camera via AP) The fire continues to burn in Cold Springs near Nederland, Colo. on Sunday, July 10, 2016. (Autumn Parry/The Daily Camera via AP) A helicopter prepares to drop water on a wildfire fire in Nederland, Colo., Sunday, July 10, 2016. (Autumn Parry/Daily Camera via AP) This photo provided by the Boulder County Sheriff's Office shows Jimmy Andrew Suggs. Suggs and another man from Alabama have been arrested in connection with a wildfire that has destroyed three homes and three buildings north of Nederland in Boulder County. (Boulder County Sheriff's Office via AP) Israel puts Palestinians on defensive over 'martyrs' fund' BANI NAIM, West Bank (AP) The family of a Palestinian high school dropout who killed a 13-year-old Jewish settler girl in her sleep last month before being shot dead is now eligible for $350 a month from a Palestinian fund for "martyrs." Israel argues that such stipends for families of Palestinians killed or wounded in the conflict promote violence by rewarding attacks, and has stepped up a campaign against the fund after a series of killings of West Bank settlers. Prime Minister Benjamin Netanyahu called the payments "an incentive for murder," and a government spokesman said that starting next month, Israel would deduct those sums from monthly transfers of taxes and customs it collects on behalf of the Palestinians. This Saturday, July 9, 2016 photo, shows a banner, with pictures of the late Palestinian leader Yasser Arafat, President Mahmoud Abbas and Mohammed Tarayreh, 17, who was killed after breaking into a Jewish settlement on June 30 and stabbing a 13 year-old Israeli girl to death, displayed on a building in the Tarayreh family's West Bank village of Bani Na'im, near Hebron. The Tarayreh family is now eligible for $350 a month from a Palestinian Martyrs Fund. They have also received a notice ordering the demolition of their two-story villa, a standard Israeli retribution for attacks. Arabic on the poster in part reads, "Palestinian National Liberation Movement, Fatah, celebrates its martyr hero Mohammed Tarayreh." (AP Photo/Nasser Nasser) Palestinians scoff at the idea that money, along with alleged anti-Israel incitement, is a key motive for a nearly year-long rash of stabbing, shooting and vehicular attacks on Israelis. They say attackers are driven by despair over the chokehold of half a century of Israeli occupation or a desire to avenge others killed by Israeli troops or armed civilians. "I don't think anyone is willing to sacrifice his life for money. And for us as a family, all the money in the world won't replace my son," said Nasser Tarayreh, a well-to-do merchant from the West Bank town of Bani Naim whose 17-year-old son Mohammed killed a sleeping Israeli girl in the Kiryat Arba settlement on June 30. The elder Tarayreh said that rather than profiting from the attack, as Israel claims, the family will pay dearly. It has received a notice ordering the demolition of its two-story villa. Such demolitions of family homes are standard Israeli retribution for attacks. The clashing views over the fund are further poisoning a toxic atmosphere, at a time when France and Egypt are both making long-shot attempts to restart Israeli-Palestinian negotiations after a decade of paralysis. The fund makes monthly payments to about 35,000 families of Palestinians killed and wounded in the long-running conflict with Israel, with a budget of $170 million this year, according to Palestinian figures. These include families of Palestinian suicide bombers. By comparison, Israel transfers about $125 million a month, or $1.5 billion a year, to the Palestinian Authority in tax and customs rebates, money that belongs to the Palestinians. The transfers are a key revenue source for the cash-strapped autonomy government, and Israel has withheld payment in the past over political disagreements. The martyrs' fund was set up in 1967 by the Palestine Liberation Organization, the group that formally represents all Palestinians. The PLO has been sidelined since the establishment of the Palestinian Authority autonomy government as a result of interim peace deals with Israel in the 1990s. The "martyrs' fund" and another fund supporting families of Palestinians imprisoned by Israel are nominally PLO institutions, but are funded by the Palestinian Authority. The fund for families of several thousand Palestinians held for alleged anti-Israeli activities, from stone-throwing to shooting attacks, has a 2016 budget of $125 million, according to the website of the Palestinian Authority's Finance Ministry. Palestinian officials say welfare payments help victims of Israel's occupation providing stipends to families, scholarships to university students and assistance to widows. "This is a kind of social protection for the family," said Qadora Fares, who heads the Palestinian prisoners' association. "The children of the prisoners and martyrs and wounded have the right to go to schools, hospitals and get food." Israel says the payments glorify terrorism, part of what it sees as a broader trend of "incitement" blamed for fueling renewed violence over the past year. It argues that fiery speeches by Palestinian leaders, venomous posts on social media networks and the naming of public squares after Palestinians who killed Israelis have created a hate-filled climate. Palestinian President Mahmoud Abbas has spoken out against violence, but Israel says his condemnations of recent attacks have been half-hearted. "Terror has become a comfortable business for families," said Israeli Foreign Ministry spokesman Emmanuel Nahshon. "This encourages violence." The next battleground could involve international assistance, as Israel argues that the martyrs' fund is inadvertently subsidized by Western and Arab aid to the Palestinian Authority. The self-rule government receives several hundred million dollars a year in foreign aid. The U.S., a key donor, has passed legislation meant to keep its aid from reaching the fund. As a safeguard, U.S. money is earmarked for specific purposes, and the legislation "requires us to deduct from our own development assistance to the Palestinian Authority amounts equal to payments to individuals for acts of terrorism," said the U.S. Consulate in Jerusalem, in a statement. The debate came into focus after the killing of the Kiryat Arba girl. Tarayreh, the assailant, had written on Facebook he hoped to become a "martyr," a term widely used by Palestinians for anyone killed in violent conflict with Israel. Posters in his hometown praised him as a "heroic martyr." They reflect broad social approval for those seen as willing to sacrifice their lives in the struggle against occupation, regardless of the circumstances in this case the killing of a sleeping girl. Revenge can also provide a motive though it's not clear if it played a role in Tarayreh's case. Two others from Bani Naim, including a cousin of Mohammed, were killed by Israeli soldiers in separate attempts to ram their cars into troops guarding Kiryat Arba. In principle, Tarayreh's parents are now eligible for support from the "martyrs' fund." Each family of a Palestinian killed by Israel receives a base monthly payment of 1,400 shekels ($350), said the head of the fund, Intisar al-Wazir, the widow of PLO military chief Khalil al-Wazir, who was assassinated by Israeli commandos in 1988. If they were married, the amount increases by $100, and $50 is added for each child, she said. Children of those killed by Israel receive support until age 18, or until they begin working. Those attending university receive support until graduation. For some, it's a main source of income. Malehah Awwad, 56, receives about $700 a month for the loss of her two children. Her son Mahmoud was shot dead in 2013 during clashes between Israeli troops and Palestinian stone throwers. Last November, her daughter Hadeel, 14, apparently seeking revenge, was shot dead as she tried to stab Israelis with scissors in Jerusalem. "I have lost my mind," said Awwad. "No one can stand the loss of their daughter or son." Those qualifying for assistance from the fund include people killed during two Palestinian uprisings against occupation, three wars in Gaza and Israel's war in Lebanon in the 1980s. Relatives of about 200 Palestinians killed in the current fighting are also eligible. "They are victims of the occupation," al-Wazir said. FILE -- In this Thursday, June 23, 2016 file photo, a Palestinian boy kisses the body Mahmoud Badran, 15, who was killed when the car he was traveling in was hit by Israeli army fire in what the army said appeared to be an accidental shooting, during his funeral in the West Bank village of Beit Ur al-Tahta, near Ramallah. To Palestinians, the Martyrs Fund has helped generations of needy families whose relatives have been killed, jailed or wounded in fighting with Israel. To Israel, the fund actually promotes violence by rewarding the families of attackers. T-shirts show pictures of Mahmoud and Arabic that reads "to the eternal heavens, the Martyr hero Mahmoud Rafat Badran." (AP Photo/Nasser Nasser) FILE -- In this Thursday, Nov. 20, 2014 file photo, a Palestinian student holds a banner with a picture of Nadim Nuwara, and phrases that read, "Nakba Martyr, hero, an eleventh grade student," who died during clashes in the West Bank along with another youth, Mohammad Abu Daher, in May 2014, in the West Bank city of Ramallah. To Palestinians, the Martyrs Fund has helped generations of needy families whose relatives have been killed, jailed or wounded in fighting with Israel. To Israel, the fund actually promotes violence by rewarding the families of attackers. (AP Photo/Nasser Nasser, File) In this Saturday, July 9, 2016 photo, Raeda Tarayreh, 40, mother of Palestinian Mohammed Nasser Tarayreh, 17, who was killed after breaking into a Jewish settlement on June 30 and stabbing a 13 year old girl to death, poses for a photo holding a poster with pictures of her son Mohammed, Yasser Arafat and President Mahmoud Abbas, at the family house, in the West Bank village of Bani Na'im, near Hebron. The Tarayreh family is now eligible for $350 a month from a Palestinian Martyrs Fund. They have also received a notice ordering the demolition of their two-story villa, a standard Israeli retribution for attacks. Arabic on the poster in part reads, "Palestinian National Liberation Movement, Fatah, celebrates its martyr hero Mohammed Nasser Mahmoud Tarayreh." (AP Photo/Nasser Nasser) This Saturday, July 9, 2016 photo, shows Palestinian flags and banners with pictures of the late Palestinian leader Yasser Arafat, President Mahmoud Abbas and Mohammed Nasser Tarayreh, 17, who was killed after breaking into a Jewish settlement on June 30 and stabbing a 13 year-old Israeli girl to death, at a reception hall for condolences set up by the Tarayreh family, in the West Bank village of Bani Na'im, near Hebron. The family is now eligible for $350 a month from a Palestinian Martyrs Fund. They have also received a notice ordering the demolition of their two-story villa, a standard Israeli retribution for attacks. Arabic on the poster reads, "Palestinian National Liberation Movement, Fatah, welcomes you to celebrate its martyr hero Mohammed Nasser Mahmoud Tarayreh." (AP Photo/Nasser Nasser) FILE - In this June 20, 2016 file photo, relatives of Palestinian Aref Jaradat, a 22-year old who was injured during clashes with Israeli security forces in May 2016, mourn during his funeral in Sair village near the West Bank city of Hebron. To Palestinians, the Martyrs Fund has helped generations of needy families whose relatives have been killed, jailed or wounded in fighting with Israel. To Israel, the fund actually promotes violence by rewarding the families of attackers. Prime Minister Benjamin Netanyahu called the fund an incentive for murder and threatened to deduct the sums paid -- believed to be tens of millions of dollars a year -- from Israeli tax transfers to the Palestinians. (AP Photo/Nasser Shiyoukhi, File) FILE -- In this Oct. 28, 2015 file photo, shows a poster of a 17-year-old Palestinian Dania Ersheid, who was shot dead by Israeli border police as she tried to enter the Muslim section of a Hebron shrine, outside her home in Hebron, West Bank. To Palestinians, the Martyrs Fund has helped generations of needy families whose relatives have been killed, jailed or wounded in fighting with Israel. To Israel, the fund actually promotes violence by rewarding the families of attackers. Israeli Prime Minister Benjamin Netanyahu called the fund an incentive for murder and threatened to deduct the sums paid -- believed to be tens of millions of dollars a year -- from Israeli tax transfers to the Palestinians. Arabic in part reads, "The martyr Dania Jihad Ersheid al-Husseini." (AP Photo/Nasser Shiyoukhi, File) This Saturday, July 9, 2016 photo, shows Rataj Tarayreh, a sister of Palestinian Mohammed Nasser Tarayreh, 17, who was killed after breaking into a Jewish settlement on June 30 and stabbing a 13 year-old girl to death, looking at a poster with pictures of her brother Mohammed, Yasser Arafat and President Mahmoud Abbas, at the family house, in the West Bank village of Bani Na'im, near Hebron. The family is now eligible for $350 a month from a Palestinian Martyrs Fund. They have also received a notice ordering the demolition of their two-story villa, a standard Israeli retribution for attacks. Arabic on the poster in part reads, "Palestinian National Liberation Movement, Fatah, celebrates its martyr hero Mohammed Nasser Mahmoud Tarayreh." (AP Photo/Nasser Nasser) In this Saturday, July 9, 2016 photo, Nasser Tarayreh, center and his wife Raeda, second right, parents of Palestinian Mohammed Nasser Tarayreh, 17, who was killed after breaking into a Jewish settlement on June 30 and stabbing a 13 year old girl to death, pose for a picture with some of their children, in the West Bank village of Bani Na'im, near Hebron. The family is now eligible for $350 a month from a Palestinian Martyrs Fund. They have also received a notice ordering the demolition of their two-story villa, a standard Israeli retribution for attacks. The posters with pictures of Mohammed, Yasser Arafat and President Mahmoud Abbas, in part read, "Palestinian National Liberation Movement, Fatah, celebrates its martyr hero Mohammed Nasser Mahmoud Tarayreh." (AP Photo/Nasser Nasser) FILE -- In this Thursday, June 23, 2016 file photo, Palestinian Amal Badran, left, takes a last look at the body of her son Mahmoud Badran, 15, who was killed when the car he was traveling in was hit by Israeli army fire in what the army said appeared to be an accidental shooting, during his funeral in the West Bank village of Beit Ur al-Tahta, near Ramallah. To Palestinians, the Martyrs Fund has helped generations of needy families whose relatives have been killed, jailed or wounded in fighting with Israel. To Israel, the fund actually promotes violence by rewarding the families of attackers. (AP Photo/Nasser Nasser, File) FILE -- In this July 3, 2015 file photo, Palestinian men hold pictures of Mohammed Abu Khdeir and Arabic that reads, "the martyr and hero Mohammed Abu Khdeir - The martyr of dawn," as they protest next to the Dome of the Rock Mosque in the Al Aqsa Mosque compound, in Jerusalem's old city. Abu Khdeir was abducted and murdered by Israeli extremists in summer of 2014 in a revenge attack after Palestinian militants abducted and murdered three Israeli teenagers. To Palestinians, the Martyrs Fund has helped generations of needy families whose relatives have been killed, jailed or wounded in fighting with Israel. To Israel, the fund actually promotes violence by rewarding the families of attackers. (AP Photo/Mahmoud Illean, File) As youve heard by now, Auschwitz survivor, author, and Nobel Peace Prize winner Elie Wiesel died this week at age 87. Wiesel wrote dozens of books, the first and perhaps most influential of which was Night, a memoir about the horrors he witnessed as a teenager held in the Nazi concentration camps. Never shall I forget that night, the first night in camp, which has turned my life into one long night, seven times cursed and seven times sealed, he wrote famously in the book, which was translated into English in 1960. Never shall I forget that smoke. Never shall I forget the little faces of the children, whose bodies I saw turned into wreaths of smoke beneath a silent blue sky. Never shall I forget those flames which consumed my faith forever. Never shall I forget that nocturnal silence which deprived me, for all eternity, of the desire to live. Never shall I forget those moments which murdered my God and my soul and turned my dreams to dust. Never shall I forget these things, even if I am condemned to live as long as God Himself. Never. The book has become a staple in many middle and high school English classrooms across the United States and around the world. Adam Strom, the director of scholarship and innovation for Facing History & Ourselves, a civic-learning organization that offers a framework for teaching the book , says the intimate, detailed depiction of this period of history told through the eyes of a teenager resonates with other young people. Weisel is raising these large, powerful issues about evil, indifference, dehumanization, the role of God in the face of evil, and parents and children, said Strom. For adolescents, theyre starting to begin to understand the world beyond their communities and become aware of questions of injustice. Reading the book can be a particularly profound experience for students whove seen and felt injustices in their own lives. Young students who come from Bosnia, Rwanda, the Congo with their own stories can find themselves deeply empowered by Wiesels story, said Strom. Its a way to start to understand their own experiences. Hes transforming idea of survivor and refugee into somebody who has moral agency. In an essay for Bustle, freelance writer Amy Sachs describes how reading Night as an 8th grader shook her, and turned the facts about the Holocaust into something she could truly feel. I never would have dreamed that a book as slim as Night would hold so much power. So much humanity and sorrow. So much suffering, she wrote. Night was painful to read. It was so human, and so full of reality, that I found it hard to believe that we were not just allowed to read it at school, but assigned to read it. How could someone so young have lived through so much? ... As we read, we discussed. We felt, and we learned, and we experienced the human side of a tragedy, and I felt myself knowing, for once, what tragedy means. Related stories: A Kentucky jail inmate has died after he was found unresponsive in a restraint chair, a report claims. Jerry Dale Hardwick, 34, was discovered by jailers at Casey County jail on Tuesday evening and later pronounced dead. It came just hours after he was arrested for being drunk and disorderly and fleeing police. Officers responded to reports of two men jumping on the hood of a 2001 Kia. They then spotted Hardwick wondering down the US 127 highway in nothing but underwear. Jerry Hardwick (pictured after being arrested on Tuesday) died in custody. He had been detained for being drunk and disorderly while wondering down a highway in his boxer shorts in Casey County, Kentucky Family: Hardwick pictured with his partner and son in a photo posted on Facebook shortly before his death He was detained and taken to the local detention center. During question he was restrained in a chair due to 'combative behavior', officials said. Police are now conducting an investigation into the death, which they believe to be due to natural causes. Casey County Coroner Curt Demrow told the Advocate Messenger Hardwick had been brought to the jail earlier in the day by the county sheriff's office. The cause of death is pending toxicology results. Hardwick had been arrested on several charges including public intoxication and fleeing or evading police on foot. Long divided: Baton Rouge race relations under new scrutiny BATON ROUGE, La. (AP) "Pink Lives Matter" and "I can't breathe," the Mardi Gras parade float proclaimed as it rolled through Louisiana's capital city, showing a flamingo being beaten with a police baton. The racial divide in Baton Rouge is as old as the city itself. And its annual parade in the Spanish Town neighborhood often features crude humor. But this year, critics said, participants crossed the line with these signs and slogans, referencing the parade's pink flamingo symbol, the Black Lives Matter movement and the last words of Eric Garner, a black man who died after a confrontation with New York police. In Baton Rouge, an invisible, informal line segregates the community, dividing the southern white section from the mostly black part in the north. Breakaway school districts have formed. Some in the south end want to take things further by breaking off completely and forming a new city, St. George. A man speaks as a group of protesters gather against another group of protesters, while authorities watch in Baton Rouge, La., Sunday, July 10, 2016. Police officers responded to reports that protesters were en route to block Interstate 10 and prevent another group of protesters from marching. (Scott Clause/The Daily Advertiser via AP) The division has become even more stark since the shooting death last week of Alton Sterling, a 37-year-old black man, at the hands of two white police officers. Activists, protesters and residents said the shooting captured on video that spurred national attention exposed longstanding inequities in police relations, economics and daily life. Over the weekend, police made nearly 200 arrests in Baton Rouge. Riot police in full gear stopped a group attempting to walk onto the interstate a tactic that activists have increasingly tried as protests are held nationwide. "Baton Rouge is a horribly racist place," said Jasiri Basel, the 37-year-old founder of OurBR.Org, a community group that tries to empower black youths. "You essentially have a divide, a physical divide, in this city." Basel was among mourners and protesters who have gathered regularly at the site of Sterling's death outside a convenience store on a commercial thoroughfare of a working-class, predominantly black neighborhood. Black neighborhoods have been "willfully neglected" by city government, said Mike McClanahan, president of the NAACP branch in Baton Rouge, where 54 percent of 229,000 residents are black. He cited the closing of a state hospital and high schools in neighborhoods where blacks moved after whites fled to the suburbs. "The resources that normally would have come to this side of town didn't come," he said. Poverty also comes into play 31 percent of Baton Rouge's black residents live below the poverty level compared with 17 percent for whites, according to census data. Higher education is a problem, too, McClanahan said. The state's flagship Louisiana State University, with its overwhelmingly white student body, is in south Baton Rouge. The historically black Southern University is in the north. But when black students graduate, they can't expect to find work in Baton Rouge, he said. "Our families are broken up because they have to go to Houston, Atlanta, to find a job," he said. "The best and brightest white kids get to stay here." It's no secret in Baton Rouge that blacks believe they're treated unfairly, said state Rep. C. Denise Marcelle, a black woman. Marcelle, a former city council member running for mayor, described "problems from as far back as I can remember" and distrust in the black community for the police. In April, an officer was placed on administrative leave after a video spread on social media showing him pinning a black 16-year-old boy to the ground and punching him at an Earth Day festival, Baton Rouge media reported. Police said an internal investigation was launched. The results haven't been made public. The Rev. Raymond Jetson, a former lawmaker and now pastor at a church four blocks from the scene of Sterling's death, doesn't dismiss police racism as part of the problem ("I have been called the N-word by a law enforcement official," he said), but he pointed to other issues, such as a lack of economic opportunities. Sterling a father of five made a living by selling CDs in front of the convenience store, Jetson noted. "You should not be surprised to find a man of color selling CDs at night to support his family in north Baton Rouge," he said. "Until we as a community are ready to wrestle with those issues, then we'll keep dancing around incidents like this until the anger dies down and something else happens to gain our attention." The solution is not for the white, southern part of Baton Rouge to create its own city, critics of the effort say. They fear it would promote the flight of more affluent white residents to the new St. George, where proponents say they'd establish an independent school system. Supporters deny any racial motive. A petition effort to trigger a vote failed last year, but a renewed attempt is expected next year. Some residents white and black say they see improvements in Baton Rouge. Race relations have come a long way since Heyward Jeffers, now a 69-year-old lawyer, was a child in segregated schools where open racism was common, he said. Jeffers who is white pointed to East Baton Rouge Parish Mayor-President Kip Holden, a black man elected to three terms by a majority-white electorate. Some say the police department in particular has made improvements. Baton Rouge police came under fire after Hurricane Katrina, when thousands of New Orleans evacuees came to the capital city. Police from various states assisted Baton Rouge, and troopers from New Mexico and Michigan accused police there of harassing blacks, using unnecessary force and conducting illegal searches. The Justice Department later cleared Baton Rouge police. Sterling's death isn't symptomatic of larger problems in the police department, said state Rep. Ted James, a black attorney in the city. He's criticized the shooting, calling it "murder," but he said he trusts Baton Rouge police and the district attorney. "They've done a great job at bridging relationships in the community," James said. "I have friends on the force, and they talk to me all the time about the level of sensitivity training, they talk to me about the level of diversity training that they have." It speaks well of Baton Rouge that no violence has happened there in response to the shooting, said the Rev. Lee Wesley, a black member of the community organization Together Baton Rouge. "It's evident of the kind of community that we have that blacks and whites come together, citizens and public officials, to do what is the right thing to do, to have some resolution to this," he said. __ Associated Press reporters Kevin McGill and Rebecca Santana in New Orleans contributed to this report. Police officers detain a protester as they try to clear streets while protesters were gathering against another group of protesters in Baton Rouge, La., Sunday, July 10, 2016. Police officers responded to reports that protesters were en route to block Interstate 10 and prevent another group of protesters from marching. (Scott Clause/The Daily Advertiser via AP) Police march toward protesters in a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wondered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) Police arrest protesters after dispersing crowds in a residential neighborhood in Baton Rouge, La. on Sunday, July 10, 2016. After an organized protest in downtown Baton Rouge protesters wondered into residential neighborhoods and toward a major highway that caused the police to respond by arresting protesters that refused to disperse. (AP Photo/Max Becherer) Review: 'Ghostbusters' takes aim at misogyny and scores The easy, electric chemistry of the four leads in Paul Feig's "Ghostbusters" acts like a firewall against the supernatural and the adolescent, alike, in this spirited reboot of the 1984 original. Ghouls and anonymous Internet commentators who have flocked to their thumbs-down buttons ahead of the film's release share plenty of characteristics. Each is likely to drool and quickly disappear when you turn on the lights. Feig's "Ghostbusters" ain't afraid of either. Why should he be, anyway? In his corner he has the best comic actor of the decade, Melissa McCarthy, the klutzy wit of Kristen Wiig, "Saturday Night Live" standout Kate McKinnon and the big-screen breakthrough of Leslie Jones, the film's secret weapon. In this image released by Sony Pictures, from left, Leslie Jones, Melissa McCarthy, Kristen Wiig and Kate McKinnon appear in a scene from the film, "Ghostbusters," opening nationwide on July 15. (Hopper Stone/Columbia Pictures, Sony via AP) His "Ghostbusters" makes some winks to the uproar that preceded his gender-swapping film, but it mostly steers straight ahead, too busy being funny to worry much about misogynist detractors. It does, however, pay a lot too much attention to placating "Ghostbusters" fans with the familiar showdowns and iconography of the original two films. I was proudly raised on Bill Murray comedies, but the preciousness many have over a "Ghostbusters" remake is nevertheless mystifying. This isn't "Stripes" we're talking about here. It's not even "Meatballs." Ivan Reitman's "Ghostbusters" equal parts spectacle and deadpan, inspired by "Abbott and Costello Meet Frankenstein" was good, all right, but it wasn't some sanctified ground never to be trod on again. It already spawned a mediocre sequel, after all. Here, the iconic ambulance has been traded for a borrowed hearse and cameos from original stars (excepting Harold Ramis, who died in 2014) have been awkwardly forced in. The team, once assembled, is astonished at the sky-high rent required for the original's firehouse and instead relocates to a Chinatown office above a takeout joint. (The film's New York overall is refreshingly authentic.) After an early ghost sighting (featuring an excellent Zach Woods) and the familiar synths of Ray Parker Jr.'s theme, screenwriters Feig and Katie Dippold bring the foursome together. Wiig is a physics professor trying to make tenure at Columbia but she's disgraced by her latent belief in the paranormal. Her old friend, Abby (McCarthy, reliably solid if somewhat restrained), has stayed on the case, though, with her eccentric gizmo-making sidekick, Jillian (McKinnon). The bug-eyed, fizzy-haired McKinnon is like a blow torch of steampunk fire to the movie. Jones, who plays a subway worker, might have been expected to be the broadest performer of the bunch, given the knockout punch of her "SNL" appearances, but her character is impressively grounded. She's the best of the quartet, though Feig doesn't give her enough to do later in the film. Murray, Ramis, et al excelled at finding laughs when nothing was happening, without seeming to be trying at all. Feig's film never has that anything-can-happen feeling, and it suffers for it. I wish he had let his talented cast truly loose. Big-budget special effects are the enemy of comedy: they suck the air out. In a sense, this "Ghostbusters," which swells to a bloated CGI finale in Times Square, has overpowered one Hollywood specter sexism only to be stifled by another: the all-powerful force of franchise-making. Still, the freewheeling and funny solidarity of the four leads win out in the end, even if Feig shows more timidity than he did in "Bridesmaids," ''The Heat" or "Spy." Chris Hemsworth, playing a ditzy secretary, is one of the most clever stereotype reversals: He's the office eye candy. It feels a little like this "Ghostbusters" was a cultural test that we (not the movie) have already failed. Feig's film may be a feminist milestone: a big ol' popcorn movie taken over by women (something that should have happened long ago and engendered far less vitriol). But it's also simply a breezy good time, one that just happens to culminate with four very funny ladies shooting a monster in the balls. "Ghostbusters," a Columbia Pictures release, is rated PG-13 by the Motion Picture Association of America for "supernatural action and some crude humor." Running time: 116 minutes. Three stars out of four. ___ Follow AP Film Writer Jake Coyle on Twitter at: http://twitter.com/jakecoyleAP In this image released by Sony Pictures, from left, Melissa McCarthy, Kate McKinnon, Kristen Wiig and Leslie Jones appear in a scene from, "Ghostbusters." (Sony Pictures via AP) In this image released by Sony Pictures, from left, Chris Hemsworth, Kristen Wiig, Melissa McCarthy and Kate McKinnon appear in a scene from , "Ghostbusters." (Sony Pictures via AP) The Latest: 2 killed, 1 should survive NV fire truck crash NEDERLAND, Colo. (AP) The Latest on wildfires burning in the Western U.S. (all times local): 7:25 p.m. A federal firefighter injured in a fire-truck crash that killed two other crew members on a remote highway in Nevada is expected to survive. A plane drops retardant while battling the Cold Springs Fire near Nederland, as viewed from Sugarloaf, Colo., Sunday, July 10, 2016. Fire authorities are warning that shifting high winds and high temperatures could put homes in danger. The fire that started on Saturday spread quickly. (AP Photo/Brennan Linsley) The Nevada Highway Patrol says tire failure may have caused the truck to crash Sunday while the three were returning to Winnemucca from a search for lightning-sparked wildfires near the Oregon line. Trooper Jim Stewart says both victims were from Winnemucca. He identified them as Jacob Omalley, the 27-year-old driver, and Will Hawkins, a 22-year-old passenger. Stewart said late Monday the other passenger, 23-year-old Zachery McElroy of Reno, was being treated at Renown Regional Medical Center in Reno for non-life threatening injuries. Stewart said the preliminary investigation indicates the truck overturned due to tire failure Sunday evening on State Route 140 near the junction with U.S. Highway 95. ___ 1:40 p.m. Five homes have been destroyed by a Colorado wildfire that authorities say two transient men accidentally started. Officials said Monday that two more houses burned. Three homes were confirmed lost Sunday near the mountain town of Nederland, roughly 20 miles west of Boulder. Court documents say 28-year-old Jimmy Andrew Suggs of Vinemont, Alabama, told investigators that he and Zack Ryan Kuykendall didn't put dirt on their campfire to extinguish it, just rocks. They were arrested Sunday at a shelter for people evacuated by the fire, which has burned about a square mile. Some residents have lashed out at Suggs on a Facebook page that appears to be his and expressed frustration with people living in campsites in the area. ___ 1:30 p.m. The Nevada Highway Patrol says tire failure may have caused the crash that killed two federal firefighters and injured a third on a remote highway. Trooper Jim Stewart says the three firefighters were the only ones in the vehicle when it crashed Sunday, near the junction of State Highway 140 and U.S. 95. They were returning from looking for lightning-sparked wildfires. The driver was among the two deaths. The injured firefighter was flown by helicopter to a Reno hospital, where he's in stable condition. Their names weren't immediately released. Stewart says the Highway Patrol and local agencies planned Monday to escort the bodies of the two fallen firefighters on the 165-mile trip to Reno. ___ 12:10 p.m. Officials say a crash that killed two federal firefighters and injured a third involved a firefighting truck that rolled over on a remote Nevada highway. U.S. Bureau of Land Management spokesman Stephen Clutter on Monday corrected the location of Sunday's crash to U.S. 95. He says the firefighters were returning from looking for lightning-sparked wildfires near a town on the Oregon state line. Their names and other details of the crash weren't immediately released. Clutter says the injured firefighter was in stable condition after being flown by helicopter to a Reno hospital. BLM spokesman Rudy Evenson says an agency crash investigation team is on the way to the area. ___ 10:55 a.m. Officials have spotted an unauthorized drone over a southern Utah wildfire for the fifth time since it ignited nearly a month ago. Fire spokeswoman Megan Saylors says the unmanned aircraft was seen Sunday night above the blaze about 300 miles south of Salt Lake City. She says no firefighting aircraft were in the air at the time. Firefighters previously had to ground their aircraft to avoid collisions when drones were spotted over the fire Friday night and other times in recent weeks. It's unclear if it was the same drone in all five instances. The 3.6-square-mile fire has been burning on a ridge above the town of Pine Valley. Saylors says a voluntary evacuation is in place for a small part of the town, but officials are reopening campgrounds in the nearby Pine Valley Recreation Area on Monday. ___ 10:30 a.m. Authorities say a vehicle carrying federal firefighters returning from a patrol for lightning-sparked wildfires crashed, killing two of them and injuring another on a remote northern Nevada highway. U.S. Bureau of Land Management spokesman Stephen Clutter said Monday that the crash happened around 5 p.m. Sunday on State Route 140 near the Oregon state line while the three were headed back from duty. Their names and other details of the crash weren't immediately made public. Clutter says the injured firefighter was flown by helicopter to a hospital in Reno. A BLM statement mourns the deaths and injury and says the agency's thoughts and prayers are with the firefighters' families. ___ 9:50 a.m. Two men accused of igniting a Colorado wildfire that has forced the evacuation of 2,000 people talked to a reporter about the blaze before they were arrested. Authorities say 28-year-old Jimmy Andrew Suggs and 26-year-old Zackary Ryan Kuykendall from Vinemont, Alabama, didn't properly extinguish a campfire, causing flames to flare up and spread in hot, windy weather Saturday. They were arrested Sunday at an evacuation shelter. The pair and a woman camping with them told the Daily Camera newspaper of Boulder (http://tinyurl.com/j85uft9) that they saw the fire soon after it started. Suggs says they had "never seen anything like it." The fire has burned about a square mile in the foothills roughly 20 miles west of Boulder and has destroyed three homes. Gusty winds threaten to fan the flames Monday. A helicopter prepares to drop water on a wildfire fire in Nederland, Colo., Sunday, July 10, 2016. (Autumn Parry/Daily Camera via AP) Rachel Klucewicz prepares to evacuate from a wildfire in Nederland, Colo., Sunday July 10, 2016. (Paul Aiken/Daily Camera via AP) Michael Reed pets one of his burrows at the large animal evacuation site at the Chaffee County Fairground in Salida, Colo., on Monday, July 11, 2016. Reed was forced to evacuate his home in the Fox Creek subdivision. (Ryan Jones/The Gazette via AP) Indicted congresswoman claims persecution by US prosecutors JACKSONVILLE, Fla. (AP) A Florida congresswoman indicted on fraud charges is telling supporters she's being "persecuted" by federal authorities because she's black. U.S. Rep. Corrine Brown, D-Fla., and her chief of staff pleaded not guilty after being indicted last week on multiple fraud charges and other federal offenses. In a statement posted Sunday on her campaign website, Brown says her indictment was part of a "very rough" week that included a black man fatally shooting five officers in Dallas and the killings in Louisiana and Minnesota of two black men by white police officers. Brown proclaimed her innocence, saying the indictment was "very scary." She wrote: "I'm not the first black elected official to be persecuted and, sad to say, I won't be the last." US, Israel Jewish leaders demand right to pray in Jerusalem JERUSALEM (AP) The president of America's Union for Reform Judaism blasted the Israeli government Monday for delaying a plan to give liberal Jews a special space to pray at a Jerusalem holy site, and vowed to escalate his movement's struggle with public demonstrations and legal action. "For this government agreement to collapse would be the signal of a real rupture of the North American-Israel relationship," said Rabbi Rick Jacobs. The liberal Reform Movement is the largest American stream of Judaism, claiming to represent 1.5 million people. Reform congregations hold mixed-gender prayers, employ female rabbis and have members who drive to synagogue on the Sabbath - all customs that go against Orthodox tradition. Reform synagogues are common in the United States but the movement is marginal in Israel. In January Israel announced it would enlarge and officially recognize a special mixed-gender prayer area at the Western Wall in Jerusalem. The wall, believed to be a retaining wall of the Second Temple, is the holiest place where Jews can pray. Leaders of liberal streams of Judaism in Israel and the U.S. negotiated with Israeli authorities for three years to obtain the agreement. Yet the plan to expand the egalitarian space has stalled since. The Western Wall is managed by an ultra-Orthodox rabbi who opposes Reform customs on the holy site. In the meantime, Reform Jews have increased their prayer services near the traditional prayer plaza of the Western Wall, against the objections of the site's rabbi. Hecklers have attacked activists as they prayed. Last week, an ultra-Orthodox man tore apart a prayer book belonging to an activist with Women of the Wall, a group demanding gender equality at the site. Stealth candidate Theresa May to be UK's next leader LONDON (AP) After all of the flamboyant characters and very public backstabbing in the race to become Britain's next prime minister, the winner turned out to be an understated workhorse who maintained a low profile throughout the campaign. Home Secretary Theresa May, 59, is not well-known internationally, but she has served for six years in one of Britain's toughest jobs, playing an important role in counter-terrorism policy, and will now take charge of delicate negotiations to separate Britain from the European Union. She was less visible and less talked-about as a likely future prime minister than Treasury Chief George Osborne and former London Mayor Boris Johnson, but she proved to be the stealth candidate, outmaneuvering both in the intense competition to follow Cameron at 10 Downing Street. Britain's Theresa May is applauded by Conservative Party members of parliament outside the Houses of Parliament in London, Monday July 11, 2016. Britain's Conservative Party has confirmed that Theresa May has been elected party leader "with immediate effect" and will become the country's next prime minister. Prime Minister David Cameron has said he will step down on Wednesday July 13, 2016 and May will immediately replace him. (AP Photo/Max Nash) During the EU referendum campaign, Osborne was passionate about remaining in the EU, and lost his leadership hopes when voters turned the other way. Johnson led the campaign to take Britain out of the EU, but never formally entered the leadership race because of dwindling support among his party's lawmakers. By contrast, May stayed largely out of the referendum fray. She tepidly backed remaining in the EU in a single speech, then remained largely out of sight as the behemoths of the Conservative Party including Cameron and Justice Secretary Michael Gove did each other in. "We do have this remarkable situation in British politics now where the people who led a fantastically successful campaign that got 17 million people to vote to leave the European Union have all but disappeared," said Anand Menon, Professor of European Politics at King's College London. May's triumph is no surprise to colleagues who say she is cool and calm under pressure. She has grown in confidence and stature after six years in the limelight, projecting authority in front of TV cameras that once made her nervous. She is not flashy, does not call attention to herself, and had seemed content with her public role as a loyal Cameron backer. There is no doubt she has her critics. Conservative Party elder statesman Kenneth Clarke last week called her a "bloody difficult woman" in an unguarded moment when he didn't know he was being filmed. Others praise her open-minded approach. Lynne Featherstone, a Liberal Democrat in the House of Lords who played a key role in winning support for same-sex marriage in Britain, said May at first opposed the measure but eventually helped make it the law of the land. "Theresa May changed her view and by time I authored same sex marriage law she backed me all the way unsung hero," Featherstone tweeted Monday. May has long seemed aware that the Conservative Party is saddled with an elitist, out-of-touch image. Serving as party chairwoman in 2002, she warned that the Conservatives had become known as "the nasty party" and needed to change their ways and broaden their appeal. In her brief, successful leadership campaign, she took a more populist stance somewhat at odds with her "law and order" image. She emphasized the need for more equal opportunity and fairness within Britain. "Right now, if you're born poor, you will die on average nine years earlier than others," she said. "If you're black, you're treated more harshly by the criminal justice system than if you're white. If you're a white, working-class boy, you're less likely than anybody else to go to university. If you're at a state school, you're less likely to reach the top professions than if you're educated privately. If you're a woman, you still earn less than a man." As home secretary, May has earned a reputation as a reliable, even-tempered minister who capably ran a sprawling department responsible for counter-terrorism policy, policing, immigration, border control and drug policy. She was criticized at times for problems with border staffing but generally got high marks from politicians and the media while taking a hard line on national security matters and calling for easier deportation of extremists. She took steps to limit the "stop and search" powers of police seen as unfair to young minority men and used her position to criticize police and firefighting departments for lacking diversity. At the same time, she has spoken out about unchecked immigration into Britain, stating that current levels are too high. May ran a largely scandal-free department and managed to keep her personal life out of the news the only exception being a slight media fascination with her impressive collection of shoes. She has spoken out at times about living with diabetes and colleagues have said they don't believe the illness will have any impact on her ability to serve as prime minister. May is a vicar's daughter who came up through Conservative Party ranks, working behind the scenes at her local Conservative Association before becoming a city councilor in a London borough, then entering Parliament in 1997. Her position within the party was helped when she served as its chairwoman in 2002 and 2003. Like several other top leaders in her party, May was educated at Oxford, where she was introduced to her future husband Philip by Benazir Bhutto, who went on to become Pakistan's leader before she was assassinated. They met at a student Conservative Association disco at the height of the disco era and first bonded over their mutual love of cricket. She worked at the Bank of England and later as a financial consultant and international affairs adviser at the Association for Payment Clearing Services before entering politics. She married Philip in 1980. The couple did not have children which was briefly a bone of contention during the abbreviated leadership campaign when a rival suggested having children made a person better qualified to serve as prime minister. May is known as a private person who does not easily bare her soul in public. She has only rarely spoken about her father's death in a car accident one year after her marriage or the disappointment of not being able to have a child. Stressing her extensive experience near the top of government, her campaign for the top job was launched with the slogan "Theresa May is ready to be prime minister from Day One." Day One will be Wednesday, when she is expected to visit Queen Elizabeth II at Buckingham Palace before assuming her formidable new role. FILE - In this Thursday May 13, 2010 file photo, Theresa May, the newly appointed Home Secretary, arrives for the first cabinet meeting at British Prime Minister David Cameron's official residence at 10 Downing Street in central London. Britain's Conservative Party has confirmed that Theresa May has been elected party leader "with immediate effect" and will become the country's next prime minister. Prime Minister David Cameron has said he will step down on Wednesday July 13, 2016 and May will immediately replace him. (AP Photo/Lefteris Pitarakis, File) FILE - In this Tuesday, Oct. 5, 2010 file photo, Britain's Home Secretary Theresa May delivers a keynote speech at the Conservative party conference in Birmingham, England. Britain's Conservative Party has confirmed that Theresa May has been elected party leader "with immediate effect" and will become the country's next prime minister. Prime Minister David Cameron has said he will step down on Wednesday July 13, 2016 and May will immediately replace him. (AP Photo/Kirsty Wigglesworth, File) FILE - This May 9, 2003 file photo shows Theresa May. Britain's Conservative Party has confirmed that Theresa May has been elected party leader "with immediate effect" and will become the country's next prime minister. Prime Minister David Cameron has said he will step down on Wednesday July 13, 2016 and May will immediately replace him. (Tim Ockenden/PA via AP) FILE - This Sept. 30, 2013 file photo shows Theresa May. Britain's Conservative Party has confirmed that Theresa May has been elected party leader "with immediate effect" and will become the country's next prime minister. Prime Minister David Cameron has said he will step down on Wednesday July 13, 2016 and May will immediately replace him. (Stefan Rousseau/PA via AP) Italian police examine body in search for missing US airman MILAN (AP) Italian authorities are investigating whether a body found in the Adriatic Sea could be related to the disappearance of a U.S. airman some 40 kilometers (30 miles) away, police said Monday. "We are in a phase of complete hypothesis," said Carabinieri Maj. Salvino Macli, adding that it could take days to identify the body. The body, found over the weekend, appeared to have been in the water for a long time. Macli said canals in the area where Staff Sgt. Halex Hale was last seen empty out into the Adriatic, raising the possibility that the airman could have fallen into a canal. This undated photo provided by Aviano Air Base shows Air Force Staff Sgt. Halex Hale. The U.S. Air Force is searching for Hale, 24, of Middletown, Indiana who is assigned to the 31st Fighter Wing at Aviano Air Base, who vanished last week in Italy. (Aviano Air Base via AP) The 24-year-old from Middletown, Indiana, who is assigned to the 31st Fighter Wing at Aviano Air Base north of Venice, went missing on July 2 after leaving a friend's cookout off-base to walk to another friend's home about 15 minutes away. Macli said Hale left behind his cellphone, car and wallet at the cookout. Italian authorities, which have jurisdiction since Hale went missing off base, called off the active search involving divers and dogs, on Saturday evening after yielding no concrete clues. Macli said they are continuing the investigation and have widely circulated a missing person's report. Red Cross collecting samples to ID missing from Lebanon war BEIRUT (AP) Habib Wehbe disappeared in 1976, in the first year of Lebanon's 15-year civil war. He was 25 years old, young and politically engaged a contributing writer to the Lebanese Communist Party's flagship newspaper and a secondary school teacher in the capital's suburbs. Forty years later and still missing, his bereaved sisters are now providing DNA samples to the International Committee for the Red Cross as part of an initiative that the organization hopes will encourage Lebanon's government to solve thousands of disappearances dating back to the country's civil war. "My mother looked for him every day for six years," said his sister Zeinab Wehbe in her apartment in Beirut's crowded Ghobeiry neighborhood. "She looked everywhere every mosque, every church, every police station, hospital, and school." A member of the International Committee for the Red Cross takes a saliva sample from Zeinab Ali Wehbe, sister's of Habib Ali Wehbe who went missing in 1976 during the Lebanese civil war, at her home, in the southern suburb of Beirut, Lebanon, Monday, July 11, 2016. The ICRC is collecting biological samples from families of those missing in Lebanons 1975-1990 civil war in efforts to encourage the countrys government to solve thousands of disappearances from that era. (AP Photo/Hassan Ammar) Wehbe's friends told his family they had last seen him in Beirut's working class eastern suburbs, which were the center stage for many of the massacres and sectarian cleansing that came to define the first two years of the war. For the Wehbe family, and for thousands of others in Lebanon, that search was and remains to this day a futile one, chasing ghosts in a country that has still not healed from the scars of the war. After 15 years of shifting alliances and destructive foreign interventions, the war was not so much resolved as it was settled, its surviving warlords dividing up the country's top political posts. Successive governments have failed to open official inquiries into the fates of thousands of those who disappeared. Now, the ICRC has started collecting biological samples from the families of the missing, a step that will allow for the extraction of DNA and identification of human remains if and when a national commission is formed by the government. The presumption is that the bodies of the thousands who disappeared could someday be found in yet undiscovered mass graves, burial sites or even just scattered across the country. ICRC has interviewed 2,500 families of disappeared persons, and says it plans to collect samples from all of them over the next year. "Our goal is to help the victims of the civil war," said ICRC spokesman Tarek Wheibe. On Monday, three of Habib Wehbi's younger sisters Zeinab, Umalbaneen, and Amina offered forensic evidence to the International Committee for the Red Cross. It was the first time they were approached in 40 years for a sample that could someday help investigators identify their missing brother. One by one, the sisters adolescents when Habib disappeared, now adult women, mothers and aunts allowed ICRC technicians to swab their cheeks and gums for saliva samples, which will be stored at the international organization's laboratories and Lebanon's national police force for a time when the government opens its investigations into the war. "We are doing the government's work, but there is only so much we can do," said Wheibe. "There is a bill to authorize a national investigation into the disappeared with the parliament. If it is passed, then the work we do today will help investigators to move forward as quickly as possible." For the sisters, they know there is little possibility of finding their brother alive, but still they seek some closure. "Even if we can just recover his bones, then we can bury him with the family," said Zeinab. Q&A: Superbug precursor found in US again NEW YORK (AP) A New York City patient was infected with bacteria that had a special type of resistance to antibiotics last year, the earliest known case in the U.S. of bacteria that could lead to a superbug impervious to medications. The bacteria were found in a patient who was treated in May of 2015 and reported in a study published Monday. They were discovered by an Iowa company that's been testing thousands of bacteria collected from patients from around the world over the last two years. The company, JMI Laboratories, found hundreds globally that were resistant to colistin, an old, powerful antibiotic that is now seen as a drug of last resort. Health officials worry that these bacteria will spread their resistance to last-resort antibiotics to other bacteria that are already resistant to front-line antibiotics, creating germs that can't be killed by any known drugs. This 2006 colorized scanning electron micrograph image made available by the Centers for Disease Control and Prevention shows the O157:H7 strain of the E. coli bacteria. Bacteria with a special type of resistance to antibiotics have been found for a second time in the U.S., increasing worries that the country will soon see a superbug that cannot be treated with known medications. This case, first reported in a medical journal Monday, July 11, 2016, occurred a year earlier in New York. (Janice Carr/CDC via AP) A similar infection was reported in a Pennsylvania woman earlier this year and initially reported as the first known U.S. case. But the New York case happened almost a year before, and scientists now believe these bacteria were likely in people in the U.S. even earlier. WHY ARE PEOPLE WORRIED? Since the 1940s, doctors have used antibiotics to beat back a large number of dangerous bacterial diseases. Over the decades, bacteria have adapted to become resistant to more and more of the drugs. An exception has been an old antibiotic called colistin. But recently scientists have spotted evidence of colistin-resistant infections in animals and people in China, Europe and Canada. Now, at least two human infections have also been seen in the United States. ARE THESE COLISTIN-RESISTANT GERMS SOME NEW BREED OF BACTERIA? No. In both the U.S. cases, they were E. coli bacteria, a common type of germ found in the gut. In both cases, while they were resistant to colistin, they were vulnerable to more common antibiotics and were not hard to treat. "It's not an immediate threat," said Mariana Castanheira, one of the study's authors. SO WHAT'S THE PROBLEM? Bacteria often mingle and swap genetic material. The E. coli bacteria in New York and Pennsylvania were vulnerable to other antibiotics, but some other germs are nearly impervious. Colistin is reserved for germs that already resist one of the other last lines of defense antibiotics called carbapenems. If carbapenem-resistant bacteria absorb the colistin-resistance gene, that could set the stage for creation of supergerms impervious to all known antibiotics. WHEN DID THIS FOR OF ANTIBIOTIC RESISTANCE FIRST APPEAR? Scientists think the colistin-resistant gene was in bacteria in livestock in China as far back as the 1980s. Reports of these bacteria in humans date back to 2008, and since have been confirmed in Asia, Europe, Canada and the United States. Until this study, none of the reported U.S. infections were thought to have occurred before this year, when colistin resistance was detected in pigs in Illinois and South Carolina, and in the 49-year-old Pennsylvania woman who had gone to a military clinic with symptoms of a urinary tract infection. But the new report found the New York case in 2015, and Castanheira said it's likely colistin-resistant bacteria were in the United States before that. WHAT MORE IS KNOWN ABOUT THE CASE? No additional details about that patient were released by the researchers or in their study, published online Monday in Antimicrobial Agents and Chemotherapy, a journal of the American Society of Microbiology. The authors believe it is the most comprehensive search to date of bacteria that have the colistin-resistant gene, which is known as mcr-1. ___ Online: This post is by Bryant Best, who works in the Innovation Lab Network for the Council of Chief State School Officers. Find him on Twitter @dr_bryantbest. What if I told you that the land of the American Dream has over 5.5 million job openings that employers are desperate to fill? And what if I told you that young, educated people are hungry to start their careers and their own American Dream, only to find out that they dont have the credentials necessary to begin? Some might say that there is a skills gap. Others might say there is an opportunity gap. Whatever the case, one thing is clear: Educators and industry leaders arent communicating on what needs to be done to prepare our students for high-demand, high-wage jobs. And last month, Jobs for the Future hosted a summit to address just that. Jobs for the Future is a national nonprofit committed to providing educational and economic opportunities for every American by way of innovative career pathways, policies, and resources that lead to more college and career ready individuals, a highly skilled workforce, and overall economic growth. In June 2016, the organization hosted a national summit in New Orleans, Louisiana titled Voices for Opportunity and Economic Mobility . At this summit, more than 650 employers, educators, policymakers and researchers convened to discuss key issues that arise in this work and real solutions to solve them. As an education professional who is extremely passionate about empowering traditionally underserved communities, I was particularly intrigued by and honestly a bit skeptical of some of the session titles and descriptions. Are these presenters going to speak on the true barriers to economic mobility or will they blow smoke? Is it feasible to consider tax policy as a means of generating wealth for all Americans or will the session takeaway amount to a promising idea that requires further exploration? Have these national experts really found new ways to braid federal, state, and private funding to support local innovative career pathways or will the suggestions provided fall short as district and school leaders hang their heads in defeat? Let me be the first to say that this summit did not disappoint. One compelling breakout discussed how to build Cybersecurity pathways in high school and college. Cybersecurity is a high-demand, high-wage field that is expected to have approximately 1.5 million new job openings within the next four years. With this in mind, Reserve Officers Training Corps (ROTC) and Information Technology (IT) instructors at Montachusett Regional Vocational Technical School (Monty Tech) are teaming up to offer their students cybersecurity boot camps that will prepare them to later become Information Security Officers, Cyber Threat Analysts, and even Behavioral Analysts, all while teaching them the critical deeper learning skills of effective communication, collaboration, and an academic mindset. Not only is Monty Tech committed to developing a set of Cybersecurity Competencies that are specific to individual fields such as health and finance, but its students regularly compete in CyberPatriot , a national youth cyber education program and competition hosted by the Air Force Association. Monty Tech students are so immersed in the opportunities the competition provides that they have, in the past, used their first place prize money to further their own education in the field. In addition to engaging in a national network of cyber education professionals and future professionals, Monty Tech students also have the opportunity to earn industry-recognized certifications in computer service and website development programs, such as A+ and CIW, that prepare them for both college and career. Similarly, the John Jay College of Criminal Justice (JJC) offers a major in Computer Science and Information Security, along with technology-focused career advising and a credit-bearing, semester-long Virtual Internship co-taught by JJC faculty and a professional in the field, such as an employee at the Federal Reserve Bank of New York. The internship gives students opportunities to perform real-world risk assessments that help reputable companies maintain best practices of security while simultaneously building up the students digital portfolio. Industry mentors from firms such as Morgan Stanley and BlackRock provide continuous, personalized feedback to students, and students commonly conclude their internship with a notable reference, resume support, and a series of mock interviews. With all this in place, its no wonder that approximately half of JJC graduates go on to work in public service such as the New York Police Department, the Federal Bureau of Investigation, and the Office of the District Attorney. Considering the fact that 50 percent of JJCs students are the first in their family to go to college and that 80 percent of JJC students graduate debt-free, these are the types of partnerships that move the needle on economic mobility. And with programs like GenCyber offering generous amounts of funding and support to Cybersecurity enthusiasts--students and teachers alike--this pathway shows tons of promise. So once a district or state leader has a promising pathway to invest in, how does he or she bring it to scale? This question was answered in a different breakout, using examples from two Pathways to Prosperity (P2P) states. In Tennessee, education stakeholders desired to help students better meet industry needs. So they created several statewide pathway initiatives, including the Tennessee Promise , Tennessee Leap , and Workforce 360 . Collectively, these and other programs arrange for students to receive up to two years of free community college education, learn the skills employers need most, and hit the workforce running. Tennessee has maximized program effectiveness by establishing communities that include stakeholders in K-12 education, the Tennessee Higher Education Commission, and the Tennessee Business Roundtable. Delaware, another P2P state, is also a recipient of the New Skills for Youth grant awarded by the Council of Chief State School Officers (CCSSO). Delaware has a statewide focus on credentialing work experience that addresses specific employer needs and funding to further the education of high school graduates, courtesy of state Gov. Jack Markell. Since beginning this work, the state has hosted two Pathways Conferences and is in the process of developing a strategic plan that incorporates feedback from educators, employers and the general public. Delawares next steps include creating a public funding structure for the pathways system, implementing summer training programs for career and technical education teachers, and developing a policy framework to scale work-based learning. The Innovation Lab Network at CCSSO also works with states to tackle issues of college and career readiness. One example is our working group on Career Readiness and Competency Based Education (CBE), which will give state leaders a chance to convene and discuss how to best define career pathways in terms of competencies, integrate academic and career pathways, and ensure the accessibility and quality of career ready models of teaching and learning. Those interested in promoting career readiness within their state, district, or school are encouraged to connect with organizations such as CCSSO and JFF. Romanian honorary consul killed at home in El Salvador SAN SALVADOR, El Salvador (AP) Authorities in El Salvador say that Romania's honorary consul in the country has been killed in his home. National Civil Police Commissioner Howard Cotto said Monday that Salvadoran businessman Ricardo Enmanuel Salume Barake appears to have been strangled. Salume Barake was a moneylender and authorities say it could have been a robbery. There were no signs of a forced entry and Cotto says the perpetrators took the time to remove footage from the security cameras. Police deputy director Cesar Baldemar Flores Murillo says they believe multiple people participated and had copies of keys to the home in the exclusive Escalon neighborhood. Algerian lawyer gets 2-year sentence for insulting president ALGIERS, Algeria (AP) A lawyer for Algerian journalist Mohamed Tamalt says his client has been sentenced to two years in prison and a 200,000 dinar ($1,800) fine for insulting President Abdelaziz Bouteflika. Tamalt's sentence is the latest in a series of worrying signs for Algeria's press, which has come under pressure as the country struggles with slumping oil revenues and an ailing head of state. Amine Sidhoum told The Associated Press the journalist's representatives are appealing the sentence on the grounds that Algerian law forbids punishing journalists for doing their jobs. Sierra Leone says no ransom for diplomat abducted in Nigeria FREETOWN, Sierra Leone (AP) Sierra Leone's foreign minister says no ransom was paid in the release of a diplomat who was abducted and held captive for five days in Nigeria. Maj. Gen. Alfred Nelson Williams, Sierra Leone's deputy high commissioner to Nigeria, was abducted June 30 while traveling to a military parade in the northwest. At the time, Nigerian workers at Sierra Leone's embassy told The Associated Press that the unidentified abductors were demanding $40 million. Williams was released on July 5 and appeared before reporters in Sierra Leone's capital on Monday. He provided few details of his captivity. Foreign Minister Samura Kamara said "intense pressure by the Nigerian police" helped secure the diplomat's release, but he didn't elaborate. Trump: Plan to revamp veterans care will save lives VIRGINIA BEACH, Va. (AP) Republican Donald Trump pledged Monday to expand programs that allow veterans to choose their doctor and clinics regardless of whether they're affiliated with Department of Veterans Affairs and still receive government-paid medical care. In a policy speech announcing a 10-point plan for veterans, Trump said he "begins with a simple promise: Every veteran will get timely access to top-quality care." His plan was not a way to privatize the VA, as some critics have charged, but instead was "a way of not allowing people to die waiting for doctors," Trump said. Republican Presidential candidate Donald Trump gestures during a speech in Virginia Beach, Va., Monday, July 11, 2016. (AP Photo/Steve Helber) In an interview Monday with The Associated Press, Trump said people are "are dying because they can't even get to see a doctor. This is a way that we're going to end that." "Never again will we allow a veteran to suffer or die waiting for the care they so richly deserve," he said. Trump was referring to a 2014 scandal in which as many as 40 veterans died while waiting for care at a Phoenix VA hospital. Similar problems were soon discovered nationwide amid revelations that veterans were waiting months for medical care even as VA employees created secret waiting lists and other falsehoods to cover up the delays. Trump called problems at the VA under President Barack Obama "widespread and totally inexcusable" and said that under his leadership, "We are going to save money and we are going to save lives." A spokesman for Democrat Hillary Clinton's campaign said Trump's plan would "irreversibly" lead to privatization of the VA. Trump's plan "is not a fix at all; it's an ideological crusade" that "would gut the VA of the resources needed to provide high-quality, coordinated care," said Bishop Garrison, an Army veteran and Clinton adviser. The American Federation of Government Employees called Trumps' plan privatization by another name. "Donald Trump wants to throw veterans to the wolves," said the group's president, J. David Cox, and he accused Trump of "writing a blank check to huge hospital corporations to profit off the suffering of veterans." A law approved in the wake of the wait-time scandal created a "Veterans Choice" program that allows veterans to seek private care at government expense, but the program is limited to veterans who have waited at least 30 days for an appointment or live at least 40 miles from a VA health site. Trump called that the "wrong policy" and said veterans "are not being treated right." Trump also said Monday he will crack down on wrongdoing at the VA, pledging to fire or discipline VA employees who "fail our veterans" or breach the public trust. In an interview after the speech, Trump offered few specifics beyond the 10-point plan, which includes an increase in mental health professionals and a special "White House hotline" dedicated to veterans. But he said he was confident it would save taxpayers money. "I think it's going to cost less money than what's happening now," he said. Asked how he would negotiate due-process laws that have made it difficult for the VA to fire employees accused of wrongdoing, Trump said, "We're going to create a system where we get it done." Asked about union objections, Trump said, "You can deal with unions if you know what you're doing." Trump has been working to repair his relationship with veterans since he suggested early in his campaign that Arizona Sen. John McCain was not a war hero because he was captured during the Vietnam War. Trump also raised eyebrows earlier this year when he failed to immediately disclose which veterans' charities he'd given money to following a fundraiser he'd held in place of a GOP debate. In his speech Monday, Trump also addressed the killing of five police officers in Dallas during a protest against a pair of recent police shootings of black men. "America's police and law enforcement personnel are what separates civilization from total chaos and the destruction of our country as we know it," he said. "It's time for our hostility against our police and against all members of law enforcement to end, and end immediately." But he also referenced the two black men killed in recent police shootings in Louisiana and Minnesota, calling them "tragic deaths" that make clear that "a lot of work must be done" to ensure every American feels that their safety is protected. The remarks were a sharp contrast to the speech Trump delivered in the wake of the Orlando shooting, when he accused American Muslims of being too reluctant to cooperate with police. ___ Associated Press writer Jonathan Lemire in New York contributed to this report. ___ What political news is the world searching for on Google and talking about on Twitter? Find out via AP's Election Buzz interactive. http://elections.ap.org/buzz Nigerian authorities say more than 70 killed in land dispute WARRI, Nigeria (AP) Nigerian authorities say unidentified gunmen suspected to be Fulani herdsmen have killed over 70 people in the past two weeks in central Benue State. The state government said Monday the attacks are thought to be part of an ongoing dispute between herdsmen and local farmers over grazing lands. But the spokesman of the Benue State Police Command, Moses Yamu, says only 22 people have been killed and that peace has been restored. The coordinator of cattle breeders in Benue state, Alhaji Garus Gololo, said his herdsmen were innocent and instead had been infiltrated by "criminals." Several such clashes have been reported in recent months. In February, hundreds of people were killed in Benue state. A lot of holes in GOP presidential ground game in key states COLUMBUS, Ohio (AP) Presidential battleground states were supposed to be swarming with Republican Party workers by now. "We've moved on to thousands and thousands of employees," party chairman Reince Priebus declared in March, contrasting that with the GOP's late-blooming staffing four years earlier. "We are covering districts across this country in ways that we've never had before." That hasn't exactly happened, a state-by-state review conducted by The Associated Press has found. In this photo taken June 29, 2016, Wisconsin Republican party door-to-door canvasser Andy Andrews, left, talks with homeowner Jim Fulton in Fitchburg, Wis. An Associated Press review of Republican Party operations in important presidential election states finds there are fewer employees than the national party had planned for earlier this year. Republican nominee Donald Trump is leaning on the party to do most of his voter outreach. Local officials are anxiously awaiting reinforcements to keep pace with Democrat Hillary Clinton. (AP Photo/Andy Manis) With early voting beginning in less than three months in some states, the review reveals that the national GOP has delivered only a fraction of the ground forces detailed in discussions with state leaders earlier in the year. And that is leaving anxious local officials waiting for reinforcements to keep pace with Democrat Hillary Clinton in the states that matter most in 2016. To be sure, the national party actually has notched record levels of fundraising over the past few years and put together a much more robust ground game than it had in 2012. But officials acknowledge the real competition isn't their past results or the chronically cash strapped Democratic Party. It's Clinton and what GOP party chairman Priebus calls "that machine" of Clinton fundraising. Some examples of Republican shortfalls: Ohio Republicans thought they were going to see 220 paid staffers by May; in reality there are about 50. Plans for Pennsylvania called for 190 paid staffers; there are about 60. Iowa's planned ground force of 66 by May actually numbers between 25 and 30. In Colorado, recent staff departures have left about two dozen employees, far short of the 80 that were to have been in place. AP learned of the specific May staffing aims from Republicans who were briefed earlier this year; the RNC did not dispute them. Current totals came from interviews with local GOP leaders over the past two weeks. The gulf between what state leaders thought they could count on and what they've actually got comes as RNC's ground game is asked to do more than ever before. Presumptive nominee Donald Trump is relying on the party to do most of the nuts-and-bolts work of finding and persuading voters in the nation's most competitive battlegrounds. "This is a race we should win," Ohio GOP chairman Matt Borges said, citing a voter registration boom. "Now, we have to put the people in the field." In New Hampshire, a swing state that also features one of the nation's most competitive Senate contests, the Republican National Committee's original plan called for more than 30 paid staff on the ground by May. Yet what's happening there highlights that even when the RNC is close to meeting its staffing goals, there can be problems. In this case, 20 positions have been converted to part-time, and local officials have been struggling to fill them. "It's a tall order to ask the RNC to be the complete field operation for the presidential nominee," said Steve Duprey, a national party committeeman from New Hampshire. "We're following through on the plan, but it was slower being implemented than we first would have hoped." Borges and Duprey, like Republican leaders across the nation, acknowledged that the national party has dramatically reduced its staffing plans in recent months. "You discuss idealistic, you discuss realistic," said the RNC's political director Chris Carr. "Some people hear what they want to hear." The Democrats have been more focused. The GOP's foes, says party chairman Priebus, "have built their program around a candidate." By that measure, Clinton and her Democratic allies appear to be quite far ahead, with roughly double the staff of the Republicans in Ohio, for example. For anyone party or candidate ground operations are expensive. The RNC's 242-person payroll cost $1.1 million in May, federally filed financial documents show. Additionally, the party transfers hundreds of thousands of dollars each month to state parties, which in turn hire more people. Between direct RNC employees and state employees hired with the help of transfers, the party counts more than 750 staff members, including 487 spread across the country and concentrated in battleground states. By contrast, at this point in 2012, there were just 170 paid Republican operatives across the country. Party officials say they are confident they will raise enough money to maintain and very likely boost the current level of employees until Election Day. Trump, who did not actively raise money during the primary season, touted surprisingly strong fundraising numbers in late May and June, including $25 million that will be shared with the party. But it was the primary triumph of Trump in May and the fact that he did not bring with him a hefty portfolio of donors that derailed the party's fundraising and hiring goals, party officials said. The timing was important because a nominee typically serves as a major fundraiser for the national party, and having one in March or April would have given the Republicans a boost. A sign on an office door in Sarasota, Florida, illustrates how critical the RNC will be to Trump's bid for the White House. It's Trump's state headquarters. "THANKS FOR STOPPING BY OUR OFFICE!" the blue paper reads. "Our office is TEMPORARILY CLOSED to the public, while our office works to prep for the National Convention in Cleveland." A phone call to the number on the sign ended with an automated message stating, "Memory is full." The Republican Party has 75 employees on the ground in Florida a few dozen shy of Clinton but they aren't seamlessly integrated with the Trump campaign. "I do see cooperation between the national party and the Trump campaign," said Michael Barnett, chairman of the Palm Beach County GOP. "But that hasn't materialized at the local level yet. That doesn't mean it won't happen. It's a little bit of a late start, but I'm not nervous. Not yet." Like Florida, Ohio and New Hampshire, Wisconsin is a presidential battleground that features a highly competitive Senate race. That means national party staffers have the dual task of promoting Trump and a Senate candidate. That can be tricky. Wisconsin's Republican primary voters chose Texas Sen. Ted Cruz in the April primary, and local conservative talk radio hosts have been some of Trump's toughest critics. The RNC's 49 employees are spread across 13 field offices under the command of the state director in Madison. That office is papered with re-elections signs for Sen. Ron Johnson. Trump yard signs are stacked in one corner. On a recent evening, 18-year-old volunteer and ardent Trump supporter Andy Andrews left the state headquarters to knock on doors and collect voter information. The only campaign flier Andrews handed out while knocking on doors was for Johnson. But one of the three questions he and other volunteers are asking voters either on the phone or when knocking on doors is about whether they prefer Trump or Clinton. Another is about the Senate race and a third is about whether they want to see President Barack Obama's policies continue. Voters' answers are fed into a database that Republicans use to better target their turnout efforts part of a $100 million investment in data, party officials said. In the span of an hour, Andrews talked to some voters who want a change in the White House and who support Johnson, but none who are ready to commit to Trump. That's the way most people have responded over the three weeks he's been doing doors, Andrews said. "I'm a Republican but I just can't vote for Trump," said voter Steve Rhoades, of Fitchburg. "I don't believe in his policies and point of view on most things. He's just too over-the-top for me." The RNC ground force has a simpler task in states without a Senate race, and in areas of Trump enthusiasm. For example, in Virginia, where there are about 35 paid employees, a recent evening of door-knocking turned up numerous voters committed to Trump. GOP volunteer Robert Frank got a quick, "Yes, absolutely," when he asked Fredericksburg resident Elizabeth Skinner whether she'd be voting for Trump. "Why would I want that chaotic mess to continue?" Even the state leaders who are disappointed the RNC hasn't yet hired as many ground troops as it had hoped say the party's operation is bigger, better and more experienced than four years ago. The party's first 2016 employees got to work in 2013, and they've road tested voter identification and turnout strategies all across the country. Party leaders are also training hundreds of volunteers to help paid operatives identify likely Republican voters and get them to the polls this fall, which is one way to offset the need for so many paid employees. "We are so far ahead of where we were last time that there's almost no way to compare it," said Garren Shipley, the RNC's Virginia communications director. "And none of what we've built will go away on Inauguration Day." ___ Bykowicz reported from Washington. Chad Day in Washington, Scott Bauer in Madison, Wisconsin; Bill Barrow in Sarasota, Florida; Catherine Lucey in Des Moines, Iowa; Julie Carr Smyth in Columbus, Ohio; Marc Levy in Harrisburg, Pennsylvania; Nicholas Riccardi in Denver and Michelle Rindels in Las Vegas contributed to this report. In this photo taken June 29, 2016, Wisconsin Republican party door-to-door canvasser Andy Andrews walks to a home in Fitchburg, Wis. An Associated Press review of Republican Party operations in important presidential election states finds there are fewer employees than the national party had planned for earlier this year. Republican nominee Donald Trump is leaning on the party to do most of his voter outreach. Local officials are anxiously awaiting reinforcements to keep pace with Democrat Hillary Clinton. (AP Photo/Andy Manis) In this photo taken June 29, 2016, Rolf Lundgren, left, Bill Hollingsworth, Molly Staats, Allison Schaeffer, Yolanda Staats and Nancy Bartlett, right, all work the phones at a Wisconsin Republican field office in Fitchburg, Wis. An Associated Press review of Republican Party operations in important presidential election states finds there are fewer employees than the national party had planned for earlier this year. Republican nominee Donald Trump is leaning on the party to do most of his voter outreach. Local officials are anxiously awaiting reinforcements to keep pace with Democrat Hillary Clinton. (AP Photo/Andy Manis) Kerry heads to Russia for Ukraine, Syria talks WASHINGTON (AP) Secretary of State John Kerry is heading to Russia this week for talks with top officials on the conflicts in Ukraine, Syria and Nagorno-Karabakh. The State Department said Monday that Kerry would visit Moscow on Thursday to discuss ways to strengthen a shaky truce in Syria that appears near the breaking point amid continued violence. The visit comes at a particularly touchy time in U.S.-Russian relations. In addition to deep differences over Syria and Ukraine, if follows last week's tit-for-tat expulsions by both nations of diplomats after an incident outside the American embassy in Moscow in which a Russian security guard scuffled with a U.S. diplomat. UN, Jordan agree 30-day ration for hungry Syrians on border GENEVA (AP) The U.N. refugee agency says a United Nations team has reached a deal with Jordan's government to deliver a 30-day food ration to thousands of people caught along the border with war-ravaged Syria. UNHCR spokeswoman Ariane Rummery says a U.N. team met Monday with Jordanian border authorities and community leaders to discuss "distribution modalities" for the ration plan. It was not clear when the plan would take effect. Jordan sealed the border area, known as the berm because of an earthen mound marking the frontier, after a June 21 suicide attack claimed by the extremist Islamic State group killed seven Jordanian troops and wounded 13. California governor looks to extend climate-change efforts SACRAMENTO, Calif. (AP) California Gov. Jerry Brown has launched a campaign to extend some of the most ambitious climate-change programs in the country and ensure his environmental legacy when he leaves office in two years. The centerpiece of the push is a cap-and-trade program that aims to reduce the use of fossil fuels by forcing manufacturers and other companies to meet tougher emissions limits or pay up to exceed them. The program has been one of the most-watched efforts in the world aimed at the climate-changing fuels. The four-year-old program, however, is only authorized to operate until 2020 and faces a litany of challenges, including a lawsuit questioning its legality, poor sales of credits, and lukewarm support among Democratic legislators to extend it. FILE - In this June 1, 2016, file photo, California Gov. Jerry Brown gestures while delivering the keynote address at the Subnational Clean Energy Ministerial in San Francisco, Calif. Oil companies say they are in talks with Gov. Jerry Brown's administration about changes to the state's carbon-emissions programs, including his vaunted program requiring companies to buy pollution credits, Thursday, July 7, 2016. (AP Photo/Eric Risberg, file) On Tuesday, the California Air Resources Board will release a proposed blueprint for continuing the cap-and-trade program until 2030, with a vote expected next year. Supporters credit the strategy born under previous Gov. Arnold Schwarzenegger, a Republican, and stemming from other climate change programs initiated under Brown with helping to cut California's overall output of emissions by 1.5 percent in its first two years, despite the massive energy demands of the state's thriving economy. With Brown set to leave office in 2018, a state appeals court is considering a challenge from the California Chamber of Commerce contending the pollution-credit program is an illegal tax, not a fee. Environmental groups say the lawsuit and overall uncertainty about the survival of the program are undermining the market for pollution credits. A May auction saw companies buy only one-tenth of the available credits, leaving the state billions of dollars short in projected revenue from the sales. Meanwhile, groups representing oil interests confirmed last week that they are in direct talks with the Brown administration over cap-and-trade. California oil companies have long sought to alter or repeal the state's low-carbon fuel standard. By 2020, those companies would be required to reduce the carbon content of gasoline and other fuels by 10 percent, a significant jump from the current 2 percent. Any deal-making on climate change would reflect a pragmatic approach to the oil industry by Brown, who took office encouraging immediate boosts in oil and gas drilling to spur California's economy, even as he promoted incentives that would reduce long-term reliance on fossil fuels. "What you're seeing now is an all-hands-on-deck effort to formulate the most responsible way forward." said Derek Walker, an associate vice president of the Environmental Defense Fund. "They're talking to the oil industry, talking to environmental groups, to organized labor they're talking to everybody." Brown has leveraged his position as governor to help draw attention to the battle against climate change. He has set non-binding mandates for increased use of solar, wind and other renewable energy sources in California in the decades to come while signing accords and global support statements aimed at easing climate change. At home, though, Brown has encountered trouble from moderate Democrats in the state Assembly who last year blocked his plan to slash statewide petroleum use in half within 15 years. The same lawmakers refused to endorse legislation by Sen. Fran Pavley, D-Agoura Hills, seeking to dramatically cut greenhouse gas emissions through 2050. Pavley was forced to scale back her proposal that now would extend only to 2030. She has since compromised even further, agreeing last month to merge parts of her plan with legislation mandating that revenues from such programs be spent in low-income communities affected by pollution. Winning legislative support could be key for Brown in ensuring the survival of the cap-and-trade program, said Jessica Levinson, a political science professor at Loyola Law School. "His ability to solidify cap and trade is a big part of being able to say to other governors, to other countries, 'We're doing something big and specific and real and it works,'" Levinson said. Should the program succumb to legal challenges, market weakness or legislative ambivalence: "Jerry Brown's fingerprints will be on whatever we ultimately decide to do," Levinson said. ___ Knickmeyer reported from San Francisco. To Democrats, must-see TV is Trump mocking disabled reporter WASHINGTON (AP) Here's Grace, a sweetly smiling little girl in a wheelchair. Now here's her mother, Lauren Glaros: "When I saw Donald Trump mock a disabled person, I was just shocked," she says. Then we see Trump, his hands jerking in front of his body as he imitates a reporter who has a condition that limits his arm movement. To the Democrats, it's a picture worthy of a thousand commercials. That's why two versions of the advertisement called "Grace" have been on television more than almost any other at this early stage of the general election campaign. They've appeared some 7,200 times in 10 states across the country, with the heaviest concentration in the always-hard-fought presidential battlegrounds of Florida and Ohio, an analysis from Kantar Media's campaign advertising tracker shows. Republican Presidential candidate Donald Trump gestures during a speech in Virginia Beach, Va., Monday, July 11, 2016. (AP Photo/Steve Helber) The commercials are paid for by Priorities USA, a super political action committee dedicated to helping elect Hillary Clinton. Only a Clinton campaign advertisement about the former first lady's work to expand children's health care has aired more since mid-May, when general election ads began hitting the air. Even among Trump's many controversial statements Clinton aides see them as an embarrassment of riches the footage of him appearing to mock a disabled reporter stands out, evoking one of the strongest reactions from voters in focus groups and other forums. "Everything in our research showed people found that clip very disturbing, and how could they not? It's the Republican nominee for president of the United States making fun of disabled people on national television," said Justin Barasky, a spokesman for Priorities USA. The footage comes from a Trump campaign rally in November in South Carolina. On stage, he acts out his impression of New York Times reporter Serge Kovaleski, who had disputed Trump's claim about "thousands" of people celebrating the Sept. 11, 2001, terrorist attacks. "Now, the poor guy you've got to see this guy, 'Ah, I don't know what I said! I don't remember!'" Trump said. He later said he was only acting as a flustered reporter, not one with a disability. And he denied knowing Kovaleski, who had covered him for years. One Clinton aide said the response from voters is strongest when they see the video, which they say leaves people with no doubt that Trump was making fun of the reporter's disability. The video clip is making it into multiple pro-Clinton efforts. It was even in her campaign's very first general election ad, the Trump video looped in after she asks, "Do we respect each other?" And Priorities recently produced what could be seen as a companion video called "Dante," showing the same footage but with a different disabled person calling him out for it. It's the signature part of a multimillion-dollar online campaign to appeal to young and African-American voters. In the video, 17-year-old Dante Latchman explains to the camera that he had a rare form of cancer on his spinal cord that makes it difficult for him to walk. The video cuts to the footage of Trump. Dante says: "I don't want a president who makes fun of me. I want a president who inspires me. And that's not Donald Trump." Will Feltus, a Republican advertising strategist not involved with any 2016 presidential campaign, said using real people like Latchman and Grace's family "makes the spots more believable." While it's more costly and time-consuming for political groups to find, vet and film real people for ads, the payoff is that it can help clear the "believability hurdle," Feltus said. He pointed to Priorities USA commercials during President Barack Obama's re-election campaign that featured testimonials of people who said they had been harmed by Republican presidential nominee Mitt Romney's time at the helm of the financial firm Bain Capital. Indeed a Priorities ad called "Stage" was deemed the most effective ad of the 2012 presidential campaign by Ace Metrix, a video analytics company that showed all of the ads to large focus groups. In that spot, a man who'd been fired from a paper plant in Indiana that Bain had acquired described feeling like he'd built his own coffin. So far this year, the Grace ad by Priorities has played more in the greater Denver market than anywhere else, Kantar Media's data show. Democrats see women in places like the Denver suburbs as some of the most important voters in the election and particularly turned off by Trump. Over a 24-day period in June, the Grace ad aired an average of 303 times per day on broadcast television. The peak day was June 14 when it aired 673 times on broadcast TV, the data show. ___ Associated Press writer Chad Day contributed to this story. This is one of the most exciting, daunting and critically important moments in Californias education policy history. We are all in uncharted territory. Policymakers and educators at all levels of the system are wrestling with the virtually simultaneous implementation of four radically new and promising policy initiatives: the Common Core State Standards (CCSS); computer adaptive assessments developed by the Smarter Balance Assessment Consortium; the Local Control Funding Formula (LCFF); and a new accountability system that focuses on Local Control Accountability Plans (LCAPs) and an evaluation rubric rather than the traditional Academic Performance Index (API) scores. The implementation of these major reforms has redefined the roles and responsibilities of virtually every education actorfrom state policymakers to county superintendents to local school boards, teacher, and parents. States across the country are watching to see whether California will succeed in implementing these reforms and how they can replicate parts of what state superintendent Tom Torlakson calls the California Way. As the sole organization in California that focuses on connecting education scholars and policymakers, Policy Analysis for California Education (PACE) has a vital and unique role to play in monitoring and informing these transformational reform efforts. For more than 33 years, PACE has played a critical role in Californias education policy debates, providing hard evidence on policy impact and rigorous analysis of policy alternatives. We may not have all the answers now, but we know that research and evidence-based practices are essential elements in a strategy to promote continuous improvement in Californias education system. With policy innovation come new opportunities for inquiry and learning as well as new responsibilities to ensure that the reforms benefit students. We are thankful to Charles Kerchner for inviting us to contribute to the Education Week Blog On California. This post is our introduction to Education Week readers. Our posts will focus on presenting readers with timely findings from the PACE research network on key policy issues at all levels of Californias fragmented education system. You can also expect the latest research from the CORE-PACE Partnership, which is committed to innovating, implementing, and scaling new strategies and tools to help students succeed. One recent example of our work is our CORE-PACE report on the impact of student subgroup sizes in an accountability system. The passage of the Every Student Succeeds Act (ESSA) requires states, including California, to determine the number or n-size threshold for reporting subgroup results in school-level data reports. Setting the n-size too high means that subgroups disappear in many schools, because there are too few students in any one subgroup. When subgroups disappear, of course, achievement gaps are impossible to seeand hard to eliminate Under NCLB California set the n-size threshold at 100, meaning that schools with fewer than 100 students in a group, such as African-Americans, would not have to report their results. The CORE-PACE partnership released a report in May that analyzed the trade-offs between setting an n-size of 100 and an alternative n-size of 20, as well as examining the trade-offs present for n-sizes within that range. Making Students Visible revealed that setting the n-size for school-level reporting at 20 greatly increases the number of schools that report results for specific student subgroups, particularly students with disabilities and African American students. This PACE report has already played a key role in setting standards for states. The U.S. Department of Education just released a rule notice proposing changes to ESSA regulation 200.17 . The proposed change requires states to establish a range of n-sizes, not to exceed 30... In response, we released an addendum to this report comparing subgroup sizes of 20 versus 30. The State Board of Education will make a decision about the n-size that California will require at a board meeting this fall. The stakes are high for California. The radical changes that have transformed the states education policy system require that we all work together to help every student succeed. In this rapidly changing landscape policy innovation, rigorous analysis, and the scaling and diffusion of evidence-based practices must become familiar features of the California way. The future of 6.2 million California students depends on it, and PACE is committed to doing our part to get it right. Follow PACE on Twitter and visit our website to learn more about PACE and our most recent publications. Daisy Gonzales is associate director of Policy Analysis for California Education. Before coming to PACE this spring, she was a budget consultant to the California State Assembly Budget Committee, and she has been a dual immersion teacher in the Fairfield Suisun Unified School District. Suspect in 2 women's deaths dies in Michigan police standoff ROMULUS, Mich. (AP) Authorities say a man suspected of fatally shooting two women in Detroit has been killed during a standoff with police at a suburban hotel. WWJ-AM reports the hourslong standoff Monday at a Romulus hotel ended with Pablo Medina dead. Police say he was shot after coming out of a storage closet and pointing a gun at officers. Romulus police say Medina worked at the hotel but had been fired. They say he appeared to be living in the closet. Detroit police say one of the women was sitting in a car, a block from the first shooting, and was killed as she tried to drive away early Saturday. The Latest: 1 charge dismissed at trial in black man's death BALTIMORE (AP) The Latest on the trial of a Baltimore police officer accused of manslaughter in the death of a black man from injuries sustained while in a police van. (all times local): 2:45 p.m. A judge has dropped an assault charged against a police officer charged in the death of a black man injured in a police transport van. Baltimore Circuit Court Judge Barry Williams on Monday dismissed the second-degree assault charge against Lt. Brian Rice, the highest-ranking officer charged in the 2015 death of Freddie Gray. Rice still faces charges of manslaughter, reckless endangerment and one misconduct charge. A second misconduct charge was dropped when the trial began last week. Williams dropped the assault charge after the prosecution rested its case and Rice's lawyers asked for the judge to acquit him. The judge said the prosecution's basis for the assault charge was that Rice used the van in the alleged assault. Williams ruled that because Rice didn't drive the van, prosecutors had no evidence. ___ 12:05 p.m. One of the officers cleared of charges in the death of a black man fatally injured in a police transport van is testifying at the trial of a fellow officer. The bench trial of Lt. Brian Rice, the highest-ranking officer charged in the 2015 death of Freddie Gray, resumed Monday with testimony from Officer Edward Nero. Rice is facing manslaughter and other charges. WBAL-AM reports (http://bit.ly/29PXavE ) that Nero testified there was a "hostile environment" where Gray was arrested and that Gray was partially cooperating. Gray died a week after suffering a spinal injury in the van, touching off protests and rioting. Search ongoing for Fort Campbell soldier who was swept away FORT CAMPBELL, Ky. (AP) Officials at Fort Campbell say a search is continuing for a 101st Airborne Division soldier who was swept away at the post by strong currents during severe weather. The post said in a news release Monday that the soldier was at the low water crossing over Little West Fork Creek on Friday evening with two other soldiers from his unit before being swept away. The release said civilian and military agencies are trying to locate the soldier, who hasn't been identified. In Christie, Trump would get tough advocate _ and baggage HADDONFIELD, N.J. (AP) In Chris Christie, Donald Trump has a potential running mate who's well-known in many roles: A political hero and a villain. A sensitive advocate and a bully. And, lately, a quiet political operative who's become an expert on Trump's unusual presidential campaign operation something few on the outside understand. The governor of New Jersey since 2010, Christie was among more than a dozen Republican presidential hopefuls Trump vanquished earlier this year. Choosing Christie would mean Trump is teaming with a powerful, prosecutorial advocate who can turn serious, as he did Monday speaking to veterans. But the choice would also include the political baggage of an upcoming trial of two former Christie allies who shut down a ramp to the world's busiest bridge for political reasons. In this photo taken March 14, 2016, Republican presidential candidate, Donald Trump shakes hands with New Jersey Gov. Chris Christie after Christie introduced him at a plane-side rally in an aircraft hanger at Youngstown-Warren Regional Airport in Vienna, Ohio. Christie would give Trump a well-known running mate whos quietly become an expert on Trumps unusual presidential campaign operation. The 53-year-old Republican was once considered the front runner for the GOP presidential nomination. He stunned some of his own allies by becoming the first of the vanquished Republican hopefuls to endorse Trump. (AP Photo/Gene J. Puskar) Christie, once considered the front-runner for the GOP presidential nomination, stunned some of his own allies by becoming the first of the Republican hopefuls to endorse Trump. Christie, 53, was initially an ever-present pre-running mate, appearing at Trump's side during speeches, nodding in agreement. Trump has since tapped him to lead the presidential transition, a massive hiring-and-organization challenge that would be required if Trump defeats his likely Democratic rival, Hillary Clinton, on Election Day. Being a sidekick was new to Christie, a 53-year-old father of four who built a reputation as a leading man. When there was talk about him as Mitt Romney's running mate in 2012, Christie repeatedly suggested it would not be his ideal job. "Do I really look like the vice-presidential type," he asked in one forum in his home state, "to sit behind him at the State of the Union?" But on Monday, Christie was auditioning as Trump's running mate in Virginia Beach, Virginia, with a much more serious tone. Trump, he said, "is the person who I firmly believe will serve this country best." As with Trump, Christie's fans love and his critics despise his tendency to go off-script in made-for-YouTube exchanges with citizens at the town-hall meetings and other forums. He called one Navy veteran "an idiot," and shouted down a teacher he believed wasn't respectful as he answered her question. He lambasted a heckler as he ate custard on the boardwalk. When he isn't haranguing Democrats, Christie shows a more compassionate side, telling a tear-jerking story about his last conversation with his mother and another tale of a friend who died after battling drug addiction to underscore his drug policy positions. As the top federal law enforcement official in New Jersey for most of George W. Bush's presidency, Christie became known as a corruption-buster who won the convictions of more than 130 public officials, including two formerly powerful state senators. His performance as U.S. attorney propelled him to become the only Republican to win a statewide election in New Jersey since 1997. Christie pledged to turn Trenton upside down. There, he has worked with and often against the Democrats who control the state Legislature and addressed some of the state's longstanding issues, including ever-rising property taxes and the growing cost of pensions for public workers. Property taxes and pensions remain confounding issues even after his efforts to fix them. Christie's strong words amid disasters thrust him into the national limelight. "Get the hell off the beach in Asbury Park," he implored in 2011 as Hurricane Irene was bearing down on New Jersey's shore and not everyone was heeding evacuation orders. A year later, Superstorm Sandy devastated the shore, and Christie served as the state's consoler-in-chief, telling a young girl that the grown-ups would make things right, hugging people who lost their homes and becoming a fixture on TV broadcasts. He also invited scorn from some Republicans for his handshake-hug with President Barack Obama just before Obama's re-election. A political storm hit a year later. Christie, newly re-elected and freshly sworn in as the chairman of the Republican Governors Association, was confronted with revelations that some of his political allies shut down on-ramp lanes to the George Washington Bridge to retaliate against a Democratic mayor of a town that was affected by the closure. Christie has denied any knowledge of the plan and has not been charged with any wrongdoing. Even after his reputation was sullied by the scandal, he was able to resurrect his reputation enough to make a run for the presidential nomination. The George Washington Bridge closures are likely to rise again at the height of the fall campaign season, when a former aide and a former official of the Port Authority of New York and New Jersey go to trial. Expect Democrats to use Trump's pronouncement on the situation last year, when he and Christie were still both vying for the party's nomination. "He totally knew" about the bridge plot, Trump has said. ___ Follow Mulvihill at http://www.twitter.com/geoffmulvihill. Minuteman founder sentenced in Arizona on abuse convictions PHOENIX (AP) A founder of the Minuteman border watch group was sentenced Monday in Arizona to 19 years in prison after being convicted of molesting a 5-year-old girl and showing her pornography. The sentence for Chris Simcox was ordered by Maricopa County Judge Jose Padilla, who said the crime was "the worst thing in the world" for the family of the victim. Prosecutors had sought a 36-year sentence after arguing the 55-year-old Simcox should be kept away from children as long as possible. Simcox, chained at the hands and feet and wearing a black and white jail uniform, declined to make a statement and shook his head as he was sentenced. He previously denied the allegations. Prosecutor Yigael Cohen said Simcox hasn't taken responsibility for his actions. "He is not to be trusted in society. He is not to be trusted with a child," Cohen said. Simcox escaped a mandatory life sentence after a jury in June acquitted him on a separate charge of engaging in sexual conduct with a 6-year-old girl. Simcox made an unsuccessful attempt last year to get Padilla removed from the case, arguing that he believed the judge was a member of two Hispanic civil rights organizations and tried to help people who are in the country illegally. Simcox isn't a lawyer but represented himself at trial. He had insisted that he should be allowed to personally question the girls on the witness stand. The judge said questioning the girls would cause them emotional distress. In the end, Simcox got an attorney to pose the questions. Simcox's arrest in 2013 came after his career as an advocate for tougher immigration policies had fizzled. The Minuteman movement gained attention in 2005 when illegal immigration heated up as a national political issue. Minuteman volunteers fanned out along the nation's southern border to watch for illegal crossings and report them to federal agents. The movement splintered after Simcox and another co-founder parted ways and headed up separate groups. More than a decade ago, Simcox was sentenced to two years of probation for misdemeanor federal convictions that involved carrying a concealed handgun at the Coronado National Memorial near the Arizona-Mexico border. ___ Escaped psychiatric patient had planned bombing SEATTLE (AP) A patient accused of torturing a woman to death before escaping from a troubled Washington state psychiatric hospital earlier this year also had a fascination with the Islamic State group and planned to blow up a state building, newly released documents revealed. Detectives who investigated Anthony Garver's escape from Western State Hospital south of Seattle also found that he had threatened to kill a federal judge and previously was caught with bomb-making materials. Despite an extensive criminal history and a pattern of evading authorities, Garver lived in a ground-floor room, where he spent five months loosening his window frame before escaping through it April 6 with another violent patient. FILE - This undated file photo provided by the Lakewood Police Department shows Anthony Garver. Garver escaped from a Washington state psychiatric hospital on April 6, 2016, where he was held after being found too mentally ill to face charges that he tortured a woman to death. Newly released police reports reveal Garver had threatened to kill a federal judge and prosecutor. Detectives also learned he had a fascination with the Islamic State terrorist group. (Lakewood Police Department via AP, File) It took almost two hours for hospital officials to report the escape to Lakewood police a delay that concerned officers and detectives working the case, according to police reports acquired by The Associated Press. Garver's head-start allowed him to hop a bus across the state to Spokane, where he used self-described survivalist skills to hide from authorities for two days. He was finally caught hiding in the woods near his mother's home the same area where he was found with dozens of rounds of assault-rifle ammunition in 2006. The other patient was found in a nearby city the next day. The high-profile escape of two dangerous patients came at a time when the 800-bed hospital already faced federal scrutiny over safety violations and struggled with high rates of patient assaults. The agency that oversees the state's mental health system also has been the target of lawsuits over failing to provide timely competency services for mentally ill people charged with crimes. The state has accrued about $1.5 million in fines by state judges, who held the agency in contempt of court for failing to conduct mental health evaluations in a hospital or treatment that could allow a defendant to stand trial. A federal judge followed suit last week and ordered additional fines of $500 to $1,000 per day for each patient who is forced to wait more than a week for services. The Department of Social and Health Services didn't immediately respond to requests for comment on the way the hospital handled the escapes. The head of the agency released a statement Monday saying it was disappointed with the recent contempt order and believes it has made significant improvements. Garver, 28, was released last week from a federal detention center, a Bureau of Prisons spokesman said. He was there for a mental competency evaluation. Garver was booked into the Spokane County Jail on Monday afternoon, according to the jail roster. A federal hearing on his evaluation is set for Aug. 11 in Spokane. After his escape, police discovered that Garver was a serious public safety threat. "Garver was reported to be 'very smart,' and had tried to learn Arabic in the past, as he had a fascination with ISIS," Officer Ken Devaney wrote in a report. "He had disclosed wanting to live in the woods, and having a 'survivalist' nature." The federal government had a warrant for Garver "because of a charge for threatening to blow up a state building and threatening to kill a federal judge and prosecutor," Devaney said. And during a previous arrest, Garver "had bomb making materials in his possession." The documents don't reveal his targets but give more details about the escape. Garver's psychologist, Dr. Mallory McBride, said Garver's roommate knew about the plan. "Dr. McBride thinks that over the past five months, Garver slowly worked the window open until he could jump out," Devaney said. "This theory was confirmed when that same patient said he felt a draft in the room and the draft got stronger in the past few weeks." Devaney asked the doctor how the staff failed to realize the window was loose. "Dr. McBride said you wouldn't be able to tell unless you physically check the window, which staff doesn't," Devaney wrote. The documents also reveal more about Garver's criminal history. In 2006, he was charged with possessing 100 rounds of ammunition, which was illegal because he had been in a psychiatric institution. Spokane County officials found the rounds after responding to a call by Garver's mother, who said her son threatened to kill their family and a neighbor. Two days before his 2007 sentencing, officials found "disturbing writings" in his jail cell, prosecutors said. The notes detailed "plans for several bank robberies, two carjackings and murders, burglary, theft of firearms and shoplifting," court records said. His cellmate reported Garver said he planned to kill the judge handling his case, the prosecutor and others. Garver got out in 2009 but violated the terms of his supervised release by stealing a vehicle and hiding in the woods. He was found after a manhunt and jailed again. When freed in 2010, he drove to Montana and led police on a high-speed chase. He was sentenced to a year in prison in 2011. Two years later, he befriended Phillipa Evans-Lopez. Garver tied her hands and feet "to the four corners of her bed, gagged her with a bail of cloth, covered her face with additional cloth, stabbed her 24 times in the chest and slashed her throat," according to a probable cause affidavit. When he failed a competency evaluation, he was sent to Western State Hospital to receive treatment. When that didn't work, the state dropped a murder charge and ordered him held as a threat to himself or others. FILE - In this Thursday, April 7, 2016, file photo, officials stop vehicles as they search in Spokane Valley, Wash., for escaped mental patient Anthony Garver. Newly released police reports reveal Garver, a patient accused of torturing a woman to death before escaping from a Washington state psychiatric hospital, also had threatened to kill a federal judge and prosecutor. Detectives also learned he had a fascination with the Islamic State terrorist group. (Colin Mulvany/The Spokesman-Review via AP, File) FILE - In this Nov. 18, 2015 file photo, a sign is shown near an entrance to Western State Hospital in Lakewood, Wash. Newly released police reports reveal Anthony Garver, a patient accused of torturing a woman to death before escaping from the Washington state psychiatric hospital, also had threatened to kill a federal judge and prosecutor. Detectives also learned he had a fascination with the Islamic State terrorist group. (AP Photo/Ted S. Warren, File) In this May 2, 2016 file photo, trees and a low brick wall are shown in front of Western State Hospital in Lakewood, Wash. Newly released police reports reveal Anthony Garver, a patient accused of torturing a woman to death before escaping from the Washington state psychiatric hospital, also had threatened to kill a federal judge and prosecutor. Detectives also learned he had a fascination with the Islamic State terrorist group. (AP Photo/Ted S. Warren, file) Obama stepping into storm of emotion in Dallas speech WASHINGTON (AP) When he pays tribute to fallen police officers in Dallas on Tuesday, President Barack Obama will be aiming to comfort the mourning and calm the angry. After a week of rising racial tensions in a restless summer, Obama will step into a storm of strong emotions about race, justice, policing and guns in America. Defusing those tensions will take a deft hand and carefully chosen words, navigating between the opposing pressures of protesters and police, blacks and whites, Republican and Democrats. For Obama, it's a task he's attempted throughout his presidency with mixed results. He has had to address the nation after violence with exhausting frequency. In speeches in Tucson, Arizona, and Charleston, South Carolina, he's offered lofty rhetoric and emotional release likely to be remembered as pivotal moments in his presidency. President Barack Obama waves on his return from a shortened visit to Spain, as he walks across the South Lawn of the White House in Washington, Sunday, July 10, 2016. (AP Photo/Jacquelyn Martin) But Obama has had more difficulty positioning himself as a bridge builder, particularly on questions of police tactics and gun control. Despite years of trying to persuade critics, Obama is viewed skeptically by many in law enforcement, and his push for local departments to change their ways has stalled. As protests over police brutality continue in American cities and the Dallas police department prepares to bury five white officers targeted by a black shooter, Obama's words and actions this week will be closely watched. ___ COMFORTER IN CHIEF Obama has had more practice than he'd like in this role. The persistence of mass shootings in America means responding to these events is a new part of life at the White House. For many, he issues a statement. For some, he flies to the scene to visit with families. For a few, he delivers a high-profile speech on the meaning of the moment. In 2011, Obama seized on the shooting of Rep. Gabby Giffords and others, to make an appeal for civility in political discourse. In 2012, after 20 children and six adults were killed in Newtown, Connecticut, the president declared his intention to push for tighter gun controls and appealed to parents for support. Obama's most memorable of these speeches is likely the eulogy he delivered in Charleston last year, after the shooting at Emanuel AME Church. The speech was largely a sermon on grace, which he described as "that reservoir of goodness." ''If we can find that grace, anything is possible. If we can tap that grace, everything can change," Obama said, before breaking out into a solo performance of "Amazing Grace." ___ BEYOND THE BEER SUMMIT Obama on Tuesday will tie his condolences to a more specific political issue: The lasting rift between black communities and police. Obama's approach has evolved dramatically over this presidency. Early in his tenure, he stepped clumsily into a dispute between a white police officer in Cambridge, Massachusetts, and African-American professor Henry Louis Gates. After saying the police acted "stupidly" in the case, Obama tried to orchestrate a teaching moment by inviting Gates and Sgt. James Crowley to share a beer at the White House. In recent years, after the rise of the Black Lives Matter movement, Obama has chosen his words more carefully. Even as he has spoken out about prejudice in the justice system and his own experience as a black man, he's described the biases as "institutional" and long-standing rather than personal. He's repeatedly praised officers who put their lives in danger and argued that policing reforms keep police themselves safer. "If you can rebuild trust between communities and the police departments that serve them, that helps us solve crime problems," Obama said last week. "That will make life easier for police officers. They will have more cooperation. They will be safer. They will be more likely to come home." ____ AFTER DALLAS The White House is looking for ways to channel the energy around the issue and show there is a policy prescription though the administration has expressed little hope that the shootings will spark a new legislative effort in the hyper-partisan campaign season. On Monday, Obama met with law enforcement officials to discuss implementing a set of police reforms drafted by a White House task force, and he'll meet Wednesday with a broader group, including law enforcement, activists and academics. The goal, White House spokesman Josh Earnest said Monday, is "repairing the bonds of trust that have frayed in so many communities between law enforcement officials and the citizens that they have sworn to serve and protect." Police officer in road rage killing stripped of badge, gun NEW YORK (AP) A policeman involved in a road rage killing has been stripped of his badge and gun after a grainy security camera videotape appeared to cast doubt on an initial account of what happened. Officer Wayne Isaacs was placed on modified assignment while the New York Police Department and the state attorney general's office investigate whether his use of deadly force in the off-duty slaying of an unarmed motorist was justified, police officials confirmed on Monday. The shooting of Delrawn Small occurred on July 4 in Brooklyn while Isaacs was on the road in civilian clothes but still carrying a handgun, a common practice of off-duty NYPD officers. Small's girlfriend told police he was angry because he thought Isaacs had cut him off and got out his car at a stoplight to confront the officer. Based on a preliminary investigation early last week, police initially said they believed Isaacs opened fire after Small reached through an open driver's side window and punched the officer while he sat behind the wheel of his car. But the short black-and-white video, which surfaced on the internet, appears to show Small getting hit by gunfire the moment he walks up to the car window, with no clear indication that he first assaulted the officer. Small, 37, can be seen recoiling and stumbling around before collapsing. The officer briefly exits his car and looks in the fallen man's direction but then returns to the car. Officials said Monday that the video, first obtained by the New York Post, remains under review and that they want more evidence. Investigators are "still seeking additional videos," NYPD Commissioner William Bratton said. "They're still seeking to identify (a third) motorist who was ... stopped at the red light that may have witnessed some of the circumstances that occurred there." Former Indiana congressman, NYU President John Brademas dies NEW YORK (AP) John Brademas, a longtime Indiana congressman and former president of New York University, died Monday at age 89, according to the university. Brademas' wife, Mary Ellen Brademas, told the university her husband died in New York City, where the couple lived, NYU spokesman John Beckman said. The cause of death was not yet available. Brademas was a Democrat and served 11 terms in Congress. He rose to majority whip, the No. 3 position in the U.S. House, before losing his seat in the 1980 Republican landslide when Ronald Reagan was elected to his first term as president. Less than two months after leaving office, Brademas became NYU's 13th president. He held the position from 1981 to 1992, leading NYU from a regional school to a research university with a global reputation. U.S. Sen. Joe Donnelly, who once represented Brademas' former congressional district, called him a friend. "He burned with a deep love for our country and with a desire to make the world a better place," Donnelly said in an emailed statement. Current NYU President Andrew Hamilton said it's rare for an institution "to owe so much of its prestige" to one person. "At a time when both NYU and the city for which it is named were both still struggling with the challenges of the 1970s," Brademas helped start the "upward trajectory" that made NYU what it now is, Hamilton said. In Congress, Brademas was a leading advocate of expanding the federal government's role in education and increasing government funding for the arts. He pushed efforts in the 1960s and '70s to create programs such as Head Start to help disadvantaged children and to spend more money for tuition grants and loans to college students. He later used his prominence as NYU's leader to fight proposals by President Reagan in the 1980s to curtail federal education spending. He labeled cuts proposed by the White House in student aid "a declaration of war on middle-income America." Brademas also was a sponsor of legislation creating the National Endowment for the Arts and the National Endowment for the Humanities in 1965. He tangled with conservatives such as North Carolina Sen. Jesse Helms and Patrick Buchanan, who later sought cuts in the NEA's funding over what they saw as its support of sexually explicit art works and performances. Brademas was born in Mishawaka and grew up in the neighboring city of South Bend. His father was a Greek immigrant restaurant owner, while his mother was a teacher and an Indiana native. After time in the Naval Reserve Officers Training Corps during the last year of World War II, Brademas transferred to Harvard University, where he graduated in 1949. He became a Rhodes Scholar and received a doctorate in social studies from Oxford University. US envoy in Uruguay concerned over missing ex-Gitmo prisoner MONTEVIDEO, Uruguay (AP) The new U.S. ambassador in Uruguay expressed concerns Monday about the lack of information on one of six former Guantanamo prisoners who were resettled in the South American country after being released by U.S. authorities in December 2014. The location of Abu Wa'el Dhiab, a native of Syria, has been a mystery the past few weeks. Uruguayan authorities insist Dhiab is visiting Brazil and say that as a refugee he is entitled to travel out of the country, but Brazilian federal police have said there is no record of him entering that country. In her first news conference since taking up the post last month, U.S. Ambassador Kelly Keiderling said questions needed to be answered about Dhiab's whereabouts. FILE - In this June 5, 2015 file photo, Abu Wa'el Dhiab, from Syria, right, and Adel bin Muhammad El Ouerghi, of Tunisia, both freed Guantanamo Bay detainees, stand next to the window of their shared home in Montevideo, Uruguay. The new U.S. ambassador in Uruguay expressed concern on Monday, July 11, 2016, about the lack of information on the whereabouts of Abu Wa'el Dhiab. (AP Photo/Matilde Campodonico, File) Dhiab "could be, yes, theoretically," a threat, she said. "Everything is being done to understand what are the threats and find ways to reduce them," she said. Keiderling declined to give details about the resettlement agreement between the U.S. and Uruguayan governments, which some reports have said included a provision banning travel by the six former Guantanamo prisoners for a period of time. Keiderling said it is up to Uruguay to say whether Dhiab is free to travel outside the country, though she added that she would prefer he stay in Uruguay. She said the U.S. is sharing information with Uruguay and Brazil in the effort to locate Dhiab. Dhiab, who suffers from health problems related to hunger strikes he undertook in detention at Guantanamo, has been especially vocal about his unhappiness at being in Uruguay. Jon Eisenberg, a California lawyer who represented Dhiab when he was at Guantanamo, told The Associated Press last week that he was skeptical of reports that Dhiab had left Uruguay. Eisenberg said they spoke by phone June 5 and Dhiab mentioned that he would be out of touch for the entire Muslim holy month of Ramadan, which ended last week, and for the following week. CORRECTED Twenty-six states and the District of Columbia need some degree of support in meeting the requirements of the Individuals with Disabilities Education Act, according to the U.S. Department of Educations most recent evaluation of state performance . For the third year in a row, the Education Department evaluated states on the academic outcomes of students 3-21 with disabilitiesso-called results datain addition to how well the states met the rules and regulations spelled out in the IDEA. States were measured on the percentage of students with disabilities participating in state tests and in the National Assessment of Educational Progress, or NAEP; the percentage of students with disabilities scoring at or above basic on NAEP; the percentage of students who dropped out; and the percentage of students with disabilities who graduated with a regular high school diploma. Twenty-four states were in the category of meets requirements, an increase of five states from last year. The remaining states were in the categories of needs assistance or needs intervention, each of which comes with some level of increased help or oversight by the department. No state was in the lowest category, needs substantial intervention. The District of Columbia was deemed to need intervention for the 10th year in a row. It has been required to use part of its federal special education money to improve in areas such as postsecondary transition and timely evaluation for services. These are funds that would otherwise be used for monitoring or professional development, not direct services to students. The scores and evaluations for each state, as well as for the territories, are available at the OSEP Grads360 website . [CORRECTION: The original version of this report mischaracterized several states, based on out-of-date information from the U.S. Department of Education, which amended some determinations. The map and the text have been updated to reflect the most recent amendments.] Related Stories: for the latest news on special education policies, practices, and trends. House GOP request investigation of Clinton over emails WASHINGTON (AP) House Republicans on Monday formally asked the Justice Department to investigate Hillary Clinton and determine whether she lied to Congress, a fresh challenge certain to shadow the Democratic presidential candidate. Meanwhile, the director of national intelligence rejected a request from House Speaker Paul Ryan to deny classified intelligence briefings to Clinton during the campaign. The GOP was furious that the FBI decided against pressing charges against Clinton over her handling of classified information when she relied on a private email server for government business during her tenure as secretary of state. Republicans vowed to press ahead just a few months before the November election. Reps. Jason Chaffetz, R-Utah, chairman of the Oversight panel, and Bob Goodlatte, R-Va., head of the Judiciary Committee, said in a letter that "evidence collected by the FBI during its investigation of Secretary Clinton's use of a personal email system appears to directly contradict several aspects of her sworn testimony." "In light of those contradictions, the department should investigate and determine whether to prosecute Secretary Clinton for violating statutes that prohibit perjury and false statements to Congress, or any other relevant statutes," the two congressmen wrote. Last October, in testimony to the House Benghazi panel, Clinton said she never sent or received emails marked as classified when she served as secretary of state. She also has said she only used one mobile device for emails and turned over all her work-related emails to the State Department. FBI Director James Comey said she had multiple devices and investigators found thousands of work-related emails that had not been turned over. He told Congress last week that three of her emails carried classified markings. The lawmakers' letter was addressed to the U.S. Attorney for the District of Columbia, Channing D. Phillips. Last week, the Justice Department closed the investigation of Clinton after the yearlong FBI probe. Comey said there were no grounds to prosecute Clinton but she and her aides had been "extremely careless" in their handling of classified information. After Comey's remarks, Ryan sent a letter to Director of National Intelligence James Clapper suggesting that Clinton be denied access to such information during her presidential run. Clapper rejected that request in a letter sent to Ryan Monday, saying, "I do not intend to withhold briefings from any officially nominated, eligible candidate." He pointed out that presidential and vice presidential candidates have received such briefings since President Harry Truman initiated them in 1952, and said they are "provided on an even-handed, non-partisan basis." Clinton said last week that she exchanged emails with about 300 people, mostly at the State Department, who were experienced with handling classified information. "They did not believe that (material in the emails) was classified, and I did not have a basis for second-guessing their conclusion," Clinton told CNN. "I have no reason to believe they were careless." Referrals from Congress don't automatically result in full-fledged investigations, but they have spurred some notable criminal probes and indictments. Former baseball pitcher Roger Clemens was indicted in 2010 on charges that he lied to Congress, though he was later acquitted, and the Justice Department investigated and later cleared former IRS official Lois Lerner following a referral from lawmakers. Chaffetz also sent a letter to Comey seeking the investigative file from the probe. Rep. Elijah Cummings of Maryland, the top Democrat on the Oversight committee, said in response to the criminal referral that "Republicans are so frustrated with the FBI's unanimous decision that they are now completely unloading on Secretary Clinton with everything they've got right before the presidential conventions." The majority of people in Europe believe that the large influx of migrants will lead to more terrorism and problems for the economy of their country, a new survey has revealed. Research conducted by the U.S.-based Pew Research Center showed that in the eight out of ten European nations surveyed, half or more believe the migrant crisis could hamper security. They also found that many also worry about the economic burden brought by migrants and how they are concerned they will take away their jobs and social benefits. A woman holds a card protesting against the migrant crisis in the Netherlands. The majority of people in Europe worried the migrant crisis could lead to more terrorism A man welcomes refugees entering Germany at the Saalfeld train station. German Chancellor Angela Merkel has made the successful handling of the refugee crisis her top priority The survey covered the European countries of Germany, Sweden, the Netherlands, Britain, France, Spain, Italy, Greece, Hungary and Poland. It was conducted from April to May before the British referendum to leave the European Union and the extremist attack at Istanbul's Ataturk Airport last month. The continent saw an overwhelming influx of more than one million migrants in 2015 with the majority arriving from Muslim countries such as Syria, Iraq and Afghanistan. Almost all of them applied for asylum in the EU with Germany and Sweden as their top destinations. Meanwhile, populist parties all over the continent successfully increased their numbers by campaigning against Muslim migrants, including the National Front in France, Ukip in the UK, the right-wing Alternative for Germany and Austria's Freedom Party. Europe has also recently suffered several major terrorist attacks, including the assaults by ISIS on Paris and Brussels that killed scores of people. Many of the attackers were European-born, but some are believed to have travelled to Syria to join the terror group before returning to carry out the attacks a few of them by mixing in with migrant flows to avoid detection on their way home. Populist parties all over the continent successfully increased their numbers by campaigning against Muslim migrants Some 76 per cent of people surveyed in Hungary said they're concerned that refugees will increase the likelihood of terrorism in their country, followed by the Polish with 71 per cent. Majorities in all other surveyed countries shared this belief with the exception of Spain and France. Citizens of both Hungary and Poland also worried more than other Europeans that refugees would be a burden to their countries because they would take their jobs and social benefits. 'It is important to note that worries about refugees are not necessarily related to the number of migrants coming to the country,' the report states. It said Poland, where 73 per cent say refugees are an overall major threat, has had only several thousand asylum applications, while just 31 per cent of Germans are generally concerned about refugees after seeing their country register almost 1.1 million asylum seekers last year. German Chancellor Angela Merkel has made the successful handling of the refugee crisis her top priority and has told Germans time and again that 'we will manage this.' The government of Hungary, on the other hand has been an insistent voice against migrants, especially against Muslims. Citizens of both Hungary and Poland also worried more than other Europeans that refugees would be a burden to their countries because they would take their jobs and social benefits Germany and Sweden which took in the second most asylum seekers in 2015 are the only countries where at least half say refugees make their nations stronger because of their work and talent. When asked more generally, whether having an increasing number of people of many different races, ethnic groups and nationalities in their country makes the society a better place to live in, only few Europeans said diversity has a positive impact. At 36 per cent, Sweden registers the highest percentage that believes diversity makes their country a better place to live. The prevailing attitude in France, Germany, the Netherlands and Spain is that diversity is neither a plus nor a minus in term of quality of life. At the same time, 63 per cent in Greece and 53 per cent in Italy believe that growing diversity makes their country a worse place to live. These attitudes stand in a stark contrast to the U.S. There, some 58 per cent of Americans said having more people of many different races, ethnic groups and nationalities makes the U.S. a better place to live. Trump predicts more protest violence to come this summer VIRGINIA BEACH, Va. (AP) Donald Trump said Monday he believes relations between police and the nation's African-American community are "far worse" than people think, predicting that protests against police violence that followed last week's slaying of five police officers in Dallas "might be just the beginning for this summer." In an interview with The Associated Press, the presumptive GOP nominee struck a balance between the law-and-order rhetoric he has espoused during his campaign and an appreciation for the concerns held by African-Americans nationwide about the conduct of police. Trump suggested that a lack of training for officers might be at least partially to blame for the two police shootings that led to last Thursday's protest in Dallas, where a lone gunman killed five in an act of vengeance against white officers. At the same time, Trump denounced the name of the Black Lives Matter movement as "a very divisive term." Donald Trump discusses a plan to help veterans and talks for the first time publicly on recent events in Dallas, Texas., during a speech at Westin Town Center in Virginia Beach, Va., on Monday, July 11, 2016. (Kristen Zeis/The Virginian-Pilot via AP) The interview followed a speech on veterans issues in which Trump declared, "I am the law and order candidate," an echo of Richard Nixon's response to protest violence that broke out in 1968 following the assassination of Martin Luther King Jr. Like Trump, Nixon was a Republican running for president at the time. "It's time for our hostility against our police, and against all members of law enforcement, to end, and end immediately, right now," Trump said during his speech, comparing anti-police sentiment to the harassment faced by returning veterans in the aftermath of the Vietnam War. But Trump also referenced the "tragic deaths in Louisiana and Minnesota" during his event, saying they made clear that "a lot of work" must be done to ensure all Americans feel their safety is being protected. Trump said he was disturbed by the images of the killings of Alton Sterling, who was shot by police last Tuesday in Baton Rouge, Louisiana, after being pinned to the pavement by two officers. The altercation that captured on cell phone video. The following day, Philando Castile was fatally shot by an officer in suburban St. Paul, Minnesota, an act livestreamed on Facebook by his girlfriend. "I thought they were horrible, horrible to witness," Trump said. "Whether that's a lack of training or whatever, but I thought they were two incidents that were absolutely horrible to witness. At the same time, our country is losing its spirit. African-Americans are absolutely losing their spirit." Trump framed that issue in largely economic terms, blaming the mood of the nation's black community on high unemployment and low wages. "Jobs can solve so many problems," he said. "And we're going to open our country up and we're going to be a huge jobs producer again instead of having terrible jobs. "Our good jobs are going away, they're going away from this country," he added. "We're going to open our country up again for great jobs where people can make terrific livings and be happy." Asked specifically what he would say to African-Americans who feel targeted by police because of their race, Trump said, "We have to talk to 'em and we have to build up the spirt." He added: "We have to talk with the police. And we have to get people to really get along. People are not getting along in this country. We are in a divided country." Trump went on to predict the problem would only grow worse. "When President Obama said the other day that he doesn't think it's as bad as people think, I think it's far worse and certainly far worse than he believes it is," Trump said. "We are in a divided nation. I looked two nights ago and you were having trouble in 11 different cities, big, big trouble. And the press actually plays it down. "I mean, you were having big, big trouble in many cities. And I think that might be just the beginning for this summer." Trump also had harsh words for the Black Lives Matters movement, which has organized some of the protests. Former New York City Mayor Rudy Giuliani, a Trump adviser, labeled the group "inherently racist" over the weekend in an interview with CBS News. "When you say black lives matter, that's inherently racist," Giuliani said. "Black lives matter. White lives matter. Asian lives matter. Hispanic lives matter. That's anti-American and it's racist." Asked whether he agreed with Giuliani's assessment, Trump said the group's name is "divisive." "A lot of people agree with that. A lot of people feel that it is inherently racist. And it's a very divisive term," he said. "Because all lives matter. It's a very, very divisive term." Trump added that has heard some Black Lives Matter activists say "horrible, horrible things about police and about others." "And certainly if they're going to allow that to go along rhetorically, this is not a good thing for our country," he said. ___ Follow Jill Colvin and Matthew Daly on Twitter at: https://twitter.com/colvinj and http://twitter.com/MatthewDalyWDC Government to buy nine maritime patrol planes as part of Boeing partnership The Government is to buy nine maritime patrol aircraft as part of a 3 billion, 10-year partnership with Boeing. The Ministry of Defence said the P-8A Poseidon planes will be based at RAF Lossiemouth in Moray to help protect the Trident nuclear weapons system and the UK's two new aircraft carriers. Boeing will also deliver 50 Apache AH-64E attack helicopters to the British Army under an agreement signed between the US and UK governments. Prime Minister David Cameron and Defence Secretary Michael Fallon with British crew members of a Boeing P-8 Poseidon maritime reconnaissance aircraft at Farnborough The collaboration between the UK and Boeing, announced on the opening day of the Farnborough International Airshow in Hampshire, is expected to create 2,000 jobs - doubling the US giant's UK workforce. A new 100 million support and training base for the P-8A planes will be built at RAF Lossiemouth. Boeing said it will make the UK its European base for training, maintenance, repair and overhaul across its defence fixed-wing and rotary platforms. A further 365 million worth of aerospace research and development projects have been approved to boost the UK's position in the sector. David Cameron visited the air show in one of his last official duties as Prime Minister and viewed a flypast featuring an F-35 Lightning II stealth fighter and the Red Arrows. He said: "Whatever uncertainties our country faces, I want the message to go out loud and clear: The UK will continue to lead the world in both civil and defence aerospace. "We aren't just open for investment, we are a place the global aerospace industry wants to do business - as Boeing's long-term partnership with the UK proves. "It's also important to put Government investment where it counts. That's why we are jointly funding the new R&D fund with the aerospace industry and why I'm pleased we have today signed the contract for nine new P8 maritime patrol aircraft for the Royal Air Force, underlining the UK's commitment to spending on vital defence." Mr Cameron joined Virgin Atlantic president Sir Richard Branson who signed a 4.4 billion US dollar (3.4 billion) deal for 12 Airbus A350-1000 aircraft. ADS Group, a trade association for the UK's aerospace industry, described the opening day of the show as a "strong start" with 191 orders announced for civil aircraft totalling 12 billion - worth 2.5 billion to the UK. The organisation's chief executive, Paul Everitt, said: "The Farnborough International Airshow is where the global aerospace and aviation industry comes to meet and do business. Today's orders are good news for the UK economy. "I was delighted to host the Prime Minister who was able to open our show and demonstrate the Government's continued support for the industry." Boeing published a market forecast which stated that 39,620 n ew aircraft worth 5.9 trillion US dollars (4.5 trillion) are expected to be bought over the next 20 years. The figures represent a 4.1% increase on last year's forecast. The supersonic F-35 jets are capable of short take-offs and vertical landing, and are one of the highlights of the seven-day show. They are due to enter service with the Royal Navy and the RAF from 2018. Prime Minister David Cameron and Sir Richard Branson at the Farnborough International Airshow in Hampshire David Cameron and Sir Richard Branson viewed a flypast featuring an F-35 Lightning II stealth fighter and the Red Arrows The Red Arrows perform a flypast with a Lockheed Martin F35 at the Farnborough International Airshow A new Airbus A350 carrying Sir Richard Branson lands at the Farnborough International Airshow Sir Richard Branson with Virgin Atlantic staff after they arrive on a new Airbus A350 at Farnborough Islamic State 'struggling to raise money thanks to coalition air strikes' The Islamic State terror group is facing "an increasingly desperate struggle to raise money" after coalition air strikes targeting oil wells and key financial planners, a parliamentary report has said. But the House of Commons Foreign Affairs Sub-Committee said that the UK needs to do more to help the international community choke off the supply of funding to the terror organisation - also known as Isis, Isil or Daesh. The committee said the UK's role has so far been "underpowered compared to our potential", and called on ministers to step up recruitment of specialists who can identify and target IS funding streams. Airstrikes have inflicted damage on Islamic State's financial position, a report says RAF bombers have taken part in coalition raids on IS targets in Iraq - and since December in Syria - designed to undermine the group's ability to raise revenue from oil and to target its bulk cash storage facilities. But the new report said that the UK has the capacity also to lead international efforts to isolate the group financially by disrupting its collection of donations from supporters and its access to local and international financial systems. "For its contribution to match its potential, the UK must do more to ensure that experts in its public and private sectors work effectively together, and that allies abroad have access to this expertise," said the committee. Chairman John Baron said: "Isil's finances have been damaged by the coalition's efforts but more needs to be done. The UK contribution seems underpowered compared to our potential. "Isil thrives in states debilitated by war. The Iraqi Government must demonstrate that this terrorist organisation does not and cannot generate income from inside Iraq's financial systems - that must be transparent to all. "The UK Government is in a position to help Iraq develop effective abilities of its own to counter Isil's finances. "Much depends on blocking access to local and international money-making activities. The UK Government needs to speed up the recruitment, and ensure the retention, of specialists who understand local custom and practice. "This is a fluid picture. As oil and tax revenues decline, Isil is likely to seek new sources of funding. The UK should take a leading role in international efforts to identify and target new funding streams for Isil with a stronger role in the Counter-Isil Finance Group currently chaired by the US, Italy and Saudi Arabia." The committee said that the coalition should be prepared to target oil wellheads more intensively, despite fears that this will make post-war reconstruction in Iraq and Syria more difficult. From Churchill to Cameron: The prime ministers who have served under the Queen Theresa May will be the 13th prime minister to serve under the Queen. Here is a look back at those who have led Her Majesty's government during her 64-year reign. :: Sir Winston Churchill was already in office when the Queen succeeded to the throne. The wartime prime minister served his second term from 1951 to 1955 after beating Clement Attlee in the general election. Churchill was forced to resign because of poor health in 1955. Theresa May outside the Houses of Parliament :: Sir Anthony Eden became prime minister after the resignation of Churchill in 1955. He immediately called a general election and increased the Conservatives' majority. However, his term in office lasted just two years because of his controversial handling of the Suez crisis. :: Harold Macmillan told the Queen that he had little hope his government would survive in office for more than six weeks when he became prime minister. The Conservative party was demoralised after the Suez crisis, but Macmillan turned the tables and eventually claimed that British people had "never had it so good". He resigned in 1963. :: Sir Alec Douglas-Home's time in Number 10 was short lived. He spent just 363 days in office after losing the general election to Labour in 1963. The aristocratic peer was a member of the House of Lords when the Conservatives chose him to replace Macmillan. He renounced his peerage and won a by-election for the safe Kinross and Perthshire West Commons seat, but lost the election the following year. :: Harold Wilson championed many social reforms during his time in office. As Labour leader, he won the general election in 1964 with a small majority which he increased significantly by the 1966 election. His government enacted an array of social changes - from housing and gender equality to pensions and education - but he was defeated in the 1970 general election. He took office for a second time in 1974 - initially with a minority government after a hung parliament. Wilson spent the next two years focusing on domestic policy - particularly social reforms which saw income tax for top earners hit 83%. He surprised the nation when he resigned in 1976. :: Sir Edward Heath took the helm in 1970 promising to turn around the nation's fortunes. Britain was in the midst of economic decline, but unemployment continued to rise during his term. Heath tried to weaken the power of unions, but the Miners' Strikes during his term led to the three-day week. He lost the general election in 1974, although he served in the House of Commons until 2001. :: James Callaghan had already held three major offices of state when he succeeded Wilson to be prime minister. The Labour leader had been Chancellor of the Exchequer, Home Secretary and Foreign Secretary when he took on the top job, but survived for just three years. He was undermined by workers' strikes during the "Winter of Discontent" and a motion of no confidence in Callaghan was passed by one vote. :: Baroness Margaret Thatcher was Britain's first female prime minister and the longest-serving PM for over 150 years. The daughter of a shopkeeper, she led the Conservatives to victory in the 1979 general election. She was behind the radical, and controversial, privatisation schemes and gave local authority tenants the right to buy their homes. She resigned from office in 1990. :: Sir John Major became Tory leader after Thatcher's resignation and went on to win the 1992 general election. Unlike many of his predecessors, Major left school at 16 and did not go to university. During his term in office, he helped to establish the Northern Ireland Peace Process and replaced the unpopular Poll Tax. :: Tony Blair became the longest-serving Labour prime minister after he entered office with a landslide majority in 1997. As a champion of "New Labour", Blair's decade as leader saw him reform the House of Lords and introduce civil partnerships. His decision to back the American-led invasion of Iraq lost him supporters, and he resigned in 2007 after facing criticism over his continued support for President Bush over the Israel-Lebanon war. :: Gordon Brown was chancellor before moving to Number 10 in 2007. The Scot implemented the world's first climate change act and introduced new powers for counter-terrorism police to share information, but his tenure in office was marred by the global financial crisis. He resigned in 2010 after the general election resulted in a hung parliament. :: David Cameron was the youngest prime minister in almost 200 years when he formed a coalition government with the Liberal Democrats. A former shadow education secretary, Cameron was elected leader of the Conservatives in 2005 before coming into power in 2010. He won a majority in the 2015 general election but resigned as prime minister in the wake of the Brexit vote. Larry the cat will not be evicted from Downing Street, Cabinet Office confirms The Downing Street cat will be spared eviction from Number 10 when the Cameron family leave, it has been confirmed. Chief mouser Larry - a brown and white tabby entrusted with the rat-catching portfolio - will continue to reside in his famous abode when Theresa May moves in after becoming Prime Minister on Wednesday. A Cabinet Office spokeswoman said: "It's a civil servant's cat and does not belong to the Camerons - he will be staying." Larry the Downing Street cat on the steps of 10 Downing Street, London Larry, who was re-homed from Battersea Dogs and Cats Home in 2011, was said to have a "strong predatory drive" that suggested he would be well-suited to the task of rat catching. David Cameron welcomed the cat's arrival, and said he would make a "great addition" to the Number 10 team. Larry was the first cat to hold the appointment since stalwart ratter Humphrey was retired in 1997. Humphrey was succeeded for a short period by Sybil, the pet of then chancellor Alistair Darling, in 2007 - but the renowned mouser failed to settle and returned to Scotland. The Foreign Office appointed Palmerston, a moggy, in April to keep pests in Whitehall at bay. An unofficial Twitter account set up under Larry's name posted on Monday: "Does anyone know a good cat groomer available tomorrow? I need to make a good impression on someone on Wednesday..." Colombia military, FARC patrol clash despite ceasefire BOGOTA, July 10 (Reuters) - Colombian military and Marxist FARC guerrillas clashed over the weekend, in an incident just weeks after the government and rebel leadership agreed to a bilateral ceasefire as the two sides near a peace accord. The fighting happened 48 hours ago in southern Meta province when troops came upon a patrol of the Revolutionary Armed Forces of Colombia (FARC) and shots were fired, Defense Minister Luis Carlos Villegas said on Sunday. "There were some injuries," Villegas told reporters. The government has offered to provide medical attention in coordination with the International Committee of the Red Cross, Villegas said. President Juan Manuel Santos and FARC negotiators in Havana signed an historic accord on June 23 that ceased hostilities, effectively ending armed conflict that has stretched for more than 50 years and killed more than 220,000 people. The accord puts the two sides close - possibly a question of weeks - to signing a final peace agreement. N.Korea military threatens physical response against U.S. THAAD deployment SEOUL, July 11 (Reuters) - North Korea's military said on Monday it will take "physical response" to a move by the United States and South Korea to deploy the advanced THAAD missile defense system to the Korean peninsula to counter the threat from the North. The United States and South Korea said on Friday that the Terminal High Altitude Area Defense (THAAD) anti-missile system will be used as defence against North Korea's growing nuclear and ballistic missile capabilities. The announcement was the latest move by the allies against the North which conducted its fourth nuclear test this year and launched a long-range rocket, resulting in tough new U.N. sanctions and a series of bilateral sanctions against it. "There will be physical response measures from us as soon as the location and time that the invasionary tool for U.S. world supremacy, THAAD, will be brought into South Korea," the North's military said in a statement. "It is the unwavering will of our army to deal a ruthless retaliatory strike and turn (the South) into a sea of fire and a pile of ashes the moment we have an order to carry it out," the statement carried by the official KCNA news agency said. The North frequently threatens to attack the South and U.S. interests in Asia and the Pacific. The move to deploy the THAAD system, which drew a swift and sharp protest from China, came a day after the U.S. Treasury Department blacklisted leader North Korean leader Kim Jong Un for human rights abuses. North Korea called this "a declaration of war" and vowed a tough response. A South Korean Defence Ministry official said selection of a site for THAAD could come "within weeks," and the allies were working to have it operational by the end of 2017. It will be deployed to U.S. Forces Korea "to protect alliance military forces," the South and the United States said on Friday. The United States maintains 28,500 troops in South Korea, a legacy of the 1950-53 Korean war. Triple jeopardy: Australia's banks face ratings, funding, political headwinds By Swati Pandey SYDNEY, July 8 (Reuters) - Australia's big banks might have hoped for a better 2016 after raising a record $20 billion ($15 billion) in new equity last year. How wrong they were. This week alone Australia's "Big Four" - National Australia Bank, Commonwealth Bank, Westpac Banking Corp and ANZ Banking Group - faced calls to raise further capital, threats to their credit ratings and a sharp surge in political uncertainty. Ratings agency Standard & Poor's on Thursday put major Australian banks' ratings on negative outlook, implying a one in three chance of losing their AA- ratings within two years. A downgrade would make the cost of financing more expensive for the "Big Four" at a time when regulators want them to put aside more cash to weather any repeat of the global financial crisis. Raising capital will likely hit profits and make it more difficult for the banks to continue to distribute chunky dividends, which are a key source of revenue stream for shareholders such as the country's pension funds. As revenue growth slows and the risk of loan impairments rise, the downgrade "presents another downside risk for the sector and is likely to impede an improvement in sentiment," Deutsche Bank analyst Andrew Triggs said. The big banks, long among the world's largest and most profitable, are also vulnerable to the changing political landscape. Tough new scrutiny is likely in the wake of Australia's knife-edge weekend election as a disparate group of lawmakers push for a wide-ranging inquiry into mis-selling, misconduct and market dominance concerns. Deutsche's Triggs expects approximately a 2 percent profit impact on average if major banks' ratings were to be downgraded by one notch. Offshore wholesale funding accounts for around one-fifth of Australia's major banks' total funding with local deposits and equity accounting for over 60 percent. The cost of funding for Australian banks has risen even as the official cash rate has dropped to record lows of 1.75 percent. This is because the market prices in a higher risk of defaults for the banks. Meanwhile, banks lending rates are being squeezed by the rate cuts. S&P's outlook change "shows why we cannot take international confidence in Australia for granted," Steven Munchenberg, chief executive of Australian Bankers' Association said in an emailed statement. "It also highlights why an unpredictable and uncertain royal commission into banks is a risky proposition. Banks will continue to work to ensure they meet the required capital levels to remain unquestionably strong." On Friday, Standard & Poor's said CBA, Westpac and NAB could hang on to their ratings but they would each need to raise between A$7 billion and A$8 billion in fresh capital over the next two years. GATHERING STORM Five analysts surveyed by Reuters after the rating outlook change estimated the "Big Four" will collectively need between A$7.3 billion to A$31.2 billion in additional equity over the next 2-3 years to create a large enough buffer to shield them from a repeat of the 2008/09 financial crisis. Concerns centre around their exposure to the property market, where a potential bubble is building up in Sydney and Melbourne. "It would be prudent for Australian (banks) to continue to plan for the likelihood of strengthened capital requirements in some areas," the Australian Prudential Regulatory Authority (APRA) said in a study published this week. Following the record equity raisings in 2015, Australia's major banks Common Equity Tier ratio - a measure of balance sheet strength - has risen to 13.5 percent as at December 2015 from 11.7 percent in 2014. While that puts them among the top quartile of international banks, they remain below the likes of UBS and Royal Bank of Scotland at over 15 percent, and more than 20 percent for Swedish banks such as Swedbank and Svenska Handelsbanken. SIGN OF THE TIMES Hedge funds are increasingly adding banks to their short positions on concerns of a housing bubble and rising loan impairments as the country transitions away from a mining boom, ASX data shows. No wonder bank shares, once investor darlings, are now among the worst performers on the benchmark index, down 15-17 percent this year. Moody's in June said a rebound in property prices along with elevated household debt was "credit negative" and that the likelihood of an outright downward correction in house prices was rising. Australian banks are at risk as about two thirds of their balance sheets is exposed to mortgages. Nearly three weeks after a deadly clash with police , members of a radical teachers union are vowing to intensify their protests and have garnered some international support in their struggle against the governments education reform package. Amidst food shortages the Mexican government blames on blockades set up by members of the National Coordinator of Education Workers, or CNTE, National Education Association President Lily Eskelsen Garcia has written Mexican President Enrique Pena Nieto to voice support for the protesting teachers . The people of Mexico not only have the right to protest the corporate-driven education reforms that have been instituted, they should protest these reforms, wrote Eskelsen Garcia. In the U.S. and elsewhere, some of these same reforms have been tried and they have failed at the expense of students. The legitimate demands of educators and their supporters in Oaxaca should be met with negotiation, not repression and violence. The cornerstone of Pena Nietos reforms is the institution of mandatory skills tests to evaluate teachers. Educators who fail these tests could be dismissed. Members of the CNTE, who largely hail from the countrys poor and mostly rural south, say the tests would punish poorly resourced teachers and contend that the government should be investing in schools instead of penalizing teachers. Government officials and the teachers have been in talks since the deadly June 19 clash that killed nine civilians in a small town in Oaxaca. And while the administration has agreed to some concessions, like the formation of a panel of experts and teachers to review the governments education reforms, officials have remained steadfast that they will not repeal the 2013 law that sparked the protests, reports Reuters. With the government seeming unwilling to back down this time (the teachers got the government to put the tests on hold in 2015 ), CNTE members have warned that they could call on thousands more teachers to close highways and shut the countrys borders, Reuters reports . Mexicos air force started flying food to communities shut off by the blockades last Thursday, Vice reports . El Salvador says Romanian consul dies in suspected homicide SAN SALVADOR, July 10 (Reuters) - The honorary consul serving as Romania's diplomatic representative in El Salvador has died in a suspected homicide at his home in San Salvador, authorities in the crime-ridden Central American nation said on Sunday. The body of honorary consul Ricardo Emanuel Salume Barake was found bound and gagged at his house in a wealthy part of the Salvadoran capital and investigations were continuing, a police spokeswoman said. The attorney general's office said on Twitter the death was being treated as a homicide, but did not give further details. El Salvador's foreign ministry said Salume, a Salvadoran citizen, was Romania's only diplomatic representative in the country. The Romanian embassy in Mexico confirmed this. N.Korea says will treat U.S. detainees under 'wartime law' By Ju-min Park and Jack Kim SEOUL, July 11 (Reuters) - North Korea said on Monday it had told the United States it will cut the only channel of communication between them, at the United Nations in New York, after Washington blacklisted leader Kim Jong Un last week for human rights abuses. All matters related to the United States, including the handling of U.S. citizens detained by Pyongyang, will be conducted under its "wartime law," its official KCNA news agency said. The move was the latest escalation of tension with the isolated nuclear-armed country, which earlier on Monday threatened a "physical response" after the United States and South Korea said they would deploy the THAAD missile defence system in South Korea. North Korea said last week it was planning its toughest response to what it deemed a U.S. "declaration of war" after Washington announced sanctions on Kim Jong Un. A U.S.-based North Korea monitoring project, 38 North, said on Monday that satellite images from July 7, a day after the sanctions announcement, showed a high level of activity at North Korea's nuclear test site, but it is unclear whether this was for maintenance or preparation for a fifth nuclear test. "As the United States will not accept our demand for the immediate withdrawal of the sanctions measure, we will be taking corresponding actions in steps," KCNA said on Monday. "As the first step, we have notified that the New York contact channel that has been the only existing channel of contact will be completely severed," it said. "The Republic will handle all matters arising between us and the United States from now on under our wartime laws, and the matters of Americans detained are no exception to this." U.S. State Department spokesman John Kirby declined to comment specifically on the North Korean statement but said such rhetoric "obviously is not doing anything to ease tensions." Two Americans are currently known to be detained in North Korea. Otto Warmbier, a University of Virginia student, was sentenced in March to 15 years of hard labour for trying to steal an item with a propaganda slogan and Korean-American Kim Dong Chul is serving a 10-year sentence for espionage, according to North Korean state media. Kirby repeated a call for North Korea to release the Americans from "improper and unjust detention" and stressed the need for it to adhere to its Vienna Convention commitment to allow consular access. North Korea has previously indicated that wartime laws would mean detainees will not be released on humanitarian grounds. 'BARGAINING CHIPS' This could delay release of the Americans, giving North Korea one of its last bits of leverage in negotiations with the United States, said T. Kumar, Amnesty International USA's international advocacy director. "The tension is at one of the highest levels now, and one of the areas they have control over is with the detainees," Kumar said. "They will use them as bargaining chips to get some advantages." Kumar said he did not think the prisoners would be affected in other significant ways. North Korea and the United States remain technically at war because the 1950-53 Korean War, in which Washington sided with South Korea, ended only with a truce. The so-called New York channel, via North Korea's mission to the United Nations, has been an intermittent point of contact between North Korea and the United States, which do not have diplomatic relations. It has been used in the past to exchange messages and to hold discussions, including over detainees held by North Korea. However, the release of past U.S. detainees has generally come only after visits to Pyongyang by high-profile U.S. leaders, including former Presidents Bill Clinton and Jimmy Carter and Director of National Intelligence James Clapper. Warmbier's mother, Cynthia, declined to comment when reached by telephone on Monday. Simon Park, senior minister at the Korean Central Presbyterian Church in Centreville, Virginia, who worked with Kim Dong Chul for several years, said he was concerned for him, and for his wife and their two children who are living in China. "He is currently on a hard labour sentence and whether this will change or not, we are not sure," Park said, adding that he last spoke with Kim shortly before his imprisonment. "All we can do is pray for his family," Park said. 'DECLARATION OF WAR' On Saturday, North Korea test-fired a ballistic missile from a submarine, but it appeared to have failed after launch. The United States and South Korea said on Friday the Terminal High Altitude Area Defense (THAAD) anti-missile system would be used to counter North Korea's growing nuclear and ballistic missile capabilities. The announcement was the latest move by the allies against North Korea, which conducted its fourth nuclear test this year and launched a long-range rocket, resulting in tough new U.N. sanctions. "There will be physical response measures from us as soon as the location and time that the invasionary tool for U.S. world supremacy, THAAD, will be brought into South Korea are confirmed," North Korea's military said early on Monday. "It is the unwavering will of our army to deal a ruthless retaliatory strike and turn (South Korea) into a sea of fire and a pile of ashes the moment we have an order to carry it out," it said in a statement carried by KCNA. North Korea frequently threatens to attack South Korea and U.S. interests in Asia and the Pacific. South Korean Defence Ministry spokesman Moon Sang-gyun warned North Korea not to take "rash and foolish action" or it would face "decisive and strong punishment from our military." The move to deploy THAAD also drew a swift and sharp protest from China. Chinese Foreign Minister Wang Yi said on Saturday that THAAD exceeded the Korean peninsula's security needs and suggested there was a "conspiracy behind this move." South Korean President Park Geun-hye said on Monday THAAD was purely aimed at countering the threat from North Korea. A South Korean Defence Ministry official said selection of a site for THAAD could come "within weeks," and the allies were working to have it operational by the end of 2017. Magnitude 6.4 earthquake strikes Ecuador's damaged coast July 11 (Reuters) - A shallow earthquake with a magnitude of 6.4 struck Ecuador's northwest coast on Sunday, in the region of April's deadly quake, the U.S. Geological Survey (USGS) said. The quake was centred near the town of Esmeraldas, northwest of the capital, Quito, at a depth of about 35 km (22 miles), the USGS said. The Pacific Tsunami Warning Center did not issue a tsunami warning immediately after the quake. The coastal region has been hit by a series of quakes since the April 7.8 tremor that killed more than 650 people, the nation's strongest quake in decades. Beijing says should be no S.China Sea talk at Asia-Europe summit BEIJING, July 11 (Reuters) - The South China Sea is not on the agenda and should not be discussed at a major summit between Asian and European leaders in Mongolia at the end of the week attended by China's premier, a senior Chinese diplomat said on Monday. The Asia-Europe Meeting, or ASEM, will be the first important diplomatic gathering after the July 12 ruling by an arbitration court hearing a dispute between China and the Philippines over the South China Sea in the Dutch city of The Hague. Tensions and rhetoric have been rising ahead of the ruling, a case which China has refused to recognise or participate in, saying the court has no jurisdiction and China cannot be forced to accept dispute resolution. China has repeatedly blamed the United States for stirring up trouble in the South China Sea, where its territorial claims overlap in parts with Vietnam, the Philippines, Malaysia, Brunei and Taiwan. Chinese Assistant Foreign Minister Kong Xuanyou signalled discussion of the South China Sea would not be welcomed at the event, which happens once every two years, as it's designed to discuss issues between Asia and Europe. "The ASEM leaders summit is not a suitable place to discuss the South China Sea. There are no plans to discuss it there on the agenda for the meeting. And it should not be put on the agenda," Kong told a news briefing. However, Beijing-based diplomats involved with preparations for ASEM say that it is inevitable that the South China Sea dispute will be raised. Aside from Chinese Premier Li Keqiang, other attendees expected are Japanese Prime Minister Shinzo Abe, German Chancellor Angela Merkel and top European Union officials. The United States has conducted freedom of navigation patrols close to Chinese-held islands, to Beijing's anger, while China has been bolstering its military presence there. Kong said that if there are tensions in the South China Sea it is because certain countries outside the region have been putting on shows of force and interfering. "There is no reason to get the South China Sea issue into this ASEM meeting citing freedom of navigation and security interests as causes of concern. It's got no leg to stand on," he added. Beijing says should be no S.China Sea talk at Asia-Europe summit By Ben Blanchard BEIJING, July 11 (Reuters) - The South China Sea is not on the agenda and should not be discussed at a major summit between Asian and European leaders in Mongolia at the end of the week, a senior Chinese diplomat said on Monday. The Asia-Europe Meeting, or ASEM, will be the first important multilateral diplomatic gathering after the July 12 ruling by an arbitration court hearing a dispute between China and the Philippines over the South China Sea. Tensions and rhetoric have been rising ahead of the ruling in the Dutch city of The Hague, a case which China has refused to recognise or participate in. Beijing says the court has no jurisdiction and China cannot be forced to accept dispute resolution. China has repeatedly blamed the United States for stirring up trouble in the South China Sea, where its territorial claims overlap in parts with Vietnam, the Philippines, Malaysia, Brunei and Taiwan. Chinese Assistant Foreign Minister Kong Xuanyou signalled discussion of the South China Sea would not be welcomed at the event, which happens once every two years, as it's designed to discuss issues between Asia and Europe. "The ASEM leaders summit is not a suitable place to discuss the South China Sea. There are no plans to discuss it there on the agenda for the meeting. And it should not be put on the agenda," Kong told a news briefing. However, Beijing-based diplomats involved with preparations for ASEM say it is inevitable the South China Sea dispute will be raised at the summit, which is expected to be attended by Chinese Premier Li Keqiang, Japanese Prime Minister Shinzo Abe and German Chancellor Angela Merkel. The United States has conducted freedom of navigation patrols close to Chinese-held islands, to Beijing's anger, while China has been bolstering its military presence there. Kong said that if there are tensions in the South China Sea it is because certain countries outside the region have been putting on shows of force and interfering. "There is no reason to get the South China Sea issue into this ASEM meeting citing freedom of navigation and security interests as causes of concern. It's got no leg to stand on," he added. FILIPINOS TOLD "DON'T TALK POLITICS" Ahead of the ruling, Philippine nationals in China this weekend received mobile phone text messages from their embassy, warning them not to discuss politics in public and to avoid engaging in discussions on social media. They were advised to carry their passports and residency permits with them at all times and to contact the embassy or Chinese police if there are any untoward incidents. China says much of the building and reclamation work it has been doing in the South China Sea is to benefit the international community, including for civilian maritime navigation. The official China Daily said on Monday that China will soon start operations of a fifth lighthouse in the South China Sea, on Mischief Reef. Taiwan is also watching the case closely. Its single holding of Itu Aba is the biggest feature in the Spratlys and the one some analysts believe has the strongest claim to island status and an exclusive economic zone. "If the ruling touches on our sovereign rights we will respond strongly," said deputy foreign minister Leo C.J. Lee to lawmakers in a parliamentary committee session on Monday. The coast guard, which directly oversees Itu Aba with the support of the military, will not "soften" its defence of the island, coast guard chief Lee Chung-wei added. Three police officers shot dead in southeast Turkey - Anadolu ISTANBUL, July 11 (Reuters) - A youth shot dead three Turkish police officers and wounded three others at a bus station in the city of Sanliurfa in southeast Turkey before he was also shot dead, the state-run Anadolu Agency reported on Monday. Anadolu cited the Sanliurfa governor as saying the shooting was not terrorism-related. It was not clear when it occurred. The attacker was a 17-year-old with psychological problems who was travelling with his family and who had taken his father's gun, Sanliurfa Governor Gungor Azim Tuna told reporters. False hope? China's military hospitals offer illegal experimental cures By Adam Jourdan SHANGHAI, July 11 (Reuters) - For student Wei Zexi, the lure of a miracle cure was hard to resist: he was dying from a rare cancer and a well-known Beijing hospital was offering treatment with an 80 percent chance of success and no side effects. It was, the hospital said, the ideal choice. There was a problem: The military-run hospital did not have regulatory approval to offer the immunotherapy course it sold to Wei at a steep fee. The treatment itself - while promising - is widely considered by global cancer specialists to be at the experimental stage. Wei died at the age of 21, and the outcry his case provoked has thrown a spotlight on hundreds of hospitals run by branches of China's armed forces. Reuters' interviews with patients, doctors and lawyers show that military-run medical facilities across the country regularly provide - and advertise - treatments that are not approved by the Chinese Health Ministry. Among a sample of around two dozen of the hundreds of military hospitals around China, Reuters found roughly four-fifths offered some kind of immunotherapy on their websites. Some of them said they had used it to treat thousands of patients. The ready availability of unapproved treatments at major hospitals around China underlines serious regulatory blind spots in a healthcare system treating 1.4 billion people and which is the world's second largest drugs market behind the United States. Military authorities have acknowledged fault at the Second Hospital of Beijing Armed Police Corps, where Wei was treated. They would not comment on practices at other facilities. The hospital itself did not respond to requests for comment. China's health ministry said that, while immunotherapy had great potential, there were still question marks around safety and effectiveness. It has never been approved for commercial clinical use in China, the ministry said in a statement to Reuters. Immunotherapy is classed as a category three treatment, meaning it is "ethically problematic", "high risk" or "still in need of clinical verification". However, China's health ministry has little oversight over military hospitals because its jurisdiction largely concerns the civilian health system. The military facilities come under the control of the armed forces. Lawyers involved in the healthcare sector say the combination of military oversight and the frequent civilian use create grey areas about whether national laws apply and how they should be enforced. The health ministry would not comment on the wider issue of regulation of military hospitals. The defence ministry referred Reuters to a statement made at a regular news briefing in May in which it acknowledged the hospital in the Wei case had acted illegally. It said oversight of such hospitals would be improved, but did not say how. The Reuters review also showed that many of the hospitals surveyed offered patients stem cell therapy, a treatment which is only approved in China for clinical trials. The health ministry said in August last year research into stem cells to treat or prevent diseases was developing fast, but it was concerned some hospitals were violating government regulations to offer such treatments to boost profits. Shanghai-based Yuan Liming, a partner at law firm Jones Day, said there is another problem: military hospitals often allow third-parties to operate clinics within the hospital grounds. The health ministry told Reuters it was illegal for hospitals to sub-contract certain therapies to private clinics and that it would investigate any public hospitals doing so. "It clearly violates Chinese law, but it's common," said Yuan. BEST FACILITIES Some military hospitals are regarded, alongside university hospitals, as among the country's best medical facilities. They are overseen by military bodies such as the People's Armed Police, a paramilitary force that answers to the powerful Central Military Commission headed by President Xi Jinping. "Military hospitals, generally speaking, are not subject to administration and monitoring by the health ministry, but are subject to supervision by the Central Military Commission," said Yuan. There is no indication any of the military hospitals contacted by Reuters had special exemption to offer immunotherapy treatment. The Second Hospital of Beijing Armed Police Corps was not approved. Another hospital, the General Hospital of Shenyang Military, said on its website it treated more than 1,600 people with a number of immunotherapy treatments. No one at the hospital was willing to comment. Others, including the 302 Military Hospital of China in Beijing, the 101st People's Liberation Army in Wuxi, the Nanjing General Hospital and People's Liberation Army No. 202 Hospital said on their websites they had used immunotherapy treatment. Repeated calls to the Nanjing hospital and the No. 202 hospital went unanswered. The 302 Military hospital and the 101st PLA hospital said they had stopped offering the therapy and declined to comment further. Gong Xiaoming, a senior Beijing-based gynaecologist and former physician at the prestigious Peking Union Medical College Hospital, said the main problem was the small private clinics used by military hospitals. Without tighter regulation the illegal provision of immunotherapy and other banned treatments would likely continue, he said. Such clinics, though separate businesses, often operate on a hospital's premises and under its licence, putting them in another regulatory grey area, say lawyers and doctors. "It's like guerrilla warfare," said Gong. "Every few years they change location or change name and emerge once more." COST COMPLAINTS In Wei's case the hospital had contracted Shanghai-based private immunotherapy technology company Shanghai Claison. Claison was not available for comment and a guard who answered the phone said everyone had "gone on holiday". Other patients complain of being given pricey and unnecessary treatments by military hospitals. A receptionist at a steel trading company, who asked to be identified only by her family name Xu, went to the People's Liberation Army (PLA) 411 Hospital in Shanghai in 2014 seeking treatment for ovarian cysts, a common condition. The doctor suggested infra-red therapy and she underwent three days of treatment at 700 yuan ($105) per session, eventually spending a total of 8,000 yuan. Concerned with the ongoing treatment, she went to another doctor and was told she had only needed a small surgical procedure costing 500 yuan. That treatment was successful, she said. "Everyone trusts doctors," Xu, 25, told Reuters. "With this infrared therapy, they make you do it every day, and every day they charge you hundreds of yuan. It's all about making money." The PLA 411 Hospital said it was not aware of the case and hadn't heard of any other patient complaints. SERIOUS PROBLEMS Before he died, Wei accused the Second Hospital of Beijing Armed Police Corps, and the search engine Baidu Inc that he had used to find it, of misleading advertising and disseminating false medical information. China's health ministry said an investigation after Wei's death revealed "serious problems" at the hospital. It was found to have been illegally working with a private healthcare partner, unlawfully advertising services and using unauthorised clinical technology, the ministry said. The hospital did not respond to repeated calls seeking comment. China's cyberspace regulator has since imposed limits on healthcare adverts carried by Baidu, which controls 80 percent of the Chinese search market, and the company's CEO has called on employees to put values before profit. Baidu, which has since cut its revenue forecast, has said it accepted the regulator's decision and it would implement the requirements placed on it following the investigation. As with other forms of immunotherapy the treatment given to Wei, known as "DC-CIK", uses the patient's own immune system to fight disease. Archived articles and posts on the official website of the hospital that treated Wei, currently blocked, describe the treatment as well-proven. One, dated Aug. 12, 2013, said the success rate was more than 80 percent. In another article, dated Sept. 26, 2015, it wrote that immunotherapy treatment had saved a late-stage cancer patient who had been given six months to live. Another patient with kidney cancer was completely cured. Doctors questioned by Reuters, however, said the claims made by Wei's hospital overstated the potential effects. "Response rates to DC-CIK which are not approved - and in fact to all current immunotherapy - are modest," said Andrew Furness, an immunotherapy expert at University College London. "Patients coming towards the end of their life or having exhausted all treatment options should not be given false hope," he said. Slovenian central bank denies report its head may resign LJUBLJANA, July 11 (Reuters) - Slovenia's central bank said its governor has no intention of resigning over alleged irregularities in the 2013 rescue of the country's banking sector, denying a newspaper report that he is considering stepping down. Citing banking sources, daily Finance said in its Monday edition that Bostjan Jazbec could resign on July 20, a day after the EU Court of Justice in Luxembourg is due to decide whether Slovenia was right in scrapping subordinated bonds in local banks as part of the 2013 rescue. "Governor ...Jazbec has no plans to resign," the central bank said in an emailed statement, rejecting the report as "entirely untrue". On Wednesday, Slovenian police raided the central bank in an investigation into the circumstances in which the bonds were scrapped, over which the Slovenian Association of Small Shareholders has taken legal action against the Bank of Slovenia and local banks. The raid prompted the European Central Bank, on whose governing council Jazbec sits, to threaten legal action against Slovenia. The previous government poured more than 3 billion euros into mostly state-owned local banks to prevent them from collapsing under a heavy burden of bad loans. The rescue enabled Slovenia to narrowly avoid an international bailout. As a part of the overhaul, about 600 million euros of subordinated bonds were scrapped in five banks. The idea that school reform, especially test-based accountability is driving teachers out of the profession has been widely reported in the media, especially in a recent NPR article. This assertion, unfortunately, relies mostly on anecdotes. When we actually look at the data, there is evidence of problems in the teacher pipeline to be sure, but not an overall teacher shortage. In New Orleans and other reform-driven cities, the answers (and even the questions) are a bit different. The possibility of a teacher shortage is a recurring part of the debate about U.S. education. For many decades, the focus has been on what seemed like high teacher turnover. Ten years ago, I worked on a study to test this and found that teachers left the profession at about the same rate as similar professions like social workers and nurses, and teachers actually had lower turnover rates than the average college graduate. That is, it appears that turnover is high among new teachers mainly because they are young and young workers do not yet know what they want to do with their lives. This is just how the labor market works. Given the massive changes in state and federal policy starting with No Child Left Behind, it seemed plausible that reform had created a new turnover/shortage problem. Teachers generally dont like high-stakes testing , and they especially dislike being held accountable based on value-added measures that have become more common in recent years. Add to that the regular threats to teacher tenure, the reduction in bargaining rights in Wisconsin and other states, and you have a plausible case that recent policies have driven teachers out of the profession. Nevertheless, in reading a recent brief on the topic by CALDER, I am convinced again that the conventional wisdom of a teacher shortage is still a myth. The CALDER brief makes the case simply and powerfully: The number of teachers graduating from institutions of higher education with teaching credentials hit a 25-year high in 2011 (almost ten years after No Child Left Behind) and has dropped only very slightly since then. Less than half of these teachers are hired into teaching positions, which is more consistent with a surplus than a shortage. The percentage of schools reporting difficulties finding candidates in various fields (STEM, special education, elementary) is about the same now as it was in 1990 and seems to be on the decline. These data are not perfect. They focus on new entrants to the profession rather than turnover; some of the non-hires are probably college students choosing not to apply for teaching positions upon graduation; and some teacher graduates are getting masters degrees. Still, none of these problems could plausibly reverse the pattern or change the conclusion. So, no shortage, no problem? Unfortunately, not. The data also reinforce that there are actual shortages in some areas. STEM, special education, rural and urban areas, and schools serving high percentages of disadvantaged students are prime examples. It is also a real problem that a large share of teachers opposes the current reforms. As Ive said many times before, accountability systems dont work if they dont have the support of those being held accountable. However, reform and shortages are evidently less connected than what media reports suggest. The data indicate that people still want to be teachers even though they dont like some elements of reform--and others no doubt prefer the reformed system to the old one. Perhaps the biggest problem is that we need to ratchet up the quality of teachers and teaching. We need to make the profession more attractive by improving working conditions. To increase the stature of teaching, we need to clearly convey how challenging it is, intellectually and otherwise--every bit as complicated as the work of the lawyers and doctors that education leaders compare themselves to. If schools want to better the learning environment, they need more resources and, as Tony Bryk and colleagues have emphasized, more capacity for improvement. Smaller classes, high school counselors, and mental health services for students would help, as would higher salaries. From the heated criticism of reform, you would think that evaluation and accountability necessarily degrade working conditions and learning environments, but this is not so. Workplaces where standards are high and employees are accountable for their performances attract and retain the types of people who can excel and create rich learning environments. Were just not there yet. While its good that most states finally have a teacher accountability system, we have a ways to go before these systems will deserve educators trust. We also still have a lot of work to do on teacher preparation. What about New Orleans? Are the citys school reforms--more intense than anywhere else--driving teachers out? Actually, yes, though this isnt really the right question. New Orleans schools can dismiss low-performers at will, which charter leaders see as essential to their success. Dismissing and counseling out lower-performing teachers is no doubt a partial cause of the citys very high turnover . This highlights another reason why the turnover and shortage conversations are misleading. If low-performing teachers are replaced by higher-performing ones, then the quality of teaching and student outcomes will improve. The overall turnover rates dont distinguish the good turnover from the bad. New Orleans reform leaders seem to recognize that the overall rate of turnover is now so high that it is a real barrier to continued improvement. While Teach for America teachers seem to be relatively effective in raising student achievement, the program is not designed to keep them in the profession. Others leave because the work and learning environments in the citys charter schools are not conducive to what many see as a quality education or a fulfilling personal life. People choose to work in traditional public school systems in part because of the work-life balance it affords--parents schedules better align with their childrens and family leave policies provide long-term job security. While work hours in New Orleans schools have become a bit more manageable in recent years, they are still longer than traditional public schools. I cannot count the number of times teachers and leaders have told me that they are leaving for other teaching positions just so they can have a life. So much for work-life balance. So, is school reform driving teachers out of the profession nationally? Apparently not. Is it in New Orleans where the reforms are much more intense? To some degree, yes, but half of this is almost by design--many teachers hired here do not intend to stay and performance-based personnel decisions mean many are dismissed or pushed out. I suspect similar patterns are arising in other reform cities. Almost everyone would like to see turnover drop, but trade-offs arise at every turn: To retain teachers, schools will probably have to increase pay and reduce work hours, both of which come with obvious trade-offs. We are asking the wrong question and getting the wrong answer. There are more than enough people willing to be teachers in traditional public schools, but are they prepared to meet the increasing demands we have for them and their students? Can we adapt the current reforms in ways that teachers generally support and that genuinely improve teaching? Can we shift enough teachers from subjects and locations where we have more than enough teachers to those where we have actual shortages? Calling the current situation a shortage problem or a reform problem does not do it justice. By getting the problem wrong, the solution will probably be misguided as well. Douglas N. Harris is Professor of Economics, the Schleider Foundation Chair in Public Education, and founding Director of the Education Research Alliance for New Orleans (ERA-New Orleans) . You can follow him on Twitter . Somalia's al Shabaab hit army base, kill at least 10 soldiers MOGADISHU, July 11 (Reuters) - Al Shabaab Islamist militants rammed a car packed with explosives into a Somali army base southwest of the capital on Monday and stormed inside, killing at least 10 soldiers, the group and a military officer said. The assault on a base 50 km (30 miles) outside Mogadishu was part of the group's campaign to try to topple the Western-backed government and impose its own strict interpretation of Islam. "Heavy exchange of gunfire continued for hours," Major Ahmed Farah told Reuters from the nearby town of Afgooye. At least 12 al Shabaab fighters were killed, he added. Al Shabaab spokesman Abdiasis Abu Musab said 30 soldiers had been killed in the raid that lasted several hours before his group's withdrew. He did not mention al Shabaab casualties. The group often cites a higher death toll than officials. PRESS DIGEST - Bulgaria - July 11 SOFIA, July 11 (Reuters) - These are some of the main stories in Bulgarian newspapers on Monday. Reuters has not verified these stories and does not vouch for their accuracy. -- Bulgarian Prime Minister Boiko Borisov visits Iran to discuss the possibility to sell a nuclear reactor Bulgaria contracted Russia to build but later canceled the project as well possible future gas deliveries from Iran. (Trud, Telegraph, Standart, Capital Daily) SEGA - Bulgarian arms exports have increased three times to 642.5 million euros ($709.32 million) last year mainly to Iraq and Saudi Arabia. CAPITAL DAILY - Bulgarian Angelo Moskov with his Cayman Islands-registered company Worldview Capital takes the control over struggling Irish oil and gas company Petroceltic, the concessionaire of the Galata natural gas deposit in the Black Sea. Italy industry output falls more than expected in May ROME, July 11 (Reuters)- Italian industrial production fell more than expected in May from the month before, national statistics bureau ISTAT reported on Monday, as the country's weak economic growth continues to stutter. Industrial output dropped 0.6 percent in May, reversing a 0.4 percent gain in April and coming in below all forecasts in a Reuters poll of ten analysts which had pointed to a marginal decline of 0.1 percent. April's data was marginally revised down from an originally reported rise of 0.5 percent. Industrial output, which shows a close correlation with gross domestic product (GDP) in Italy, was up 0.1 percent in the three months to May compared to the December-February period. The euro zone's third largest economy emerged from a three-year recession at the start of 2015, but growth slowed during last year and has remained listless. GDP rose 0.3 percent in the first three months of this year from the previous quarter, but ISTAT said last week that growth was likely to slow in the near term, based on its composite forward looking indicator. Prime Minister Matteo Renzi's government officially expects full-year expansion in 2016 of 1.2 percent, accelerating from last year's rate of 0.8 percent. However, ministers have said this outlook is likely to be revised down due to the repercussions of Britain's vote to leave the European Union in last month's referendum. Employers' lobby Confindustria this month estimated growth of just 0.8 percent in 2016 and 0.6 percent in 2017. Industrial output fell by around a quarter between 2008 and 2014, and has recovered only a small part of that over the last year. In May, industrial activity was weak across the board, with output of consumer goods, intermediate items, energy products and investment goods all declining from the month before. On a work-day adjusted year-on-year basis, output in May was down 0.6 percent, following a 1.8 percent rise in April. ISTAT gave the following details. INDUSTRIAL PRODUCTION MAY APRIL MARCH Mth/mth pct change (adjusted) -0.6 0.4r 0.0 Yr/yr pct change (adjusted) -0.6 1.8 0.5 Yr/yr pct change (unadjusted) 5.7 -0.3 -0.5 U.S. to send more troops to Iraq ahead of Mosul offensive By Yeganeh Torbati and Stephen Kalin BAGHDAD, July 11 (Reuters) - The United States is stepping up its military campaign against Islamic State by sending hundreds more troops to assist Iraqi forces in an expected push on the city of Mosul, the militants' largest stronghold, later this year. U.S. Defense Secretary Ash Carter made the announcement on Monday during a visit to Baghdad, where he met U.S. commanders, as well as Iraqi Prime Minister Haider al-Abadi and Defence Minister Khaled al-Obeidi. Most of the 560 additional troops will work out of Qayara air base, which Iraqi forces recaptured from Islamic State militants and plan to use as a staging ground for an offensive to retake Mosul, Iraq's second biggest city. Government forces said on Saturday they had recovered the air base, about 60 km (40 miles) from the northern city, with air support from the U.S.-led military coalition. "With these additional U.S. forces I'm describing today, we'll bring unique capability to the campaign and provide critical support to the Iraqi forces at a key moment in the fight," Carter told a gathering of U.S. troops in Baghdad. The new troops were "ready to come" and it would be a matter of "days and weeks, not months," he said. Abadi has pledged to retake Mosul by the end of the year. TIMING However, there is still debate in Washington about the timing of a move on Mosul. Some U.S. and allied military and intelligence officials warn that aside from its elite counterterrorism force, the Iraqi military is not ready to take on Islamic State militants in Mosul without significant assistance from the Kurdish peshmerga and Shi'ite militias. Moreover, Baghdad and Arbil, the capital of the autonomous Kurdish region, do not appear to have agreed on a plan for Mosul, and any significant participation by Kurdish or Shi'ite forces in a Mosul campaign, one U.S. official said, "would create a whole new set of problems that the Abadi government is incapable of managing, or even mitigating." Separately, the Republican chairman of the House of Representatives Armed Services Committee said on Monday that President Barack Obama must ask Congress for additional funds to pay for the deployment of more troops to Iraq, as Congress and the White House debate defense spending amid mandatory budget cuts. LOGISTICS HUB Still, the latest U.S. force increase comes less than three months after Washington announced it would dispatch about 200 more soldiers to accompany Iraqi troops advancing towards Mosul. Carter told reporters ahead of Monday's trip that the United States would now help turn Qayara into a logistics hub. The airfield is "one of the hubs from which ... Iraqi security forces, accompanied and advised by us as needed, will complete the southernmost envelopment of Mosul," he said. Lt. Gen. Sean MacFarland, commander of the coalition against Islamic State militants in Syria and Iraq, said the additional troops would fill a variety of roles. "(They are) coming in to help expand the base at Qayara West airfield into a node that can support the Iraqi security forces as they move forward with the Mosul operation, and it'll be an operational air base." U.S. forces have already visited Qayara to check on its condition, and advisors can offer specialised engineering support in Mosul, where Islamic State has blown up bridges across the Tigris, U.S. officials said. Iraqi forces were already improving the base's perimeter in case of a counterattack from the nearby town of Qayara, which Islamic State militants still hold, another U.S. official in Baghdad said. The recapture of Mosul, Islamic State's de facto Iraqi capital, from which its leader declared a modern-day caliphate in 2014, would be a major boost for the plans by Abadi and the United States to weaken the militant group. NO FOLLOW-UP PLAN Still, retaking Mosul without a plan to restore security, basic services and governance and the money and personnel to implement it immediately would repeat the mistake the Bush administration made in 2003, by ousting Saddam Hussein with no plan for installing a new government, said three officials from the U.S. and Britain. And as Islamic State militants have lost part of their self-proclaimed caliphate in Iraq and Syria, they increasingly have turned to suicide attacks. These included a bombing in the Iraqi capital last week that left nearly 300 people dead, the most lethal bombing of its kind since the 2003 U.S.-led invasion. U.S. and Iraqi officials have touted such bombings as proof that battlefield setbacks are weakening Islamic State, but critics say a global uptick in suicide attacks attributed to the group suggests the opposite. South Sudan leaders order ceasefire as civil war fears grow By Denis Dumo JUBA, July 11 (Reuters) - South Sudan's president and vice president ordered their loyalists to cease hostilities on Monday after days of fighting threatened to plunge the country back into civil war and bring further instability to an impoverished region of Africa. Fighting erupted four days ago in the capital Juba between followers of President Salva Kiir and Riek Machar, the former rebel leader who became vice president under a deal to end a two-year civil war. The violence, which has killed hundreds of people, broke out as the world's newest nation prepared to mark five years of independence from Sudan on July 9. Presidential spokesman Ateny Wek Ateny said Kiir and Machar had spoken by phone on Monday, a day which saw tanks and helicopters involved in some of the fiercest clashes yet. "All the commanders of (Kiir's) forces are directed to cease any hostility and abide by the order and control their forces," Ateny told Reuters. "President Salva Kiir is determined to carry on his partnership with Riek Machar." Machar responded by ordering his troops to stand down. "The president has declared a unilateral ceasefire, I want to reciprocate the declaration of unilateral ceasefire," he told the independent Eye Radio. Much is unclear, however, about the latest violence in Juba, including what the objective of either side has been and how much control Kiir and Machar have over their forces. The U.S. State Department said it was carrying out an "ordered departure" of its staff from South Sudan. The fighting has raised fears of a return to the civil war that erupted in late 2013 and broadly ran along ethnic lines, pitting Kiir, an ethnic Dinka, against Machar, a Nuer. The conflict killed thousands of people, forced more than 2.5 million people from their homes and left almost half the population of 11 million people struggling to find food. Oil production, by far the biggest source of government revenue, has plummeted. A new flare-up risks driving yet more people to refugee camps in neighbouring countries in the central African region, which is already plagued by myriad woes. Central African Republic is riven by conflict, the eastern region of the Democratic Republic of Congo is contending with a patchwork of militias and rebels groups, and Burundi is embroiled in a violent political crisis. The U.N. Security Council on Sunday demanded Kiir and Machar rein in their forces and end the fighting. The U.N. mission in South Sudan, UNMISS, expressed its "outrage" after its bases in Juba were caught in the crossfire between the two sides and two Chinese peacekeepers were killed. The United States condemned the violence and said it would hold those who commit atrocities or impede efforts to stop the fighting "fully accountable." "We call on those fighting to return to their barracks. This senseless and inexcusable violence, undertaken by those who yet again are putting self-interest above the well-being of their country and people, puts at risk everything the South Sudanese people have aspired to over the past five years," White House national security adviser Susan Rice said in a statement. WRANGLING Kiir and Machar have long been rivals, both in politics and on the battlefield. Civil war broke out in 2013 a few months after Kiir sacked Machar as his deputy. Fighting has often erupted outside Juba since the two men signed a peace deal in August last year. But this was the first time it had flared in Juba since Machar finally returned in April after months of wrangling about terms of the pact. Clemence Pinaud, an assistant professor at Indiana University and an expert on South Sudan, said that tensions increased in Juba during the past month. "We most likely witnessed an acceleration ... into a full-on war in Juba between the two parties," Pinaud said. Experts say the failure to swiftly implement important elements of the deal, such as integrating and demobilising their forces, has allowed tension to fester and risked igniting a new conflict. U.N. Secretary-General Ban Ki-moon on Monday urged the Security Council to impose an arms embargo on South Sudan, sanction leaders and commanders who blocked the implementation of a peace deal and fortify the UNMISS mission. There has been no official death toll from the recent flare up but at least five soldiers died on Thursday and a Health Ministry source said 272 people, including 33 civilians, were killed on Friday. Sunday and Monday's fighting was more fierce. UNMISS said gunfire had erupted on Monday around the U.N. headquarters in the Jebel area of Juba and also around a base near the airport. It said U.N. sites had been hit in exchanges of fire, killing eight and injuring 67. Highlighting lawlessness on Juba's streets, one resident said he saw police officers trying to loot a shop in his neighbourhood by shooting off the padlocks and firing in the air to scare away people, echoing similar witness reports on Sunday. An army spokesman said that any soldier found stealing civilian property or looting would be arrested, and shot at if they resisted. The African regional grouping IGAD echoed the U.N. Secretary-General's call to beef up UNMISS's mandate, calling for it be given an enforcement role similar to a U.N.-backed intervention brigade working in eastern Congo. Deutsche Boerse cuts LSE merger approval threshold By Noor Zainab Hussain July 11 (Reuters) - London Stock Exchange Group Plc and Deutsche Boerse have agreed to lower the level of approvals they need from the German exchange's investors to push through their planned merger. The companies have reduced the approval threshold to 60 percent from an earlier minimum of 75 percent as they try to keep the merger on track following Britain's vote to leave the European Union and concerns from Germany's markets regulator. "This change in procedure is a purely technical one. We are confident that we will reach the 75 percent threshold in the course of the full tender process," Deutsche Boerse CFO Gregor Pottmeyer said on Monday. Financial regulators in Germany and Britain, along with European Union antitrust authorities, are likely to pose a sterner challenge to the $27 billion merger. German markets regulator BaFin last month said it was hard to see how the head office of the merged group could be in London given that Britain was leaving the EU. Deutsche Boerse said on Sunday it was concerned that the 75 percent threshold might prove difficult to secure because index funds which hold up to 15 percent of its shares are unable to accept the offer until the minimum level of acceptances has been reached. "The fact that Deutsche Boerse is considering lowering the threshold leads us to believe the vote could be much closer than that of LSE's 99 percent approval," Keefe, Bruyette & Woods (KBW) analysts wrote in a note. Shareholders in the British company approved the plan at a subdued, short meeting last week despite uncertainties after the EU referendum result. Deutsche Boerse had asked its shareholders to back the deal -- the third attempt by the LSE to merge with the German exchange operator in some 16 years -- in a postal vote that had been due to close on July 12. However, the acceptance period is being extended for a further two weeks to July 26. Terms of the deal cannot be changed until the merger closes around the end of June next year. Deutsche Boerse and LSE officials have signalled they are willing to do whatever it takes to get the green light from regulators. The two companies are looking at how they could reassure regulators that a merged company would actually implement any structural changes such as the location of the head office agreed before the deal closes. A source familiar with the process said one idea would be for a legal undertaking to regulators, similar to a "living will" banks must now write for regulators, setting out what would happen if it got into trouble. Shares in LSE were up 2 percent at 2,623 pence at 1320 GMT. Deutsche Boerse's stock was also up 2 percent. Australia declares AIDS no longer public health issue By Harry Pearl SYDNEY, July 11 (Reuters) - Australia declared on Monday the AIDS epidemic is no longer a public health issue there, a month after the United Nations adopted an ambitious target to eliminate the threat globally by 2030. The government-backed Australian Federation of AIDS Organisations (AFAO) and top scientists said the number of people being diagnosed with AIDS in Australia was now so small it was no longer reported. AIDS cases in Australia peaked in 1994, at 953 cases, according to the Kirby Institute for infection and immunity in society. Since then, following the introduction of antiretroviral treatment, that prevent AIDS developing in people who are infected with the HIV virus, and awareness campaigns, AIDS diagnoses have declined sharply. "Australia is incredibly fortunate to be in the position and its because of farsighted government policy," said Darryl O'Donnell, chief executive AFAO. "We had community organisations of gay men, sex workers and drug users doing outreach campaigns that were extraordinarily effective," O'Donnell said. A spokeswoman for the Federal Department of Health said while it was tremendous that AIDS was "not the automatic death sentence that it once was", approximately 1,100 cases of HIV are detected each year. "We must not let down our guard." Worldwide there are 36.7 million people living with HIV, according to the World Health Organisation, with 180,000 people dying from AIDS-related illness in the Asia-Pacific region last year. The United Nations agreed a new declaration on ending the AIDS epidemic at a meeting in New York last month. The UNAIDS Fast-Track approach to ending the AIDS epidemic has a set of time-bound targets, including reducing the number of people newly infected with HIV from 2.1 million in 2015 to fewer than 500,000 in 2020, reducing the number of people dying from AIDS-related illnesses from 1.1 million in 2015 to fewer than 500,000 in 2020 and eliminating HIV-related discrimination. Andrew Grulich, head of the HIV Epidemiology and Prevention Program at the Kirby Institute, said other countries could learn from Australia. Deutsche Bank chief economist calls for 150 bln eur in EU bank bailout-Welt FRANKFURT, July 11 (Reuters) - Deutsche Bank's chief economist urged the European Union to set up a 150 billion euro ($165.39 billion) rescue fund to recapitalise European banks, German newspaper Die Welt reported on Monday. "We won't be able to avoid setting up a bigger programme to recapitalise banks," David Folkerts-Landau told the daily in an interview. "European banks can be recapitalised with 150 billion euros," he added. European banks were threatened by a slow, long-term downward spiral and faced with two trillion euros in non-performing loans, Folkerts-Landau said, adding that the European Central Bank's negative deposit rates and low share prices made it hard for banks to acquire capital on their own. "We are witnessing one crisis after another and I can, by no stretch of the imagination, make out growth prospects anywhere," Folkerts-Landau said. Folkerts-Landau added that particular attention had to be paid to Italy, where banks had 350 billion euros in bad loans and debt ratios were on the rise. "Likely, this will only be the lower limit," he said. PRESS DIGEST - RUSSIA - July 11 The following are some stories in Russia's newspapers on Monday. Reuters has not verified these stories and does not vouch for their accuracy. VEDOMOSTI www.vedomosti.ru - Russia's current moratorium on pension contributions could be extended to 2019. - Spending by company "Post of Russia" on implementing a recently passed anti-terror bill will amount to 500 billion roubles ($7.79 billion). Such is the price of equipment for checking letters and parcels, according to the company. - Russian billionaire tycoon Mikhail Prokhorov has sold 20 percent of potash producer Uralkali to Dmitry Lobyak. The deal was financed by Sberbank. KOMMERSANT www.kommersant.ru - Gazprom will have the right to give discounts on gas in 2017. Its rivals believe that this pilot project cannot begin until they have received equal access to the pipeline network and gas exports. - The delayed restart of charter flights to Turkey has shot down demand for organised tourist trips to this country. IZVESTIA www.izvestia.ru - A proposed federal law would allow individually employed citizens who are not officially registered to notify tax authorities about their businesses. As an incentive, they could be offered three years of tax holidays. RBK www.rbk.ru - About 60 percent of Russian thermal power plants built over the past 40 years need to be upgraded or replaced. This is a chance to create an entirely new sector of the economy based on renewable energy sources. We are moving forward towards a federal union and our Karen armed groups are divided. We are collecting public opinion on how to unite them as one group. For years some of the Karen armed groups were fighting each other after the Democratic Karen Buddhist Army (DKBA) split from Karen National Union (KNU) in the nineties. The rift eventually caused the DKBA and the KNU to split into even smaller factions. Saw Kaw Thu Lay explained that they will follow a policy set down by the KNU to unite the Karen National Liberation Army, the DKBA, the Democratic Karen Buddhist Army, and the Karen National Union/Karen National Liberation Army-Peace Council under one leadership. Over one-hundred from five villages in Hla Ka Zaing Village Group attended the public opinion program at Hla Ka Zaing monastery on 7 July. Other public opinion programs are planned in Ye and Thanbyuzayat townships and village groups Kha Le, Ta Gon Daing, and Taung Dee. a Saw Lar Shu Phaung from Kha Le Village said: As an ethnic Karen, I feel happy that they will become united. The Karen people are not developed due to disunity in the past. If they can become united again, we can carry out more for nationalism, literature, and culture than in the past. Last month in Karen State, residents from Ann Pha Gyi Village in Kawkareik Township also held similar events aimed at unifying the Karen. Reporting by Saw Myat Oo Thar for KIC News Translated by Thida Linn Edited by BNI staff BAE, Rolls look to navigate way through Brexit fallout LONDON, July 11 (Reuters) - BAE Systems and Rolls Royce will navigate their way through the uncertainty created by Britain's vote to leave the European Union, the country's two biggest aerospace firms said on Monday. Britain hosts the biennial Farnborough Airshow this week which draws BAE and Rolls clients from around the world, relationships which the two firms said they expect to maintain despite the country's decision to leave the world's largest trading bloc. "We don't trade with the EU as a defence entity, it's with individual nations and I do not believe the UK government is going to take its foot off the pedal in terms of defence and security," BAE's Ian King told BBC radio. "I think those relationships will endure and it will endure because of the quality of the armed forces that we have and the quality of technology and capability that we have in that industry." On Monday, Rolls-Royce said it would take 100 percent control of Spain-based aircraft engine and components firm Industria de Turbo Propulsores (ITP) by buying a 53.1 percent stake owned by SENER Grupo de Ingenieria for 720 million euros ($795 million). Rolls-Royce boss Warren East said the maker of engines for aircraft and ships would also look to its global connections as it seeks to adapt to its new environment. "We just have to get on with it," East told the BBC. "If you look at our future business over the next 10 years or so then probably three quarters of that is coming from outside of the EU and we have to take that global view." East said the main questions raised by the outcome of Britain's June 23 EU referendum included whether Rolls-Royce staff could continue to move around Europe with ease and what changes the company would face from new trade laws. Thousands mourn murdered critic of Cambodian government By Prak Chan Thul PHNOM PENH, July 11 (Reuters) - Thousands of people gathered for the funeral of prominent government critic and activist Kem Ley in Cambodia's capital on Monday, a day after he was shot dead in broad daylight inside a convenience store in Phnom Penh. Some mourners said Kem Ley's death was a "political killing", while Prime Minister Hun Sen called for calm. Kem Ley's killing comes amid rising political tension in the capital between Hun Sen and an opposition hoping to challenge his grip on power at local elections in 2017 and national elections in 2018. Members of the opposition and activists have been jailed on charges they say were trumped up by the government as part of a crackdown to mute critics ahead of the vote. Mourners burned incense and offered lotus flowers on Monday in respect to Kem Ley, 46, who was gunned down on Sunday. His body, covered with the Cambodian flag and jasmine flowers, will be laid out for 10 days for those wishing to pay their last respects at the Wat Chas pagoda in Phnom Penh. A 38-year old suspect, Chuop Somlap, was arrested on Sunday after the shooting and admitted to killing Kem Ley in a dispute over money, the Interior Ministry said in a statement. Some mourners were sceptical of the reason Chuop Somlap gave for killing Kem Ley. "His killing is political," Sampoy Chansophea, a 24-year old supporter from Ratanakkiri province, said at the funeral. "Political killing has happened and it will continue to happen. Anyone who dares to speak out is facing death." A court said on Monday that Chuop Somlap, whose name means "meet to kill", has not been yet charged. Hun Sen condemned the attack on Monday and urged people to be calm during the investigation. He said his government would be discredited for failing to guarantee the safety of citizens, but that people should refrain from making the killing a political issue. "Politicians should not use this to instigate things that would lead the country into unrest," Hun Sen said. Kem Ley was a frequent critic of Prime Minister Hun Sen, whose more than 30 years grip on power has been challenged by the rise of the opposition Cambodia Nation Rescue Party (CNRP). Kem Ley's most recent critique of Hun Sen's administration was a commentary on a report by anti-corruption pressure group Global Witness, which accused the prime minister and his family of having amassed $200 million in business interests. On Monday, people huddled under tents and in the shade of trees holding pictures of the popular commentator. "I was shocked when I heard about the shooting," said Kem Ley's son, Kem Veasna Ranuch, adding that his father had never mentioned either the debt or Chuop Somlap. Kem Ley is survived by four sons and a pregnant wife. Mourners gave donations to Kem Ley's family. Iran refers cases of detained Iranian dual-nationals to court - agency ANKARA, July 11 (Reuters) - Iran's judiciary has referred the cases of four detained Iranian dual-nationals to court, the Tasnim news agency quoted a senior judiciary official as saying on Monday. Several Iranian dual nationals from the United Sates, Britain, Canada and France have been detained in the past few months and are being kept behind bars on various charges, including espionage or collaborating with a hostile government. "After the issuance of indictments, the cases against (British-Iranian) Nazanin Zaghari-Ratcliffe, (American-Iranian) Siamak Namazi, (Canadian-Iranian) Homa Hoodfar and (U.S.-Lebanese) Nizar Zekka ... have been referred for processing to court," Tehran prosecutor Abbas Jafari Dolatabadi said. He stopped short of saying whether the court was a Revolutionary Court, which handles security-related cases. Iran does not recognise dual nationality and treats detainees only as Iranian, depriving them of consular access. Zaghari-Ratcliffe, 37, a programme coordinator with the London-based charity Thomson Reuters Foundation, was detained in early April before boarding a flight back to Britain with her two-year-old daughter. Her British husband, Richard Ratcliffe, says their daughter has been put in the care of Zaghari-Ratcliffe's family in Iran. Iran's elite Revolutionary Guards Corps (IRGC) accused Zaghari-Ratcliffe in a statement published last month of trying to "overthrow" the government. Her husband has dismissed the accusation. Dubai-based businessman Siamak Namazi, a dual U.S.-Iranian citizen, was detained by the IRGC last October while in Iran visiting his family. Dolatabadi did not mention the case of Namazi's 80-year-old father, Baquer Namazi, another dual American-Iranian national who his family said was detained in February. The Iranian authorities have not confirmed the elder Namazi's detention. Hoodfar is the most recent dual national to be arrested in Iran. The IRGC detained the 65-year-old Canadian-Iranian scholar on June 6 in Tehran. She had travelled to Iran in February for personal reasons, but had also been continuing her academic research while in the country, her family said. Iranian state media said in November that American-Lebanese IT expert Nizar Zekka had been detained in Iran, accusing him of having links to the U.S. military and intelligence agencies. Lebanese media reported that Zakka had disappeared on Sept. 18 after attending a conference in Tehran. The Iranian judiciary has not commented on their cases or made the charges against them public. But Iran's judiciary spokesman said in January that most of the detained dual nationals were facing espionage charges. In January, Iran released four Iranian-American dual nationals and an American in a prisoner swap negotiated between the United States and Iran coinciding with the implementation of a landmark nuclear deal with six major powers in 2015 aimed at curbing Tehran's nuclear programme in return for the lifting of economic sanctions. Reports leading PKK militant killed in Syria not confirmed - Turkey ANKARA, July 11 (Reuters) - Turkey has not been able to confirm reports that a senior Kurdistan Workers Party (PKK) commander had been killed in Syria, Deputy Prime Minister Numan Kurtulmus said on Monday, in what would be a major blow to Kurdish militants. Turkey's state-run Anadolu Agency reported on Saturday that a Syrian rebel group had killed Fehman Huseyin and his bodyguards in a bomb attack on Friday as he travelled to the northern Syrian city of Qamishli. The killing of Huseyin, a Syrian Kurd known in Turkey by the name Bahoz Erdal, would be a blow to the PKK, which has fought intensively with the Turkish state since a two-year ceasefire collapsed a year ago. "There is information from various news and intelligence channels saying Bahoz Erdal was killed, but this information is not yet confirmed," Kurtulmus told a news conference. While the PKK leadership is mainly based in the mountains of northern Iraq, the group is closely allied with the Kurdish YPG militia which operates in Syria. Turkey views both groups as terrorist organisations. Huseyin is one of the top names on Ankara's "red list" of most wanted terrorism suspects and regarded as one of the group's most senior figures. Thailand frees on bail four held for opposing draft constitution - lawyer BANGKOK, July 11 (Reuters) - A Thai court on Monday released on bail four people detained for campaigning against a military-backed draft charter, their lawyer said. The arrests on Sunday were the latest by authorities in the lead-up to a referendum next month. The Aug. 7 referendum will be the first time Thais go to the polls since the military seized power in a bloodless coup in May 2014. The ruling junta has said the referendum will pave the way for an election next year. Critics, including major political parties, say the constitution will enshrine a political role for the military and weaken civilian governments, worsening the turmoil that has hit Thai politics over the last decade. The four were detained in Thailand's western Ratchaburi province on Sunday, said police, after their cars were searched and copies of booklets providing information on the constitution were found. The group had violated a law that carries a 10-year jail term for campaigning in connection with the referendum. "They violated the Referendum Act," said Police Captain Poom Klaklaew, a police investigator for the case. A court in Ratchaburi released the four on bail of 140,000 baht ($3,986) each on Monday, Pawinee Chumsri, a lawyer for the group, told Reuters. With less than a month to go before the referendum, the junta has taken what rights groups say is a hardline stance on any opposition to its plans and has banned all public discussion of the constitution. ONE REPORTER, THREE OTHERS Among those detained was a reporter from Thai online publication Prachatai, Taweesak Kerdpoka, who joined three activities to report on their activities. "Police found a few copies of the booklet in his bag," said Kornkritch Somjittranukit, who works with Taweesak at Prachatai. "He told them it was material for his news report, but they arrested him anyway." Colonel Winthai Suvaree, a junta spokesman, said the arrests were a police matter. "The police probably didn't know who was who when they detained the group," Winthai told Reuters. "They weren't out to target the journalist." Oil funds hold down risk, eye volatility after weak first half By Barani Krishnan July 8 (Reuters) - Oil's big rebound in the first half of the year was a squandered opportunity for most hedge funds with positions in crude, and a surge in volatility is likely to make it harder for them to call the market in the second half. The majority of hedge funds in the oil universe posted sparse returns in the six months to June even as crude rebounded from 12-year lows to post a 30 percent gain. Rather than extend risk through more bets on oil, some fund managers are cutting exposure to prevent further losses as volatility rises again on concerns about supply and economic demand. "It's far less clear a position than it was a year ago when the oil market had been clearly trending downwards," said Chris Reeve, director of product management at Aspect Capital, a $6.4 billion trend-following hedge fund in London. Aspect's flagship program, which trades oil among other commodities, was down 2.5 percent through June, based on data seen by Reuters. Last year, it gained nearly 8 percent, helped by a bearish bet on crude. The average hedge fund with an energy-biased strategy rose by just 0.4 percent in the five months through May, after losing 1 percent in 2015, according to figures compiled by Chicago-based Hedge Fund Research. June data isn't available yet. Trend-following energy funds - also known as commodity trading advisor funds, or CTAs - haven't done much better. A group of 13 such funds rose 0.6 percent through May, versus an 8 percent rise last year, according to data compiled for Reuters by hedge fund database BarclayHedge. The second half could be as difficult for oil-focused funds. After touching a 2016 high of nearly $53 a barrel, oil has been trading in a choppy fashion, with volatility of late due to a murky supply-demand picture for crude and unsure economic outlook after Britain's exit from the European Union. Oil's rebound this year was fueled by supply outages from Canada to Nigeria that, for a time, created the perception that a two-year-old supply glut might be easing. Those supplies are returning, boosting output within and outside OPEC. Hedge funds' bullish bets on U.S. crude hit a near four-month low earlier this week, data showed. Pierre Andurand, another notable oil investor, who is up double digits this year, in a late June letter to his investors cited concerns over Brexit among other factors that could cause more volatility. Andurand, who runs the $1.1 billion London-based Andurand Capital Management, expects crude to hit $65 a barrel or more by December. His fund gained 11 percent through June, data showed. Andurand Capital declined comment in an email to Reuters. Oil's volatility hit 4-month highs on Thursday as crude prices plunged 5 percent on disappointing U.S. inventory data. BBL Commodities Value Fund in New York is among the few that may benefit from such volatility. The $500 million energy-focused hedge fund gained 13 percent in the first half, exploiting the relative value, or price differentials between crude and other petroleum products. Last year, BBL lost more than 10 percent. "There could be lots of opportunities to make money on the relative value of oil in the second half," said an investor in BBL, who asked not to be identified, adding that large inflows into oil via ETFs have distorted its value compared with other products. Mark Strachan, a spokesman for BBL, declined comment. The $1 billion Taylor Woods Capital Management in Greenwich, Connecticut, another prominent energy-focused fund, was down about 10 percent through June, after returning nearly 20 percent last year, sources familiar with the fund's performance said. Taylor Woods did not respond to emails and phone calls seeking comment. The share price of U.S. Oil Fund, the largest exchange-traded fund in oil, with $3.2 billion in assets, is down slightly on the year after hitting 6-month highs in early June. The number of open put contracts - which give the holder the right to sell the fund - has risen 30 percent since mid-January, suggesting increasing bets on oil's decline. The ETF holds around 68 million barrels of oil, nearly 4 percent of U.S. crude's open interest, and is a popular hedging instrument. One of the few hedge fund managers to make significant money off oil in the first half was Andy Hall, the market's biggest bull, who was up 24 percent through May at his $2.4 billion Southport, Connecticut-based Astenbeck Capital Management. But that was after a 35 percent loss in 2015, the worst in the fund's eight-year history, as he stubbornly stuck to his bullish wagers amid a 46 percent price rout. In his investor letter last month, he pinned his bullish outlook on potential difficulties faced by top crude exporter Saudi Arabia in raising output. Rare bank robbery raises questions on complacency in safe Singapore SINGAPORE, July 11 (Reuters) - A rare bank robbery in Singapore has sparked a social media debate on whether the country's low crime rates made authorities and society in general too complacent, while Thai authorities said on Monday they had detained the suspected thief. A man stole S$30,000 ($22,300) from a Standard Chartered branch last Thursday, having slipped the teller a note saying he was armed, a source with knowledge of the matter said. The teller pressed a silent alarm button and police arrived within minutes, but it was too late, said the source, who declined to be identified as he is not authorised to speak to the media. Standard Chartered said the bank has taken "immediate actions to further enhance" security. It declined to comment on the details of the robbery. There was no guard on duty, the bank said, but the staff acted according to procedures. The Monetary Authority of Singapore urged banks to "learn from the recent incident and assess whether their security measures need to be enhanced". The prosperous city state has very low levels of crime, thanks in part to decades of strict policing and tough punishments, raging from death for drug trafficking and murder to prison and caning for lesser crimes. The first bank robbery in more than a decade sparked a flurry of debate on social media about whether Singapore has become too complacent. Authorities released few details of the theft, prompting questions about bank security and criticism of the tight-lipped police. Twitter user @Thefinnigans, reflected many people's attitude with a meme depicting a polite exchange between a smiling bank teller and man who says he wants to rob the bank. The teller asks whether the man if he would like a transfer into his account. They agree on cash. "I will definitely rob here again," the man says. "We welcome you to rob us anytime!" replies the teller. But police on Monday explained that they had declined to release details of the robbery in order to catch the culprit. Senior Thai police officers said a suspect has been arrested in Thailand and was being held by immigration police. "Police through the investigations managed to establish the identity of the suspect on the same day but he had already left Singapore for Bangkok," Singapore police said on their Facebook page. Greek utility PPC approves grid stake sale under bailout By Angeliki Koutantou ATHENS, July 11 (Reuters) - Shareholders in dominant Greek power utility PPC on Monday agreed to sell a 24 percent stake in grid subsidiary ADMIE to a strategic investor, in line with the terms of the country's latest international bailout. Under the bailout approved in August last year, PPC, which is 51-percent owned by the state, must either sell a minority stake in ADMIE or fully privatise the grid by next year. Monday's overwhelming approval came amid stiff opposition from unions, who had disrupted an earlier attempt to convene a shareholders meeting, forcing PPC to switch the venue for the gathering to the finance ministry from a central Athens hotel. Underlining the sentiment against privatisations in the country, union staff members also disrupted a meeting on the same issue on June 30. "The legislation that has been enacted ... aims to renationalise the grid. The only difference is that it will be bought by a foreign state company," the head of PPC workers' union George Adamides told Reuters. Adamides said the sale would mean higher tariffs for Greek consumers and put PPC jobs at risk, adding that the union will take legal action at a Greek top court to try to annul the divestment of the minority stake. Workers walked out for three hours on Monday to protest the sale and government plans mandated by its lenders to transfer PPC and the grid, along with other state assets, to a new umbrella privatisation fund that will be set up by September. Unions have strongly resisted privatisations, a central demand of Greece's official creditors since 2010 that has failed to bring in much revenue so far. Greece is aiming to raise about 6 billion euros ($6.6 billion) from asset sales by 2018. The sale of a stake in the grid of more than 11,000 km (7,000 miles) of high-voltage power cables is also part of a creditor-mandated drive to curb PPC's dominance of the local retail market. PPC controlled about 92 percent of the Greek retail market at the end of March, down from about 96 percent last year, and its market share is expected to drop to below 50 percent by 2020. PPC Chairman Manolis Panagiotakis said on Monday that PPC has been seeking to expand its footprint in foreign markets to make up for the losses. He said it was examining specific investment plans in Turkey, where it already has operations, and will soon set up a division in Tirana, Albania, to tap into opportunities in the Western Balkans. Madonna visits Malawi hospital her charity is funding BLANTYRE, Malawi, July 11 (Reuters) - Pop star Madonna paid a visit to a hospital project funded by her charity in Blantyre, Malawi at the weekend, inspecting progress on the construction of a pediatric surgery and intensive care unit. Madonna, whose Raising Malawi charity says the children's unit in Queen Elizabeth Central hospital will open in early 2017, arrived with her two children adopted from Malawi, David and Mercy. "We certainly have the support of the government, morally, but in terms of funding and fundraising I am not going to do it by myself," Madonna said of the project on Sunday. "I am gonna have lots of fundraising events and we have other donors and we're gonna continue to do fundraising." Japan sends military planes for evacuation of citizens in South Sudan TOKYO, July 11 (Reuters) - Japan on Monday ordered three C-130 military transport planes to an air base in east Africa to prepare to evacuate Japanese trapped by fighting in South Sudan's capital, Juba. "We want to have the aircraft on standby as soon as possible to conduct any evacuation," Japan's Minister of Defence Gen Nakatani said after issuing the order. "The situation there is very fluid." Japan's Chief Cabinet Secretary Yoshihide Suga said earlier some 47 government aid workers had been ordered back to Japan with between 20 to 30 other Japanese civilians in the capital. The three transport planes would stand by at Japan's Self Defense Force base in Djibouti, about 3,000 km (1,865 miles) east of Juba. While Japan has chartered commercial aircraft and used the prime minister's Boeing 747 jumbo in the past to evacuate Japanese in danger overseas, it would be the furthest and only the second rescue mission by military aircraft. The rescue would be another sign that Japan's defence force is increasing its ability to conduct operations far from home, as the government considers a relaxation of constitutional constraints on the military. A contingent of 350 Japanese army engineers in South Sudan, who are rebuilding roads and other infrastructure as part of a peace keeping operation, will remain for now, Nakatani said. At least 272 people have been killed in renewed fighting in South Sudan which erupted on Sunday. Dr. Nyan Zaw, a member of MHRC, said the commission is still investigating the incident in southern Mon States Ma Gyi Chaung Wa village located in Ye Township. He said MHRC has interviewed 14 residents of the village where the shootings took place, including the abbot of Toe Tat Ywa Thit Monastery, but it is waiting to release a statement until after interviewing military officers that are directly involved. We only met with the battalion commander. We asked them what kind of sentence will be given at the court martial but they didnt answer. We dont yet know the full story so we cant release a statement. Villagers U Moe and Maung Chit Soe were allegedly killed on 8 March by Captain Zaw Myo Htet from Light Infantry Battalion 280 (LIB-280). At the time of their deaths they left their homes for a midnight fishing trip. On 17 March, Ye Township Police chief informed reporters that accused Zaw Myo Htet was charged with murder but later this was believed to be reduced to homicide. Nai Soe Thein, who has been independently assisting the case, said despite persistent inquiries about the status of the investigation to both the MHRC and military there has been little change. Its been four months since the incident took place but there havent been any developments. We have visited the MHRC and the governments crime [department] regarding these murders in Ma Gyi Chaung Wa. When Nai Soe Thein questioned the coastline regional command on 4 June he was told that the military hasnt even started an investigation because they havent received orders to do so. Nai Soe Thein would like to see Zaw Myo Htet stand trial in a civilian court which he said would be fairer. Reporting by Mon Htaw for MNA Translated by Thida Linn Edited by BNI Staff Some buyers using "Brexit clauses" to drop UK commercial property deals By Ana Nicolaci da Costa and Esha Vaish LONDON, July 11 (Reuters) - Some commercial property buyers are invoking "Brexit clauses" written into contracts agreed before Britain voted to leave the European Union, allowing them to walk away from the deals. In other cases buyers have yet to exercise such get-out clauses but are keeping the option open to try to renegotiate the price down, according to property lawyers and managers. A third group is playing for time in the hope that the effect of the June 23 referendum result on values becomes clearer, they say. British commercial real estate - from office blocks to shopping centres - has been particularly hard hit by uncertainty surrounding Brexit. Transactions fell sharply before the referendum and a number of property funds have been suspended since then as retail investors try to bail out. Some buyers demanded contract provisions before the referendum allowing them to pull out if the decision was to leave the EU. It was unclear how widespread they were, but some are now being exercised following the result, although the overall number is unknown. "Brexit clauses have been invoked across the industry including some by our clients," Paul Firth, head of real estate at law firm Irwin Mitchell LLP told Reuters. "We have had at least three." Before the vote, Firth said a significant percentage of the firm's "bigger investment deals" with values ranging from 10 million to 80 million pounds ($13 million to $100 million) either included Brexit clauses, or purchasers had sought to negotiate that they be included. The economic effect of Brexit is beginning to be felt. British consumer confidence suffered one of its biggest drops in 21 years, a survey showed on Friday, clouding the prospects for retail property. Doubts also surround the ability of the British financial services industry, which employs more than two million people, to continue serving clients on the continent. Under an EU "passport" system, banks, asset managers and clearing houses have access to the single market only if the country where they are based complies with the bloc's regulations. This may mean moving jobs from Britain to countries that remain in the EU, heightening uncertainty over demand for office space particularly in London. Shares in British-listed companies that invest in commercial property, which own large amounts of office space in the capital, have already fallen sharply. "It is likely that the uncertainty created by the EU referendum result will have a negative impact on economic growth in London," Toby Courtauld, chief executive of Great Portland Estates said in a statement on Thursday. "As a result, we can expect London's commercial property markets to weaken during this period of uncertainty." The Bank of England also said that commercial real estate transactions by overseas investors had fallen by 48 percent in the first quarter of 2016. Investors in retail property funds are already trying to get out in such numbers that a number have now suspended redemptions, freezing more than 18 billion pounds. BREXIT CLAUSE LEVERAGE In some cases, investors are using Brexit provisions as a leverage when trying to renegotiate deals on better terms. "We had one acquisition that was subject to a Brexit clause and we are currently renegotiating some financial terms of the deal," said Mike Sales, head of TH Real Estate. "It is unclear at this stage whether we'll progress with the deal," added Sales, whose firm manages property assets worth $96.3 billion. Negotiations can be conducted either before a Brexit provision is invoked or informally after a deal has been cancelled, as buyers try to secure better terms. Imogen Moss, head of real estate group at law firm Allen & Overy, said Brexit clauses tended to have very short timeframes - typically five or 10 days - in which the right to terminate the deal can be carried out. "While a purchaser may have exercised that right, it doesn't necessarily mean that the dialogue it had with its seller has ceased," Moss said. "In some cases negotiations continue around price and there may still be a deal to be done, albeit on slightly different terms." She said her firm had also worked on deals where purchasers had invoked Brexit clauses and terminated contracts. Some investors are trying to lengthen the time they have to decide whether to invoke the Brexit termination option. Melanie Curtis, a real estate partner at law firm K&L Gates LLP, said that before the vote she had worked on a commercial property transaction with a Brexit clause worth more than 10 million pounds. The overseas buyer, on whose behalf her firm was acting, had not yet invoked the clause but was negotiating a six-week extension to the deadline by which they have to make a decision to see if the market improved or better financing terms could be agreed. "They had a finance deal lined up which was very satisfactory before (the vote)," Curtis said. "The terms have gotten harder now, they are not particularly keen on them. I don't think they would go ahead on that basis." BARGAIN-HUNTERS? One silver lining for the sector could be that the sharp fall in the pound may entice foreign bargain hunters. "With sterling devaluation, overseas buyers from the U.S., or other currency-backed buyers from overseas, may see opportunistic value in buying UK real estate assets again," said Don Rowlands, head of real estate at law firm Herbert Smith Freehills LLP. "One of the opportunities that they ... are actively looking for is situations where there were Brexit termination clauses, so that if they are triggered by the buyer and the sale contract falls over, then they would offer to step in into those positions." Global bank messaging system calls in help to bolster cyber defences By Huw Jones LONDON, July 11 (Reuters) - SWIFT, a messaging system used by banks across the world, announced further steps on Monday to bolster its defences against hackers, after criminals sent fraudulent payment instructions across its network. The Society for Worldwide Interbank Financial Telecommunication said it has hired two outside cyber security specialists, BAE Systems and Fox-IT, to reinforce in-house expertise, and has set up a team to share cyber defence "best practice" among its customers. In February, thieves hacked into the Bangladesh central bank's interface with SWIFT's network, which is a pipeline for transferring funds and the backbone of international finance. They sent payment instructions to the Federal Reserve Bank of New York, telling it to transfer $951 million from Bank Bangladesh's account to accounts in the Philippines. Most of the transactions were blocked but four went through, amounting to $81 million that remains missing. SWIFT, a Belgium-based co-operative owned by its users, had already unveiled measures to tighten up security. On Monday it announced it was also setting up a Forensics and Customer Security Intelligence team to investigate security incidents at customers. The team will help in the collection and sharing of anonymised information with customers on how best to deal with hackers. SWIFT Chief Technology Officer Craig Young said information from banks that have been subject to fraud attempts was crucial for identifying new malware. "We therefore continue to remind customers that they are obliged to inform SWIFT of such incidents as soon as possible, and to proactively share all relevant information with us so we can assist all SWIFT users," Young said in a statement. SWIFT said its information-sharing initiative has grown significantly since its launch, and now includes detailed intelligence and analysis on the modus operandi of attackers in recent customer fraud cases. Germany's Merkel says talks with Britain on EU exit won't be easy BERLIN, July 11 (Reuters) - Negotiations between the European Union and Britain following its decision to leave the bloc will difficult, German Chancellor Angela Merkel said on Monday. "We will have difficult negotiations with Britain, it will not be easy," Merkel told a rally of her conservatives in eastern Germany. U.S. pledges $410 million to fight AIDS in South Africa JOHANNESBURG, July 11 (Reuters) - The United States on Monday pledged $410 million towards ending the AIDS epidemic in South Africa, the country hardest hit. South Africa has the world's largest population of people living with HIV, at 6.8 million, and the funds will help expand its antiretroviral programme, which provides treatment to more than 3 million patients. The country experienced 180,000 AIDS-related deaths in 2015, according to the United Nations Program on HIV/AIDS (UNAIDS). Its prevalence rate of HIV among adults, at 19 percent, is one of the highest in the world. The funds were donated through the U.S. President's Emergency Plan for AIDS Relief (PEPFAR), which also supports projects in South Africa to encourage male circumcision and attempts to reduce new HIV infections in girls and young women. Delegates are expected to discuss the ambitious target, proposed by UNAIDS, of ending AIDS as a global health crisis by 2030 at the International AIDS Conference to be held in the South African coastal city of Durban next week. Health Minister Aaron Motsoaledi said while the government expected to take on a larger share of the financial burden of HIV treatment and prevention, it depended on support from the international community. "We mustn't say that just because we are making progress the job is done," he said. "The job is far from done." In May, Motsoaledi said South Africa recorded half of the 5,000 new infections a week among young women out of 14 southern and Eastern African countries. Iran indicts three dual-nationals, Lebanese man - judiciary By Parisa Hafezi ANKARA, July 11 (Reuters) - Iran's judiciary has indicted three detained Iranian dual-nationals and a Lebanese citizen also held in the Islamic Republic, the judiciary's official news website Mizan said on Monday. Several Iranian dual nationals from the United States, Britain, Canada and France have been detained in the past few months and are being kept behind bars on various charges, including espionage or collaborating with a hostile government. "After the issuance of indictments, the cases against (British-Iranian) Nazanin Zaghari-Ratcliffe, (American-Iranian) Siamak Namazi, (Canadian-Iranian) Homa Hoodfar and (U.S.-Lebanese) Nizar Zekka ... have been referred to court for processing," the website quoted Tehran prosecutor Abbas Jafari Dolatabadi as saying. He did not provide details about the charges brought against the four. Dolatabadi also did not say whether the court was a Revolutionary Court, which handles security-related cases. A judiciary source told Reuters their cases had been referred to the court "within the past two weeks". "But it does not mean that they will stand trial soon. Iran's judiciary is reviewing their cases very carefully," said the official, who asked not to be named because of the sensitivity of the matter. Iran does not recognise dual nationality and treats detainees only as Iranian, depriving them of consular access. Zaghari-Ratcliffe, 37, a programme coordinator with the London-based charity Thomson Reuters Foundation, was detained in early April before boarding a flight back to Britain with her two-year-old daughter. Her British husband, Richard Ratcliffe, says their daughter has been put in the care of Zaghari-Ratcliffe's family in Iran. In a statement emailed to Reuters on Monday, the Foundation said Zaghari-Ratcliffe "has yet not been given access to a lawyer". "I expect the Iranian authorities to free Nazanin and to release the passport of her daughter Gabriella as soon as possible," said Monique Villa, Thomson Reuters Foundation CEO in the statement. ACCUSATIONS Iran's elite Revolutionary Guards Corps (IRGC) accused Zaghari-Ratcliffe in a statement published last month of trying to "overthrow" the government. Her husband has dismissed the accusation. Dubai-based businessman Siamak Namazi, a dual U.S.-Iranian citizen, was detained by the IRGC last October while in Iran visiting his family. Dolatabadi did not mention the case of Namazi's 80-year-old father, Baquer Namazi, another dual American-Iranian national who his family said was detained in February. The Iranian authorities have not confirmed the elder Namazi's detention. The U.S. State Department said on Monday that a U.S. citizen and a U.S. legal resident, reported to have been indicted in Iran, were "unjustly detained" and should be released. Hoodfar is the most recent dual national to be arrested in Iran. The IRGC detained the 65-year-old Canadian-Iranian scholar on June 6 in Tehran. She had travelled to Iran in February for personal reasons, but had also been continuing her academic research while in the country, her family said. Iranian state media said in November that American-Lebanese IT expert Nizar Zekka had been detained in Iran, accusing him of having links to the U.S. military and intelligence agencies. Lebanese media reported that Zakka had disappeared on Sept. 18 after attending a conference in Tehran. The Iranian judiciary has not commented on their cases or made the charges against them public. But Iran's judiciary spokesman said in January that most of the detained dual nationals were facing espionage charges. In January, Iran released four Iranian-American dual nationals and an American in a prisoner swap negotiated between the United States and Iran coinciding with the implementation of a landmark nuclear deal with six major powers in 2015 aimed at curbing Tehran's nuclear programme in return for the lifting of economic sanctions. Philippine troops kill 40 rebels as new government goes after Abu Sayyaf MANILA, July 11 (Reuters) - Filipino troops have killed about 40 Abu Sayyaf rebels in offensives on southern islands over the past week, the military said on Monday, as a new government intensifies operations to wipe out one of Asia's most formidable kidnap gangs. Battles on Basilan and Sulu islands since Wednesday killed one soldier and also wounded some two-dozen members of the al Qaeda-linked Islamist group, which gained in notoriety over the past few months with its beheading of two Canadian hostages. "A heavy firefight was still ongoing involving armoured vehicles, artillery fire and close-air support," Filemon Tan, spokesman of the Western Mindanao Command, told journalists, citing intelligence reports received late on Sunday. Abu Sayyaf, whose name translates as "Bearer of the Sword", has dogged successive Philippine governments, entrenching its network with vast sums of ransom money in what has become one of Asia's most lucrative kidnap rackets. The rebels are holding at least 14 hostages - one Dutch, one Norwegian, five Filipinos and seven Indonesians. Three Indonesians were abducted from a tugboat on Sunday, although it was not immediately clear if Abu Sayyaf rebels were responsible. Security experts say the rebels are motivated less by Islamist ideology and more by the tens of millions of dollars from kidnappings. They use the money to finance the purchase of automatic weapons, grenade launchers, fast boats and high-tech navigational equipment. The government of President Rodrigo Duterte, who took office on June 30, is under renewed pressure to tackle Abu Sayyaf following the decapitation of the two Canadians and the kidnapping of Indonesian sailors. Hamas had claimed responsibility for one of the attacks cited in the lawsuit Four died in Tel Aviv or the occupied West Bank between 2014 and 2016 Relatives of Israelis and Americans killed in Palestinian attacks have announced they will seek $1billion in damages from Facebook for alleged complicity. The plaintiffs, relatives of four Israeli-U.S. dual nationals and one visiting U.S. citizen who died in attacks in Tel Aviv, Jerusalem or the occupied West Bank between 2014 and 2016, accused Facebook of helping Hamas militants operate. Asked to comment on the lawsuit, Facebook's Israeli PR firm said the company 'does not respond on any issue currently subject to legal procedure'. US military officers stand around the coffin of American Taylor Force, who was killed in a stabbing attack at Ben Gurion airport, near Tel Aviv, Israel earlier this year The private legal initiative follows censure from Israel's security minister over what he deemed the firm's reluctance to help track potential Palestinian militants and curb incitement to violence. In response, Facebook defended its regulations against online abuse. Hamas formally claimed responsibility for one of the attacks cited in the lawsuit. The plaintiffs' Israeli lawyer, Nitsana Darshan-Leitner, said they had expert assessments linking Hamas to the other attacks. The lawsuit, filed with the U.S. District Court for the Southern District of New York, argued the platform 'knowingly provided material support and resources to Hamas ... facilitat(ing) this terrorist group's ability to communicate, recruit members, plan and carry out attacks, and strike fear in its enemies'. Hamas is designated a terrorist organisation by the United States. The lawsuit was brought under the Anti-Terrorism Act of 1992 which prohibits American businesses from providing any material support, including services, to designated terrorist groups and their leaders. People stand on the rubble of a house belonging to a Palestinian man who carried out a knife attack in Tel Aviv on an American tourist after Israeli security forces demolished the property Sami Abu Zuhri, a Hamas spokesman in Gaza, called the lawsuit an Israeli attempt to blackmail Facebook and accused Israel of trying to turn the social network into a spy tool against Palestinians. He said some Israeli politicians and soldiers had 'expressed pride at the killing of Palestinians' on Facebook and other social media. 'The real test for the owners of Facebook is to reject this (Israeli) pressure,' Abu Zuhri said. Darshan-Leitner, of the Shurat HaDin-Israel Law Center, filed a class action suit in October for an injunction against Facebook to stop carrying alleged Palestinian incitement. She said proceedings were still under way in that case. Russia to press on with privatisations after Alrosa sale nets $813 mln By Darya Korsunskaya and Polina Devitt MOSCOW, July 11 (Reuters) - Russia will press on with major privatisations this year, senior government officials said on Monday, after the sale of a further 10.9 percent stake in diamond company Alrosa raised 52.2 billion roubles ($813 million). The deal, the largest state ownership sale for almost four years, is being heralded as a success by the government - especially as it comes after a period during which investor sentiment towards Russia has been soured by low oil prices and Western sanctions over Russia's actions in Ukraine. But it remains to be seen whether other state sell-offs planned for this year will be as straightforward. "Foreign investors showed that despite the sanctions regime, there is interest in liquid Russian assets," said Economy Minister Alexei Ulyukayev, who also emphasised that the sale took place despite market ructions caused by Britain's vote last month to leave the European Union. He said foreign investors had snapped up around 60 percent of the shares offered in Alrosa, the world's largest producer of rough diamonds in carat terms. Demand was more than double what was on offer, he added. "We had attracted serious investors via the Russian Direct Investment Fund - funds from the Gulf," First Deputy Prime Minister Igor Shuvalov told reporters, saying European, Asian and U.S. investors had also taken part. Sources close to the deal told Reuters last week that the potential foreign investors included Oppenheimer Funds and Lazard, two investment funds that had participated in Alrosa's IPO in 2013. Russian pension funds also planned to take part in the deal, the sources said last week. Nevertheless, a central role was also played by the state-backed Russian Direct Investment Fund, acting in partnership with Middle Eastern sovereign funds. And the sale price of 65 roubles per share appeared to have been lower than planned, according to Russian media reports that said the government had originally wanted 71 roubles per share. The shares on offer were discounted by 3.77 percent to the closing market price on Friday, said Dmitry Pristanskov, the head of Russia's state property management agency. Alrosa's share price was up 1 percent at 68.2 roubles on Monday, slightly outperforming the MICEX index but giving up earlier gains of over 2 percent. The privatisation programme is important for the Kremlin as it needs to replenish state coffers depleted by low oil prices. Ulyukayev confirmed government plans to sell stakes in oil firms Rosneft and Bashneft, as well as in shipping company Sovcomflot and VTB bank. Shuvalov also said the overall privatisation plan was on track. "We had originally said that the main deals would take place in the second half of 2016. We are moving according to plan," he told reporters. "We are now working with potential investors on Rosneft." The RIA news agency quoted him as saying later on Monday that the government has dropped the idea of selling a 19.5 percent stake in Rosneft into the market, favouring strategic investors instead. There has long been speculation that Rosneft, the world's largest listed oil company by production, would be sold to oil companies from China or India to cement ties with Asian partners. The 19.5 percent stake in Rosneft would be worth over $10 billion at the current market price. Burberry to replace Bailey as CEO with Celine's Gobbetti By James Davey and Astrid Wendlandt LONDON, July 11 (Reuters) - British luxury brand Burberry has recruited Marco Gobbetti, the Italian boss of French brand Celine, to succeed Christopher Bailey as chief executive next year, freeing Bailey to focus again on the design role that made his name. Bailey's dual roles of CEO and chief creative officer since May 2014 had worried some investors who felt he had too much on his plate. Burberry said he would retain his creative role and also take the title of president. Shares in the 160-year-old firm, down 24 percent over the last year, rose by up to 7.9 percent, their strongest day in three years. "It was clear that the 'dual role' solution was not working, and that both the business and the share price had suffered," said Exane BNP Paribas analyst Luca Solca. "This is a step forward for Burberry, where we perceived a need of reinvention and stronger direction." The Paris attacks have deterred tourists and demand from major markets such as China has fallen, with global sales growth seen sinking this year to flat to low-single digits. In April the firm -- famous for its camel, black and red-checked designs -- reported a 10 percent fall in annual profit and said it would overhaul its business, shrinking its product range and focusing more on handbags. It also gave a bleak outlook for the current financial year. Burberry said Gobbetti, 57, currently Celine's chairman and CEO, will join in 2017 as soon as he is contractually able to. Under the creative stewardship of award-winning designer Phoebe Philo for the past eight years, Celine has been one of LVMH's fastest-growing and most successful fashion labels generating around 600 million euros ($660 million) in sales. EXPERIENCE Gobbetti has over 20 years experience in the luxury industry and a track record of growing and developing brands including Givenchy, Moschino and Bottega Veneta, said Burberry. He will be responsible for all commercial, operational and financial elements of the business, working in partnership with Bailey, who will oversee all elements of brand and design. Among the highest-paid FTSE 100 bosses, Bailey will not be taking a pay cut. Analysts say Gobbetti's main tasks will include giving more coherence to Burberry's fashion identity and boosting the brand's desirability. Celine is extremely profitable, market sources say: its strength is in leather goods where margins are the highest. Investors hope Gobbetti will bring the same touch to Burberry's leather goods sales. Critics say some of Burberry's mistakes were self-inflicted, seeking to become a digital age trailblazer but paying too little attention to the substance of its fashion message. The firm has made destabilising changes in recent months, including bringing all its sub labels such as Prorsum, Brit and London under one Burberry brand and regrouping its menswear and womenswear shows into one. It has also been working to get new clothing and accessories more quickly to customers. As part of its management shake-up, Burberry also said it had appointed Julie Brown to the new role of Chief Operating & Financial Officer. Brown, who is currently CFO at medical technology business Smith and Nephew, will join in early 2017 at the latest. Current CFO Carol Fairweather will step down from the board by the end of January 2017 "to pursue new opportunities". Burberry is due to release a quarterly trading update on Wednesday. ($1 = 0.9045 euros) West Ham sign Turkey winger Tore on season-long loan July 11 (Reuters) - Winger Gokhan Tore has joined West Ham United from Turkish champions Besiktas on a season-long loan with a view to a permanent move, the English Premier League side said on Monday. The 24-year-old, who worked under West Ham manager Slaven Bilic at Besiktas, scored four goals in 24 appearances last season. "I am very happy to be at West Ham. I watched many games that they played last season and saw how passionate the fans are. That made me want to come here and I am looking forward to playing in front of them," Tore told the club's website (www.whufc.com). "Slaven was also a big factor in me coming here... He is the main man and knows me very well. I could not have said no to him. He is the best manager I have worked under and he is like a father to the players. You feel like you are family." The Rhyzodiastes (Temoana) xii is a newly classified species of beetle, indigenous to China's Hainan Island, whose name is a tribute to Chinese leader Xi Jinping. But since the beetle lives "underneath rotten trees and eats rotten things" symbols of corruption in China and most everywhere else Chinese authorities have banned the term from social media, and searches for it on Weibo throw this error: "According to related law and policy, the search result of 'Rhyzodiastes (Temoana) xii' cannot be shown." The ban has sparked bitter humor, comparisons to Kafka, and many visual memes that superimpose Xi's face on insect bodies. The sensitive nature of the name is perhaps best captured in a poem titled after Franz Kafka's The Metamorphosis and circulated on social media. It was written by Zhang LIfan, a well-known writer and historian: The Metamorphosis Confusion between ass kissing and advanced hacking [of meaning], Name draws boundless imagination. Natural-born dragon species, Driving the dream in the air now turns into bug. A New Species of Beetle Named After President Xi Is Blacklisted on Chinese Social Media [Oiwan Lam/Global Voices] (Image: The Stand) Sri Lanka arrests son of former leader Rajapaksa By Ranga Sirilal COLOMBO, July 11 (Reuters) - Sri Lankan police arrested the eldest son of former president Mahinda Rajapaksa on Monday for misappropriation of funds in an apartment project, his lawyer said, the third member of the once-powerful family to be detained. Namal Rajapaksa, who is also a member of parliament, had been questioned by financial police investigating the $650-million high-end project in the capital Colombo. He appeared before a court on Monday and was remanded in police custody for a week, his lawyer Premanath C. Dolawatta said. Namal has denied any wrongdoing and said the government was on a witchhunt of its political rivals instead of delivering on its promise of good governance. Since he swept to power in January 2015, President Maithripala Sirisena has launched a series of investigations into deals that were cleared by his predecessor Rajapaksa and his family members, some of whom were in the cabinet. Namal's uncle Basil Rajapaksa, who headed the economic development ministry, was arrested over the misuse of an anti-poverty government fund and under anti-money-laundering charges. Another son of Mahinda, Yoshitha, who led the national rugby team, was arrested under the money-laundering law in February. The former president's wife is also facing investigation for financial impropriety, while another brother, Gotabaya Rajapaksa, is accused of running a "private military" while he led the defence department. The Rajapaksas have denied the allegations. "This is the good governance," Namal told reporters as he was taken to court. U.S. transfers two Guantanamo inmates to Serbia WASHINGTON, July 11 (Reuters) - Two inmates from the U.S. military prison at Guantanamo Bay were transferred to Serbia on Monday as the Obama administration pressed ahead with its long-held goal of shutting the widely condemned facility at the U.S. naval base in Cuba. The transfer of Yemeni national Mansur Ahmad Saad al-Dayfi and Tajik national Muhammadi Davlatov to Serbia reduced the number of detainees at Guantanamo to 76, with 27 of those approved for transfer once an appropriate country can be found, U.S. officials said. "The United States appreciates the generous assistance of Serbia as the United States continues its efforts to close the Guantanamo Bay detention facility," Secretary of State John Kerry said in a statement, calling it a "significant humanitarian gesture." It was the first time the United States has transferred Guantanamo Bay prisoners to Serbia, a Pentagon spokesman said. After meeting Serbia's Prime Minister-designate Aleksandar Vucic in Belgrade, Victoria Nuland, the U.S. Assistant Secretary of State for European and Eurasian Affairs, said the Obama administration was grateful to Serbia for taking the two inmates. Vucic said Serbia acted in line with good cooperation it has with the United States. "Other countries took over (inmates), Germany took them, it was natural (for us) to do that ... i think this speaks well about our country and that this is a good signal for the future," Vucic said. The transfers came just a day after another Yemeni national, Fayiz Ahmad Yahia Suleiman, was transferred to Italy. Davlatov, 37, also known as Umar Hamzayevich Abdulayev, was approved for transfer nearly six years ago by six U.S. government departments and agencies. Al-Dayfi, 37, also known as Abdul Rahman Ahmed, was approved for transfer in October by a review board that determined his detention was no longer necessary for national security, the Defense Department said in a statement. The Obama administration had notified Congress of its intent to transfer the two men, as required by law, a State Department official said on condition of anonymity. President Barack Obama had hoped to close the prison during his first year in office in 2009 but has faced opposition from many Republican lawmakers as well as some fellow Democrats. Most of the inmates remaining at the prison have been held without charge or trial since being detained following the Sept. 11, 2001, attacks on the United States. Al-Dayfi traveled to Afghanistan in mid-2001, trained at an al Qaeda training camp and was wounded by a coalition air strike, according to a U.S. military profile last year. While a secret 2008 military assessment made public by the WikiLeaks anti-secrecy group portrayed al-Dayfi as a high-threat al Qaeda member, the military profile released last year said he probably exaggerated his involvement with al Qaeda. No al Qaeda leaders have identified him as a member, it said. Dallas gunman 'disappointed' by military experience, mother tells TheBlaze.com DALLAS, July 11 (Reuters) - The U.S. military veteran who shot dead five police officers in a racially charged attack in Dallas last week had been "disappointed" by his experience with the U.S. Army, his mother told media outlet TheBlaze.com on Monday. South African twins planned attacks on U.S. Embassy, Jewish buildings - police JOHANNESBURG, July 11 (Reuters) - South African twins arrested over the weekend were planning attacks on the U.S. Embassy in the capital Pretoria as well as on buildings owned by Jews, police said on Monday. Four South Africans, including the two brothers, faced charges related to terrorism in court on Monday, the spokesman for the elite police unit Hawks, Brig Hangwani Mulaudzi, said. The four, who were arrested by authorities in Johannesburg on Sunday, will be detained in custody until July 19, when their case will be heard, Mulaudzi said. Qatar Airways in advanced talks for 25-30 Boeing narrowbody planes FARNBOROUGH, England, July 11 (Reuters) - Qatar Airways is in advanced talks with Boeing to buy up to 30 narrowbody planes and will not rule out additional cancellations of delayed deliveries from rival Airbus, the airline's chief executive said on Monday. Qatar earlier this year cancelled its first delivery of the narrowbody Airbus A320neo jet due after delays in delivery and has said it must source alternate planes to meet demand. "We are quite advanced" in talks with Boeing, Akbar Al Baker told journalists at the Farnborough Airshow. "It's between 25 and 30 aircraft, a combination of the two," he said, referring to the current 737NG model and the successor 737 MAX. Asked if a deal might be signed this week at the Farnborough Airshow, he said he didn't know. "We still have a few things to iron out." Al Baker said there had been no progress in the dispute with Airbus on A320s and it was not clear if there would be additional cancellations. He said he hoped to get a second A350 of 11 by the end of the month despite a delay. Hire more refugees, Germany's Gabriel tells DAX companies BERLIN, July 11 (Reuters) - German Economy Minister Sigmar Gabriel urged the 30 biggest listed companies to follow the example of small- and medium-sized firms and hire more refugees. Germany is at the frontline of efforts to integrate migrants into Europe after more than 1 million arrived in the country last year alone, mainly people fleeing conflicts in Syria, Iraq and Afghanistan. In a letter to the chief executives of the 30 DAX companies, seen by Reuters on Monday, Gabriel said big companies seemed far less committed than the thousands of Mittelstand firms that form the backbone of Europe's largest economy. According to a survey by newspaper Frankfurter Allgemeine Zeitung, the 30 DAX companies have hired 54 refugees of whom 50 were employed by Deutsche Post. Gabriel, who is leader of the centre-left Social Democrats (SPD), pointed to the efforts of many small- and medium-sized companies as well as the DIHK chambers of industry and commerce to build bridges into the labour market. "But without the flagships of corporate Germany, without you, the bridge isn't complete," Gabriel told the CEOs in the letter, adding integrating the record-influx of migrants was Germany's most demanding task since reunification. Germany's labour market is booming. The government expects employment to reach a record 43.5 million this year and nearly 44 million in 2017. French carbon pricing committee proposes tax on coal-fired power plants PARIS, July 11 (Reuters) - A French government advisory committee has recommended that France increase taxation on coal-fired power plants, or set stiffer carbon emissions standards, to encourage a shift to gas-fired plants to reduce carbon emissions. The proposal was included in a report handed to French Environment Minister Segolene Royal on Monday by the committee, which was appointed in March to review European carbon pricing and make recommendations to the French government. France currently chairs the United Nations climate negotiations COP21 and is looking to introduce measures that will strengthen carbon pricing within the European Union. The report also includes a proposal announced last month that the EU introduce a carbon price corridor mechanism with floor and ceiling prices, and an auction system, which could help fix the European Emissions Trading System (ETS), which saw prices tumble due to a glut of permits. The three-man advisory committee is headed by the chairman of French energy company Engie, Gerard Mestrallet, who is also the company's former CEO. The committee proposed setting a European carbon floor price of between 20 euros ($22.08) and 30 euros in 2020 with an annual increase of between 5 and 10 percent to exceed 50 euros by 2030. It said this would accelerate a shift to lower carbon emissions. Top US officials rejected push to prosecute HSBC -lawmakers' report By Mica Rosenberg NEW YORK, July 11 (Reuters) - Senior U.S. Department of Justice officials overruled internal recommendations to prosecute global bank HSBC Holdings Plc for money laundering violations because of concerns about the stability of the financial system, a congressional report released on Monday said. In 2013, the Financial Services Committee of the U.S. House of Representatives, led by Republican Congressman Jeb Hensarling of Texas, began investigating the Justice Department's November 2012 decision to enter into a $1.92 billion settlement agreement with HSBC. The report, which relies on internal records from the Department of the U.S Treasury, said then-U.S. Attorney General Eric Holder "misled" Congress about the Justice Department's reasoning for declining to prosecute. He and other top officials decided against criminal charges for London-based HSBC over the recommendations of prosecutors as they had concerns about financial stability, the report said. Politicians and others have criticized the Justice Department for not charging high level executives following the 2008 financial crisis. The report said it sought to shed light on the department's decision-making behind the scenes and did not outline specific recommendations. The 2012 settlement detailed how Mexico's Sinaloa drug cartel and Colombia's Norte del Valle cartel laundered $881 million through HSBC and a Mexican unit and how the bank violated U.S. sanctions laws by doing business with customers in Iran, Libya, Sudan, Burma and Cuba. No HSBC executives or employees were prosecuted for the violations, the report said. HSBC declined to comment Monday on the report. Holder also declined to comment. The Justice Department did not immediately respond to a request for comment. The U.S. Department of the Treasury declined to comment. The report said both the Justice Department and Treasury did not comply with the committee's request for documents, forcing them to issue subpoenas. Internal emails in the report show the Justice Department's Asset Forfeiture and Money Laundering Section, represented by then-chief Jennifer Shasky Calvery was "considering seeking a guilty plea from HSBC" as early as September 2012. Senior leadership at the Justice Department, including Holder, ultimately overruled prosecuting the bank even though Holder had testified in front of Congress that "banks are not too big to jail," the report said. Shasky Calvery later joined HSBC in a senior global financial crime fighting role, according to a source familiar with her plans. UniCredit launches strategy review under new CEO, places Fineco stake MILAN, July 11 (Reuters) - UniCredit's board on Monday approved the launch of a strategic in-depth review under its new chief executive as it seeks to boost its capital position and improve profitability. Italy's biggest bank by assets last month appointed French investment banker Jean-Pierre Mustier as its chief executive, a choice which a source said is likely to lead to a multi-billion euro capital increase and asset sales. Mustier, who will take on his new role on Tuesday, faces a difficult task at UniCredit. The bank's shares have fallen more than 60 percent this year, weighed down by investor concerns over its profitability, a high pile of bad loans and a weaker balance sheet compared to major European rivals. "There will be specific focus on capital optimization opportunities, further cost reduction, cross selling across group entities and above all further improved risk discipline," the company said in a statement on Monday. The lender said all of its assets will be subject to the review and any "incremental value creating opportunities, potentially also via disposals, will be evaluated". The bank said it will be more proactive in how it manages its non-core credit portfolio. The lender said strategic assets such as German bank HVB, its central and eastern Europe division and its corporate investment bank will be developed further, increasing cross selling and synergies wherever possible. The bank said separately it had launched a placement of up to 10 percent of online broker FinecoBank via an accelerated bookbuilding to institutional investors. UniCredit owns around 65 percent of the unit and plans to keep a majority shareholding after the placement, it added. Speaking at an event in Milan, Mustier said the stake sale could boost UniCredit's CET 1 ratio - a measure of financial strength - by up to 7 basis points. UniCredit posted a CET 1 ratio of 10.5 percent as of end-March. A source has previously told Reuters that Mustier was expected to launch a capital increase and would look to sell businesses such as Fineco, Polish unit Pekao and asset manager Pioneer, but not HVB. A sale of its Turkish business would also not be a priority, the person had added. Mustier said on Monday the lender was working with Spain's Santander to find a solution to pursue a long-delayed deal to merge the two banks' fund management businesses. The Financial Times said in a source-based report earlier on Monday that the two banks were set to abandon the deal over uncertainty after Britain's vote to leave the European Union. UniCredit, the only Italian bank whose stability is deemed important to the global financial system, has been hit especially hard in a sell-off of European bank shares triggered by the British vote. UK politics are in disarray: the leaders of the Conservative and UK Independence Parties have both quit; the Tory leadership race is a neverending night of the long knives and the Blairite wing of the Labour Party can't figure out which dice-lawyers to trust on their roll for initiative. But with Angela Eagle sure to make (another) bid for Corbyn's job (seemingly with the complicity of former Corbyn ally Tom Watson), Paul "Postcapitalism" Mason has drafted a set of five questions the press should must put to Eagle when they cover her bid: 1. Jeremy Corbyn apologised on behalf of the Labour Party for the war in Iraq. Unlike him, you voted for it. Will you apologise? [ ] Yes [ ] No 2. Gloria De Piero MP used right wing newspaper The Sun, which is reviled on Merseyside, to urge non-members to join Labour to overthrow Corbyn. Do you support Gloria's call in The Sun? [ ] Yes [ ] No 3. Jeremy Corbyn joined the junior doctors picket line and supported their strike. Will you support the junior doctor's strike? [ ] Yes [ ] No 4. Labour Party rules say the existing leader should be on the ballot paper in a leadership contest. Do you agree? [ ] Yes [ ] No 5. If Jeremy Corbyn wins the leadership for a second time will you take the Labour whip in Parliament? [ ] Yes [ ] No Five questions for Angela Eagle [Paul Mason/Medium] Five Honduran police accused of drug conspiracy surrender to U.S. TEGUCIGALPA, July 11 (Reuters) - Five Honduran police accused of conspiring to smuggle drugs have surrendered to U.S. authorities and been extradited to the United States, authorities said on Monday. The policemen, who were charged in Manhattan federal court for planning to import cocaine into the United States, turned themselves in at Honduras' Palmerola military base following a request for their extradition last week, Honduran Security Minister Julian Pacheco said. They were flown to New York on a plane chartered by the U.S. Drug Enforcement Administration. "The voluntary surrender today of five police officers accused of drug trafficking is another blow to impunity in Honduras," U.S. Ambassador to Honduras James Nealon said on Twitter. Local media reported that a sixth officer who was also indicted may have fled the country. Neither a spokesman for Manhattan U.S. Attorney Preet Bharara, whose office is prosecuting the case, nor a spokesman for the DEA, had any immediate comment. After nuclear deal, Iran sticks to wary tactics in key Gulf waterway By Phil Stewart ABOARD THE USS NEW ORLEANS, July 11 (Reuters) - Iran's elite Revolutionary Guard Corps on Monday dispatched five military vessels to monitor a U.S. warship hosting one of America's top generals on a day trip through the Strait of Hormuz, coming as close as 500 yards (metres). For Army General Joseph Votel, who oversees all American military forces in the Middle East, the approaches were a safe though worrying reminder of how little time American forces have to decide whether IRGC ships might pose a threat. The five Iranian vessels consisted of four speedboats, three with mounted machine guns, as well as a guided missile patrol ship. "As you've seen in a relatively compressed space here, there is great opportunity for miscalculations," Votel, head of the U.S. military's Central Command, told reporters on the bridge of the USS New Orleans, an amphibious dock ship with about 650 Marines aboard. It was also the latest sign that the IRGC appears to be sticking to a familiar posture in the Gulf that predates last year's nuclear accord between Iran and six world powers including the United States. One of the four speedboats that approached the New Orleans and its escort, a Navy guided missile destroyer, the USS Stout, cut its engines and watched as the U.S. warships passed. An hour before, a larger Iranian guided-missile patrol craft came by. U.S. officials stressed that such approaches fell within the category of professional interactions, the kind they see during 90 percent of the U.S. Navy's roughly 250 transits through the Strait of Hormuz each year. But the Navy says some 10 percent are classified as unsafe, abnormal or unprofessional. "We don't always have a lot of time to deal with those interactions. I think what we've probably learned here today is that it's measured in minutes," Votel said. For its part, Iran sees the Gulf as its backyard and believes it has a legitimate interest in expanding its influence there. It has long argued that the region should organise its own security collectively, without outside powers. Accordingly Iran uses its sea power in the Gulf to show it will not be cowed by Washington's naval presence, analysts say. But in 2008 and 2010, in moves that prompted critics to accuse Iran of destabilising the region, the Islamic Republic threatened to disrupt oil shipping in the Gulf by shutting the Strait of Hormuz if there were any attack on its nuclear sites. CAPTURE OF U.S. SAILORS The U.S. military's concerns about Iran's behaviour in places like the Strait, one of the world's most important oil shipping channels, have persisted despite the accord under which Tehran curbed its disputed atomic program in exchange for relief from economic sanctions. "That (the nuclear deal) certainly has addressed one very important threat ... but their other activity out here has not changed," Votel said. Memories of Iran's brief capture of 10 U.S. sailors in January are also still fresh. Iranian Supreme Leader Ayatollah Ali Khamenei awarded medals to IRGC commanders after the incident. Iran took video and pictures of the American sailors surrendering after blundering into Iranian territorial waters. A U.S. Navy report also said the Iranians replaced an American flag on board with an IRGC one, ransacked the vessels, and damaged equipment. The Navy also admonished U.S. sailors for straying into Iranian waters. Karim Sadjadpour, an Iran analyst at the Carnegie Endowment for International Peace, said there were no signs of a change in the Revolutionary Guards' culture of viewing the United States as an inherent threat. "Ayatollah Khamenei, the commander in chief of the IRGC, regularly praises Iranian officials who defy the U.S. and scorns officials who advocate cooperation with the U.S.," Sadjadpour said. "I haven't seen any signs that this institutional culture has changed after the nuclear deal." Votel said Iran needed to be held accountable for its behaviour and scorned its treatment of the U.S. sailors. The U.S. Navy says it has given assistance to 11 Iranian-flagged vessels in distress in the Gulf since 2012. "If we came across a ship, a small vessel in the area, we would try to assist it," Votel said. May, Merkel, Clinton - the year of female leaders? By Peter Apps July 11 (Reuters) - It now seems extremely likely that by the middle of January 2017, three of the world's six largest economic powers will be led by women. Unless Donald Trump makes significant gains, the latest Reuters Ipsos poll still puts Hillary Clinton ahead of her Republican rival by 11 points in the race for the White House. German Chancellor Angela Merkel already has a strong case for being described as Europe's most powerful woman, with an open argument as to whether she has more or less global influence than Russia's Vladimir Putin. And as the Brexit fallout settled, it swiftly became clear that Britain's next prime minister would also be female. (It's worth noting that this PM would have run the fifth-largest global economy had not the collapse in sterling after the UK vote to leave the European Union pushed France into that place.) By Thursday last week, the fight to replace Prime Minister David Cameron as leader of the Conservative party had narrowed to two women. On Monday, energy minister and former banker Andrea Leadsom withdrew from the race, essentially handing Downing Street to Home Secretary Theresa May. With no other challengers, May will now replace Cameron when he steps down later this week. Britain is not currently scheduled to have another election until 2020, although an incumbent prime minister can in theory call one at any time. On the Labour Party side, the favorite to succeed embattled opposition leader Jeremy Corbyn is Angela Eagle. Despite widespread dissatisfaction within the party, she has been the only one willing to put her head above the parapet as a challenger - although with Corbyn clinging on it still promises to be a tough fight. These women have emerged from the most brutally savage spell in British politics in living memory. None of them owe their positions to tokenism. An entire generation of British male politicians has watched their reputations crash and burn since the June 23 referendum, and the women were the ones left standing. Former interior minister May could yet prove as divisive a prime minister as Margaret Thatcher. At the Home Office, May took a controversially tough line on migration and has now sparked outrage even from diehard "leave" campaigners for a refusal to guarantee that EU citizens currently in Britain will be able to stay. Even her critics, though, concede May is a tough, dogged, no nonsense campaigner and negotiator. It's not hard to imagine her at the diplomatic top table. America is hardly alone in never having had a female head of state, however - neither has France, China or Russia. (In the latter case, at least not since the reign of the tsars.) Putin, France's Francois Hollande and China's Xi Jinping may now find themselves balanced, however, by May, Merkel and (probably) Clinton. In many respects, their achievements are striking given how underrepresented women are in national politics in all three countries. In Germany, only 37 percent of parliamentarians are female. In the UK, it is 29 percent; in the U.S. Congress it is as low as 19 percent. Only two countries, Rwanda and Bolivia, are above gender parity in national level political representation, with the United States ranking 96th worldwide. When it comes to having female heads of government or state, a string of smaller and developing countries have arguably led the way. Sri Lanka became the first country to have a woman prime minister with the election of Sirimavo Bandaranaike in 1960. Golda Meir became Israel's prime minister in 1969. Argentina's Isabel Peron became the world's first woman president in 1974. There have been female heads of state or government in India, Pakistan, Bangladesh, Liberia, Turkey, Burundi, Central African Republic, Mongolia, and Haiti amongst others. Some were the daughters or wives of previous national leaders. But many were not. In multiple cases, female leaders - such as Pakistan's Benazir Bhutto or Britain's Thatcher - have become iconic figures. Women leaders should not be, and are not, defined solely by gender - and it is never the most interesting thing about them. Nor do they like being pigeonholed that way. Back in 1995, Clinton famously told a United Nations conference in Beijing that human rights were women's rights and women's rights were human rights. But as with whether a country features any women or minorities on its currency, these examples do make a difference. Inevitably, it colors both the realistic aspirations of other women at all levels in the country as well as the way men think about politics, power and agency. There are stark limits to this. Just as the election of Barack Obama did nothing to stop African-Americans from being more likely to be arrested, jailed or shot by police, South Asia's high-profile female leaders did not stop the widespread harassment and assault of women in their countries. Ironically, the number of female national leaders worldwide has actually been shrinking. According to the website "Women in Leadership", there are currently 24 female world leaders. That's the lowest in several years-and includes figurehead monarchs such as Britain's Queen Elizabeth and Denmark's Queen Margrethe. Brazil gov't to push for end to airline foreign ownership cap By Leonardo Goy and Alonso Soto BRASILIA, July 11 (Reuters) - Brazil's interim government plans to remove foreign ownership limits on domestic airlines through a presidential decree or an act of Congress this year, Transportation Minister Mauricio Quintella said on Monday. Facing opposition from some senators, Interim President Michel Temer agreed in June to partially veto a decree allowing foreigners to own up to 100 percent of Brazilian airlines. That will leave maximum foreign ownership of airlines at 20 percent, but Quintella said the government still wants to lift the cap altogether. "We will insist on 100 percent ... We have to think now about reopening the debate in the Senate," said Quintella from his office overlooking the two-chamber Congress in Brasilia. Temer, a conservative who has replaced leftist President Dilma Rousseff while she faces an impeachment trial, agreed to the partial veto to prevent lawmakers from striking down the complete decree, which contained measures that paved the way for the sale of the state-run airport operator Infraero. In his comments to Reuters, Quintella also harshly criticized the chief executive of airline Azul, Antonoaldo Neves, who voiced opposition to raising foreign ownership of domestic airliners to 100 percent in an interview with newspaper Folha de S.Paulo over the weekend. "Azul is the only airline opposing this," Quintella said. "It is natural that he doesn't want competition, but that's not what the country wants." Azul Linhas Aereas Brasileiras SA, Brazil's third-largest airline, is controlled by JetBlue Airways Corp founder David Neeleman. A two-year recession has curbed air travel in Latin America's largest country and weakened the local currency, which battered the profitability of Brazilian airlines by driving up the cost of fuel and aircraft leases. Foreign capital could help shore up the finances of local airlines, especially Gol Linhas Aereas SA, which has had limited success restructuring its costly debt burden. Gol, partly owned by U.S. carrier Delta Airlines Inc , dominates the Brazilian market together with the local unit of Chile's Latam Airlines Group SA. China's HNA Group took a $450 million stake in Azul last year and has shown interest in buying its smaller rival, Avianca Brasil, which has also attracted interest from Delta and United Continental Holdings Inc, sources told Reuters last month. Quintella said the government is preparing to auction off concessions to operate four airports, which could provide it with at least 1 billion reais in revenues in 2017. The auction, expected later this year, is for airports in Florianopolis, Salvador, Porto Alegre and Fortaleza. Potential earthquake in Bangladesh could jeopardize millions, research says By Sebastien Malo NEW YORK, July 11 (Thomson Reuters Foundation) - A potentially giant earthquake may be building up beneath Bangladesh and eastern India and could endanger as many as 140 million people, a study said on Monday. The earthquake is not imminent but inevitable as sections of the earth's crust press against one another, according to the study published in the journal Nature Geoscience. No estimate on when such a quake may occur is possible without additional research, the study's lead author Michael Steckler, a geophysicist at Columbia University in New York, told the Thomson Reuters Foundation. Some 140 million people live within 62 miles (100 km) of the potential epicenter in eastern India and in Bangladesh, the world's most densely populated country and among the poorest, the study said. In Bangladesh, shoddy, unregulated building construction abounds, and heavy industries, power plants and structures at natural gas sites would likely be destroyed, the study's authors said. Mud that has accumulated some 12 miles (19 km) deep in the delta of the Ganges and Brahmaputra rivers could shake "like gelatin, and liquefy in many places, sucking in buildings, roads and people," said co-author Syed Humayun Akhter, a geologist at Dhaka University, in a statement. The area at risk measures some 24,000 square miles (62,000 square km), the study said. The damage could be so severe as to render Dhaka, Bangladesh's capital, unlivable, Akhter said. The area at risk lies along the same fault lines in the earth's crust where a 2004 earthquake and tsunami in the Indian Ocean killed some 230,000 people, the researchers said. Nigerian oil union leaders, government hold talks over strike By Alexis Akwagyiram and Felix Onuah LAGOS, July 11 (Reuters) - Leaders of a Nigerian trade union whose members are on strike held talks with government officials on Monday aimed at resolving the dispute, the Nigerian National Petroleum Corporation (NNPC) and a union leader said. The strike by about 10,000 Petroleum and Natural Gas Senior Staff Association of Nigeria (PENGASSAN) members, including refinery workers and office staff, began on Thursday over issues the union said were "critical to the survival of the oil and gas industry in the country". The industrial action has raised fears there could be fuel shortages in the OPEC member country which last week prompted NNPC to caution the public against panic fuel purchases. There have been no signs of fuel shortages so far and, although the strike is being enforced by PENGASSAN members, workers from other trade unions such as Nigeria Union of Petroleum and Natural Gas Workers (NUPENG) are not involved. NNPC spokesman Garba Deen Muhammad and PENGASSAN'S acting general secretary Lumumba Okugbawa said talks took place on Monday afternoon. "The meeting was fruitful," Emmanuel Ibe Kachikwu, minister of state for oil, said of the six-hour talks with union leaders at NNPC's headquarters in the capital, Abuja. PENGASSAN's president, Francis Johnson, said there had been "a very robust engagement" and added that the union's leaders would meet on Tuesday to "take some decision" on the industrial action. The union said it decided to strike over issues such as joint venture funding and cash call arrears, which it said had stalled the creation of new jobs and investment in the sector. Cash calls are the government's financial obligations to joint venture projects between NNPC and international and local oil companies. A petroleum ministry source said these issues were discussed along with pay disputes and reforms to be carried out once the Petroleum Industry Bill is passed into law. The bill, which has been in the works for a decade to overhaul the industry, will call for environmental, tax and revenue-sharing rules. The strike comes as Nigeria's energy sector contends with a spate of attacks by militants on oil and gas facilities in its southern Niger Delta region over the last few months which briefly pushed oil production this spring to 30-year lows. U.S. SEC investigates Tesla for possible securities law breach - WSJ By Joseph White DETROIT, July 11 (Reuters) - The U.S. Securities and Exchange Commission is investigating whether Tesla Motors Inc waited too long to disclose a fatal crash in May that called into question the safety of a system in the car that takes control of steering and braking, the Wall Street Journal reported on Monday, citing an unnamed source. Tesla said in a statement on Monday afternoon that it "has not received any communication from the SEC regarding this issue." The SEC declined to comment. Tesla shares fell 1.3 percent in after-hours trading following the publication of the report on the Journal's website. The stock had risen 3.7 percent during regular trading, following a tweet on Sunday by Chief Executive Elon Musk that he is working on a "Top Secret Masterplan, Part 2" for the electric car company. Musk, hinting at a possible new vision for the company, stated in the tweet that he hoped to "publish later this week." In its report on Monday, the Journal said the SEC is scrutinizing whether Tesla should have disclosed the fatal accident involving a Model S sedan operating in Autopilot mode as a "material" event, or a development a reasonable investor would consider important. It is unclear what action, if any, the SEC will take. Automakers do not customarily report fatal auto accidents involving their vehicles as material events to shareholders. There is no established practice yet for reporting accidents where emerging auto-driving technology may be a factor. The U.S. National Highway Traffic Safety Administration (NHTSA) already said that it was investigating the May 7 accident in Florida, in which 40-year-old Joshua Brown was killed. NHTSA is sending a five-person team to Florida later this week to investigate that crash, said Christopher O'Neil, a spokesman for the agency, which makes recommendations to regulators and companies about transportation-related safety issues. The timing of Tesla's disclosures about the accident has become an issue for the company in part because Autopilot is key to its image as a pioneer in automotive technology. No other automaker has released to the public a system in which a person can drive with hands off the wheel for an extended period while a car is in motion. Tesla has said Autopilot should be used as a backup and not as a replacement for the driver, who should keep hands on the wheel all the time, and it has cautioned that the system is in beta, or test, mode. Musk last week used his Twitter account to mount a defense of Tesla's decision not to disclose the accident to the public until June 30, when NHTSA said it was launching its investigation. Tesla said it alerted NHTSA on May 16, nine days after the accident, as it conducted its own internal probe into the crash. NHTSA said last week that it was also looking into a July 1 crash in Pennsylvania of a Tesla Model X sport utility vehicle to determine whether Autopilot functions were engaged at the time of the accident. Tesla also said on Monday that it was looking into a crash in Montana. In that crash, according to a report on Electrek, a clean technology transport news website, a Model X driver said Autopilot was engaged when the car slammed into a guardrail. Tesla did not provide any further details. Lawyers for the family of Brown said they are investigating the circumstances of the crash, and awaiting conclusions from police and federal agencies. Paul Grieco, one of the lawyers for the Brown family, told Reuters his firm has received calls from other Tesla owners involved in accidents, some involving autopilot and others not. The probes related to Autopilot come at a time when Musk and his high-flying company face pressure on several fronts. In May, Tesla sold $2 billion worth of shares, in part to fund Musk's plan to accelerate development of a new, lower priced electric car, the Model 3. Then in June, Musk disclosed a plan for Tesla to buy residential solar panel installation firm SolarCity Corp for $2.8 billion in Tesla stock. That plan has drawn criticism from some investors critical of Musk's role as both chairman and largest shareholder of SolarCity and CEO of Tesla. In West Bengal, Dhananjoy Chatterjee was hanged to death at the crack of dawn on August 14, 2004, for raping and killing a school girl who lived in the building where he worked as a watchman. Now, more than a decade later, Maharashtra prison authorities demonstrated exemplary generosity and granted parole to Sajjad Mughal, the security guard who was sentenced to life imprisonment for molesting and murdering 25-year-old law professional Pallavi Purkayastha on August 9, 2012. He then jumped parole and did not return to jail on May 28, when he was required to. Since then, Sajjad has simply vanished into thin air, leaving egg on the face of you know who. Pallavi, once a national-level swimmer and working as a legal adviser, was soon to get married. Sajjad, a resident of Uri in Jammu and Kashmirs Baramulla district, was a watchman at the Himalayan Heights apartment complex where she lived. Sajjad had stolen the keys to Pallavis apartment when he had accompanied an electrician there earlier. The electrician was called because Sajjad had purposely switched off the flats lights from the main junction, which was accessible to him. On that fateful night in August 2012, Sajjad entered Pallavi's flat around 1.30am, and found her asleep. He tried to sexually assault her. Pallavi fought back, tearing a tuft of hair off Sajjads head, but he slit her throat and fled the building. He was arrested the next day from a railway station. Mumbai lawyer Pallavi Purkayastha was sexually assaulted and murdered by the security guard of her apartment Sajjad Mughal in August 2012. For everyone living in an apartment and accustomed to community living, calling the guard for everything, including plumbing and electricity issues, comes naturally. Sajjads crime sent a shiver down the Mumbai society and across the country because the ruse used by the protector-turned-predator left virtually all urban apartment dwellers vulnerable. His escape has now alarmed everyone. Some of the authorities in Maharashtra have made efforts to appear shocked. But is there anything really shocking in Sajjad jumping parole? What else did they expect from a remorseless killer? If the Maharashtra prison authorities thought Sajjad would dutifully return to serve his sentence, they should look for flaws in their thought process; and not that of the killer. Lets face it; the flawed decision of letting Sajjad avail of parole in the first place, coupled with Maharashtras dogged intransigence of not punishing those responsible for letting the killer go off the hook, is too big an issue to ignore. So who is to be blamed? The man who recommended the parole, or the one who signed the order? Or, shouldnt the system that punished Peter to allow Paul escape scrutiny be blamed too? Where should the buck stop? Two years ago, in February 2014, Devendra Fadnavis, as the then state BJP president, had slammed the Maharashtra government for granting repeated concessions (read parole) to actor Sanjay Dutt. Fadnavis had then raised a pertinent question about granting special privileges to someone serving the sentence for a serious charge of illegal possession of arms. Now, Fadnavis was the Maharashtra chief minister when Sajjad was granted parole; and the killer made full use of the special privilege. Of course you cannot blame the chief minister for every administrative indiscretion of his bureaucrats, but has his handling of the issue not left a lot to be desired? Fadnavis has responded to Sajjads escape by suspending then Nasik jail superintendent JS Naik, who had recommended parole for Sajjad. But is this good enough? There is no word on the Nasik divisional commissioner who finally granted parole to Sajjad on the basis of Naiks recommendations. Was Naiks recommendation binding on the divisional commissioner? It cannot be. A jail superintendent, a junior officer, can only advise and cannot force a senior IAS officer, who in this case was the Nasik divisional commissioner Eknath Dawle. How and why did Dawle, an engineer turned IAS officer who often tweets on good governance, accept the recommendation of an irresponsible jail superintendent is still unclear. Did Dawle fail to apply his mind? The Maharashtra government hasnt answered this question. But when top officers of Maharashtra recently hinted at the likely withdrawal of the divisional commissioners power to grant parole to convicts in the future, both security experts and politicians immediately understood the meaning. There are some bigger issues as well. Sajjad is not the only one to have benefited from the generosity of the Maharashtra prison authorities. Beginning in 2008 and till 2013, Maharashtra has sickeningly and unfailingly topped the chart of maximum parole jumpers in India. In 2014, Maharashtra was only behind Punjab and Gujarat in this regard. In fact, between 2004 and 2014, National Crime Records Bureau (NCRB) data confirm that Maharashtra alone has accounted for 2,292 parole jumpers against the pan-India figure of 8,900. Keralas finance minister TM Thomas Issac presented the maiden Budget of the Pinarayi Vijayan-led Left Front government of Kerala on Friday (July 8). Among various proposals and taxes announced to shore up the revenues of the cash-strapped state reeling under a phased liquor ban, a "fat tax" of 14.5 per cent was announced on food articles like burgers, pizzas, pasta, doughnuts and sandwiches sold at quick delivery chains and other branded restaurants. While it is a first in India, similar fat taxes are levied in many Western and South American countries with varying degrees of success. What does the fat tax aim to achieve? On the face of it, it seems like an attempt to regulate the junk food habits of the younger generation in the state. But the fact that there are few such outlets in Kerala, despite being the second most urbanised state in the country, makes one wonder if it would really make much of a difference. Kerala finance minister TM Thomas Issac. Moreover, even the Budget estimates just a gain of ten crore emanating from the said proposal. So, more than the health benefits that could accrue, this might be a desperate attempt to raise tax revenues that had gone down by an average of four per cent in the five years of UDF rule, owing to the crisis in the agricultural sector and the layoffs in Gulf countries that stifled the purchasing power of people. In laymans terms, the "fat tax" would raise the cost of a medium chicken pizza from rupees 350 to 400. It may not affect more than 90 per cent of Keralites as people in the state are spoilt for choice when it comes to eating out. But recent studies have pointed out that a high percentage of adolescent population in urban areas who happen to be the patrons of these fast food chains are overweight or obese, and this would have to be checked sooner than later. While the "fat tax" seems to have been imposed without proper planning in the absence of sugary carbonated drinks and other packaged foods being taxed, this could be a good beginning. One glance at statistics would help us put this into context. A study published in the medical journal Lancet in 2014 says that India is only behind the United States of America and China in the global hazard list of top ten countries with the highest number of obese people. Moreover, Kerala happens to be home to the second largest population of obese people in India behind Punjab, and just ahead of Delhi with 17.8 per cent of men and 28.1 per cent of women reporting a Body Mass Index (BMI) above 25. While some people might argue about the impact created by this step in the short term, there is no doubt that regular intake of junk food results in obesity, diabetes and even cardiovascular diseases as various studies have shown. In 2014, at the opening of the World Health Organisations annual summit, United Nations special rapporteur on the right to food, Olivier De Schutter, said, "Obsesity is a bigger global threat than tobacco. And it is not being taken as seriously as it should be." Kerala happens to be home to the second largest population of obese people in India. In fact, the WHO had proposed that nations should consider taxing junk foods to enable people to make healthier choices even as far back as 2003. According to a report by The Mckinsey Global Institute, the global cost of obesity is almost $2 trillion now - on par with the global cost of smoking and armed violence. While the imposition of a "fat tax in Denmark for products with more than 2.3 per cent saturated fat at the end of 2011 was unsuccessful as it was scrapped after a year, experts point out that it did not succeed because the levy was seen as a revenue mopping exercise and not from a public health viewpoint. Even in Denmark, the consumption of junk food had come down in that period which vindicates the concept. It has been more successfully employed in countries like Mexico, Hungary and some 33 states in the US. The results from Mexico are encouraging as they have shown a fall of 12 per cent in the consumption of sugary carbonated drinks, and five per cent in calorie-rich junk food since they introduced it in 2014. Devinder Sharma, well-known food and trade policy analyst, opined that this levy of a "fat tax" is a welcome move while speaking to this writer. But he went on to say that sugar-sweetened beverages and white sugar must also be targeted in the coming years to make it more holistic. Nutritionists have observed that this step must to be followed up by incentivising healthy alternatives. Kerala happens to have one of the lowest per capita consumption of fruits and vegetables according to the national sample survey despite high living standards. It remains to be seen if more states pick up this idea in the coming days and if Kerala itself continues with this experiment in the next Budget and takes it to the next level. The initial signs are encouraging as people seem to be accepting of it. Thomas Issac, who is not only a trained economist but someone who is outspoken on environmental and ecological issues, has reiterated his commitment to take this forward on the lines of his "Green Budget" in 2010. The anglosphere has a bewildering proliferation of regional names for corner stores: "variety stores," "bodegas," "delis," "corner shops," "party stores," "package stores" (often shortened to the unfortunate "packies"), "offies/off-licenses," "milk bars," etc. Atlas Obscura delves into the origins of these names, as well as some Spanish-speaking countries' versions (like the mysterious Central American "Pulperia," which is what Spaniards call restaurants that serve octopus (pulpe), as well as Asian store-names like the Philippines "sari-sari" stores, the Malay peninsula's "Mama Stores," and many others. The bodega, in New York City, is perhaps the most famous variation on this theme. The word "bodega" is a Spanish word deriving from the Latin apotheca, or apothecary. It has various meanings, none of which is "market," as is commonly stated. (The word for market is mercado.) Instead it means something closer to "storeroom" or "cellar," a pretty accurate description. In Spain, it mostly refers to a wine cellar. The word has been in common use in New York since, roughly, the 1950s, following the exodus from Cuba upon Fidel Castro's rise to power. A 1940 New York Times article defined "bodega" as "Cuba's combination of grocery and bar," a definition which would be superseded soon as the word came to be used for the convenience store. Heavy waves of immigrants, especially from Cuba, Puerto Rico, and the Dominican Republic, landed in New York City around this time, and these immigrants disproportionately began to own and work in bodegas. Their word for the store is bodega, and so the greater New York City word for the store became bodega. What Do You Call the Corner Store? [Dan Nosowitz/Atlas Obscura] (Image: Darkest London) Opinion / Columnist The country's deplorable health situation made worse by Mugabe's persistence in flying out of the country for all his and his family's health needs is the one issue that had the smooth talking Finance Minister Patrick Chinamasa stammering lost for words on BBC Hardtalk!"We have discussed how bad the hospitals are, maternity healthcare in particular is awful," said the Hardtalk presenter, Zeinab Badawi. And the people see President Mugabe's daughter jetting off to give birth somewhere else."How do you think most Zimbabweans would view that?"Minister Chinamasa had accepted the invitation to appear on Hardtalk because it was an oppor-tunity for the Zanu PF regime to once again blame the British government of PM Tony Blair for reneging on its commitments to fund the land redistribution which left Mugabe with no choice but to seize the white owned farms to give to the landless blacks. The British and its Western Allies responded to the farm seizure by imposing sanctions of Zimbabwe.Sanctions are "the Genesis" of Zimbabwe's economic problem, insisted Minister Chinamasa.Minister Chinamasa has been in Mugabe's government for donkey years and he, of all Muga-be's cronies, is well versed in the tyrant's tactics of denying responsibility for everything that has gone wrong and blaming it all on "the illegal sanctions imposed by the evil British Imperial-ists and their Allies." But even a seasoned parrot like Chinamasa can fluff his lines."We should go for a situation where most Zimbabweans are seeking treatment at home and not outside!" replied the Minister, after repeated attempt to avoid answering the question. The question of Mugabe and his family jetting off at the drop of a hat even for the most routine health checks is, without doubt, the one question that took the Minister way outside his comfort zone.The President's daughter and her entourage of security details and the usual hangers-on jetted off to the Far East and were there for more than a month. The President's wife and her entou-rage then joined the daughter and they too were there for more than a month. Then the Presi-dent too jetted off with his own even bigger team of security details and hangers-on in a hired Air Zimbabwe Jet to pick up his daughter, wife, grandson, and their entourage. He did not land, pick them up and fly back; no he was in the Far East three days.Minister Chinamasa must have fallen off his chair when he was given the bill; Bona and her en-tourage's airfare, hospital and hotel bill and similarly Grace and her entourage and, of course, Mugabe's bill were all at public expense. Mugabe's overseas health checks cost $3 million; in 2012 alone he made 12 such trips. The cost to the nation of Mugabe's grandson must be $10 million, at least!"If the $10 million of public money spent on President Mugabe's grandson, had been spent up grading one maternity ward in just one of Zimbabwe's Hospitals surely the facility would have matched that of the Far East Hospital Bona used. The country would have benefited because the first class ward would now be used by other Zimbabwean mothers too. You are not blaming this criminal waste of the nation's resources on the British too are you?" Zeinab Badawi could have asked the, by then fidgeting Chinamasa. She did not have to because it is clear the Minis-ter had asked himself that question a thousand times."So the situation where some Zimbabweans feel that they should go outside the country (for treatment at PUBLIC expense) clearly needs attention!" admitted Minister Chinamasa.It was the firebrand Margret Dongo, herself a former Zanu PF MP and a war veteran, who said all Zanu PF leaders were Mugabe's wives "Vakadzi vaMugabe!" because none of them had the guts to stand up Mugabe even over a great national importance and the tyrant was hopelessly wrong! The present cases being one such case where Mugabe's decision to squander millions of dollars of public money on foreign health trip whilst the local health service, starved of funds, fails to provide even the most basic service is nothing short of high treason.Tendai Biti, Zimbabwe's former MDC Minister of Finance, has had to tell Mugabe to reduce his globe-trotting to save money. Biti refused to pay University fees of the students on the Presi-dential Scholarship - a highly partisan arrangement to benefit the children of Zanu PF party loy-alists' children but at taxpayer's expense.For a seasoned mukadzi waMugabe like Patrick Chinamasa telling Mugabe through the Hardtalk forum - he clearly is too blushful to tell the tyrant face to face - that the mounting bills for overseas health trips "need attention" is the closest Chinamasa will ever get to telling Muga-be enough is enough of this criminal waste of public resources to gratify his and his family's in-satiable greed! News / Africa by Staff reporter Cape Town SABC boss Hlaudi Motsoeneng pushed through a R167-million contract for Uzalo, a television drama produced by a company co-owned by President Jacob Zuma's daughter, Gugu Zuma-Ncube, the Sunday Times reported.According to News24 , the show was meant to be a limited season 'telenova' at a cost of R50.5 million. A review panel met in April last year to discuss Stained Glass Productions' proposal to extend the contract for another three years.There would be four seasons of 13 episodes a year produced by the company co-owned by Zuma-Ncube and Kobedi 'Pepsi' Pokane.The panel's concerns reportedly included the lack of a business plan, a budget 10 times that usually needed to produce a 13-part series, and problems with "writing, aesthetics and delivery" in the first season.An unnamed panel member said when Zuma-Ncube heard there was no second season, she and Pokane complained to Motsoeneng, and the decision was reversed.SABC spokesperson Kaizer Kganyago confirmed that Motsoeneng overruled the decision, but would not discuss contractual issues in public.Motsoeneng overruled decision"The SABC can confirm that the COO overruled the decision not to renew Uzalo, based on performance in its genre and growth in revenue," said Kganyago.He added that Motsoeneng did meet with production companies from time to time.Zuma-Ncube referred a request for comment to Pokane, who denied that they had complained to Motsoeneng."We received a letter of intent to recommission. Therefore there was no need to complain to anyone," he told the publication.The news comes as concern grows over Motsoeneng's tendency to directly involve himself in departmental decisions such as instructing that images of violent protests should not be shown during its news broadcasts.The newspaper reported an unnamed TV producer as saying he was told by SABC staffers Uzalo had become a political tool and because it was a product of Zuma's daughter, the money to continue producing the programme had be found. News / National by Staff reporter Police in Bulawayo have called for reinforcements after being pushed further further into the CBD by protesting youths.Youths have lashed out and threw stones at police water cannon and anti riot cops.Reinforcements being debriefed before deploymentChaos has reigned in the Bulawayo CBD with China shops being stoned and looted.Police reinforcements have been sent in to try and curb the protesters from entering further into the CBD area.More water cannons have been sent in to block rioters from marching further.Cops are stationed at Corner 2nd and 3rd Ave, Lobengula St. News / National by Agencies Police in Harare on Monday fired tear gas and water cannons in an attempt to quell rioting by minibus drivers and others protesting what they describe as police harassment.The violence came amid a surge in protests in recent weeks because of increasing economic hardship and alleged mismanagement by the government of President Robert Mugabe.An Associated Press journalist saw protesters severely beating two police officers with sticks, then taking their uniforms and helmets and wearing them.The protesters blocked roads leading into the centre of Harare, forcing many people to walk up to 10 km to get to work. Rioters threw stones at police and vehicles, and some children on their way to school were caught up in the chaos.Outnumbered police later sought to negotiate with the crowds after failing to disperse thousands of protesters, who were concentrated in Harare's eastern suburbs. Many rioters were young men who can't find regular employment and make a living off drivers by charging a small fee to load passengers into minibuses.The drivers' grievances stem from anger over numerous roadblocks that police sometimes set up in city streets, which drivers allege are to demand bribes. Police recently promised to reduce the number of roadblocks after complaints from parliamentarians, tourism operators and others.Such acts of defiance and clashes with the police are rare in Zimbabwe, although the government deployed the army against the 1998 riots over soaring food prices. Mugabe, 92, has ruled the southern African country since independence from white minority rule in 1980, scoffing at frequent allegations of human rights violations.Near-daily protestsFrustrations over rapidly deteriorating economic conditions in Zimbabwe, compounded by dissatisfaction over alleged government corruption and incompetence, have resulted in near-daily protests in recent weeks.On Friday, protesters burned a warehouse at Beitbridge, a busy border post between Zimbabwe and South Africa, over a Zimbabwean decision to ban a wide range of imports.Seventeen people appeared in court on Sunday over the Beitbridge protests and were charged with public violence.Separately, state hospital doctors and other government workers said they will strike over the government's failure to pay their June salaries on time.Finance minister Patrick Chinamasa has been pleading with Western countries to unlock financing for Zimbabwe in the form of loans that were halted close to two decades ago. The financing dried up due to failure to repay debts, as well as international sanctions imposed because of concerns over democratic rights.Some recent political protests have been notable for their brazenness. Police said they are looking for Lumumba William Matumanje, a former ruling party activist who used an obscenity to denigrate Mugabe while launching his own political party last week. People have often been sent to jail for such conduct in Zimbabwe.Last month, video footage showed an anti-government protester shouting in the lobby of an upscale hotel in Harare and haranguing police until they moved in and dragged him away. The video shows a protest by activists angry at Vice President Phelekezela Mphoko's alleged 18-month stay in a $400-a-night (about R5 800) hotel suite in the capital, Harare.Activist Sten Zvorwadza was charged with threats to commit malicious damage to property and was freed on $200 (about R2 900) bail.The majority of Zimbabwe's citizens survive on just a dollar a day, the official statistics agency says. WAYNESBORO- The job of a nurse does not just end when the patient leaves the hospital or the nursing home. Augusta Health has a special unit of nurses that continue to go and visit patients, checking on them, their medicines and vitals even after they have left the hospital facilities. Home health care nurses visit around five patients a day, and nearly 20-25 patients per week. On Wednesday, The News-Virginian was able to travel with Augusta Health Home Health nurse Lori Silvestri to a couple of her patients homes. Silvestri explained that being a home health nurse was very different, since for starters instead of being located on a floor of the hospital, their office is located in their very own car. The biggest difference between a patient at the hospital and a home health patient is that you get to see them get better and stronger on their own. Definitely the patients and the appreciation, and seeing them get well, Silvestri said. That makes a big difference. Seeing them the day that you come and theyre sick, and a couple of weeks down the road being able to say: Hey do you remember when I first started coming, you couldnt walk to the door? Look at the progress youve made. Whereas, in the hospital, we dont get to see that. We just get you stable enough and send you home. I get to see what happened to the patient. Its been great to be able to see people so sick come home and get better and prosper. The job of a Augusta Health Home Health nurse begins at 8 in the morning, when they check their list of patients for the day and then call them to see when they would like them to visit. It was hard to learn at first, but important to know for the job, that everyone lives differently, Silvestri said. As soon as Silvestri entered her first patients home she was greeted warmly with a bright smile from her patient and her patients husband. While Silvestri took her patients blood pressure, checked her ankles for swelling and checked blood sugar, Silvestri talked with her patient about how they had spent their holiday weekend. Its like having an extended family in a sense, Silvestri said. Youre helping the people around you. Before leaving her first patients home, she went over a schedule of care on a bright colored calendar with her patient and went over the medicine she was prescribed. As soon as she finished, Silvestri headed out to her car, ready to visit her next patient. At her next patients home, Silvestri was required to check on her patient, hear an update from her caregiver, and change a leg bandage. As Silvestri went to leave, her patient thanked her for coming and said she would see her in the coming days. I think us having an illness we take it for granted, until as a nurse we realize just how stressful it is to be sick, emotionally, financially and spiritually, Silvestri said. Everything in your life changes, and youre having to choose all the time, do I choose my medicine or groceries. I think we take that for granted until something happens. Its easier said than done. Clarice Ellinger is a correspondent for The News-Virginian. As the initial shock of Britains rejection of the European Union receded, a more sober assessment of its impact on financial markets, European unity and security arrangements in NATO began to emerge. Although at this writing its not prudent to offer a longer-term assessment of the consequences of Brexit, its possible to cite historical developments and draw some tentative conclusions. The European Economic Community (EEC) was founded in 1958 when six European states France, West Germany, Italy, Belgium, Netherlands and Luxemburg formed a closely knit trading bloc. Britain chose not to join. Instead, it formed the less formal European Free Trade Association (EFTA), whose members were Britain, Norway, Denmark, Sweden, Portugal, Switzerland and Austria. An essential difference between EEC and EFTA was that France and West Germany wanted to move toward closer European economic and political unity while Britain, Denmark, Norway and others preferred a looser arrangement. In the late 1960s, Britain made overtures to associate with the new French and German-led European Community (EC), but French President Charles de Gaulle blocked its entry because, he asserted, Britain wasnt a true European partner. After de Gaulle left office, integration efforts proceeded and, in 1973, Britain and Denmark joined the ECs Common Market. In a 1975 referendum on the EC, Britains public approved despite significant opposition. When a fully integrated European Union (EU) was formed in 1992, Britain joined even though opposition was voiced to the requirement of free flow of labor across borders, However, Britain decided not to adopt the euro, EUs common currency, when it was introduced on the continent in 2002. In reality, Britain has been a reluctant dragon on joining the European community ever since its inception in the 1950s. Whats the outlook for Britain, Europe and the U.S. in the coming year? Britain: The U.K. is in political chaos as a result of Brexits EU rejection and could unravel if Scotland, which voted no in the referendum, stays with the EU and decides to separate from Britain. That may result if London doesnt have a new government soon and fails to negotiate a revised arrangement with other EU countries. Additionally, Britains economy will decline and the large financial influence of London may gradually move to Frankfurt and Paris. London will also need to negotiate new trade relationships with the U.S. and Canada. Finally, the British exit presents NATO with a major problem; if looming financial stress forces London to cut its defense budget and the armed forces, Britain will be a less reliable U.S. ally. Europe: Like Britain, the European Unions leaders face problems around unity in their own countries. But unlike Britain now torn by political turmoil, Europes key players Germany and France currently have stable governments. They also display a moderating influence on post-Brexit fallout in other member states. But danger lies beneath their calm behavior. In France and other members, the EU is so unpopular that governments wont risk holding referendums. The main problem is the EUs bureaucracy in Brussels with its huge influence on the laws of member states. Resistance in Britain to the free flow of workers across borders, a key requirement of the EU constitution, is shared in other member countries. Coming months will determine whether leaders in Germany and France support enough changes in EU administration to hold its 27 members in harness. United States: As the U.S. heads into an election campaign, Washingtons influence on events in Europe and elsewhere is ebbing. President Obama went to Ottawa two weeks ago to confer with his Canadian and Mexican counterparts about coping with Brexits impact on the North American Free Trade Agreement (NAFTA). These leaders foresee growing opposition to free trade during the U.S. election campaign and beyond. Continued close relations on trade and commerce are important U.S. interests, but the overriding U.S. priority is the strength and cohesiveness of NATO. It was responsible for forging peace and prosperity in Europe for nearly 70 years and eventually bringing former Soviet satellites under its defense umbrella. If the EU begins to unravel under the stress of nationalist pressures, NATOs defense shield will remain a vital U.S. interest in Europe. *** Although its easy to be pessimistic about the EUs and Britains future, Im more hopeful. Europe has weathered many difficulties during its post-1945 drive to build economic and political unity, and Britain too will find a way to muddle through this crisis. However, can the U.S. avoid turning inward after so many years of heavy involvement in Europe? We will know more after November. Donald Nuechterlein is a political scientist and author who lives near Charlottesville. E-mail him at nuechtd@cstone.net. Short, who is the city's at-large member, is launching a new survey of residents on Monday. He plans to discuss the survey during Monday night's City Council meeting at 7 p.m. at the Yancey Municipal Building. He is starting the survey after spending several weeks vetting the questions through friends who gave him "honest feedback." The survey questions include questions about what council's focus should be, what should Waynesboro spend more or less money on, and asking survey participants to rate the quality of city services. His thinking about the survey started after he was elected in May. Short hopes to engage the city's residents in a way not previously done. "I want to learn what people's opinions are of the services they receive,'' Short said. He also said it is important to devise a strategy to determine the choices. "We can't finance everything,'' Short said. The survey will be distributed in two ways. An online link will be available that is accessible on mobile devices and laptops. Short also plans to mail out 300 random surveys to get a more scientific result. Survey participants will have until Sept. 30 to complete and turn in their survey answers. "It is something that is informative, but it is approachable and quick and easy,'' Short said. The new councilman said Waynesboro is a small enough city that regular feedback should happen between the city and the elected representatives. "The idea is to jump start the communications process,'' Short said. "It is really important to have communication. Together, the five of us on council and the five of us on the school board can share this input we receive. We can make more informed decisions on what is important." Short said the survey results will come at an opportune time. He said it is important to start a collaboration with city residents before the fall work begins on a comprehensive plan update. The comprehensive plan for development offers a blueprint in a locality. The survey link for Waynesboro City Councilman's survey of residents is http://terryshortjr.com/survey/. You may contact Bob Stuart at (540) 932-3562 or bstuart@newsvirginian.com. Opinion / Columnist To editorDid they sniff it or see it coming? Overwhelming evidence on the ground shows that the overated Zimbabwe security system is seeing nothing but stars. They are dismally failing to contain riots. Fist in Beitbridge now and now in Harare. Humiliating pictures of trained and armed riot police members being captured, handcuffed and beaten by civilians including women says a lot about the once feared gukurahundist state.In the face of on going protests, MLO would like to advise all Matebeles against helping Zimbabweans to remove their King Mugabe whom they voted into power in 1980. Many of our fathers and relatives died in the struggle to liberate Zimbabwe from minority white rule in the 1970s and what happened afterwards?Yes soon after independence Zanu-PF with the support of the very Shona people turned against us and started killing us. Mugabe unleashed National Army 5 Brigade which had a lot of support from Mashonaland to kill without mercy all Matebeles with the intention of wiping them out.Gukurahundi was an exclusively Shona brigade. While this Shona killing machine was at work murdering innocent civilians in Matabeleland, its supporters in Mashonaland were captured on camera by BBC Panorama denouncing Matebeles and Joshua Nkomo while expressing their undying support for National Army 5 Brigade code named gukurahundi. The hateful Shonas were loudly shouting in their Shona language "Pamberi ne 5 brigade" ( forward with 5 brigade we wish you well)As if killing more than 40 000 people, rapping over 100 000 women, burning over 100 000 homes and displacing over 1 million into neighbouring countries was not enough, the government of Zimbabwe with the support of the people of Mashonaland implemented The Grand plan. The Shonas are running dogs and beneficiaries of this evil program.Our grievances are different from those of the people of Mashonaland. They are only concerned about removing Mugabe from power and that is all. Everything else, they want it to remain as it is.In Matabeleland we have a mountain of grievances ie colonisation, gukurahundi genocide, marginalisation, segregation, domination and genocide of identity, language, dignity and culture just to name a few. Shonas see the above complains as not valid, infact they view them as tribalism.It is not our responsibility to help such people who are clearly a danger to our lives to liberate themselves.People of Matabeleland do not be mislead, it is only a fool who helps his enemy to build his home which is falling apart when his own home needs attention.This is the right time to revive the statehood of Matabeleland. Do not waste your precious blood, energy and time on battles that are not yours. Let the Shonas fight each other until they get exosted and it is only then that we will make a move. Remember the people who are begging you to help are dishonest back biters who will claim victory at the end of it all and turn against you. They did it in the 1980s and what will stop them from doing it now?We congratulate our Central Intelligence Bureau for a job well done.Phambili nge operation #Mbabazane Izenzo Kungemazwi!Israel Dube MLO Secretary For Information and Public Affairs.Contact:infomlo13@gmail.com News / Local by Staff Reporter There are reports that WhatsApp in some parts of Zimbabwe is not working this morning as the whole country participates in #ShutDownZim #ShutDownZimbabwe2016 campaign.Yesterday they were reports that the government of Zimbabwe was planning to ban social media in the coming days in a bid to block messages about protests from spreading.In April, the President of Zimbabwe, Robert Mugabe, said the government was looking to learn from China's Great Firewall in restricting access to certain websites.If you are in Zimbabwe how is this affecting you? #shutdownzimbabwe2016 TweetsMore follow... News / National by Tererai Obey Sithole Pro-democracy activist and Tajamuka Spokesperson Comrade Promise Mkwananzi is set to appear again in court tomorrow Monday 11 July 2016.He is facing trumped up charges of inciting public violence and attacking Choppies Supermarket which is owned by the country's Vice President Phelekezela Mphoko.He was forced to spend his weekend in detention at Harare Remand Prison after he first appeared in court on Saturday and the Magistrate pretended to have fallen sick hence he could not preside on the case due to the unspecified illness.We are convinced that this is a deliberate tactic on part of the regime to frustrate and intimidate us in our quest for a new Zimbabwe were corruption, poverty, human rights violations, unemployment, police brutality e.t.c will be a thing of the past.As actors of the Tajamuka/Sesjikile Campaign, we would like to take this opportunity to state categorically that we are not intimidated at all by these illegal arrests. We will remain clear on our idea to see a better and prosperous future of Zimbabwe. Yes we might be arrested like what has been happening in the past weeks but the idea will not be arrested and cannot be destroyed because its time has come.We call upon all Zimbabweans to take part in this campaign as we are about to write a new story of our nation... Those who are in and around Harare are kindly invited to attend in solidarity tomorrow at Rotten Row Magistrate Court. News / National by Staff reporter A Chinhoyi criminal on Friday told the court that he escaped from prison because he was missing sex with his girlfriend.The prison escapee, Never Jongwe, was sentenced to 12 months, four months were suspended on condition of good behaviour.According to court documents Jongwe escaped prison after he was assigned to wash cars for the public to fund raise for a Zimbabwe Prisons and Correctional Services' income-generating project.After escaping, Jongwe reportedly spent the evening quenching his thirst at his girlfriend's house in Gadzema high-density suburb before he got arrested. News / National by Staff reporter Another stay-away is planned for Zimbabwe on Wednesday and Thursday, according to Evan Mawarire. He is the pastor who is playing a key role in articulating online many Zimbabweans' frustrations over government corruption, the failing economy, an import ban and police heavy-handedness, especially at roadblocks.There have been other small victories that may indicate that the authorities are listening to #ShutDownZim more than they admit. One is the moving forward of the pay date for health workers.Tight controls on South African imports have not been removed, though the authorities have said that individuals will be allowed to import extremely limited quantities of some basic goods for their own family's use once per month. This will bring no relief to small-scale traders though. News / National by Staff reporter ZANU-PF member of parliament for Bikita West, Munyaradzi Kereke was this morning convicted of rape.He had pleaded not guilty and claimed he was out of the country when he is alleged to have sexually abused his wife's two nieces.Harare Magistrate Noel Mupeiwa said the evidence brought before the courts was overwhelming leading the assertion that the minor was raped at gun point .The case was however adjourned to 14:15 for the final verdict.Kereke's rape case is one of several that the suspended prosecutor-general, Johannes Tomana refused to prosecute. It took a high court order for the family of the two girls to get a certificate allowing them to prosecute Kereke privately.More later. News / National by Makhosi Sibanda THE 88 protestors, including three juveniles, arrested following last Wednesday's nationwide stay-away, have been granted $80 bail each and are set to appear in court on July 21, 2016 to answer criminal nuisance charges.Bulawayo Magistrates Court released two of the juveniles into the custody of their guardians, while the third was sent to a juvenile centre as his guardians had not yet been located.Lawyer Tanaka Muganyi, who co-represented the protesters, confirmed to Radio Dialogue that the 88 and four others arrested earlier, had been granted bail and remanded to July 21st.The defence said it would be a difficult process to raise the money because it is on record the accused are unemployed."Setting such a high bail is unfair and unfortunate, especially for ordinary citizens who were just rounded up in a drag and arrest operation," said Muganyi.Abammeli Human Rights Lawyers' Network co-ordinator Tineyi Mukwewa said they key principles of justice have not been met with regards to the matter."One of the key principles is that justice must not only be done but must be seen to be done and in this particular case, one can safely say justice has not been done considering the fact 90 percent of those that were in custody are unemployed. So where can they access $80, when they are not working, that is a crucial point. However civil society, relatives and others are mobilisng resources so that they can provide bail for those currently in custody," said Mukwewa.Seven lawyers, including Lison Ncube, Jonathan Tsvangirai, Dumisani Dube and Muganyi, through the Zimbabwe Lawyers for Human Rights and Abammeli Human Rights Lawyers are co-representing the group of 88.They argued every accused person is presumed innocent until proven guilty and that the country's Constitution gives all persons the right to bail despite the crime they may be facing. This page may be updated if the event is repeated Past Event - Tuesday, July 9, 2019 This page may be updated if the event is repeated Cow Appreciation Day is the one day of the year when its okay to dress udderly crazy in exchange for free food! In 1995, a renegade cow, paintbrush in mouth, painted the three words "EAT MOR CHIKIN" on a billboard. From that day forward, the burger-eating landscape would forever be changed. With this daring move was born a movement among cows to encourage us to eat more chicken, so we wouldnt be eating them. "Cow Appreciation Day", now in it's 14th year, commemorates the 23rd anniversary of Chick-fil-A's iconic "Eat Mor Chikin" cows. Dress Like a Cow for Free Food! Its back! Time to break out your cow-spotted apparel and put your wallet out to pasture! ? So how do you get free food? It's easier than you think! On Tuesday, July 9, Chick-fil-A restaurants around Dayton will be giving a free entree to anyone wearing anything cowlike from opening until 7pm. See the website below for full details, and dont forget to share your best photos and why you love the Cows using #CowAppreciationDay This page may be updated if the event is repeated Past Event - Sunday, July 17, 2016 This page may be updated if the event is repeated Cincinnati-based Graeters Ice Cream, a 146-year-old, family owned craft ice cream brand, will celebrate National Ice Cream Month with events meant to delight ice-cream fans nationwide. On Sunday July 17, Graeters will be celebrating their 146th birthday by offering their iconic single cones for just $1.46. This a one-day promotion will also coincide with National Ice Cream Day. Our 146th birthday on National Ice Cream Day is the perfect time to celebrate Graeters and the fans who have helped to make us such a mainstay in so many homes nationwide, shares Chip Graeter, fourth-generation owner of Graeters Ice Cream. We take an incredible amount of pride in creating the ideal ice cream experience, which weve been perfecting since Graeters first small batch of ice cream was churned in 1870. New "bonus" flavors have already been announced, including Orange & Cream, Banana Chocolate Chip, Smores, and Chocolate Hazelnut, with more to be added on July 25, Aug. 8 and Aug. 22, according to a news release. Graeters Ice Cream have locations in Beavercreek, Centerville and Oakwood. Click here for details. News / National by Staff reporter President Robert Mugabe, who is facing growing resistance from Zimbabweans to his 36 years in power, has once again been advised to quit high office and appoint a successor, to avoid plunging the country into total chaos.Human Rights Watch senior researcher for southern Africa, Dewa Mavhinga, warned in an interview with the Daily News yesterday that the country could soon be plunged into anarchy, as there was no end in sight to Zanu-PF's ugly and seemingly unstoppable factional and succession wars."Mugabe made the mistake of leaving the succession issue until too late. So, now he is damned if he does and damned if he does not. Zanu-PF is unlikely to come out of the succession issue a single party. A split is inevitable, and that is what Mugabe would want to avoid."At this point I do not see a way out for President Mugabe except to bite the bullet and name a successor and step down. Failing that the ongoing factional fights will continue to tear the party and the country apart," he said."There could also be a faction in Zanu-PF that is stocking the fires of protests in order to find excuses to blame the leadership of the security forces for the chaos and get Mugabe to fire them, and therefore pave way for one faction to take over. But whichever way one looks at this, it is the endgame."The only way out, which Mugabe may be forced by circumstances to consider, would be to facilitate a process for a fresh governing mandate from the people of Zimbabwe, unless the opposition agrees to some kind of second government of national unity," Mavhinga added.With Zanu-PF fast being devoured by its deadly factionalism, fuelled by Mugabe's failure to manage his succession, his long-time aide, Vice President Emmerson Mnangagwa, who was initially believed to be a shoo-in to succeed the nonagenarian, is under fire from a party faction known by the moniker Generation 40, which is strongly opposed to his mooted presidential ambitions.Mnangagwa's backers, who include disaffected war veterans, argue that the man nicknamed Ngwena (crocodile) remains the most senior party official who should succeed Mugabe in the event that the nonagenarian steps down or is forced out by natural circumstances.The jockeying and fighting is so bad that it is affecting government business, as potential investors worriedly remain on the sidelines discouraged by the deadly ructions which have seen policy discord become the order of the day in government.Meanwhile, Zimbabwe is in the middle of an economic crisis of huge proportions which has sparked riots and crippling strikes, as fed up citizens bring their pressure to bear on Mugabe and Zanu-PF.Just over a week ago, angry traders, both in Zimbabwe and South Africa, staged a four-hour demonstration in the border town of Beitbridge over a government import ban on South African-sourced basic goods.The protests later turned riotous, leading to the destruction of property worth hundreds of thousands of dollars, as well as the arrest of 71 people.And on Monday last week, deadly riots broke out in Harare as a strike by commuter omnibuses over police roadblocks turned violent.Civil servants including teachers, nurses and doctors also staged their own strike last week over delayed salaries, before ordinary Zimbabweans heeded calls to stayaway from work in a crippling general strike dubbed Shutdown Zimbabwe.Mavhinga said it was clear that Mugabe and his government had an "insurmountable task" of addressing people's concerns, as the economy was accelerating towards the abyss."Chances that Mugabe and his party will solve this economic and cash crisis are low because the country is broke, and I do not see countries like the UK and the US bailing Zimbabwe out in the absence of serious political and economic reforms that would attract foreign investment," he told the Daily News.Last week, finance minister Patrick Chinamasa and central bank governor John Mangudya were in Europe trying to woo investors to the country. In Hyderabad, for instance, they are expected to occupy six lakh square feet in 2016-17 of the approximate vacancy rate of 11 million square feet. Mumbai: Startup firms are giving a boost to the commercial real estate business, which is saddled with huge inventories in major cities. India is the third largest startup destination in the world. Though their demand for space is much less than that of large companies and their ability to pay much less, these emerging startup business enterprises have immense potential and are enabling property developers to sell their inventories that have been lying vacant, said Ravi Gurav, vice-president, Dheeraj Realty and member MCHI-Credai. In Hyderabad, for instance, they are expected to occupy six lakh square feet in 2016-17 of the approximate vacancy rate of 11 million square feet. While big organisations pay upto Rs 250 per square foot per month, new startups are not will to pay more than 100-150 per sq foot per month. However the returns on commercial property are around 6-10 per cent per annum and the smaller size units yield higher returns, he said. Bengaluru, often refer-red to as Indias Silicon Valley, has been listed within the worlds 20 leading startup cities in the 2015 Startup Genome Project ranking. Cities like Chennai, Hyderabad, Pune, Ahmedabad, Jaipur are also emerging as startup-hubs as governments try to encourage innovation and entrepreneurship in their states. In Mumbai, commercial property inventory is approximately 20 crore square feet, with the vacancy rate at approx. 3.6 crore square feet of 18 per cent of the total inventory. Of this, new startup firms are expected to occupy seven lakh sq ft in 2016-17 at an average rate of Rs 114 per square foot per month against the year-to-date net absorption Q4-2015 35 lakh sq ft. In Delhi, the new start-up expected to occupy seven lakh sq ft in 2016-17 at an average rate of Rs 73/sq ft pm. In Bengaluru, new startups are expected to occupy 1,900,000 sq ft in 2016-17 at an average rate of Rs 54/sq ft per month cutting down by 15 per cent vacancy rate of 1.65 crore sq ft. In Chennai, new startups are expected to occupy five lakh sq ft in 2016-17 at an average rate of Rs 42 square foot per month. Kriti Sanon, whose alleged affair with her Raabta co-star Sushant Singh Rajput made headlines a while ago, is back in the news again. We hear that the Dilwale actress may have replaced Katrina Kaif as the brand ambassador for an apparel brand. A source reveals, Katrina Kaif, who had been the face of the brand for more than two years now, has just been replaced by Kriti. And interestingly, Kriti is being paid a fee thats almost as much as what Katrina used to get earlier. When contacted, Kritis spokesperson rubbished the news. Kriti will be endorsing another clothing brand, and there is no truth to her association with the brand in question. When further probed on the brands name that Kriti will be endorsing, her spokesperson said, Not announced yet. Not too long ago, Kriti took to Twitter to negate all rumours that are doing the rounds about her affair with Sushant. Among other things, she also vehemently denied secretly staying on in Thailand post the shoot of their film. And even though she never spoke about it, we informed you that Kriti was the one who arranged everything for Sushants house warming party last month. When Sidharth Malhotra was asked to identify his hometown with a particular memory, he speaks like a true Delhi-ite and goes for that one universally acknowledged, unparalleled signifier of the capital chaat. Growing up in Delhi, we really did have a lot of chaat I can never forget Haldirams and their pavbhaaji and besan ke ladoo. Other favourites of mine used to be golgappas, raj kachori, aloo tikki and chanapuri. I miss the street food joints and the food culture in Delhi the most, says the Delhi boy, adding that Mumbai is now his home away from home. Model town Sidharth became an actor after four years of modelling. When he first stepped into the film industry, did he ever have to deal with the models-are-dumb stereotype? He affirms that his own experience along with the way things are happening in the industry at the moment are proof enough that such notions are on the verge of obsoletion. An actor is defined by his acting skills and screen presence above anything else now. We have had models who have gone on to not only become splendid actors but also huge international stars, cases in point being Priyanka Chopra and Deepika Padukone. Everything comes down to your talent, he avers. Paradign shift The actor also goes on to point out some changes he has noticed in the Indian film industry, based on his experience as an actor now and a member of the audience earlier. He shares, Over the years, there has been an increased influence and awareness of international artistes and filmmakers on the young Indian audience. The content presented in Hollywood is easily accessible via the Internet now and as a consequence of this, I really think that there has been a paradigm shift in the content and the way movies are being made in Bollywood. The main reason for this is the change within the audience people have become more demanding and more open to non-conventional genres. This also motivates us as actors to depict different characters, he says. Character building Speaking of different characters, the young actor has traversed quite a range within the time he has been in the industry. Being the ever-charming good boy that he seems to be in person, are there any roles that have found him tapping into his darker side? An aggressive streak within me during my preparation for Ek Villian was definitely something of a discovery, he says. He goes on to add that his approach to roles that require something of him that does not manifest naturally is a mix of planning and spontaneity. I feel that the most important thing for an actor is to be present on set physically and mentally. No matter what is happening in your personal or professional life, once the camera starts rolling you have to focus on the character you are playing in front of it, he says and adds, It is always an exciting experience to try out a role that is completely unlike who I am in life, and that is where my imagination comes into play. Travel bug Sidharth admits that he also has a hunger for travelling. Talking about a recent trip to New Zealand, he shares, That country is like a piece of heaven on earth. Every single activity I indulged in there was an experience of a lifetime. The actor tells us that he manages to make time for some wanderlust even during his busy shooting schedules. A new study from the Warwick University suggested that compounds in fruit and vegetables help us have a sunnier outlook on life, the Daily Mail reported. London: Turns out, finding happiness is as simple as eating your greens! A new study from the Warwick University suggested that compounds in fruit and vegetables help us have a sunnier outlook on life, the Daily Mail reported. Researchers asked 12,500 Australians to keep food diaries for up to six years and answer questions on their state of mind. Andrew Oswald, one of the study team, said that eating eight portions of fruit and vegetables a day could bring as much happiness as an unemployed person getting a job. "Our study is consistent with the idea that real food is important for the mind," he said. TIRUPUR: The wife and children of ISIS suspect Mohammed Mosiruddin who was recently arrested in West Bengal, are likely to shift their home from Tirupur to West Bengal within next few days for police inquiry. The ISIS suspect, 25-year-old Mosiruddin, a native of West Bengal and resident of Tirupur, was arrested in Kolkata a few days ago. The West Bengal police who arrived in Tirupur for inquiry have asked Mosiruddins family to shift to Kolkota to help them in their inquiry. Mosiruddins wife Sahir and their two daughters are likely to shift their home from Andipalayam here within next few days and plan to settle in their native place in West Bengal. Police source said that Sahira claimed that she was unaware of her husbands activities and she came to know about the issue only after his arrest. His brother also said that he did notknow anything about his activities and links with ISIS leaders. On Wednesday, the Tamil Nadu intelligence officials conducted surprise inspection at his residence near Tirupur and picked up Mosiruddins wife Sahira, two daughters and his elder brother, Minajuddin, for inquiry. They also seized documents, laptop and a knife from their house. Sahira and her daughters returned home on Wednesday night after the inquiry by the Tamil Nadu police. However, on Thursday, they were called for inquiry by the intelligence team from West Bengal. However, police are yet to get any clues regarding his secret activities in Tirupur and his aides. His social networking accounts, mobile phonecontact details and his recent mobile phone conversations with suspected persons are being scrutinized by the Intelligence officials. The investigators are expected to take their witnesses to the prison complex where they will be asked to identify Ramkumar, who will be paraded along with other inmates in front of them. Chennai: P. Ramkumar, the alleged killer of Infosys techie S. Swathi, has been spending his days in jail with chatty inmates even as extra guards have been assigned to keep a suicide watch. We have put him with two inmates who are very talkative to keep his spirit up. He had shown a suicidal tendency during his arrest and we dont want him to try it in jail. Additional guards are also posted in his remand cell, jail sources said. Meanwhile, city police sources said that that they would conduct an identification parade at the Puzhal prison premises, most probably on Monday to establish the role of the main accused Ramkumar in the murder of Swathi. The investigators are expected to take their witnesses to the prison complex where they will be asked to identify Ramkumar, who will be paraded along with other inmates in front of them. We are hoping to finish the identification parade and custodial interrogation of the suspect this week itself, a senior police official said. Ramkumar, who was staying in a lodge in his victim's neighbourhood in Choolaimedu, had stalked Swathi for months before allegedly murdering her using a sickle at the Nungambakkam railway station on June 24. The police arrested him a week later from his home in Shengottai, Tirunelveli when he allegedly attempted to commit suicide by cutting his throat. On July 3, he was shifted to Royapettah government hospital and later on July 6, he was shifted to Puzhal prison. Ramkumar's bail plea hearing has been adjourned to July 15 by the Chennai High Court. News / National by Staff reporter President Robert Mugabe is failing to douse "succession flames" that are currently consuming his Zanu-PF party, as his meetings to bring order around the country have thus far failed to heal the rifts.Last Friday, Mugabe was in Mashonaland Central, where he confirmed that the meeting he had with the provincial leadership failed to come up with a definitive conclusion.Addressing scores of his supporters that waited all day at Chipadze Stadium in Bindura, Mugabe asked his supporters to stop squabbling.He opened the address by apologising to his supporters who had braved the chilly weather for the better part of the day.Mugabe told his supporters that he would return to the province again after failing to find peace between squabbling rivals."Tanga takanangana nenyaya dzebishi randakanga ndanzwa riri kuitika kuno, zvino ratitorera nguva yakati wande. Hatina kupedzisa asi ndazoisa nyaya dzacho mumaoko ecommittee vachazoongorora vozondipira kuti nyaya dzacho vaedza here kuti vanhu vanzwanane (We came here focused on the squabbles that have been bedevilling this province and that took much of our time. We did not come to a conclusion but I have assigned a committee to deal with the matter, which will in turn advise me on how far they would have gone in trying to resolve the issue)," Mugabe said.The Mashonaland Central squabbles are seen in the larger picture of factionalism, where Zanu-PF groups the Generation 40 (G40) and Vice President Emmerson Mnangagwa's Team Lacoste are angling to take over from the nonagenarian leader."Ndezvekwamudzidzisi vaWimbo uko kwakamborira-PFuti, saka hatidi kunzwa kuti vanhu vakazvipira kuti vaite misangano yezve church yekwaMwari vari kupfuviswa nesu, dzimwe nguva tichida kuti tiwane support kwavari. Kana vachitipa support ngavatipe pachavo nemoyo yavo kwete nekumanikidzwa, kwete nekuridzirwa-PFuti hatidi, handicho chimurenga chatakarwira (The issue pertains to squabbles that transpired involving church leader Wimbo. We do not want to hear people who have dedicated their life to God being harassed. At times we will be seeking support from them. If they are to support us, they should do that whole heartedly, they must not be forced through the use of guns, that is not what we fought for)," he said on Friday, while addressing the gathering.Wimbo, also known as Madzibaba Majinesta, is pivotal in Zanu-PF's fractious succession politics as he is said to have predicted in 1957 that Mugabe would lead the country in 1980. His multitude of avid followers also believes that their leader knows Mugabe's successor, although he has not yet definitely pronounced on this.The church is however, wrecked by debilitating fights, as his family is now following him from the safety of their homes as they are afraid of the sect's violent congregants whom they accuse of having kidnapped their "father".As the Zanu-PF continues being devoured by factional wars, the Wimbo case has sucked in several politicians and the military, with gun shots having been fired at his shrine.Wimbo is accused of supporting the G40 faction, an aspect that reportedly incensed Team Lacoste.However, in his address on Friday, Mugabe said people must not be forced to support anyone, but must back whoever they want freely.This also comes after he recently visited Masvingo province, where he was also expected to deal with factional fights in the area.Mugabe reportedly left in a hurry without conclusively resolving the issues in the area, which is largely seen as Mnangagwa's bedrock.Previously, analysts said the centre can no longer hold anymore in Zanu-PF. They said Mugabe is now out of control of the party, as he reaches his political sunset. Lucknow: Prison authorities were in for a shock on Sunday after sonography reports revealed that a 21-year-old female inmate lodged at Jaunpur jail for murder charges since November last is 34-weeks pregnant. According to reports, the matter came to light after a senior prison consultant suspected her to be pregnant and suggested that she be sent for a medical examination. The girl, who is lodged in prison with her mother and sister, has been accused of murdering one of her relatives using a sharp edged weapon, motivated by a property dispute. The girls father, who was also in prison, has been granted bail. Recounting that she never complained of any issues to the female doctor who visited jail once a week, District Jail Superintendent Shailendra Maitrey said, The girl was brought to the jail on November 2 and she mentioned her marital status as unmarried. They regularly go for court for hearings. He added, A few days ago, a senior woman consultant suspected her to be pregnant. The doctor advised that she be sent for medical examination. An ultrasound test was conducted, which stated that she is 34-weeks pregnant. We will send the report to the court Other tests have also been conducted and we are taking all precautions that should be taken for any pregnant woman. The jail authorities and district administration of Jaunpur have ordered separate probes regarding the incident. Lucknow: Prime Minister Narendra Modi said on Sunday that his government was for the poor and whatever he did would be for the poor. He said that his schemes were for the poor and not the ballot box. This is a government for the poor. Whatever we do, will be for the poor. I also belong to a poor family and I was born in a house that had no windows. My mother used to cook food on firewood and there would be so much smoke that often we would not be able to see our own mother. I can completely understand that pain of mothers and their children because I have gone through it, said Mr Modi in Ballia on Sunday while launching the Pradhan Mantri Ujjwala Yojana that will provide free LPG connections to five crore women belonging to BPL families in the next three years. He said, The kitchen of the poor will no longer be filled with smoke it will be filled with light. The Prime Minister also pledged to ensure a better life for the poor in his regime. I believe that schemes must be formulated for the welfare of the poor and not for the sake of the ballot box. I am not here to sound the poll buglethat is the work of voters, he stated. Mr Modi said that he had visited Jharkhand a few days ago to launch schemes even though there are no elections due there. I chose Ballia as LPGs connections in UP are lowest in Ballia, he said. Thiruvananthapuram: Amidst reports that a group of Keralites had joined terror outfit Islamic State, Kerala Chief Minister Pinarayi Vijayan on Monday informed the Assembly that a total of 21 people have been missing from the state. Among them, 17 were from Kasaragod and four from Palakkad as per the preliminary information available, Vijayan told the Assembly while replying to a submission raised by opposition leader Ramesh Chennithala. The Chief Minister made it clear that extremism and terrorism has no religion and the government would not allow any move to trigger anti-Muslim sentiments in society over the issue. "The missing persons from Kasaragod included four women and three children. Two women were among those missing from Palakkad," he said. These people had left their homes stating different reasons, he said. As per the media reports, these people had gone to Syria and Afghanistan and they were in IS camps, he said. Quoting media reports, Vijayan said one Firoz from Kasaragod has been taken into custody from Mumbai airport yesterday in connection with the development. The government was viewing the matter very seriously, he said, adding it would take necessary steps with the support of the central agencies, to tackle the issue. "The state government is committed to take stern action against any kind of terror activities," he said, adding the government, at the same time, would not allow any vested interest to exploit the situation. There was a move to trigger anti-Muslim sentiments in society using the present situation and this would not be allowed. "There is also an attempt to put the entire Muslim community under the shadow of doubt. But it is well-known that majority of people in Kerala are against any kind of terrorism and extremism. Only a very few people are showing tendencies to become part of this," he said, adding, that this was also a serious matter. Noting that only the government steps were not enough to overcome the problem, Vijayan said a joint effort was necessary to bring all sections of people together and line up to isolate these elements. Taking up the issue, Chennithala said Kerala is in the grip of fear in the wake of the media reports about the IS link with some state youths. However, he said no official confirmation had been received so far in this regard. So, it is the responsibility of the state government to make clarifications on the reality and alley the apprehensions of the people. The opposition also wanted the Chief Minister to share with the people whatever information he could on the development. BJP MLA O Rajagopal brought to the notice of the House that a final year woman dental student from his constituency in the city was missing. There were reports that she had converted to Islam and moved to Palakkad after her marriage. She was one among the women missing from Palakkad. However, every citizen has the right to choose any religion he or she likes or marry anybody but stern steps should be taken to prevent the people joining outfits like IS, he said. CPI(M) MLA M Rajagopal, who along with Kasaragod MP P Karunakaran brought the matter to the notice of the Chief Minister two days ago, said majority of the persons missing from his constituency were well-educated and technocrats. He said their family members were ready to pass on any information they have to the state and central investigation agencies. The MLA also wanted a comprehensive probe into the matter and said any move to create communal tension over the issue should be checked by the state government effectively. Ramesh said he had provided detailed evidence to show how the Union Tribal Affairs Secretary was supporting Chhattisgarh government's brazenly unilateral move to benefit Adani. (Photo: Representational Image) New Delhi: Sparring over allocation of a coal mine in Chhattisgarh, former environment minister Jairam Ramesh on Monday alleged that billionaire Gautam Adani has benefited from Modi government's "largesse", a charge Adani group denied saying the mine was allocated during UPA regime. Adani Group said it was only an operator of the coal mine, which was allocated to Rajasthan government when Congress was in power both at the Centre and the state and Ramesh as environment minister had given the forest clearance. The Congress leader however countered this, saying the issue was not about Adani being selected as mine operator by Rajasthan government, but of BJP-led Chhattisgarh government passing an order cancelling the community land rights of local tribals over the forest land that was guaranteed under the Forest Rights Act. Standing by the decision taken by UPA, Ramesh said he had provided "detailed evidence" to show how the Union Tribal Affairs Secretary was supporting Chhattisgarh government's "brazenly unilateral move to benefit Shri Adani by circumventing a rule, I had myself laid out as Minister of Environment and Forests in August 2009. The rule stated that projects in forest areas should not and will not get clearance unless all rights, individual and community are settled under the law passed by Parliament with great exuberance and expectation in December 2006, he added. Adani Group responded by issuing a statement saying: "The mine is owned by Rajasthan government. The clearance was issued by Chhattisgarh government to Rajasthan government. Hence, we are surprised and fail to understand, why Shri Ramesh is seeking an explanation from us on getting any benefit/ favour in the said case?" It further said the mine in question was allocated to the government of Rajasthan during the UPA regime and forest clearance was granted by Ramesh himself during his tenure as the Minister of Environment and Forests. "Incidentally during that period, state government of Rajasthan was also ruled by Congress," it said. Ramesh said the industrialist should have had the "intellectual honesty" to acknowledge the issue in dispute. "That he is the beneficiary of Shri Narendra Modi's largesse both as Chief Minister of Gujarat and Prime Minister of India is beyond any doubt." "What Shri Adani has conveniently forgotten is that the issue now is not that he is the mine operator selected by the Rajasthan government. Shri Adani has deliberately side-stepped the real issue: That the Chhattisgarh government has cancelled the rights that have to be settled under the Scheduled Tribes and Other Traditional Forest Dwellers (Recognition of Forest Rights) Act, 2006 before the mine can be developed," he said. Dismissing Adani's statement that the Congress party is "unnecessarily" dragging the corporate into its "politics", Ramesh in his statement denied that he was being "inconsistent" and was making the industrialist a political target. In January, the Chhattisgarh government passed an order cancelling the community land rights of local tribals over the forest land, guaranteed under the Forest Rights Act. The Act mandates that all claims and rights of the tribals and forest dwellers be settled before the government moves on a land. Stating that the Adani Group is proud about its contribution to nation building, the company statement said this was made possible because of the opportunities provided due to the opening-up of the Indian economy. "Shri Jairam Ramesh is undermining the contribution of Congress which ushered in the era of liberalisation. Our late Prime Minister Shri Rajiv Gandhi had conceived liberalisation and it was further strengthened by Congress Government in 1991. Most leading corporates of today's India, including Adani Group, owe their success to this," the statement added. Srinagar: Opposition National Conference leader Omar Abdullah today said his party was ready to contribute towards maintaining peace in Kashmir but Chief Minister Mehbooba Mufti should take the lead to show the way instead of "hiding" behind spokesperson and police officers as they were not elected by the people. "Dear @MehboobaMufti We in @JKNC_ are always ready to contribute towards maintaining peace but you must take the lead & show the way," Omar said in a series of tweets. Dear @MehboobaMufti We in @JKNC_ are always ready to contribute towards maintaining peace but you must take the lead & show the way. Omar Abdullah (@abdullah_omar) July 10, 2016 The former chief minister was responding to the appeal by the PDP-BJP coalition government to all stakeholders including mainstream and separatist groups to help in government's efforts for restoring normalcy in the state. "More than anyone else I know what you're going through @MehboobaMufti & I can assure you that we will follow your lead but lead YOU must," Omar said apparently referring to his own experience as Chief Minister of the state during the 2010 summer agitation in the valley that left nearly 120 people dead. More than anyone else I know what you're going through @MehboobaMufti & I can assure you that we will follow your lead but lead YOU must. Omar Abdullah (@abdullah_omar) July 10, 2016 The National Conference leader, however, suggested that the Chief Minister should take responsibility for the prevailing situation and lead the recovery process from the front. "This is the time to lead from the front. You must accept the responsibility both for letting things get to this point as for the recovery. "Please don't take the easy option of hiding behind your spokesperson & your police officers. "No one elected them, the people elected you," he added. Omar said National Conference as an opposition party will not be irresponsible. "The @JKNC_ will never be an irresponsible party & opposing you will never mean setting the state on fire for narrow political ends," he said. As per the new regulation effective from July 1, airlines have been asked to charge Rs 100 per extra kg till 20 kg as against their current rates, ranging from Rs 220 to Rs 350. (Photo: Representational Image) New Delhi: Delhi High Court on Monday asked aviation regulator DGCA to explain how it had arrived at the figure of Rs 100 per kilogramme for checked-in baggage weighing between 15 kg to 20 kg. "Has the government carried out any exercise to determine how much it would cost the airlines due to excess baggage? How have you arrived at this figure of Rs 100," Justice Sanjeev Sachdeva asked while hearing a plea by Federation of Indian Airlines (FIA) which has challenged DGCA's circular fixing the charge for excess baggage between 15-20 kgs. As per the new regulation effective from July 1, airlines have been asked to charge Rs 100 per extra kg till 20 kg as against their current rates, ranging from Rs 220 to Rs 350. Currently, all domestic airlines allow free checked-in baggage up to 15 kgs. Only Air India allows free baggage up to 23 kg. Additional Solicitor General P S Patwalia, appearing for the Ministry of Civil Aviation and Directorate General of Civil Aviation (DGCA) told the court that the government had the interests of consumers in mind and the decision was taken as numerous complaints were received from passengers about high excess baggage fees. Senior advocate A S Chandhiok, appearing for FIA, opposed the contention saying it was not informed about any complaints received from passengers. FIA also said that DGCA did not have the jurisdiction to fix tariff as this power lay with the airlines and added that fares were determined by market forces. It said that DGCA can interfere only when there are specific instances of discriminatory practice and such circulars cannot be issued in a general manner. FIA also told the court that three of its member airlines, Jet Airways, SpiceJet and IndiGo, had communicated to DGCA their policy regarding excess baggage charges. After substantial arguments were made on behalf of FIA, the court listed the matter for further hearing on July 13. During the hearing, DGCA said it was not fixing tariff, but was rather regulating it. It said that its circular of June 10 was to come into effect from June 15. However, some airlines had sought an extension on the ground that they needed to modify their ticketing software. It claimed that the FIA had sent it a representation and also sought further extension as they wanted time to file this petition. This contention was denied by the FIA. On the last date of hearing, the court had refused to stay the circular or defer the date of its implementation. It had said the amounts charged by the airlines would be restored, if the FIA succeeded. As per the DGCA, airlines will be free to charge any fee on baggage beyond 20 kg. Against the backdrop of concerns in certain quarters about carriers charging high for extra baggage, DGCA had decided to steeply slash the additional charge levied from passengers in this regard. Following the police commissioners direction, for the past five to six years Peace TV is not being telecast here. Hyderabad: Following the controversy over the telecast of Peace TV owned by Dr Zakir Naik, Ministry of Information and Broadcasting has warned cable operators that they will be responsible for the content being aired. But neither the police nor cable operators monitor TV telecast as mandated by the Cable TV Regulation Act. There are more than 892 TV channels in India including 120 Telugu ones. Special branches of the city police of Hyderabad, Cyberabad, Visakhapatnam and Vijayawada, and Intelligence departments have only a handful of staff monitoring a few news channels. Deputy secretary Shankar Lal in his order stated, As per Section 5 of the Cable Television Networks (Regulation) Act 1995, no person shall transmit or re-transmit through a cable service any programme unless such programme is in conformity with the programme code. Transmission or re-transmission of any TV channel in violation of the Act by any cable operator is an offence which attracts action against the operators by the authorized officers. However, it has come to the notice of this Ministry that some private satellite TV channels, which are not permitted by this Ministry, are being transmitted by some cable operators on their networks. The Telangana Cable Opera-tors Welfare Association president N. Jitendar said, Local Cable Operators have nothing to do with content. After digitisation all the content is being provided by the multi-system operators and with the help of boosters we transmit it through cable to the customer. He continued: The content is provided by MSOs. We will write to multi-system operators asking them not to give signal of banned channels. Our association is against anything that harms the sovereignty of the country, and we are for national security. If anything comes to our notice we will intimate the police. Chairman of Subodhaya Digital Private Limited Prabhakar Reddy said, We are not telecasting any banned channel. Following the police commissioners direction, for the past five to six years Peace TV is not being telecast here. There are seven control rooms with technical staff to give signals and the staff monitors the channels. Curfew has been imposed in several parts of J&K due to the protests over Burhan Wani's killing. (Photo: PTI) Srinagar: As Jammu and Kashmir remains on edge due to protests that erupted across the state over the killing of Hizbul Mujahideen poster-boy Burhan Muzaffar Wani, mosques across the region broadcasted Pro-Pakistan slogans and urged youth to join jihad against India. According to a report, separatists usually have audio cassettes with anti-India rhetoric ready whenever protests break out in the state to play them and further flare up sentiments and incite youth against the country. The messages played through the speakers of the mosques, asked people to attain freedom from India by joining jihad. Read: How can any Indian sympathise with Burhan Wani?: Centre on Kashmir unrest Pakistan too has been trying to take advantage of the current situation in Kashmir and said the 'extrajudicial killing' of Burhan Wani and scores of other 'innocent Kashmiris' is deplorable and condemnable. The Pakistani foreign ministry said solving the Jammu and Kashmir dispute is only possible through an impartial plebiscite with United Nations support. The Indian government slammed Pakistan for its statements and questioned the support in some quarters of Kashmir to those protesting the gunning down of Wani. Union Minister M Venkaiah Naidu on Sunday had said government will not tolerate terrorism and violence. "We are really surprised that some people are trying to support to so called protestors who are sympathizing with the terrorist. He is a Hizbul Mujahideen commander, how can any Indian have sympathy for such people?" he said. He said the crisis in Kashmir was "not a sudden development" and added "Kashmir issue has been there for long. We also have to find a long term solution and terrorism and violence is not acceptable in whatever form and whoever carries it out. "As far as the government is concerned, we are very clear that the government will not tolerate any such activities. But you can't have a overnight or readymade solution to such a long standing issue. The government is trying to deal with that," he said. New Delhi: JNU student Umar Khalid, who is out on bail in a sedition case on Sunday kicked off another controversy by comparing Burhan Wani, the Hizbul Mujahideen commander who was gunned down earlier this week, with a revolutionary. I dont care if I fall as long as someone else picks up my gun and keeps on shooting. These were the words of Che Guevara, but could have just been Burhan Wanis too, he said in a Facebook post which he removed hours later. He praised Wani for his bravery and said, Burhan wasnt scared of death, he was scared of a life lived in subjugation. He detested it. He lived a free man, died a free man ... Khalid has earlier been a centre of controversy for being one of the organisers of the controversial event in JNU against hanging of Parliament attack convict Afzal Guru during which anti-national slogans were allegedly raised. His remarks on Burhan did not go down well with the RSS student wing ABVP which has been locked in an ideological battle with the left-affiliated groups in JNU campus. After supporting Afzal Guru, Khalid has now expressed his sympathies with Burhan, this is an indicative of his association with terrorists and his support for them. Such anti-nationsals are even dangerous for the society than terrorists. I demand that his bail be cancelled and investigation about his links be conducted, Saurabh Sharma, lone ABVP member in JNU students union said in a statement. A Kashmiri boy injured during clashes lies in a stretcher as he is brought for treatment at a hospital in Srinagar. (Photo: PTI) Srinagar: The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of the killing of Hizbul Mujahideen commander Burhan Wani in an encounter on Friday. "Two persons have died in an incident of violence in Kulgam district yesterday and have been identified as Feroze Ahmad Mir (22) and Khursheed Ahmad Mir (38)," a police official said. Read: How can any Indian sympathise with Burhan Wani?: Centre on Kashmir unrest He said the information about the death of these youth was not available yesterday due "inadequate" communication facilities in south Kashmir district. Mobile telephony has been suspended in the four districts of south Kashmir since Friday evening as violent protests erupted following killing of Wani in an encounter with security forces. Burhan Wani (right), who was killed by security forces in Kashmir on Friday (AP Photo) With these, the death toll in the clashes between protesters and security forces has gone up to 23, including a policeman. More than 250 persons have been injured in the violence and the situation continues to be tense across the Valley. Curfew-like restrictions continued to be in place in many parts of the Valley including parts of Srinagar city, where the first death in the clashes occurred last evening. As the number of casualties received at various hospitals across the Valley has increased manifold, a medical emergency has been declared at these facilities. (Photo: DC/H U Naqash) Authorities have strengthened the presence of security forces in vulnerable areas of the city and elsewhere in the Valley to contain the protests, a police official said. He said the restrictions were being enforced strictly today to avoid any further loss of life or damage to property. Mobile internet services continued to remain suspended for since Saturday while normal life remained affected due to the strike called by the separatists groups. Shops, private offices, business establishments and petrol pumps were shut, while government offices and banks witnessed thin attendance, officials said. Public transport was completely off the roads while private cars and auto-rickshaws were seen plying at few places in the areas where there were no restrictions, they said. Educational institutions in the Valley were closed on account of the ongoing summer vacations, while Central University of Kashmir (CUK), Islamic University of Science and Technology (IUST) and Jammu and Kashmir Board of School Education (JKBOSE) have postponed the examinations due to the prevailing situation. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. News / National by Staff Reporter Zanu PF Bikita West legislator Munyaradzi Kereke has been convicted of rape charges.According to court records Kereke sexually molesting his wife's two nieces some time in 2010.During his defence, Kereke tendered copies of his passport indicating that he had travelled to the United States of America at the time that one of the complainants said he had sexually molested her.However, private prosecutor Charles Warara noted that Kereke had only furnished the court with copies stamped on his alleged departure but did not have an American stamp.More to follow... As the number of casualties received at various hospitals across the Valley has increased manifold, a medical emergency has been declared at these facilities. (Photo: HU Naqash/ DC) Srinagar: The death toll in the ongoing protests that erupted following the killing of Hizbul Mujahideen commander Burhan Wani has reached 30, with reports of more deaths pouring in from South Kashmir, as communication system was partially restored in Srinagar on Monday. Those killed include 29 civilian protesters and one policeman. However, the police has only confirmed 23 deaths so far - those of 22 protesters and 1 police driver. The police say no record of the remaining deaths is available to them. The mayhem triggered by the killing of Burhan Muzaffar Wani, the new age poster-boy of Kashmiri militancy, in a encounter with security forces on Friday also claimed the life of a police driver, Afroz Ahmed, who was on Sunday pushed in River Jhelum at Sangam outside Srinagar by a mob. Wani, 22, was along with two of his aides killed in an encounter with security forces in Kokernag area of southern Anantnag district. He was carrying a cash reward of Rs 1 million on his head. Burhan Wani (right), who was killed by security forces in Kashmir on Friday (AP Photo) Nearly 300 people including over 100 security personnel have been injured in clashes. As anger against security forces is at its height and many police stations and other locations have come under mob attacks, the police and CRPF have abandoned some of their camps at isolated places in south Kashmir. A report from Pulwama said that a security forces camp being manned jointly by the counterinsurgency Special Operations Group (SOG) of the J&K Police and the CRPF in Litter area of the district was abandoned by them overnight. 800 additional CRPF personnel are being rushed to Kashmir in view of the prevailing situation, said official reports. Read: Thousands turn up at Hizbul Mujahideen militant Burhan's funeral Reports received in Srinagar said that two more persons identified as Feroze Ahmed Mir (22) and Khursheed Ahmed Mir (38) were killed in southern Kulgam district. A 13-year-old boy Asif was shot by security forces in Zainapora area of Shopian district on Sunday. He died soon thereafter. A girl Yasmeena who was injured in shooting in Damhal Hanjipora area of neighbouring Kulgam district earlier also succumbed at Srinagars government-run SMHS hospital. As the number of casualties received at various hospitals across the Valley has increased manifold, a medical emergency has been declared at these facilities and the authorities have asked the doctors and paramedical staff presently on leave to resume duties immediately. Srinagars main government-run SMHS hospital had till Sunday evening received over 100 patients and most of them had sustained bullet or pellet injuries or were hit by teargas canisters or stones during clashes. Principal, Government Medical College, Srinagar, Dr Qasiar Ahmad, who is also head of all associated hospitals confirmed a medical emergency has been declared and routine admissions, theatres and leaves of the doctors have been cancelled. Read: Amid Kashmir clashes, Omar Abdullah assures Mehbooba Mufti of support Curfew was extended to more areas in Kashmir from Saturday midnight as protests and violence over the killing of Wani claimed more lives throwing the Valley in a worsening situation. Srinagar and the rest of the Kashmir Valley continues to reel under strict curfew on the third consecutive day on Monday. (Photo: HU Naqash/DC) Anger is at its height and many people, mainly youth, are venting it out on the streets. This correspondent during a drive through parts of Srinagar in the Sunday afternoon saw streets in otherwise curfew-bound town littered with rocks, bricks, concrete blocks and burning piles of used tyres. The air was filled with the acrid smell of tear gas. The hordes of youngsters were occupying these streets, many among them holding their hands up in defiance and yelling 'azadi, azadi'. Protests and clashes were on in several City areas. In the evening, one protester was killed in security forces firing at Tengpora, a Srinagar suburb. Almost all other deaths were reported from southern districts of Anantnag, Kulgam, Shopian and Pulwama. As many as thirty additional companies of central forces which arrived in Srinagar from Delhi in chartered flights on Saturday night were quickly transported to various towns of the Valley to enforce curfew. Major parts of Srinagar with almost entire south Kashmir, which has witnessed worst incidents of violence, is under strict curfew since Saturday morning. Various cities and towns in northern and central Kashmir too were brought under such restrictions or security lockdown is being maintained since Saturday midnight. Read: Pakistan has no right to comment on India's internal affairs: Congress The State Cabinet on Sunday held an emergency meeting in Srinagar with Chief Minister Mehbooba Mufti chairing it. Director General of Police, K Rajendra Kumar, briefed the meeting on the ground situation. He was away on a private visit to home town Hyderabad but rushed back to Srinagar to oversee the law and order situation following a phone call from the Chief Minister. Kashmirs divisional commissioner, Asgar Hussain Samoon, said that curfew has been clamped in the entire Kashmir Valley which has a population of over five million, to maintain law and order. Thousands of J&K policemen and CRPF personnel in riot gear are patrolling the deserted streets of Srinagar to enforce curfew. A police spokesman said incidents of arson and attacks on security forces personnel and their camps and vehicles have continued. He also said that on Sunday a police vehicle and some seized vehicles were set on fire during an attack on a police post at Lasipora in Pulwama. Unruly mobs set a GRP guardroom and an RPF barrack to fire at Bijbehara Railway Station, a police post at Damhal Khushipora (Kulgam) and a single-storeyed structure at Soibugh (Budgam) which had earlier housed a police post was also torched. The spokesman confirmed that a bullet-proof police vehicle was attacked by a mob at Sangam along the Srinagar-Jammu highway and subsequently rolled it down into the Jhelum, drowning its constable driver Afroz Ahmed. A Fire Services vehicle also came under mob attack at nearby Bijbehara, he said adding that the vehicle was on way to Bijbehara from Sangam after the authorities received a phone call which later proved to be a hoax. A statement issued by J&K police in Srinagar on Sunday evening alleged, It has been seen that in the garb of law and order situations militants are firing and lobbing grenades on police and CRPF personnel. It added that militants tossed two grenades on CRPF deployed on law and order duties in Murran Chowk (Pulwama) resulting in injuries to a few jawans. In Shopian the vehicle of local Superintendent of Police was fired upon and a grenade was also lobbed at the scene, the statement said adding that a police post at Uttressu in south Kashmir was also targeted with rifle fire by militants. Almost all separatist leaders have been taken into preventive custody or placed under house arrest. In a joint decision announced on Saturday, they extended the strike call initially issued to mourn and protest the killing of Wani along with his two associates during an encounter with security forces in Kokernag area of southern Anantnag district on July 8 for two more days (Sunday and Monday) in view of the killing of protesters in security forces firings. A government-run hospital in Lolab area of frontier Kupwara district and a nearby pharmacy were alleged ransacked and their staff roughed up by security forces on Saturday night as they were angry over those sustaining injuries during protests being treated there, a report from Kupwara said. Dr. Aadil Ashraf, president of the Resident Doctors Association at Srinagars SMHS hospital and associated hospitals, has expressed serious concern over alleged harassment of hospital staff by security forces which, he alleged, resorted to teargas shelling even inside the SMHS Emergency. He said that four COPD (lung disease) patients were being managed in the Emergency and the tear gas shelling caused severe suffocation in them. While lodging a strong protest, he said various City hospitals are witnessing an unprecedented rush of injuries and emergency operation and ophthalmology and ENT theatres are working round-the-clock to their full capacity despite being caught in hostile situation. He also said that though the resident doctors and other staff have been working tirelessly without any break in the emergency section, there is dearth of manpower. We received calls from many doctors that even ambulances are not being allowed by security forces which has only added to the problem, he said. Read: Amarnath Yatra remains suspended for third day, pilgrims stranded Meanwhile, former Chief Minister and working president of opposition National Conference (NC), Omar Abdullah, while responding to the governments appeal to help it in the effort to restore normalcy in the Valley tweeted, The @JKNC_ will never be an irresponsible party & opposing you will never mean setting the state on fire for narrow political ends. He, however, asked the present incumbent Mehbooba Mufti to lead from the front. He wrote on micro-blogging site Twitter.com Please don't take the easy option of hiding behind your spokesperson & your police officers. No one elected them, the people elected you...This is the time to lead from the front. You must accept the responsibility both for letting things get to this point as for the recovery. The Amarnath Yatra from Jammu remains suspended. As the 94-km Jammu-Srinagar highway, the vital road link connecting the Kashmir Valley with rest of the country, is shut since Saturday night, no pilgrim has been allowed to move from the winter capital towards the Valley to relocate to the 12,729-foot-high cave-shrine tucked away in Pahalgam hills in south Kashmir. However, with 8,611 devotees who were already in the Valley paying obeisance at the cave-shrine on Sunday, as many as 127,358 pilgrims have already been to Amarnath, so far, this year. The 48-day yatra commenced on July 2. The Amarnath Yatra remains suspended for the third day. (Photo: AP) Jammu and Kashmir government has confirmed that the convoys of fresh pilgrims camping in winter capital Jammu did not proceed towards the base-camps of Pahalgam and Baltal because of law and order considerations. An official spokesman here said that PK Tripathi, CEO of the Shri Amarnathji Shrine Board (SASB), has been in constant touch with the J&K police authorities and is issuing the requisite instructions to the Yatra Camp Directors from time to time to ensure that the maximum possible number of pilgrims is enabled to complete their pilgrimage. However, this correspondent found a large group of pilgrims stranded at Srinagars Nigeen Club. They said they were provided food by the club staff and a Kashmiri family which was hosting a wedding reception in the premises. Since this family was serving wazwan (Kashmiri cuisine) to its guests and most dishes were meat-based using lamb or chicken we being vegetarian could not take them, said a pilgrim. He added that the host Altaf Ahmed Butt served them rice, pulao, yoghurt and beverages and we gratefully accepted his hospitality. The pilgrims complained that except some junior officials of the tourism department no one from the government or police has come to them and their repeated requests for their relocation have not been heeded to, so far. A statement from the J&K government said that the State Cabinet which met in Srinagar on Sunday reviewed the overall security situation, including issues relating to the safety of tourists and pilgrims. Governor NN Vohra who is the chairman of the SASB is holding a meeting with the State Chief Secretary, DGP and Home Secretary later Monday to review the various issues relating to the yatra. The authorities have also suspended the Internet services on mobile phones and through dongles as a precautionary measure. Internet services are, however, functioning on fixed broadband lines. Train services between Baramulla in northwest Kashmir and Banihal town across the Pirpanjal mountain ridge in Jammu division were suspended too whereas Jammu and Kashmir Board of School Education (JKBOSE), Kashmir University and Central University, Kashmir postponed all examinations scheduled for Saturday to Monday. Meanwhile, dozens of wedding ceremonies which had been planned during this weekend and early next week have been cancelled or deferred in view of the volatile situation and curfews being enforced in the Valley. Srinagar newspapers were on Sunday and Monday full of paid classified ads from the hosts announcing the annulment of invitation cards issued for customary wazwan feasts to mark these weddings. Most of them, however, also announced that nikah ceremonies would be held with sadagi or simplicity. Post-Ramadan begins wedding season in Kashmir Valley. Following Sundays Cabinet meeting, the Jammu and Kashmir government made a passionate appeal to Hurriyat Conference and other separatists and mainstream opposition parties to supplement its efforts aimed at bringing about peace and restoring normalcy in the State. Minister for education and chief spokesman of the PDP-BJP government, Naeem Akhter, while briefing reporters on the deliberations of the meeting said, The Cabinet appealed to all political forces who have expressed concern for people's lives be it National Conference, Congress, CPI(M) or other components of the mainstream or those people who are not in the mainstream...those who are really concerned as much we are concerned which includes leaders from the Hurriyat....We appeal to them that if they want peace in the State and steps taken by government for saving lives of people succeed, we need their support also. The Cabinet expressed deep grief and anguish over the loss of precious human lives during the prevailing law and order situation in Kashmir Valley and called upon various shades of political opinion, who have expressed concern for people's lives, to help restore calm, he said. The Cabinet expressed grief and anguish over the loss of precious human lives and made a fervent appeal to all shades of political opinion, including the mainstream and the separatists, to help restore calm as the violence has neither served any purpose in the past nor is it going to do so in the prevailing circumstances, he reiterated. Over 300 people including over 100 security force personnel have been injured in the violence. Akhtar said the Cabinet also urged the civil society and the parents to counsel the youth against indulging in violent protests and targeting public and private properties and police and security forces installations. The parents of young children also have a responsibility to keep an eye on their wards, he said adding; If you see the footages, you see small kids who do not know why but are still having stones in their hands, he said. He said the Cabinet also asked the police and the security forces to exercise restraint to prevent loss of human lives as any fatal retaliatory action only leads to a vicious cycle of death and destruction. He said the complaints of disproportionate use of force are being looked into to restore public confidence in the law and order setup. He said while the loss of property can be compensated, the loss of lives cannot be compensated. Replying to questions, Akhtar said the government is seized of its responsibilities. He said it was resolved in the Cabinet that all steps will be taken for ensuring safety of life and property of the people. He cautioned the people, especially the youth, against what he alleged are the machinations of the forces who want to add fuel to the fire for their vested political interests. He said there were a number of attacks on police stations and security forces camps which forced the law enforcement agencies to retaliate. Even today when Im talking to you, a cop was pushed into river Jhelum near Sangam along with his vehicle by a violent mob resulting in his on the spot death, he said and added that the mobs set ablaze a number of police stations and police vehicles and tried to overrun security forces camps at various places. I urge the youth not take protests to a level where the forces are forced to use their weapons in retaliation," he said. He said if any of the injured requires to be taken out of the state for treatment, the state government will bear the expenses. Akhtar said the Cabinet was also apprised about the adequate supply of drugs and other requirements in the hospitals and it was also decided that any injured person, requiring treatment outside the State, shall be provided such facility by the Government. The Cabinet, he said, also desired to request different airlines to provide additional flights for taking out the stranded tourists. The Cabinet also said efforts are being made to resume the Amarnath Yatra so that the people can undertake the pilgrimage to the cave-shrine in Pahalgam hills smoothly. Asked if the Mehbooba Mufti government will quit as her party PDP while in opposition had made a similar demand when Omar Abdullah was the Chief Minister during the 2010 summer unrest in the Valley that left 120 persons dead, he left the press conference venue. Sonia also noted that the political process has made many gains in Jammu and Kashmir particularly over the last two decades and "these must not get lost." (Photo: PTI) New Delhi: With Kashmir on the boil over the killing of Hizbul commander Burhan Wani, Congress President Sonia Gandhi on Monday said there can be no compromise on matters relating to national security even as she voiced anguish over the loss of lives in the clashes. She also noted that the political process has made many gains in Jammu and Kashmir particularly over the last two decades and "these must not get lost." In a statement, she maintained that there can be "no compromise" on matters relating to national security and that terrorism must be dealt with firmly. "Even so, the death of so many of our citizens and attack on security forces is very painful", she said. Read: Kashmir violence: Death toll rises to 25, curfew extended to more parts In an appeal to people of the Valley, she asked them to allow political parties find "durable and enduring ways of fulfilling their aspirations meaningfully in a peaceful and democratic manner." Clashes between protesters and security forces in Kashmir following the killing of Wani in an encounter on Friday has left 24 people dead. Leader of Opposition in Rajya Sabha Ghulam Nabi Azad also voiced concern over the deteriorating law and order situation in the Valley where, he said, "irreparable collateral damage" has taken place. A former J&K Chief Minister, Azad said the need of the hour is to ensure that law and order is restored at theearliest without any further loss of lives. The Congress General Secretary expressed grief over the loss of lives in the violence in various parts of Kashmir Valley. Azad also wished speedy recovery for more than 200 civilians and security personnel injured in the violence. Meanwhile, Home Minister Rajnath Singh on Monday reached out to opposition leaders including Congress chief Sonia Gandhi and former Chief Minister Omar Abdullah, and discussed the prevailing situation there. Read: Amid Kashmir clashes, Omar Abdullah assures Mehbooba Mufti of support During the telephonic conversation with Gandhi and National Conference leader Omar, the Home Minister discussed with them efforts to bring back peace and normalcy in Kashmir Valley, which is witnessing violent protests after killing of militant leader Burhan Wani on Friday, official sources said. The Home Minister's discussions with Gandhi and Omar, who ruled Jammu and Kashmir between 2009 and 2015, are believed to be an attempt by the central government to take opposition leaders into confidence. Omar had said on Sunday that his party was ready to contribute towards maintaining peace in Kashmir but Chief Minister Mehbooba Mufti should take the lead in showing the way. Singh is also speaking to other opposition leaders on the Kashmir situation, sources said. The Home Minister has already spoken at least twice to Jammu and Kashmir Chief Minister Mehbooba Mufti and assured her all central assistance to tackle the violent protests, which so far claimed 23 lives. Rajnath also reviewed the Kashmir situation for the second time in as many days and instructed officials to do the needful to bring back normalcy in the state. Centre on Monday rushed additional 800 paramilitary forces to Jammu and Kashmir in the wake of continued violent protests across the Valley. (Photo: PTI) Srinagar: A mob in south Kashmir's Kulgam district took a police officer hostage, demanding the release of youth arrested on charges of stone pelting following the killing of the top Hizbul Mujahideen commander Burhan Wani. An assistant sub inspector of police was abducted by the mob at Behibagh in Kulgam and has been held hostage, a police official said. He said the mob was demanding release of three youth who are in police custody on charges of stone pelting. "Efforts are on to secure the release of the police officer," he added. Kashmir Valley witnessed clashes between protestors and security personnel after the killing of the 22-year old militant Wani. Centre on Monday rushed additional 800 paramilitary forces to Jammu and Kashmir in the wake of continued violent protests across the Valley. The reinforcements will be in addition to 1,200 personnel which were sent to aid the state police on Saturday. About 60 battalions (about 1,000 personnel each) are already stationed in the state as part of counter insurgency grid. A police station in Sopore was torched by a mob today while an air force airport in Pulwama and other security installations in Kashmir have also came under attack as ongoing clashes between protesters and security personnel continue. Meanwhile, Prime Minister Narendra Modi will on Tuesday review the situation in Jammu and Kashmir soon after his return from a five-nation tour of Africa. A senior government officer said the Prime Minister will be given a detailed presentation on the prevailing situation in Kashmir Valley arising out of violent protests following the killing of Wani on Friday. NSA Ajit Doval, who was accompanying Modi, cut short his visit and returned to Delhi on Monday and attended a security review meeting along with Home Minister Rajnath Singh, Defence Minister Manohar Parrikar, Finance Minister Arun Jaitley. The Prime Minister is expected to arrive here early on Monday and will attend the meeting within hours. The Home Minister, the Defence Minister and top officials are expected to attend the meeting. Modi has decided that the junior ministers must be more involved in the implementation of schemes. (Photo: ANI) New Delhi: Prime Minister Narendra Modi will himself take the call on allocation of work to new Ministers of State (MoS), instead of leaving it to the senior ministers they work under. According to a report in The Indian Express, last week, the most senior secretaries of ministries where Ministers of State have been appointed were told to tabulate business in each department and send it to the Cabinet Secretariat for onward transmission to the Prime Ministers Office. Read: 72 crorepatis out of 78 ministers in Narendra Modi's new cabinet The PMO has told the ministries that they must refrain from apportioning the departments without consulting the Prime Minister. The junior ministers therefore have not been handed their work charge. This will be done when the PM returns from Africa on Monday. Earlier, during meetings of the Council of Ministers, most junior ministers were found to be unaware of Cabinet decisions and their work progress. A performance appraisal last month of several junior ministers showed that they were kept away from mainstream functions, with Cabinet ministers hesitant in sharing policy assignments. Read: Cabinet rejig: Ministers take charge, Smriti Irani puts up brave face Therefore, Modi has decided that the junior ministers must be more involved in the implementation of schemes. The junior ministers will also be evaluated for promotion or ouster from the Council of Ministers based on their work. On July 5, only one minister of state Prakash Javadekar was promoted to Cabinet rank but his Environment Ministry portfolio was taken away. HRD Minister Smriti Irani was moved to textiles and other important ministries also saw a change of guard. New Delhi: Bangladeshi newspaper The Daily Star hit back at controversial Islamic preacher Zakir Naik for claiming that the paper was behind the sensational report that painted him responsible for the attack on Dhaka cafe that left 20 dead. The Daily Star categorically denies this allegation and wants to say it did not report that any terrorist was inspired by Zakir Naik to kill innocent people. The report said that one of the terrorists had propagated on Facebook last year quoting Peace TVs preacher Zakir Naik urging all Muslims to be terrorists. In his video speech, Dr Naik himself said he has millions of followers in Bangladesh. Our report was an attempt to show how young minds were interpreting Dr Naiks views in ways that were perhaps not intended for, the report said. The paper also clarified that it stood corrected on the report that Zakir Naik was banned in Malaysia. The Daily Stars reporting was a mere presentation of facts and we regret any misunderstanding between Dr Zakir Naik and this newspaper, the report ended. Naik, in a Youtube video last week, had blamed the Bangladeshi newspaper for spreading misinformation. Referring to the report by the paper that said he inspired one of the Dhaka Cafe attackers, he said, I had to do a little research behind this report. When I spoke to the Bangladeshi government officials, they said that they did not believe that I inspired him to kill innocent people. He may have been my fan, but it is devilish to say that I inspired him to do this act. Taking Daily Star's name, he had said, "I challenge any of the media to show me any official sources from the Bangladeshi government that they believed that this act was inspired by Dr Zakir Naik." He also clarified that he was not banned by Malaysia as was reported by the paper, and instead was bestowed with the Tokoman Hijri award by the Malaysian government. Meanwhile, the Bangladesh government yesterday banned the broadcast of Naik's Peace TV after of his 'provocative' speeches inspiring some of the militants. Zakir Naik has been hogging the media spotlight for his views backing slain terrorist Osama Bin Laden and terrorist organisation al Qaeda. A speech in which he praised Laden resurfaced when it was revealed that one of the six militants involved in the Dhaka cafe attack drew inspiration from his speeches. The Maharashtra and Central governments have swung into action, constituting nine probe teams from top security agencies in India to investigate Naik. His speeches, CDs, public documents and social media posts are being screened, and foreign funding to Naik is also been examined. The Minister also said any disruptions in Amarnath yatra would not augur well for the society. (Photo: PTI) New Delhi: Union Minister Jitendra Singh on Monday said the role of Pakistan in the violent protests in Kashmir after killing of militant Burhan Wani there was more than evident now. "As far as Pakistan's involvement is concerned it is more than evident now. From time to time India has also been providing evidence and proof of that. "There has been involvement and there has been perpetration of terrorism on the India's soil from Islamabad," he told reporters in Delhi. He said the available inputs also hint at the Pakistan's involvement. "As far as the foreign hand is concerned, it has been the experience in last 20-25 years of terrorism in Jammu and Kashmir that from time to time there has been evidence forthcoming of Pakistan's involvement. "Therefore, there is a reason to believe, on the inputs which are available today, Pakistan's involvement in the recent episode," said Singh, Minister of State in Prime Minister's Office. The Minister said any disruptions in Amarnath yatra would not augur well for the society. "Amarnath yatra is symbolic of composite unity in the Kashmir society. This is an yatra in which people people from all sections of society, with all religious beliefs tend to cooperate in the term of making arrangements and providing facility to the yatra. "Any disruption in yatra like Amarnath yatra would not augur well for society," said Singh, a Lok Sabha member from Jammu and Kashmir's Udhampur constituency. Over 1.18 lakh pilgrims have so far paid obeisance at the cave shrine in the Kashmir Himalayas. Yatra has been affected due to the violent protests in parts of Kashmir over the killing of militant Wani on Friday. New Delhi: Following Pakistan's remark that called the killing of Hizbul Mujahideen commander Burhan Wani "deplorable', former external affairs minister Salman Khurshid on Monday said nobody has the right to comment on the internal affairs of India. "I think nobody has any business to comment on anything which is entirely our own internal matter," he said. Pakistan on Sunday condemned the killing of Wani and Kashmiri protesters who clashed with security forces following Wani's death. Khurshid asserted that the security forces have the right take any precautionary step to uphold law and order situation in Kashmir state. "If there is a state of emergency situation in which our armed and paramilitary forces have to take action, both to protect their own lives as wells secure law and order in our country, they have to take that action and nobody has the right question it," Khurshid said. Read: How can any Indian sympathise with Burhan Wani?: Centre on Kashmir unrest He added that proper safeguards have been put in place by Supreme Court, and the state Human Rights to check human rights violations and any foreign entity does not have the right to comment on it. "Our own safeguards that have been placed by Supreme Court, Human Rights commission of Jammu and Kashmir government, by the Government of India itself, those safeguards will be always applied and put in place but I don't think anybody from outside has the right to comment on this," he said. The statement released by Office of the Spokesperson, Pakistan, on Sunday said the extrajudicial killing of Wani and scores of other innocent Kashmiris is deplorable and condemnable. "Pakistan reiterates that the resolution of the Jammu and Kashmir dispute is only possible by the realisation of the right to self determination of the people of Jammu and Kashmir, as per the UNSC resolutions, through a fair and impartial plebiscite under UN auspices," the statement said. "Such acts are a violation of fundamental human rights of Kashmiris and can not deter the people of Jammu and Kashmir from their demand for the realisation of the right to self determination," it said. Islamabad also expressed its concern over the detention of Kashmiri leadership and called upon New Delhi to fulfill the human rights obligations as per the United Nation. "Pakistan also has serious concerns over the detention of Kashmiri leadership in Indian Occupied Kashmir and calls upon the Indian government to fulfill its human rights obligations as well as its commitments under the United Nations Security Council Resolutions," the statement said. The Kashmir valley has been on a boil as widespread protests were carried out over Wani's killing with many separatists' calling for a shutdown. New Delhi: Reacting sharply to Pakistan's strong comments on Kashmir situation in the wake of killing of militant Burhan Wani, India on Mondau said it reflect its continued "attachment to terrorism and its usage as an instrument of State policy." India also asked Pakistan to refrain from interfering in the internal affairs of its neighbours. "We have seen statements from Pakistan on the situation in the Indian state of Jammu and Kashmir. They reflect Pakistan's continued attachment to terrorism and its usage as an instrument of State policy. "Pakistan is advised to refrain from interfering in the internal affairs of its neighbours," External Affairs Ministry Spokesperson Vikas Swarup said. India's reaction came after Prime Minister Nawaz Sharif, who has been under attack from the opposition for remaining "silent" over the killing of protesters in Kashmir, issued a statement expressing "shock" at Wani's killing. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement. Sharif said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani. "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he added. Yesterday, Pakistan Foreign Office had issued a statement condemning continued "killing of innocent Kashmiris". "The extra-judicial killing of Kashmiri leader Wani and scores of other innocent Kashmiris is deplorable and condemnable. Such acts are a violation of fundamental human rights of Kashmiris and cannot deter the people of Jammu and Kashmir from their demand for the realisation of the right to self determination," it had said. Wani, who was killed in an encounter with the security forces last week, was the commander of Kashmiri militant group Hizbul Mujahideen. The Kashmiri protesters should be isolated and strictest action should be taken against them, said VHP. (Photo: HU Naqash/DC) New Delhi: The Vishwa Hindu Parishad (VHP) said the situation in Kashmir was similar to that of the 1990s when militancy was at its peak and appealed to the government to take strictest actions, including issuing shoot-at-sight order, against "Pakistan-backed terrorists". "Whatever is happening in Kashmir is very unfortunate. The reaction to the action taken against (Hizbul Mujahideen commander Burhan) Wani by police was unfortunate. The current situation seems like the 90s era is returning to the Valley. Read: JNU student Umar Khalid praises Hizb commander Burhan Wani "This is not a time to say these are 'misguided' people. The people backed by Pakistan should be isolated and strictest action should be taken against them, even if it is shooting at sight," VHP joint general secretary Surendra Jain said. The death toll in the clashes between protesters and security forces in Kashmir mounted to 30, as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of Wani's killing in an encounter on Friday. Alleging attacks on Amarnath pilgrims, the Vishwa Hindu Parishad (VHP) said it was "unacceptable" and that targeting Hindus will have "serious repercussions". Read: Thousands turn up at Hizbul Mujahideen militant Burhan's funeral Jain alleged Amarnath pilgrims had been attacked by members of the Muslim community in the state on Sunday when they tried to organise a 'bhandara' there. "They should realise the ghati (Valley) doesn't belong to a particular community only. It belongs to Baba Amarnath too. Any attempt to target the pilgrims will prove to be a costly affair for the attackers," he said. The VHP leader also hit out at JNU student Umar Khalid for comparing Wani to a revolutionary. "This is so unfortunate that a terrorist who was wanted for years is being compared to a revolutionary," he said. Jain also asked Kashmiris to denounce the terror activities. Hyderabad: Over 25 lakh people or 40 per cent of Prime Ministers Jan Dhan Yojana scheme accounts in Telangana do not have any balance. While 76 lakh accounts were opened in the state, 25 lakh have zero balance, which means that these account holders will not get the promised insurance cover and overdraft facility. The financial inclusion scheme was launched to encourage the countrys vast unbanked poor to use bank accounts for cash transactions. Prime Minister Narendra Modi had launched the scheme across the country in August 2014. Banks have to lend a cumulative Rs 5,000 to each account holder, but hardly any bank is doing so. Public and private sectors banks have raked in nearly Rs 708 crore so far from the remaining 51 lakh account holders in TS, but they are shying away from extending loans. Under the scheme, on opening a bank account, holders are initially eligible for an overdraft facility of Rs 2,000, which can be extended up to Rs 5,000 based on the consumers credit and transaction history. Account holders will also get a RuPay debit card with an accident cover of Rs 1 lakh. The negligence of ba-nks in creating awareness among account holders on the need to save is being cited as the reason for such a huge number of zero balance accounts. The regional office of Reserve Bank of India (RBI) in the city, which reviewed the Jhan Dhan scheme with ban-ks recently, expressed anger at the negligence of the banks. An RBI official said, Banks are supposed to conduct financial literacy camps frequently to create awareness among Jhan Dhan account holders on the need to save and use their accounts for transactions. It was found that none of the banks were doing it regularly. The Reserve Bank has directed banks to conduct such camps once a month in all branches. However, bankers are blaming the state government for zero balance accounts claiming that unless the government supported the scheme by involving public representatives, it would not be possible to conduct such camps and achieve the desired results. News / National by Chris Chinamhora The Institute of Chartered Secretaries and Administrators in Zimbabwe (ICSAZ) has elected National Indigenisation and Economic Empowerment Board (NIEEB) finance manager George Mahembe as its new president.ITRite Solutions managing consultant Dr Paradza Paradza and Rural Electrification Agency finance director Mrs Ferida Matambo were elected vice presidents after the institute's recent annual general meeting on June 28 at Dzidzo House in Harare.Mr Mahembe, who has more than 15 years' experience in finance, accounting, auditing, strategy and corporate administration, believes it is important for the institute to be forward looking and to involve younger people in its leadership. Mr Mahembe is a fellow of the institute and holds a Master of Business Administration (MBA) degree from the University of Zimbabwe.He said chartered secretaries should focus on the future and pave the way for sustainable development. His understanding of the dynamics of the institute would enable him to drive the institute's current strategy towards greater milestones during his one-year tenure as president, he said.Mr Mahembe completed his ICSAZ studies in 2003. He was admitted to the institute as a chartered secretary in 2006, after which he was immediately appointed to the Education and Examination Committee of the Institute of Business and Accounting Studies (IBAS), a subsidiary of ICSAZ, and the IBAS Council.Mr Mahembe was elected to the ICSAZ Council in 2013. He was elected vice-president in 2015, leading to his election as president for 2016/2017.As a council member, he has served on various committees, including the ICSAZ Executive, Finance and General Purpose Committee, Marketing and Strategy Committee, and Membership and Professional Competence Committee. He was chairman of the Marketing and Strategy Committee from 2014 to 2016.He is the institute's representative on the Pan African Federation of Accountants (PAFA) Technical and Standards Setting Committee (TSSC). He sits on the Public Accountants and Auditors Board (PAAB).So important does he believe it is for the institute to direct its attention to the future that he has adopted "The Focus is on the Future: Look Back, Look Forward and Look Out" as the theme for his presidential term."The future is the only space where doors are still open. We should not focus on the past but read and learn from it and use it to model ourselves for a better future."The Chartered Secretary profession is the key that can open future doors. The future can be created, dreamt of and remodelled from past experiences."The past exists in 'read-only' mode. It might not provide any indication of the future but will provide the first step towards milestones to be achieved in days ahead," he said.Mr Mahembe added that the future Chartered Secretary should harness and take advantage of developments in information communication technology."There should be less people-to-people contact, as communication can be done via the internet. Networking can be done on a wider scale without physically seeing people as well. These can be viewed as virtual relationships."Real-time and online information dissemination via a vibrant website and web-enabled technologies is also vital," he said.He pointed out that many companies in Zimbabwe, both public and private, have had governance challenges.He said the institute, as the recognised influential global voice on governance matters, should not just remain a 'voice' but provide solutions to the identified governance problems in organisations.The institute could achieve this objective by constantly working in partnership with industry and commerce, government and other regulators to achieve the desired good corporate governance objectives. "The spotlight has fallen on company secretaries' and public accountants' responsibility to act in the public interest. "People have asked: 'Where was the company secretary or accountant when these organisations were caught up in governance problems?'"ICSAZ should take a broad, long-term view of the public interest and promote Chartered Secretaries in practice and industry as facilitators of sustainable long-term growth through responsible business and ethical behaviour," he said.He said there had been only incremental adjustments to rapid changes in the market. There had been a general unwillingness to adopt radical changes because of the cultural tradition in many professional associations.As chairman of the institute's Marketing and Strategy Committee, Mr Mahembe steered the development of the ICSAZ 2015-17 strategy in terms of its financial outcomes and quality service delivery and ensuring sufficient resources were in place to deliver it.Since the strategy's adoption a year ago, he has taken a particular interest in the information technology project to improve communication with members, students and other key stakeholders."The website has been revamped and will continue to be improved to be the first point of contact with members, students and aspiring chartered secretaries," he said. Hyderabad: If the Centre has its way, each university in the country will not have more than a hundred colleges affiliated to it. This decision will have a big impact on Telangana where three universities-Osmania University, Kakatiya University and JNTU-Hyderabad have five to seven times the number decided by the HRD ministry. If we take the case of Osmania University, it has a whopping 740 colleges affiliated to it including engineering, pharmacy, degree, PG, MBA and MCA colleges. Likewise, Kakatiya University has 477 colleges affiliated to it. The technological varsity-JNTU-Hyderabad is not far behind. It has over 420 colleges linked to it. As part of the National Education Policy-2016, the MHRD is mulling a restructuring of the existing varsities so that each of them will not have more than a hundred colleges under its ambit. Academic experts said that restructuring universities is okay but creating new varsities on this pretext isnt. It may be mentioned here that OU caters to Hyderabad, Ranga Reddy and Hyderabad districts, Kakatiya varsity caters to Warangal, Khammam and Adilabad districts. Hence the number of affiliations is higher. Palamuru varsity caters to Mahabubnagar, Mahatma Gandhi varsity to Nalgonda, Telan-gana varsity to Nizamabad and Shatavahana University to Karim-nagar. Affiliations are fewer in new varsities. OU and KU can transfer some of the colleges attached to them to these, a retired professor from KU said. He gave an example of degree colleges. There are 305 degree colleges affiliated to KU. With semester system coming into effect from 2016, exams have to be held every six months. Varsity administration has to take steps to conduct exams for nearly 2.5 lakh students at a single go. Doing academic audit is difficult for varsity administration if the number is big, he felt. Osmania University Teachers Association president Battu Satyanarayana laid emphasis on strengthening the existing varsities that are plagued with problems like lack of faculty, paucity of funds and infrastructure. plans to introduce global campuses Indian Institutions will be allowed to set up campuses abroad with the Centre mull-ing new legislation or amendment to existing laws. As part of internationalisation of higher education, the Union HRD ministry has come up with this proposal. Apart from allowing Indian institutions to go abroad, leading global universities (top-200) will be allowed to open their campuses in India. Existing laws will be amended so that the foreign varsity can offer its own degree to Indian students studying in India in such a way that these degrees will be valid in the country of origin. Also norms and regulations to allow foreign faculty to join Indian institutions will be reviewed by the Centre. Genuine concerns and difficulties faced by foreign students / faculty pertaining to visa, registration and extension of stay and tax rules and regulations will be addressed. IIT Delhi Director Prof. Ramgopal Rao felt that this decision would pave the way for reputed varsities like Stanford to start their campuses in India. This man who is responsible for killing of many innocent people, do not deserve any sympathy at all, says Venkaiah Naidu. (Photo: PTI) New Delhi: Union Minister M Venkaiah Naidu on Monday said that terrorists were getting support from the "neighbourhood", apparently referring to Pakistan, even as he welcomed the statements by Congress leaders on the killing of top Hizbul Mujahideen commander Burhan Wani. "I heartily welcome the statement by senior Congress leader and leader of opposition in Rajya Sabha Ghulam Nabi Azad and former minister Salman Khurshid on Burhan Wani being a terrorist," he said while lauding the statement made by Congress President Sonia Gandhi, in this regard. These statements indicated a "collective stand" on terrorism, he said. Naidu was asked about reports that Pakistan based Hafiz Saeed and Syed Salahuddin had a meeting today and also Pakistan's stance on Wani's death. "Pakistan should take note of what is happening in their land. Today these two people coming together and they are openly saying that they would spread terrorism. That has to be taken note of by the Pakistani government and they have to take appropriate action," the Union minister said. Naidu said that as far as India is concerned, it will crush any sort of terrorism with all the force that is required. "It is a long issue because they are getting support from the neighbourhood. Aiding, abetting, funding, training terrorism has been going on" he said apparently referring to Pakistan. We have to take it seriously and the government has taken it seriously, he added. The Union Information and Broadcasting minister appealed to media agencies to "exercise restraint," and ensure that while reporting in such matters "one should not create sympathy for terrorists." "This man who is responsible for killing of many innocent people, do not deserve any sympathy at all," Naidu said adding that those "giving sermons should understand that Indian Army and forces are working day and night to protect the unity and integrity of the country." There are "safeguards" in India if there is any violation of human rights, he emphasised. "The issue is concerning national security, religion does not come in to the picture at all. Anybody with common sense would know who are these Hizbul Muzahiddin commanders? Who are these terrorists?," Naidu said. UP Governor Ram Naik has sent a special report to President Pranab Mukherjee. (Photo: PTI) Lucknow: Uttar Pradesh Governor Ram Naik has sent a special report to President Pranab Mukherjee on the recent violence in Mathura, the alleged exodus from Kairana and the Dadri lynching that sparked outrage last year. Copies of the report have been marked to Prime Minister Narendra Modi and Union Home Minister Rajnath Singh. The Governor did not share its contents and said it was a confidential report. Reportedly, it was based on the three letters sent by Chief Minister Akhilesh Yadav on June 29 to the Governor regarding action taken on the three incidents and reports and information given to Naik by various groups and individuals. Earlier on June 4, the mastermind behind the deadly Mathura violence, Ramvriksh Yadav, was killed. The gunfight between the police personnel and a band of encroachers was led by Yadav earlier on June 2. More than 40 people were injured in the incident. The incident took place when the Swadheen Bharat Subhash Sena (SBSS) activists opened fire at the police party that attempted to evict the Jawahar Park. BJP legislator and Muzaffarnagar riots accused Hukum Singh had earlier reportedly said that the Hindus were being forced to leave their homes in the Muslim-majority town in Kairana. Later on, he backtracked from his claims. The UP police had ordered a probe into the alleged migration after Singh presented a list of 346 families from the Muslim-majority town while claiming that they had to leave their homes after attacks and extortion attempts. In Dadri district of Uttar Pradesh, a person named Mohammad Akhlaq was lynched and killed last year on suspicion that his family stored and ate beef at their house. New Delhi: The Indian Union Muslim League (IUML) on Sunday came out in support of preacher Zakir Naik, saying the "advocate of peace theory in Islam" is being harassed for no "valid reason". Addressing a press conference in Kozhikode, IUML MP and former minister E T Mohammed Basheer said, "Naik is an advocate of peace theory in Islam. The action by the authorities against the Islamic preacher is a violation of the freedom of expression. He is being harassed for no valid reason." Read: Telangana: Zakir Naik's Peace TV points at cable TV holes The IUMLs stand may cause much embarrassment to its ally Congress which had been attacking the BJP-led government for allowing the broadcast of Naiks Peace TV in India. "The probe against Naik is being carried out in a prejudiced manner," Basheer alleged and demanded that authorities stop harassing the preacher. Read: Video of Zakir Naik on 'solution to rape' does rounds on social media "Since the launch of Islamic Research Foundation in 1991, Naik has travelled to many parts of the world and delivered a large number of speeches and organised several debates. In view of these facts, he should not be harassed," he said. Basheer alleged that the Maharashtra government has launched an investigation in a "prejudiced manner" intending to oppose his activities and portray him in a "wrong way". "Those who believe in the Indian Constitution which guarantees freedom of expression should come forward to oppose the move," he said. A video footage of a speech by Naik in which he speaks against Islamic State, was also played during the press conference. Naik has come under the scanner over reports that one of the Dhaka attackers was allegedly inspired by his speeches. New Delhi/Islamabad: Pakistan has expressed shock over the killing of Hizbul Mujahideen commander Burhan Wani in an encounter in Kashmir and deplored oppressive measures and excessive force used against civilians in the latest wave of violence in the Valley. Prime Minister Nawaz Sharif, who has been under attack from the opposition for remaining silent over the killing of protesters in Kashmir, came out with a statement condemning the action of Indian security forces. The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces, Sharif's office said in the statement. The Prime Minister said it was deplorable that excessive and unlawful force was used against the civilians who were protesting against the killing of Wani. Oppressive measures such as these cannot deter the valiant people of J&K, he said. Even as the Valley remained on the edge, Pakistan continued to provoke India as top militants Hafiz Saeed and Hizbul Mujahideen chief Sayeed Salahuddin held a prayer meeting for Burhan Wani at a Hizb terror training camp in Muzaffarbad, Pakistan-occupied Kashmir. Intelligence sources said the two most wanted militants in India are planning major retaliatory action against security forces in Kashmir. Policemen walk away after collecting a handcart full of stones and bricks thrown at them by Kashmiri protesters in Srinagar. (Photo: PTI) New Delhi/Srinagar: Concerned about the deteriorating security situation in Kashmir, the Centre on Monday held a series of meetings to contain the damage following the killing of top Hizbul Mujahideen commander Burhan Wani in an encounter on Friday. Prime Minister Narendra Modi will chair a high-level meeting on Tuesday to review the situation and is expected to be given a detailed presentation on the matter. NSA Ajit Doval, who was accompanying Modi on an official tour of African nations, cut short his visit and rushed back. Union Home Minister Rajnath Singh and Defence Minister Manohar Parrikar, along with other senior officials are likely to be the part of the meeting. Read: Pak summons Indian envoy, conveys 'serious concern' over Kashmir unrest Meanwhile, Pakistan summoned the Indian high commissioner in Islamabad during which its foreign secretary conveyed Pakistans serious concerns over the killings of Burhan Wani and other civilians, statements seen here as designed to escalate matters. Meanwhile, the death toll in firing by security forces and clashes has touched 30. Police said more reports are pouring in. On Monday, a mob hurled stones at the IAF station outside Pulwamas Koil village and also threw bunches of burning grass inside the premises, but there were no casualties. Meanwhile, the Centre rushed 800 CRPF personnel to Kashmir after having already sent 1,200 on Saturday. Read: Mob takes police officer hostage in Kashmir, demands release of 3 men Almost all separatist leaders continued to remain in preventive custody or under house arrest. In a joint decision announced on Monday, they extended the strike call initially issued to mourn and protest the killing of Wani for two more days (Tuesday and Wednesday) in view of the killing of protesters in security forces firings. The Internet services on mobile phones and through dongles have been suspended as a precautionary measure. Internet services are, however, functioning on fixed broadband lines. Train services between Baramulla in northwest Kashmir and Banihal town across the Pirpanjal mountain ridge in Jammu division also remained suspended on the third consecutive day whereas Jammu and Kashmir Board of School Education (JKBOSE), Kashmir University and Central University, Kashmir have cancelled all examinations which were scheduled during this week. Chief Minister, Mehbooba Mufti, on Monday again sought wholehearted cooperation of the people towards restoration of peace and normalcy in the Valley to prevent further loss of precious lives and destruction of public and private properties. She said the government is taking various measures to restore calm and it needs support of the people in this endeavour. She said, I appeal all sections of the society including the political leaders, media, the religious heads, the business community and the prominent citizens to rise to the occasion and help the government in restoring peace and normalcy in the Kashmir in the wake of the prevailing law and order situation. She said the J&K police and the paramilitary forces have been asked to exercise maximum restrain to avoid loss of lives or grievous injuries. My heart goes out to the families of the youth, including the civilians and the policeman, who lost their lives in the latest spate of violence. We have already lost a generation to bloodshed and violence, and cant afford to live amidst prevailing political uncertainties with young boys getting killed every day, she said. Mufti alleged that some elements are hell bent upon fomenting trouble, mayhem and bloodshed in Kashmir for their vested interests and are playing with the lives of the innocent youth by instigating them to indulge in extreme violence resulting in a vicious cycle of frenzy and bloodshed. New Delhi/Juba: With the sharp deterioration in South Sudan, India is now planning evacuation of its nationals from South Sudan, which is witnessing escalated violence due to clashes between anti and pro-government forces, and has advised Indians not to travel to the war-torn country. In a series of tweets, External Affairs Minister Sushma Swaraj on Monday said, South Sudan I am aware of the developments in South Sudan. We are planning evacuation of Indian nationals. She further said, Please register yourself with Indian Embassy and do not panic. Indian nationals are advised not to travel to South Sudan. On Sunday, the Indian embassy in South Sudan had asked scores of Indians stranded there to stay calm, assuring them that they are in constant touch with authorities in India and all options are being considered to provide relief to them amid heavy fighting between South Sudans army and former rebels. South Sudan president for unilateral ceasefire The president of South Sudan declared a unilateral cease-fire on Monday to a conflict that has seen fierce clashes between his army and opposition forces spread from the capital to a southeastern town. President Salva Kiir issued the order after fighting raged in the capital, Juba, for the fifth straight day. Kiirs announcement comes after his forces overran an opposition base and killed 35 bodyguards of former rebel leader Riek Machar. Hyderabad: TRS leaders are on tenterhooks over nominated posts. After initial allotment of some posts, Chief Minister K. Chandrasekhar Rao is now allotting posts in batches, after gaps of a month or more. When party leaders had almost given up hope of posts in the near future, Mr Rao sprung a surprise by nominating his aide Seri Subhas Reddy as chairman of the TS Mineral Development Corporation. The key corporation oversees development of mineral resources including exploration, exploitation and beneficiation, development of mineral industries with private participation and identification of best technology and investment for development of mineral resources. It really came as a surprise. Some said the CM kept nominated posts on hold for some time in view of huge demand from legislators and party leaders. But nomination of the TSMDC chairman was a big surprise, a party legislator said. Sources said some more nominated posts are in the offing soon. Prior to Mr Subhash Reddy, the CM gave the plum post of TSRTC chairman to MLA Somavarapu Satyanarayana. In April, he nominated Vemula Prashant Reddy as Mission Bhagiratha deputy chairman. Devulapalli Prabhakar Rao as Chairman of Official Language Commission and TJAC activists Mallepalli Laxmaiah as special officer, Buddha Vanam project at Nagarjunasagar. According to sources, Mr Rao has promised posts to politburo member Ellora Srinivas, C. Rakesh and others and whenever they meet him he assured them he kept them in mind. Sources in TRS said pressure is building from not only existing party leaders, legislators but also new entrants to the TRS for posts. Since some legislators got plum posts, many are waiting in the wings. Lets see when KCR will pull it out, said a senior TRS leader. Vijayawada: The Vijayawada airport may not have CISF security for some more time. If the revenue of an airport crosses Rs 18 crore per annum, then only CISF can engage its team. As of now, Vijayawada airports revenue hasnt crossed even Rs 6 crore per annum. It is learnt that the CISF has reportedly kept the the civil aviation ministry has kept the prposal over the airport security in pending. Major airlines are not prepared to run flights for the ensuing Pushkarams. Many seers and VIPs from across the country are expected to descend on the city for Krishna Pushkarams but the airline companies have not intimated about their preparedness to the Vijayawada airport authorities even when the latter tried to gather information about it from major domestic airlines like Spice Jet, Air India, Air Coasta and Jet Airways. These airlines seem not be serious about the Pushkarams even when celebrity traffic might increase to the city during that time. The present operations of flights per day are 36, while it was 22 per day, in the last quarter, according to the authorities. Though the traffic has been gradually increasing, the airlines still are in a dilemma over increasing their services. Perhaps, the airlines have not taken Pushkarams traffic seriously, Vijayawada Airport director G. Madhusudana Rao told this newspaper. These apart, the airport is facing another problem of erection of fencing, which is expected to be completed soon. The state government has finally cleared the allotment of 698 acres to the airport recently and keeping in view, the possible security threats to vital installations, sea ports and airports across the country, the Vijayawada airport authorities are focusing on fencing the allotted land. It is a big taks and it should be completed on a war-footing, according to Professor G.V.S.R. Anjaneyulu. Airport director G. Madhusudana said that the runway extension works will also be taken up, once the land gets in their possession. An amount of Rs 145 crore was allotted for extending the length of the runway from the existing 739 metres to 3,025 metres. Bengaluru: Indians have become thin-skinned. We are quick to offence and believe our historical figures are flawless. Theres something wrong with our democracy if we can't speak dispassionately about our historical figures, said historian Ramachandra Guha. Addressing a panel discussion organised by Jain University on 'Indian democracy: Looking forward, looking back', Guha identified various concerns in our present democracy. He said, The Parliament and State Assemblies have become mere shouting chambers and most often Bills are decided backdoor, without our leaders engaging in discussions and debates. In States like Tamil Nadu and Gujarat, politicians are not encouraged to discuss Bills. This is not healthy. He suggested that India was enjoying election only democracy. Commenting about threat to freedom of expression in our democracy, Guha said thinkers, political observers, filmmakers and journalists' freedom of expression was curtailed and as long as the person was not advocating violence, there should be no threat to their freedom of expression. Further, Guha pointed out that public institutions like police and judiciary, which should protect the interest of a society, were becoming a stain on democracy. They are corrupt and brutal. When such institutions are driven by money and arbitrary power, there is a concern to democracy, he maintained. Government jobs do not belong to only Brahmins or entrepreneurship is not entitled to Baniyas alone. This is welcome, he said. Scholar Dr Suhas Palshikar said that people's idea of nation and understanding of democracy was changing and this was due to two reasons. There's a rise of Hindu nationalism which means theres an inevitable link that you can't be a nationalist if you are not a Hindu. News / National by Staff reporter Zimbabwe veteran journalist, Lloyd Mutungamiri, was shot and seriously wounded in Lesotho on Saturday night after being interrogated by police on a story his publication ran.An alert by the Southern African Human Rights Defenders Network (SAHRDN) on Monday said Mutungamiri, the editor of the Lesotho Times newspaper, was battling for his life at a Maseru hospital.SAHRDN is a network of human rights defenders in southern Africa."Mutungamiri, who is fighting for his life at a hospital in the capital Maseru, was attacked by some unidentified assailants around 23:00 hours on Saturday, 9 July 2016," said SAHRDN."Prior to the shooting, Mutungamiri and Keiso Mohloboli, a journalist at The Lesotho Times newspaper had been interrogated on 23 June 2016 over the publication of an article entitled 'Exit strategy for Kamoli' in The Lesotho Times newspaper edition of 23-29 June 2016 and forced to disclose their sources," added the human rights watchdog.Lieutenant General Tlali Kamoli is the current commander of the Lesotho Defence Forces (LDF).Besides Mutungamiri and Mohloboli, the publisher of The Lesotho Times newspaper was also charged with defamation and crimen injuria on 5 July 2016."SAHRDN condemns the barbaric shooting of Mutungamiri and the persecution of media practitioners in Lesotho which is clearly aimed at intimidating, silencing and suppressing media freedom and freedom of expression in Lesotho at this critical time in the country's history, when citizens in that that country desperately need impartial information," noted the watchdog.It added: "Such a callous attack puts responsibility on the government of Lesotho to publicly condemn this and other attacks on the media and launch a thorough, independent and impartial investigation into the shooting of Mutungamiri and make the findings of the investigation public and for suspected perpetrators to be brought to justice in accordance with international law."SAHRDN said it was not aware of any efforts by the Lesotho authorities to investigate the attempt on Mutungamiri's life."A thorough investigation into this unwarranted attack on a media practitioner and the prosecution of those who masterminded it should send a message to would be perpetrators that such attacks won't be executed and cannot continue with impunity," said SAHRDNIt added that impartial media coverage was essential for the observance of human rights principles and urged the Lesotho government to take immediate steps to guarantee the security of journalists and human rights defenders.SADC must "show leadership and condemn the trend of repression, criminalisation, prosecution and persecution of HRDs in Lesotho", said SAHRDN. In any economy, the banking system is the steel frame that holds it all together. Banks can go bust when depositors withdraw money in panic, or when the companies or people to whom they give loans are unable to return them. The system is in crisis when the bad loans equal or exceed the capital of the banks. It is saved only when governments bail out the banks by putting in more money to recapitsalise them. The banks, especially nationalised ones, in India have been in a perpetual state of crisis. The bankers on instructions (a phone call) from powerful politicians extend loans to dodgy promoters. The rot starts with top appointments that are made in deals in which both top managers and their political bosses share the cut. The practice has been going on for so long that, it became part of business lore, until RBI Guv Raghuram Rajan clamped down, The crucial factors are the percentage of loans that are non-performing, the infusion of public money needed to save the banks, the pressure that can be put on promoters to return the money, and the effect of all this on the economy. The June 2016 Financial Stability Report (FSR) brought out by the RBI quantifies the crisis. This was because the gross non-performing advances (GNPAs) of banks sharply increased to 7.6 per cent of gross advances from 5.1 per cent between September 2015 and March 2016. Besides this, the banking sectors GNPAs showed a sharp increase year-on-year of 80 per cent despite the low growth of credit. The growth of bad loans was not evenly distributed. It is the large borrowers who do not pay back. The ratio of bad loans of large borrowers increased sharply from 7.0 per cent to 10.6 per cent during September 2015 to March 2016. Moreover, there was a sharp increase in the share of GNPAs of top 100 large borrowers from 3.4 per cent in September 2015 to 22.3 per cent in March 2016. The crisis in the banking system is thus largely a result of the big borrowers inability or unwillingness to pay. One recalls the ever-flamboyant Vijay Mallya, who did not settle his dues to the banks and took refuge in the UK. The debt owed by some of the biggest companies in the power, transport and steel sectors made for compelling reading in a report The House of Debt by merchant banker Credit Suisse. The report mentioned 10 top debtors. The total debt of these 10 groups was Rs 7.32 lakh crore (or trillion). The debt of these groups has risen seven times over the past eight years and some of these groups are carrying an interest burden that exceeds their earnings before interest and taxes. Not all these loans are bad, and many of these business groups are selling part of their assets to reduce their debt. Still, around Rs 4 trillion will be needed by the government if it is to recapitalise the banks. The pumping of money into banks comes from the governments budget expenditure. A Bloomberg report says the government has revived a proposal to dip into the RBIs funds to recapitalise banks. The plan involves taking Rs 4 trillion from the RBI instead of taking it from the expenditure budget. This is not how it is done in any major economy. It is the governments fiscal authorities who inject capital into ailing banks and not central banks. The Modi government plans to piggyback on the RBIs balance sheet to avoid showing deterioration in its budget deficit. The Modi government has tried to cleverly fudge GDP figures. Now its intent is to turn the RBI into a tool to hide its failures. While doing this it would also protect its friends in industry and burden the taxpayer. Indias Prime Minister Narendra Modi ignores Dhaka at his peril. The message that the Dhaka restaurant attack sends out is simple tear apart the composite culture that has, through centuries, moulded this nations multitudinous faiths and streams of thought into the multi-faceted country it is today, and we will have to contend with not one but many Bangladeshs on our hands, a fractured polity at war with itself. The India that its enemies would like it reduced to. Held together by the idea of India thus far, we could become a country divided, not just between the poor and rich, the haves and have-nots; but between people who believe in the primacy of freedom of thought, of debate, and who trust in societys secular fabric that gives room to every faith, every political philosophy to grow, as opposed to those who seek the opposite the imposition of one belief, one stream of thought, one path. From a democracy to a theocracy. Thats the Dhaka takeaway. The exodus of the young and impressionable, from the violent ideology of Al Qaeda that was primarily aimed at overthrowing Arab governments and creating an Islamic State in its wake, to the Islamic State, the far deadlier strain of a terror state with no borders, is no longer limited to the Arab world. It is now knocking on our doors. Despite IS many military reverses, it is Al Qaedas footprint that has shrunk while IS has grown; its tentacles now reach well beyond the Arab world that it sought to remake, into homes, schools and offices across nations, where an army of the gullible wait to be turned. Neither Dhaka nor New Delhi will openly admit to the power IS wields. Banning one tele-evangelist radical preacher, Zakir Naik and his insidious Peace TV is a small step, but unlikely to staunch the tide. After all, how many websites can be blocked? IS appeal varies from country to country. In the United States and Europe, the urban guerrillas are second and third generation Muslim immigrants, drawn from the ranks of the marginalised, disenchanted and disempowered, united by a sense of victimhood that helps them justify extremism as a means to settle scores, imagined or real. The Afghan badlands that were the original Al Qaeda nursery of terror, is where Pakistans counter-intelligence wing, Inter-Services Intelligence, and its offshoots, the Taliban and Lashkar-e-Tayyaba, continue to clandestinely train foreign insurgents, largely from the subcontinent. It is from these terror enclaves that the radicalised are heading home to wreak havoc. The Saudi cities of Jeddah and Medina were breached by suicide bombers one of the bombers a Pakistani expatriate and Bangladesh, where Hindu minorities, free-thinking bloggers gays, and independent savants have been picked out, one by one, and eliminated, in the run-up to the restaurant attack. India should factor in the danger posed by copycat strikes that will seem more and more attractive to a new generation of Indian Muslims drawn by that same spiel of righting perceived wrongs through violence. Already, the trickle of educated Indian Muslims from the coastal cities of Kerala and Karnataka, from Maharashtra and Andhra, as well as in Muslim pockets in Uttar Pradesh, leaving to join IS ranks is turning into a flood. To say Dhaka can never be replicated in India, therefore, may be true only up to a point. Clearly, Bangladeshs political journey from East Pakistan to the present is vastly different from ours but its a difference of degree. Smaller in size, its leaders are personal rivals, embittered enemies pitted against the other. Bangladeshs polity has been marked by two competing forces, inimical to each other, and actively working from 1947 onwards to destroy the other. That its taken on a pro and anti-Pakistan element is of course the impetus that feeds the ugly, unbridgeable divide, complicated further by the murderous ethnic cleansing of moderates that preceded its birth in 1971, and the coups and assassinations that followed. Prime Minister Sheikh Hasina and Khaleda Zia, who lost their kin in gruesome killings, and blame each other for it, head the ruling party and Opposition respectively. Much like the Congress and BJP here, they have used every opportunity to attack each other, with the restaurant massacre only the latest occasion to air their vitriol. In an atmosphere already marred by PM Hasinas war crimes tribunal that has selectively brought to justice and the gallows the men who perpetrated the horrific crimes of 1971, and kept the Opposition out of government, senior ministers in Hasinas government are now openly blaming Khaledas Bangladesh Nationalist Party, and Pakistans ISI with which it has strong ties, and its ally, Jamaat-e-Islami, for the restaurant bloodbath. In fact, despite quickly taking responsibility for the carnage, few believe the Holey Artisan Bakery attack is the sole handiwork of Islamic State. To send out a team of terrorists armed with just pistols, machetes and the toy gun in the Kalashnikov family, AK -22, does reinforce suspicions that while IS may be the progenitor behind Bangladeshs most shocking terror strike, the JIs student wing, Jamaat Shibir, trained by ISI protege Lashkar-e-Tayyaba, possibly executed the attack. Senior officials in Dhaka believe the ISI has everything to gain and nothing to lose by destabilising the India-leaning government in Dhaka. A pro-India Hasina is helping to shut Bangladeshs porous borders with India, limiting ISI terror groups access into India. The climate of fear across our eastern neighbourhood by groups that have borrowed IS tactics to spread panic among minorities, and now foreigners, is aimed at derailing a fast-growing economy, integral to Indias Look East outreach, that is predicated on building strategic and economic links with South-East Asian nations, as a counterbalance to Chinas growing footprint in Asia. What Prime Minister Modi must guard against is simple allowing right-wing forces to come into play before every electoral contest, that seeks to superimpose the value system of a Hindu state. Any bid to consolidate one votebank at the expense of marginalising another makes our free-wheeling democracy vulnerable to attacks from radicalised Islamic lone wolves, nursing a grudge. The only people laughing will be the ISI. In the United States, federal judges, including those on the Supreme Court, are chosen by the President and need the approval of the Senate and, notwithstanding any restriction on age of retirement, hold office during good behaviour. They can, however, on turning 70 and having served 10 uninterrupted years as a judge, request their release from office. Nevertheless, the retired judges still draw their full salary and there is a possibility of recall, to be deployed to lower federal courts. In England, all High Court judges are appointed by the Crown, on the Lord Chancellors advice, who usually consults the Prime Minis-ter. Interestingly, till 1960, there was no retirement age for UK judges. It is only from 1993 that this was fixed at 70 years, extendable to 75 years. A question cropped up on whether the British judiciary should be representative or not. It didnt take long, however, for the home affairs committee and the Lord Chancellors office to repudiate this, and declare: It is not the function of the judiciary to reflect a particular section of the community, as that is for the democratically elected legislature. The judges role is to administer justice in accordance with English and Welsh law. This requires, above all, professional legal knowledge and competence. Social or other considerations are not relevant: the Lord Chancellor accordingly seeks to appoint or recommend for appointment those best qualified who are available and willing to serve at the time. In Australia, High Court judges are appointed by the governor-general in council. Its almost identical in Canada, where superior court judges are appointed by the governor-general and hold office during good behaviour and subject to compulsory retirement at 75. Switzerland follows a slightly different system as judges of the Federal Tribunal are elected by the Federal Legislature for six years. In practice, however, they can be re-elected as long as they are alive and dont wish to discontinue. In reality, most judges resign on turning 70. In Germany, judges of the Federal Court of Justice are picked by the Judges Election Committee, that comprises the secretaries of justice from each of the 16 states and 16 members appointed by the Federal Parliament. In the Holy See (Vatican), the Supreme Court or Supreme Tribunal of Apostolic Signatura comprises the Cardinal Prefect, who is ex-officio president of the court, and two other cardinals. Judicial duties were established by the Motu Proprio papal directive of Pope Pius XII on May 1, 1946. The Cardinal Prefect is appointed by the Pope. In South Africa, the President, after consulting the Judicial Services Commission, a 23-member body, that amongst others consists of judicial executives, MPs, lawyers, a law teacher and several other members designated by the President, appoint the chief justice and other judges, who hold office until discharged from active service by an Act of Parliament. In Afghanistan, the Supreme Court (Stera Mahkama) chief justice is appointed by the President with the approval of the Wolesi Jirga. Bangladeshs President too is the appointing authority of the CJ and other top judges, and they retire at 67. In faraway Argentina, all Supreme Court judges are picked by the President and approved by the Senate. In Brazil too, the chief justice and other judges are appointed by the President and approved by the Federal Senate. In Europe, Austrias Supreme Court judges are nominated by the executive branch and appointed by the President. The Belgian monarch appoints constitutional court judges from a list of candidates submitted by Parliament. Though appointed for life, all judges are mandatorily retired at 70. Even in Algeria, in North Africa, which has a 99 per cent Sunni Muslim population, the judicial system does not include sharia courts. Instead, Supreme Court judges are appointed by the High Council of Magistracy, a body that is presided over by Algerias President and includes the vice-president and several other members. In this global scenario, where does India stand? How globalised are we in the matter of appointment of judges of our higher courts? The Indian judiciary, like the Indian executive and legislature, began superbly with successive landmark rulings by a plethora of legendary judges. But the tampering with the judiciary in 1973 by the executive and legislature of that era came to a head as three eminent Supreme Court judges were brazenly superseded in a show of power and arrogance. Then came the next crack in the 1990s. A sitting Supreme Court judge, despite being accused by his brother judges for misdemeanour, was saved from impeachment by Parliament in a brute show of the supremacy of the legislature-executive over the judiciary. Understandably, therefore, there followed the collegium system (the Chief Justice of India and four senior judges) of 1995, which drastically curbed the centrality of, and monopoly, of the legislature-executive duo over the appointment of Supreme Court and high court judges. The collegium of five sitting Supreme Court judges now became a visible and dominant partner in their sharing role to appoint bench colleagues. Right or wrong, this marked the beginning of an open turf war between the judiciary and the executive-legislature, thereby giving an extremely negative signal to the Indian people. Morally and ethically, and also in most other nations of the world, no judge usually is, or can be, a part of the process of the appointment of fellow judges. These examples from various countries are being cited to draw the attention of those concerned, and those who matter. One only hopes that good sense prevails and the oft-quoted cliche is implemented in letter and spirit: that justice must not only be done, but must be seen to have been done. Can one be a judge of ones own judge? Can the Indian system pioneer a change in the world justice order? Can the Indian system be seen as a specimen imported from Mars? A 6.44-inch screen, a 4850mAh battery and memory of up to 4GB RAM and 128 GB storage -- here's a device that's got a slight identity crisis between being a phone and a phablet, but don't get us wrong, the schizophrenia is good news for us desi lovers of all things big. The recently launched Xiaomi Mi Max is opening up a whole new segment of devices that are heavy on battery, performance and memory, but pleasantly thin and light. After releasing the Redmi Note 3 and the Mi 5, Xiaomi is upping the ante steadily as the year rolls along, but the question is, how much bigger can a phone really get? Click here to read the full review on Xiaomi Mi Max We've been using the Mi Max for a couple of week now and are pretty impressed with the fact that it provides enough battery charge to last for about 2 days on moderate use, switching between Wi-Fi and GPRS, some gaming and emailing. Xiaomi officially claims that the device can play 14 hours of 1080p video over a Wi-Fi network. This is a pleasant reminder of the yesterdays where your favourite Nokia 3310 would require just alternate day charging. Yes, the first couple of days were slightly tricky as one needed to get used to the longer and broader body of the device. But later, it was a breeze, since the Max is actually quite thin (7.5mm) and light (203 grams). Powered by a Snapdragon 650 processor, it comes with a 16MP rear and 5MP front cameras, with the usual beautification and image clarity features, along with a snug fingerprint recognition button at the back. Click here to read the full review on Xiaomi Mi Max The 3GB + 32GB variant of the Mi Max is currently available at mi.com for Rs 14,999, and will be available this week through other online sites. We believe it's a good buy if you are looking to make a statement with your device. Xiaomi will soon release a Mi Max with a Snapdragon 652 processor with a 4GB + 128 GB combo at Rs 19,999. This is 2 much! Xiaomi has also launched its new user interface -- the MIUI 8, and it literally allows you to split your phone into two halves. There are two interesting functionalities -- Dual Apps and Second Space. Dual Apps allows you to have two instances of the same app on the same dual-SIM device, Second Space lets you partition your device, like the C and D drives of a desktop. It is like an extension of your own personality at office and after hours -- one half of the phone with your official emails, spreadsheets and contact lists, the other half with games, a brighter wallpaper, a different bunch of contacts, different dial tunes. MIUI 8 comes with frilly functionalities like auto adding of Book My Show movie tickets to your Google Calendar, to intelligent notification labels that display the logo of Domino's Pizza next to the text message. The calculator has currency conversion capabilities, and a caller ID function can be activated by default so you get crowd-sourced information about fraudulent numbers. Xiaomi will roll out the final version of MIUI 8 by mid-August and it will be available for models including Mi Max, Mi 5, Mi 4i and Redmi Note 3. Click here to read the full review on Xiaomi Mi Max Click on Deccan Chronicle Technology and Science for the latest news and reviews. Follow us on Facebook, Twitter. Nairobi: Amid the expanding footprint of ISIS across the world, Prime Minister Narendra Modi on Monday warned that "preachers of hate and violence" are threatening the fabric of the society and asked the youth to build a counter narrative to extremist ideologies. He also emphasised that those giving shelter to terrorists and using them as political instruments must be condemned, an apparent reference to Pakistan. Addressing the students at the University of Nairobi here, Modi pitched for a world free from terror and hate, saying safety and security of people and societies is essential for realising the benefits of the economic progress. "Preachers of hate and violence are threatening the fabric of our society," he said. Underlining the need to counter radicalization, he said, "Youth can play an important role in building a counter narrative to extremist ideologies." His comments assume significance in view of the expanding footprint of ISIS terror group, which is particularly attracting the youth through a campaign of radicalisation. A recent case in point was the attack in Bangladesh some days back at a cafe by a group of six educated youth in which 22 people, mostly foreigners, were killed. Some of these six attackers were allegedly influenced by the controversial Indian Muslim preacher Zakir Naik, who gives sermons on a TV channel. Apprehensions of some Indian youth getting attracted towards ISIS is also gaining ground as 21 youngsters from Kerala have gone missing mysteriously. The Prime Minister, while speaking about developmental strides, said, "A steady march towards our economic goals is indeed a priority. But, we also cannot ignore the safety of our people." "We must be equally condemning of those who give shelter to terrorists and use them as political instruments," the Prime Minister said, in an apparent reference to Pakistan where anti-India terror groups like Lashkar-e-Taiba and Jaish-e-Mohammad are based and carry out strikes in India with the support of Pakistani establishment. "Terrorism knows no boundaries, no religion, no race and no values," Modi asserted. Turning to maritime security, he said there is a need to stand guard against sea-borne threats. He also pressed the need for ensuring that piracy does not affect the movements in the maritime area and that freedom of navigation is ensured for all. Pitching for closer security cooperation with Kenya and other African countries connected with India by the Indian Ocean, he said the eastern coast of Africa is facing challenges. "Coastal security is a matter of deeper engagement," he said, while asking Africa to ensure that its safety is not compromised. Talking about cooperation with Kenya, he said India is ready to share its experiences and expertise in diversified areas like telecom, agriculture, energy and IT. "As you march towards your destiny, you will find in India a trusted and reliable partner," he told the Kenyans. Expressing keenness to forge a lasting partnership with Kenya, Modi said there are enough opportunities in the 21st century for cooperation, not only for the common good of the people of the two countries but the world at large. "We trade more than ever before. But we must explore ways of working together in more areas," he said, while identifying manufacturing as one of the sectors in this regard. Talking about "India's rise", the Prime Minister said it was happening because of its 800 million youth. "I am one of them," quipped Modi who said he was like a 20-year-old at "heart". He said his government is targeting to create 500 million new jobs by 2020, which is "not possible without reposing faith in the youth". Modi, who offered floral tributes at a statue of Mahatma Gandhi which had been installed in the University complex exactly 60 years ago, spoke about the centuries-old ties between India and Kenya and said these need to be taken forward. "Both India and Kenya are flourishing democracies. Both want peace and prosperity of our people," he said, adding the two countries have been bonded by such values. Praising the Indian diaspora in Kenya, he said the Persons of Indian Origin (PIOs) are treated as the 43rd tribe in this country which has 42 tribes. Nairobi: Seeking to bolster their ties,India and Kenya today decided to deepen and expand cooperation in a wide range of areas as they signed seven pacts, including in the field of defence and security and avoidance of double taxation. Prime Minister Narendra Modi, after his talks with Kenyan President Uhuru Kenyatta here, also announced extension of concessional Line of Credit (LOC) of USD 44.95 million to the African nation to help it in development of small and medium enterprises and textiles. Excellent meeting, with President @UKenyatta. Our discussions were extensive & covered several topics. @PresidentKE pic.twitter.com/ZFk3A3vWd2 Narendra Modi (@narendramodi) July 11, 2016 India will also build a cancer hospital in Kenya to provide quality and affordable healthcare. "The multifaceted development partnership is a key pillar of our bilateral relationship," Modi said at a joint media interaction with Kenyatta after the talks. Read: Modi offers India's experiences, expertise to Kenya He said Kenyatta and he had "agreed that terrorism and radicalisation is a common challenge for our two countries, the region and the whole world. We have agreed to deepen our security partnership including in fields of cyber security, combating drugs & narcotics and human trafficking." The MoU on Defence Cooperation signed will entail staff exchanges, expertise sharing, training, cooperation in hydrography and equipment supply. We have agreed to deepen our security partnership including in fields of cyber security, combating drugs & narcotics & human trafficking: PM PMO India (@PMOIndia) July 11, 2016 Modi said the two countries have shared common interest in the security, including in maritime security, since they are connected by the Indian Ocean. "Closer cooperation in field of maritime security occupies an important place in our defence and security engagement," he said. The Prime Minister said India stands ready to share its development experiences and expertise to assist in Kenya's development objectives. Announcing that India has will fully finance a cancer hospital in Kenya, he said it will not only benefit the Kenyan society with quality and affordable healthcare but also help India become a regional medical hub. Boosting the #IndiaKenya defence partner'p. PM @narendramodi hands over keys of 30 field ambulances gifted to Kenya pic.twitter.com/esC1AjdSh4 Vikas Swarup (@MEAIndia) July 11, 2016 Kenyatta expressed gratitude to India for the LOC -- USD 29.95 million for upgrading of a textile factory and USD 15 million for SMEs -- and building the cancer hospital. He said he would personally follow up on the implementation of the decisions taken, which he said would go a long way in deepening the bilateral partnership and boosting prosperity. Besides MoUs in defence and security, revised pact on avoidance of double taxation and two LOCs, the other agreements were in the fields of visa, housing and measuring standards. Describing Kenya as a "valued friend and trusted partner" of India, Modi said the bonds between the two countries are long-standing and rich, with the two sharing a common legacy of struggle against colonialism. "Our historical people-to-people ties provide a strong basis to our wide-ranging partnership, which extends from agriculture and health to developmental assistance; from trade and commerce to investment; from closer contacts between our peoples to capacity building; and from regular political consultations to defence and security cooperation," he said. "And, today, President and I reviewed all aspects and full range of our relationship," the Prime Minister added. Reiterating that India is one of the brightest spots in the world economy, he said Kenya is a land of strong opportunities. Glimpses from the ceremonial welcome in Nairobi. pic.twitter.com/DPUUJrI3XW Narendra Modi (@narendramodi) July 11, 2016 "India is Kenya's largest trading partner, and the second largest investor here. But, there is potential to achieve much more," said Modi, on the second and final day of his Kenya visit, that marks the end of his four-nation African tour. He said he and Kenyatta agreed the economies of the two countries can benefit more "if we nurture greater intensity of commercial links; take steps towards a more diversified trade basket; and expand our investment ties further." This, he said, would also enable greater regional economic prosperity. "In this, while governments would play their part, it is the businesses of the two countries that have a key role and responsibility to drive our commercial partnership," he added. A full spread of agreements renew an old partnership. PM and President witness exchange of 7 agreements/MoUs pic.twitter.com/spyLRGyNQH Vikas Swarup (@MEAIndia) July 11, 2016 Modi, who arrived here yesterday on the final leg of his four-nation Africa tour, was accorded ceremonial guard of honour at the State House here. "The fourth welcome in 5 days, PM @narendramodi receives ceremonial honours at the State House in Nairobi," External Affairs Ministry Spokesperson Vikas Swarup tweeted. And some more visuals from the ceremonial reception at the State House in Nairobi earlier pic.twitter.com/vAT2d3lAiM Vikas Swarup (@MEAIndia) July 11, 2016 He earlier paid tributes to Mzee Jomo Kenyatta, the first president of Kenya and a towering political figure of Africa. "Homage to a great son of Africa, a friend of India. PM lays wreath at Mausoleum of Jomo Kenyatta, 1st Prez of Kenya," Swarup said. Ahead of the visit, the Prime Minister had said, "India-Kenya ties have stood the test of time. Both our nations have had very strong people-to-people ties and both nations have successfully fought colonialism in the previous century." He visited Mozambique, South Africa and Tanzania before arriving here. The focus of the African tour is on deepening cooperation in areas of hydrocarbons, maritime security, trade and investment, agriculture and food. "We look forward to early implementation of the Indian lines of credit for projects in agricultural mechanization, textiles and the development of the small and medium sector," the Prime Minister said. "We are also encouraged by the progress in the power transmission project under an Indian line of credit of 60 million dollars," he said. Kenya's highly successful eothermal sector, and energy efficiency projects such as LED based smart street lighting, are a couple of new areas where the two countries can build their engagement, Modi said. Noting that health care is President Uhuru's key priority, he said India, with strengths especially in pharmaceuticals, can join hands with his priorities to shape an affordable and efficient healthcare system in Kenya. In this regard, he said the prestigious Kenyatta National Hospital will soon have the state of the art made in India cancer therapy machine, Bhabhatron. "We are also donating essential medicines and medical equipment for Kenya's public health system, including for the treatment AIDS," said Modi who also donated some field ambulances to Kenya today. He said India is also ready to partner with Kenya in the fields of education, vocational education and skill development. Modi also announced that Festival of India will be held in Kenya later this year to showcase the vibrant Indian culture, which is already a part of Kenya's rich society. Kenyatta added that his country is keen to have cooperation in the field of sports, with India having expertise in cricket and Kenya in athletics. He told Modi that Kenya is his "second home" as he emphasised that people-to-people contacts are the bedrock of the bilateral ties. Later a joint communique was issued which said the Kenyan side noted India's offer of an LoC for acquisition of defence equipment and agreed to continue cooperating in this sector. It said both sides agreed to share information and expertise in counter terrorism, cyber security, combating drugs and human trafficking. "The two leaders condemned terrorism in all its forms and manifestations and agreed to work together in building a new global resolve and strategy for combating terrorism, including expediting the finalisation of the Comprehensive Convention on International Terrorism at the UN," it said. In the talks, India agreed to share expertise with Kenya on space-based applications, weather forecast and disaster management support. The communique said the possibility of export of pulses from Kenya to India was also explored. The Kenyan President requested India to consider expanding training and capacity building programmes in areas such as medicine, pharmacy and dentistry. The two leaders also welcomed the setting up of an ICCR Chair for Indian Studies at the University of Nairobi. Prime Minister Modi announced a grant of USD 1 million for renovation of the Mahatma Gandhi Graduate Library at the University of Nairobi, it said. The communique said in response to Kenya's request to develop its film industry, India offered to consider deputation of Indian faculty to the School of Film Making in Nairobi. The two sides agreed to exchange coaches for training in athletics and cricket as a way of promoting sports cooperation. Noting that capacity building is an essential element in achieving energy access and energy security, India will support training and capacity building in the areas of clean and renewable energy transmission and grid integration of renewable energy network. The two leaders emphasised the importance of an early reform of the United Nations Security Council, in both permanent and non-permanent membership categories, so that it reflects the current realities and functions in an accountable, representative and effective manner, the communique said. Modi and Kenyatta agreed to work towards finalising an agreement on cooperation in the blue economy sector. The Prime Minister of India extended an invitation to President Kenyatta to visit India, which he accepted, said the communique. New Delhi: India is planning evacuation ofi ts nationals from South Sudan, which is witnessing escalated violence due to clashes between anti and pro government forces, and has advised Indians not to travel to the war-torn country. In a series of tweets, External Affairs Minister Sushma Swaraj today said, "South Sudan - I am aware of the developments in South Sudan. We are planning evacuation of Indian nationals." South Sudan - I am aware of the developments in South Sudan. We are planning evacuation of Indian nationals. /1 Sushma Swaraj (@SushmaSwaraj) July 11, 2016 "Please register yourself with Indian Embassy and do not panic. Indian nationals are advised not to travel to South Sudan." Indian nationals are advised not to travel to South Sudan. /3 Sushma Swaraj (@SushmaSwaraj) July 11, 2016 Yesterday, Indian embassy in South Sudan had asked Indians stranded there to stay calm, assuring them that they are in constant touch with authorities in India and all options are being considered to provide relief to them amid heavy fighting between South Sudan's army and former rebels. "The Indian embassy is in regular touch with concerned authorities in India and all options are being considered for providing relief to Indians stranded here in Juba. All are requested to keep calm and await further development and further inputs from the embassy," the advisory said. According to official data, there are a few hundred Indians in South Sudan. Some of them have set up businesses in Juba and others are working for various companies. A small number of Indian nationals also work in Christian missionary organizations in that country. South Sudan's capital is witnessing heavy fighting due to clashes between former rebels and government soldiers in several parts of the city. "The security situation in Juba, the capital city of South Sudan, has deteriorated since 07th July, 2016. We have reports of gun fire and fighting on the streets of Juba making any movement virtually impossible. "Ministry of External Affairs advises all Indian nationals against all travel to South Sudan till situation improves," MEA said in its latest advisory. Nairobi: Describing terrorism as one of the two major challenges facing the world, Prime Minister Narendra Modi said on Sunday that all forces believing in humanity must come together to defeat this anti-humanity menace. Addressing the Indian diaspora in Nairobi during his hour-long address and amid repeated chants of Modi, Modi, he spoke on a number of issues, including the initiatives taken by his two-year old government for transforming the lives of common people in India and fulfill their dreams. Significantly, Kenyan President Uhuru Kenyatta accompanied Modi to the Kasarani Stadium where he addressed the gathering of estimated 20,000 Indians and people of Indian origin, soon after his arrival from Tanzania. Talking about the challenges facing the world, the Prime Minister identified terrorism and global warming as the two problems. These are challenges to the entire humanityThe world needs to come together to deal with these, he said. Those believing in humanity must come together. The sooner they come together, the sooner terrorism can be ended Therefore, those believing in humanity must join forces to defeat anti-humanity forces. We need this resolve, he said. With regard to global warming, Modi said India can show the way when it comes to mitigating the problem of climate change. Talking about domestic issues of India, he said in the run up to 2014 Lok Sabha elections, his critics would question as to what he would do by becoming the Prime Minister as he belonged to a small state of Gujarat. Several questions were raised, he said, pointing out that his critics even wondered whether he had any knowledge about foreign affairs. I have no complaints against anyone.It (questions) was correct also. I saw Parliament after becoming the Prime Minister, Modi said. The Prime Minister then added that during the two years of his rule, India has seen good governance and implementation of announcements, unlike the past when decisions were taken without anybody bothering as to what happened to them. The last two years saw less rainfall in many parts of India, so much that we had to run trains to ferry waterApparently God also wanted to test me, he said. Also, the world was going through recession. Despite that India saw a growth of 7.6 per cent. This is a matter of pride. But we are not going to stop here. We have to move ahead, go higher and reach over 8 per cent growth, he said. We gave an impetus to good governance and to bring a positive difference in the lives of our citizens, Modi said amid repeated sloganeering like Bharat mata ki jai. Contending that India has started to change and move towards progress, he said whatever has happened is due to work being conducted in a planned fashion. The country is changing because of its 125 crore people and their resolve I recognise the strength of 125 crore Indians who are determined to move ahead. This is the real public power, the Prime Minister said. He spoke about his resolves like ensuring toilets are built in government schools and making available electricity within 1000 days to 18,000 villages which have remained without power for 70 years. He also talked about Start-Up programme and the Mudra Bank to fund such initiatives, saying 3.5 crore people have been given Rs 1.25 lakh crore as loans without any guarantees under the programme. Our youth have the initiative, innovation and energy. They just needed somebody in New Delhi to hand-hold them, he said, adding under the Start-up initiative, they would not only be getting employment for themselves but would also be giving jobs to others too. He told the Indian diaspora that details of all the work done by his government could be obtained from the Narendra Modi App. Improving the quality of life for the ordinary Indian is the main task of my government, the Prime Minister said. Referring to his initiative about toilets announced by him in his first Independence Day address in 2014, he said his critics had criticised him for talking about such a mundane issue when I had got a chance to speak about big issues from the Red Fort. He said there had been talk about eradicating poverty earlier but it can be removed only by empowering the poor and making them to stand on their own feet. Today, when the world is facing an economic crisis, India is growing at a quick pace. Be it the World Bank, IMF or any rating agency, everyone is saying with one voice that India is the brightest spot in the world, Modi said. This has not happened suddenlyIn the last two years, one by one, this government has taken steps because of which the world today is looking at India differently. This is a matter of pride for all Indians. No such thing has been done which would make Indians to hang their heads in shame. Today, Indians can move around the world holding their heads high, he said. It is because of you all that the worlds way of looking at India has changed and they look at India in a way that makes us all happy, he said. He said the world acknowledges the prowess of Indias space scientists who just launched 20 satellites in one go. But India is not a selfish nation, only bothered about itself. We believe in Vasudhaiva Kutumbakam (the whole world is one), he said. Declaring that Africa is very vital for India, Modi said, Our journeys have been shared and that he would like the two to move together in the future also. He praised the Indian diaspora, saying they were the true ambassadors of the country and the living embodiments of Indias eternal values. Earlier, Kenyatta also hailed the Indian diaspora while noting that the relations between India and his country went back to over 100 years. Washington: Three countries have warned their citizens to stay on guard when visiting US cities rocked by sometimes violent protests that erupted after a string of police shootings of black Americans. The United States regularly issues travel warnings urging Americans to either avoid or exercise caution in countries marred by violence or political instability. Now America is the focus of concern by foreign governments in the Middle East and Caribbean as protesters marched in US cities throughout the weekend after police killed black men in Louisiana and Minnesota. The protests have led to numerous arrests, scuffles and injuries in confrontations between police and demonstrators. America was also tense after a lone black gunman on Thursday shot dead five police officers during a protest in Dallas. The US embassy of Bahrain, a tiny Middle Eastern island nation, on Saturday urged citizens via twitter to be cautious of protests or crowded areas occurring around the US. Bahamas, a Caribbean nation where most people identify as being of African heritage, on Friday warned its people to be careful when visiting US cities rocked by shootings of young black males by police officers. In particular young males are asked to exercise extreme caution in affected cities in their interactions with the police. Do not be confrontational and cooperate, Bahamas foreign ministry said in a travel advisory. The United Arab Emirates urged its students and other citizens in the United States to also be careful, using similar language the US State Department employs when warning Americans about countries that have fallen victim to attacks by extremists. Please be aware of immediate surroundings and avoid crowded places when possible, the UAE embassy said in a statement that urged people to stay away from any US demonstrations. Exercise particular caution during large festivals or events, be alert and stay safe. In July alone, the United States has issued travel warnings for Bangladesh, Venezuela, Iraq and Mali. On Sunday, some tourists in New York's bustling Times Square said they were nervous about the tension and recent violence in America. I don't like to be in crowded places anymore, said Eleanor Fairbrother, who was visiting from Ireland. Sanders has been negotiating with the Clinton campaign to ensure that his ideas are part of the party platform presented at the Democratic convention later this month, when Clinton is formally nominated. (Photo: AP) Washington: Bernie Sanders will join Hillary Clinton at a presidential campaign rally in New Hampshire on Tuesday, her campaign announced. The Clinton campaign said Monday that Sanders will join the former secretary of state at a high school in the town of Portsmouth "to discuss their commitment to building an America that is stronger together and an economy that works for everyone, not just those at the top." Sanders has yet to endorse his former rival for the Democratic nomination. But the New York Times reported last week that Sanders would appear at a Clinton rally Tuesday in New Hampshire, and that he would formally endorse her. The daily said the anticipated pledge of support is the result of weeks of talks between the two campaigns aimed at unifying the party. Clinton clinched enough delegates to secure the nomination in early June, after a yearlong battle with Sanders, a senator from Vermont. But the feisty self-described democratic socialist nevertheless has refused to concede defeat to his more moderate rival, although he has said he will vote for Clinton and will do anything to help defeat Republican Donald Trump. Sanders has been negotiating with the Clinton campaign to ensure that his ideas are part of the party platform presented at the Democratic convention later this month, when Clinton is formally nominated. Party officials met over the weekend in Orlando, Florida to finalize the Democratic platform for the November election. The two sides reached agreement on language concerning climate change, health care and raising the minimum wage in America to $15 per hour. They failed, however, to reach common ground on the Trans-Pacific Partnership trade accord, media reports said. News / Regional by Staff reporter As Zimbabwe enters unchartered and turbulent waters, popular preacher Emmanuel Makandiwa yesterday said the chaos unfolding in the country has been authored by senior people surrounding President Robert Mugabe who are eager and desperate to see his back.Without mentioning names, Makandiwa said some of Mugabe's close henchmen had deliberately subjected people to untold suffering so that anger against the 92-year-old escalates.Makandiwa, who is the leader of the United Family International Church, was quoted by some of his congregants saying that the country's leadership feels little of the pains that the majority of Zimbabweans are faced with because they are insulated by their riches.Although efforts to speak to Makandiwa's spokesperson Prime Kufa were futile as his mobile phone rang unanswered, those who attended the church service yesterday told the Daily News that the popular preacher said "President Mugabe is in trouble" and is working with people who are working against him."Zimbabweans aren't asking for teargas. They are asking for bread. Even Jesus said there is no father who can give his child a stone when he is asking for bread" a follower of Makandiwa quoted the charismatic preacher as saying."I was standing here in 2014 and I said children of Zimbabwe are going to erupt like a volcano. This is something we cannot afford to ignore."The children are bitter and there are certain things we cannot afford to ignore," Makandiwa reportedly said.Commenting on the recent import ban, Makandiwa is reported to have said he initially thought it was a joke." When I heard of the import ban I said it should be a joke. I could not imagine learned people sitting down and resolving to ban imports. You cannot promote a product that is non-existent. But all this is being done to incite people to revolt".According to those who attended the service, Makandiwa said that the import ban was a joke because there is no industry to protect at the moment.He also told his followers that the problems facing the country are being orchestrated by people around Mugabe."This is deliberate, it's being planned by men who are close to the president, they are the ones planning the demonstrations. Our president is in trouble. There shall come time when these same men shall go door to door beating up people to join the demonstrations. They want to foment chaos," Makandiwa said.And a video which has since gone viral showing police details torturing suspected perpetrators of last week's crippling demonstrations has also caught the attention of the usually taciturn clergy - which expressed revulsion at the brutal nature of the country's law enforcement agents.And Makandiwa told his multitude of followers that even in the face of difficulties, attempts by Zimbabweans to find solutions through prayers can also be thwarted by police who can even beat up people in prayer."Their kids don't learn in Zimbabwe. Events in Zimbabwe don't affect them because they are sorted. It's ordinary man that suffers," Makandiwa reportedly said.He added, "Even if you call for national prayer and you are down on your knees praying they will beat you up so as to incite violence."Makandiwa joins several other clergymen who have commented on the current situation, with some going to the extent of calling Mugabe to step down.Some of the churchmen who have been very vocal on the current economic situation include #ThisFlag pastor Evan Mawarire, Munyeza, Tudor Bismark and Ancelimo Magaya.But it is the addition of Makandiwa's voice that has sat tongues wagging in the country as Zimbabwe enters a homestretch.For the past 36 years of Mugabe's rule, pastors have not ventured into politics preferring to remain aloof.However, as the Zimbabwe crisis continues deepening, they have found their voice to speak about the social ills and the need to reform.Only last week, citizens embarked on a massive stay away, which resulted in the arrest of several public on public violence allegations.Several were brutalised by the police. A video circulating on social media platforms, showing police brutality has irked many people especially the church."My heart weeps at this barbaric, inhuman and totally disgusting act of brutality," said Faith Ministries pastor and businessman, Shingi Munyeza on his Facebook page, calling on the perpetrators to be brought to book. Munyeza said such brutality should not be allowed in modern society. Dallas police officers comfort each other in Dallas in front of police cars decorated as a public memorial in front of police headquarters, in memory of police officers who were killed. (Photo: AP) Dallas: The Dallas gunman was plotting a major bomb attack, authorities said Sunday, urging calm after more than 200 people were arrested in a new night of protests over police violence against blacks. Anger around America over the deaths of two black men at the hands of police in recent days -- the stated reason for the black Dallas gunman's deadly rampage targeting white officers -- showed no signs of abating. President Barack Obama cautioned protesting Americans against casting all police as racially biased. Obama has ordered flags at half-staff 67 times in response to tragedies, more than any other president before him, and may address that grim record when he speaks at an inter-faith memorial service Tuesday. Vice President Joe Biden will also attend, along with Obama's predecessor and ex-Texas governor George W. Bush, who will deliver brief remarks, and his wife Laura. The Dallas community's "unity is reflective of who we are as Americans" during these trying times, said Obama, who will meet privately with the families of the five fallen police officers and those wounded. The past week's violence has shocked a country seemingly inured to its epidemic of gun violence and injected new urgency into the national debate on race relations and how white police deal with black suspects. "The best way to honor the memory of Alton Sterling, the Dallas law enforcement officers and Philando Castile is to protect the people here," Louisiana Governor John Bel Edwards said, referring to those killed in recent days. Protesters will not be "allowed to incite hate and violence, to engage in unlawful activities," he added. People inspired by the Black Lives Matter movement -- which arose in response to police using lethal force against unarmed blacks -- took to the streets in Los Angeles, New York and San Francisco in largely peaceful protests. But authorities said a full-scale riot broke out in Saint Paul, Minnesota and resulted in 102 arrests. Protesters blocked a freeway and attacked police with rocks, bottles, fireworks, Molotov cocktails and metal bars. Twenty-one officers were injured in the hours-long melee, one of them when a rioter dropped a 25-pound (11-kilogram) chunk of concrete on his head from a bridge or overpass, police spokesman Steve Linders said. It was in a Saint Paul suburb that one of last week's deaths occurred. In Baton Rouge, where the other death took place, one officer was injured and 102 protesters were also arrested, Sheriff Sid Gautreaux said. Among them was activist leader DeRay McKesson, who livestreamed the incident. He was released on bond Sunday. "The only people that were violent last night were the Baton Rouge police department," McKesson told reporters outside the jailhouse. "The protesters remain peaceful both here and across the country." Both killings were caught on horrific video that quickly went viral. In a similar case, a black man was shot dead by police Saturday in Houston, the largest city in Texas. City police said that Alva Braziel was carrying a gun, and was believed to have pointed it at officers, but in surveillance footage from a nearby gas station, he appears to put his hands up and turn around. More than 500 people have died from US police bullets so far in 2016, according to data compiled by The Washington Post. Devastating effects Chilling new details about Dallas shooter Micah Johnson fleshed out a still sketchy portrait of the 25-year-old US Army reservist and Afghanistan war veteran who apparently supported black militant organizations, some classified as hate groups, and died in the standoff with police. Johnson opened fire Thursday evening with a powerful rifle during a peaceful protest against the shooting deaths of the two men in Louisiana and Minnesota, triggering hours of chaos in the downtown section of this major Texas city. A search of his Dallas-area home turned up bomb-making materials and a manual in which he wrote about military tactics. Police now say he had been planning something big long beforehand, and that last week's deaths were a trigger. Investigators believe that "the suspect had been practicing explosive detonations and that the materials were such that it was large enough to have devastating effects throughout our city and our North Texas area," Dallas police chief David Brown told CNN's "State of the Union." Johnson toyed with police as he negotiated with them during a standoff after he first started shooting. "We had negotiated with him for about two hours. And he just basically lied to us, playing games, laughing at us, singing, asking how many did he get and that he wanted to kill some more," Brown said. Scrawled in his own blood Johnson insisted on speaking only to a black police officer when he began negotiating, Brown said. He knew the route of the Dallas march, triangulating police and started taking them out with his high-caliber rifle. At one point earlier, Johnson, apparently wounded in the exchange of gunfire with police, wrote the letters "RB" in his own blood on a wall at the community college where he was holed up. Brown said it was not immediately clear what those letters meant. Sharpshooters could not get a view of Johnson as he hid behind a brick wall, so police used a bomb carried by a robot. "I'll do it again if presented with the same circumstances," Brown said. Demonstrators marched demanding justice for two black men shot dead by cops in Minnesota and Louisiana, their dying moments captured in video that went viral online. (Photo: AP) Dallas: The Dallas gunman was plotting a major bomb attack, authorities said, urging calm as hundreds of people were arrested in weekend protests in US cities over police violence against African-Americans. Demonstrators marched demanding justice for two black men shot dead by cops in Minnesota and Louisiana, their dying moments captured in video that went viral online. Peaceful marches attracted large crowds in major US cities, but became especially unruly in St. Paul, Minnesota and Baton Rouge, Louisiana, where police killed the two men. Scores of people were arrested in both cities on Saturday and Sunday. The demos come days after black army Afghan war veteran Micah Johnson, 25, used a high-powered rifle to kill five police officers and wound seven in a sniper attack at a protest in Dallas, Texas late Thursday. Johnson said before he was killed that he wanted to murder white cops in revenge for the black deaths. Seeking to restore calm, President Barack Obama, scheduled to speak in Dallas at an inter-faith memorial service Tuesday, cautioned protesters against casting all police as racially biased. The Dallas community's "unity is reflective of who we are as Americans" during these trying times, said Obama, speaking on Sunday in Madrid. The president, who cut short his European visit, will meet privately in Texas with the families of the five fallen police officers and those wounded. Vice President Joe Biden will also be at the Dallas memorial, along with former president and ex-Texas governor George W. Bush. Large crowds gathered to march peacefully Sunday in Atlanta, Washington DC and New York. In Baton Rouge, at least 48 people were arrested Sunday, local media said, hours after Sheriff Sid Gautreaux said that 102 protesters had been arrested in late Saturday demonstrations. Among them was Black Lives Matters activist leader DeRay McKesson, who livestreamed the incident. He was released on bond on Sunday. "The only people that were violent last night were the Baton Rouge police department," McKesson told reporters outside the jailhouse. Gautreaux however said that one officer was injured late Saturday. Protesters will not be "allowed to incite hate and violence, to engage in unlawful activities," said Louisiana Governor John Bel Edwards. On Sunday, police in armored cars and clad in riot gear confronted a crowd in what began as a peaceful march, the local The Advocate newspaper reported. According to BH, its editor invited all militant groups in Indonesia and the Philippines to unite and pledge allegiance to IS leader and self-styled caliph Abu Bakr Al-Baghdadi. (Photo: Representational Image) Kuala Lumpur: Terrorist group Islamic State(IS) is trying to expand its foothold in Southeast Asia by launching a Malay-language newspaper to woo its supporters in the region, according to a report on Monday. The newspaper named Al-Fatihin, meaning 'The Conqueror' in Arabic was launched in southern Philippines on June 20 and is being distributed in Malaysia, Indonesia, Brunei, Singapore, southern Thailand, as well as southern Philippines where Muslims speak the Malay language. The move can be seen as an "unofficial warning" that the Malay archipelago is in ISIS's sights, an unnamed security expert was quoted as saying in the report by Malay daily Berita Harian (BH). "This psychological campaign means that the terrorists have a big objective, which is to expand their influence among people who understand the Malay language," said the expert. "Based on the way the language is used, we believe the writer or editor of the paper may be from this country," the expert added. A paper by the International Centre for Political Violence and Terrorism Research at S Rajaratnam School of International Studies, Nanyang Technological University, Singapore, last month provided some details on the newspaper's first issue. The 20-page edition focussed on the month of Ramzan and the act of jihad or "holy struggle," with a three-page message from Egyptian ideologue Abu Hamzah al-Muhajir, also known as Abu Ayyub al-Masri, calling on IS fighters to "continue their jihadist activities, search for martyrdom and kill and crucify the polytheists, disbelievers, oppressors and transgressors". According to BH, its editor invited all militant groups in Indonesia and the Philippines to unite and pledge allegiance to IS leader and self-styled caliph Abu Bakr Al-Baghdadi. Malaysia's Special Branch Counter-Terrorism Division senior assistant director Ayob Khan Mydin Pitchay refused comment on the matter but said the IS is believed to be expanding its media arm, in the fields of information technology, audio visual, processing and publishing to maintain its global communications. London: Theresa May will take over as new Prime Minister on Wednesday, British Premier David Cameron said on Monday after the home secretary's only rival in the race to become Conservative Party leader pulled out unexpectedly. Cameron said he will chair his last Cabinet meeting tomorrow and attend House of Commons for his last Prime Minister's Questions on Wednesday before heading to Buckingham Palace to offer his official resignation to Queen Elizabeth II. "We will have a new Prime Minister in that building behind me by Wednesday evening," Cameron told reporters outside 10 Downing Street. "I am delighted Theresa May will be Prime Minister. She has the overwhelming support of the Conservative parliamentary party, she is strong, she is competent, she is more than able to provide the leadership that our country is going to need inthe years ahead," said Cameron, who had announced he would be stepping down for a new prime minister to take the Brexit negotiations forward with the European Union a day after the June 23 vote in favour of Britain leaving the economic bloc. 59-year-old May would become Britain's second female Prime Minister after Margaret Thatcher. Earlier on Monday, May's only rival Andrea Leadsom pulled out from the two-way contest for the Conservative party leadership in a dramatic move, leaving May sole contender. Leadsom, who was energy minister in the Cameron-led Cabinet, threw her support behind May as "ideally placed" to enforce the vote for Brexit in last month's referendum on Britains membership of the European Union (EU). "The interests of our country are best served from the immediate appointment of a strong and well-supported Prime Minister. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success.I assure her of my full support," Leadsom told reporters. "The best interests of our country inspired me to stand for our leadership. I believe in leaving the EU a bright future awaits. The referendum result represented a clear desire for change," the 53-year-old senior Tory MP said. It then fell to the 1922 committee of Conservative MPs to decide the revised timetable for the leadership race. Conservative MP Graham Brady, the chairman of the committee, told reporters soon after Leadsom's announcement that May is now the only candidate for the party leadership, which only leaves the formal announcement of her as party leader and PM. The 22-member-strong Conservative Party board began urgent talks over handing the keys to No. 10 Downing Street to May, being dubbed the last woman standing by the UK media. Cameron's statement then confirmed that there was no need for a "prolonged" Conservative party leadership contest. Leadsom's withdrawal had effectively nullified the need for a postal ballot by the UK-wide Conservative party membership and made it certain that a new leader would be officially declared much sooner than the September 9 timeline initially announced. Leadsom's announcement followed days of controversy aroundher candidature, which included allegations of exaggerating her curriculum vitae and most recently having to apologise to her rival over an interview to The Times which suggested that being a mother made her a better candidate for the job. May had recently publicly revealed her own sadness at not being able to have children. Reacting to Leadsom's decision, May's campaign chief Chris Grayling MP said it showed what a "principled and decent politician she is". In a message to the party, he said: "Now is the time for us to unite and get on with the job of securing a strong, prosperous future for our country." In a speech earlier today setting out her leadership campaign platform and before the days surprising developments, May said: "Brexit means Brexit and we're going to make a success of it." There had originally been five contenders to succeed Cameron, with MPs voting in two rounds to get that number down to two - and the plan then was that the party's 150,000-strong membership would have had the final say. Meanwhile, Opposition Labour, the Liberal Democrats and the Green Party are calling for a snap general election, rather than waiting for the contest scheduled for 2020 under the UKs Fixed Term Parliaments Act to get a mandate from the British electorate. Her mother had always been telling her about her real father being 'a very bad man who had hurt two young girls.' (Photo: YouTube Screengrab) Soham, England: Weeping in heartbreak and anger, 18-year-old Samantha Bryan revealed how she found out that a man convicted for murdering two minor girls was in fact her biological father. Samantha was just 14-year-old when she was assigned a school project during her citizenship class in which all the children were given a list of criminal names to research on. While researching about notorious criminals, Samantha came across an unfamiliar name -- Ian Huntley. When I got to the name Ian Huntley it meant absolutely nothing to me, nothing at all, Samantha was quoted as saying. As a part of her class project, Samantha said that she typed in his name in Google and started scrolling through his photographs and reading headlines that branded Huntley as a 'cold-blooded child killer', according to a report in the Daily Mail. While she was reading more about Huntley, Samantha came across a pixelated photograph of a woman with a young girl. Samantha took no time to discover that the woman in the photo was her own mother and the little girl standing besides her mother was herself -- at the age of four. "'Why was that picture there? What did he have to do with me? I clicked off the picture immediately. I began to shake, I couldn't stop the tears, a teary-eyed Samantha said. Samantha already knew that the man she calls 'dad' is not her real father. Her mother Katie Webber married Martin Bryan several years ago and Bryan had also legally adopted Samantha two years after the marriage. Her mother married Bryan after she walked out of her previous marriage after being subject to physical abuse. Her real father had beaten her mother, raped her when she was pregnant and even thrown her down the stairs in order to kill the child she was carrying. Her mother had always been telling her about her real father being 'a very bad man who had hurt two young girls.' Samantha did not take much time to realise that that the cold-blooded murderer she was researching on was that very bad man -- her biological father. Ian Huntley was convicted for murdering two school girls -- Holly Wells and Jessica Chapman in 2002. Holly and Jessica who were hacked to death by child killed Ian Huntley in 2002 at a barbeque party in Soham. (Photo: YouTube) Huntley was charged with two counts of murder and was sentenced to a term of minimum 40 years in prison. Samantha said that her real father was a murderer who took others' lives and unlike him -- she wants become a paramedic and save lives. I try not to even say his name, as to do that is to acknowledge his existence. I hate him. Hes never been my dad, hes nothing more than a sperm donor. To know he is genetically connected to me sickens me, said Samantha. Im speaking out, as I refuse to be ashamed for existing, otherwise Id become just another of his victims. To acknowledge him as anything else would be to give him a power I will never let him hold over me. I didnt cause the pain, suffering and anguish he has caused to so many people, although I think of his victims and their families. I feel so terribly sorry for Holly and Jessicas parents for what hes done. Especially with young sisters myself. Ever since I found out about the girls Ive thought about what they must have felt, she further added. Vatican City: Pope Francis on Monday appointed the tiny states first-ever female deputy spokesperson and named a former Fox News correspondent to head up the Vatican press office. His deputy will be Spanish journalist Paloma Garcia Overo, 40, previously the Rome and Vatican correspondent for the Spanish broadcaster COPE. In her role as spokeswoman to the worlds media, Ovejero, who is from Madrid, will soon become one of the most prominent women in the Vatican hierarchy. American Greg Burke, 56, brought in by the Vatican in 2013 to overhaul its public-relations operation, will take up the post on August 1, when outgoing chief spokesman Federico Lombardi steps down. Burke, who also worked as correspondent in Rome for the Catholic weekly National Catholic Reporter and Time magazine, is a numerary member of the influential conservative Catholic group Opus Dei, meaning he is a lay person but is celibate. Vatican watcher John Allen, writing for the Cruxnow website, said the appointments showed the popes wisdom and strength. Hes debunked impressions of being anti-American, hes shown that competence matters, and hes signalled openness to groups seen as conservative, he wrote. For a bonus, Francis tapped a lay woman as Burkes number two... a veteran journalist whos well-liked and well-respected in the Vatican press corps, and who brings enormous good will to the post. Jesuit Father Lombardi, who turns 74 next month, steps down after heading up the press office for 10 years, through much of Benedict XVI's papacy and his shock resignation as well as the first three years of Franciss. Burkes appointment may rile the Vaticans old guard, the Italians, but it will likely soothe those worried Francis is overly liberal. Dhaka: Bangladesh on Monday scrapped the downlink permission for 'Peace TV' of Indian Islamic preacher Zakir Naik, a day after it banned the channel following reports that his "provocative" speeches inspired some of the militants who carried out the country's worst terror attack at a cafe here. The Information Ministry issued the order to cancel the Peace TV's downlink permission needed to broadcast in the country. "Based on the Cabinet committee's decision, free-to-air TV channel Peace TV's downlink permission has been cancelled for violating downlink conditions," the ministry said in the directive. A spokesman of Bangladesh Telecommunication Commission (BTRC) said, "Its (Peace TV) downlink permission has been cancelled in line with a information ministry's decision." Read: Zakir Naik being harassed for no valid reason, says Congs ally IUML The action came a day after Cabinet Committee on Law and Order during a special meeting on Sunday decided to ban the Mumbai-based preacher's channel. Doctor-turned Naik's speeches are believed to have inspired some of the Bangladeshi militants, who killed 22 people, mostly foreigners, at an upscale restaurant in Dhaka on July 1. A spokesman of the Information Ministry said copies of the circular have been sent to the Home Ministry, press information department, state-run BTV and cable operators association KOAB. Home Minister Asaduzzaman Khan had earlier said that Bangladesh's intelligence agencies were investigating the 50-year-old Naik's possible role in the cafe attack. "He is on our security scanner. Our intelligence agencies are investigating his activities as his lectures appeared provocative," Khan had said. Khan had said the investigators were also probing Naik's financial transactions in Bangladesh. One of the slain attackers of the terrorist attack in Dhaka's high-security Gulshan area, the 22-year-old Rohan Imtiaz quoted Naik in a Facebook post in January this year where he urged "all Muslims to be terrorists". The activities of Naik's Mumbai-based Islamic Research Foundation are also under the scanner of Indian Home Ministry amid allegations that funds from abroad received by it have been spent on political activities and inspiring people towards radical views. The Hague-based tribunal will decide on the 2013 case that challenges the so-called nine-dash line that China uses to claim virtually the entire South China Sea and which Manila opposes because it infringes upon its own 200-mile exclusive economic zone. (Photo: AP) Beijing: China has intensified the drumbeat of its opposition to an international tribunal's ruling expected Tuesday that could threaten its expansive claims in the South China Sea. How Beijing responds to the ruling in the case filed by U.S. ally the Philippines could chart the course of global power relations in an increasingly dangerous hotspot. It comes as the U.S. has ramped up its military presence in the region and could seek to marshal world opinion to pressure Beijing into complying with the verdict. A new Philippine leader who appears friendlier to Beijing could also influence the aftermath of the ruling. The Hague-based tribunal will decide on the 2013 case that challenges the so-called nine-dash line that China uses to claim virtually the entire South China Sea and which Manila opposes because it infringes upon its own 200-mile exclusive economic zone. The dispute centers on waters through which an estimated $5 trillion in global trade passes through each year and are home to rich fishing stocks and a potential wealth of oil, gas and other resources. The Philippines has also asked the tribunal to rule on whether several disputed areas are outcrops, reefs or islands, a move aimed at clarifying the extent of territorial waters they are entitled to or if they can project exclusive economic zones. More than merely about the sovereignty over the rocks and reefs or the actual waters, the South China Sea dispute has become a testing ground for a rising China to challenge the U.S.'s leadership in the Asian strategic order, analysts say. Beijing wants to use this dispute to show how "China's own growing maritime power and its economic significance to the United States and the global economy have reached the point where the United States can no longer afford to stand up to China," said Hugh White, professor of strategic studies at The Australian National University. "That calculation might prove to be wrong." China has boycotted the case, arguing that the tribunal has no jurisdiction and saying it won't accept the ruling. It has insisted that bilateral talks between Beijing and other claimants is the only way to address the dispute. Some experts have speculated that China could respond to an unfavorable ruling by establishing an air defense identification zone over all or part of the South China Sea. There is similar speculation that China might militarize a reef off the Philippine coast, the Scarborough Shoal, where a standoff with China prompted the Philippines to initiate the tribunal case in 2013. Beijing has given no direct indication of a tougher response, saying it remains committed to bilateral negotiations with Manila. Tuesday's ruling might further pressure China to clarify what exactly it is claiming with its "nine-dash line" boundary. Findings of the tribunal are binding on the parties, including China. But the court - without police or military forces or a system of sanctions at its disposal - can't enforce its ruling, so its potential impact remains unclear. Still, in recent weeks, China has spared no effort to denounce the proceedings as unlawful, publishing state media commentaries and deploying senior military officers, current and former top officials and academics to relentlessly convey Beijing's opposition. On Monday, the day before the verdict, the overseas edition of the ruling Communist Party's mouthpiece, the People's Daily, urged the Philippines to return to talks with China and the U.S. to stay out of the dispute. The arbitration outcome, known as an "award," was dismissed by former Chinese state councilor Dai Bingguo, in a conference in Washington, D.C., last week, as "nothing more than a piece of paper." Beijing has faced mounting calls to observe international law. At a U.S. congressional hearing last week, Abraham Denmark, deputy assistant secretary of defense for East Asia, urged both parties to comply with the ruling. Denmark said it was a chance to determine whether the region's future will be defined by adherence to international laws or by "raw calculations of power." China might use strong rhetoric but not take aggressive action to avoid having the topic dominate the agenda at upcoming multilateral forums, said Bonnie Glaser, senior adviser for Asia at the Center for Strategic and International Studies in Washington, D.C. Glaser said Chinese officials she's spoken to say privately they hope the case would not rule entirely in the Philippines' favor so that Beijing can say in internal discussions that Chinese interests have not been "irreparably harmed." A key factor that could change the equation of the consequences of this ruling is how the Philippines' new President Rodrigo Duterte decides to respond. His predecessor Benigno Aquino III's government filed the case, straining Manila's relations with Beijing, but Duterte has shown readiness to mend frosty ties with China. While Duterte has made critical remarks against the U.S., he has pointed out the benefits of nurturing friendly relations with Beijing, including a Chinese offer of financing railway projects in the Philippines. Duterte's rise has given China an opening to make inroads in one of America's closest security allies. Last week, Duterte said his government stood ready to talk to China if it gets a favorable ruling. "When it's favorable to us, let's talk," he said. "We are not prepared to go to war, war is a dirty word." It remains to be seen, however, how far Duterte can stray from Manila's critical stance on China's territorial assertiveness, given his country's close ties with the U.S. and growing nationalist sentiment against China's actions. Jay Batongbacal, an expert on South China Sea issues at the state-run University of the Philippines, said the government should avoid revealing its cards ahead of potential negotiations with Beijing, "otherwise you lose the leverage that you have." Left-wing activists protested at the Chinese consulate in metropolitan Manila on Monday, urging China to leave what they said were other countries' territories. "We're calling on our brothers in Southeast Asia that this call for a 'Chexit,' or China exit, now is not only for Filipinos but for all to call on China to respect our territorial integrity," said protest leader Mong Palatino. Experts say the outcome of the dispute could provide ammunition for other countries involved in disputes with China. Six governments have overlapping territorial claims in the South China Sea - China, Vietnam, the Philippines, Taiwan, Malaysia and Brunei. In addition, China's nine-dash line overlaps waters that are part of Indonesia's internationally recognized exclusive economic zone. "This is a time for China not to keep pushing forward too aggressively because they could embolden Vietnam and Indonesia to file a case as well," Glaser said. Regardless, the ruling is unlikely to stop China from continuing to pursue more effective control over the sea space and airspace of the South China Sea, Glaser said. Over the last few months, the U.S. has held combined exercises by two Navy aircraft carrier strike groups off the coast of the Philippines and freedom of navigation cruises near China's man-made islands to assert its presence in the Western Pacific. Chinese state media have accused Washington of trying to turn the South China Sea "into a powder keg" and warned it not to underestimate China's determination to defend its territorial claims. Chinese warships, fighter jets and submarines have held live-fire war games as part of what the People's Liberation Army Navy called routine exercises in the week running up to the tribunal's ruling, drills that were seen at least in part responding to the U.S. presence. "There's a real game of nerves going on here with China perhaps assuming that the U.S. is bluffing and the U.S. hoping that China will actually not test American resolve," Australian National University's White said. A frequent visitor to Bangladesh, Koniyo had been in Rangpur for the past six months and was familiar in the neighbourhood because of his philanthropic work. (Photo: AFP) Dhaka: Eight militants from Bangladesh's terror group Jamaatul Mujahideen Bangladesh (JMB) have been charged with the murder of a Japanese farmer who was shot dead in the country last year. Hosi Koniyo, 66, who was headed to an agriculture farm on the outskirts of northern Rangpur city in a rickshaw, was shot thrice in the chest, shoulder and hand on October 3 last year. He died on the spot. Though international terror outfit Islamic State was quick to claim responsibility, the government had refuted it. A frequent visitor to Bangladesh, Koniyo had been in Rangpur for the past six months and was familiar in the neighbourhood because of his philanthropic work. He had set up the farm, where he visited daily by a rickshaw, with help of a local businessman to grow grass for cattle. Following the incident, police had arrested five people including Hoshi's business partner Humayun Kabir Hira. Multiple interrogations of these five people showed JMB's involvement, bdnews quoted police as saying. These five have are now lodged in Rangpur Central Jail. Three are absconding. The militants were charged as the country reels under the killing of 20 people, most of them foreigners from Italy, Japan, India and the US. The country has blamed homegrown terror group JMB for the cafe siege. Sushila Karki had been working as acting Chief Justice for nearly three months following the delay in the Parliamentary hearing. (Photo: Supremecourt.gov.np) Kathmandu: After its first female President and Parliament speaker, Nepal will now have its first woman Supreme Court Chief Justice after Sushila Karki on Monday formally assumed office. The Parliamentary Hearing Special Committee has on Sunday unanimously approved the name of Karki, recommended by the Constitutional Council on April 10, for her appointment to the post, creating a new benchmark in the 64-year-long judicial history of the country. Her appointment means Nepal will now have women as its President (Bidya Devi Bhandari), Speaker of Parliament (Onsari Gharti) and as the chief justice of the Supreme Court. Karki, 64, who is the 25th Chief Justice, took oath of office and secrecy from President Bhandari. She had been working as acting Chief Justice for nearly three months following the delay in the Parliamentary hearing, which is a must in approving the appointment of any chief of the constitutional body in Nepal. Karki took charge of the Supreme Court as the Acting Chief Justice since her predecessor Kalyan Shrestha retired on April 14. She was appointed the Ad-Hoc Justice at the Supreme Court in January 2009 and was promoted as Permanent Justice in November 2010. She will head the judiciary till June 6, 2017. Karki, who has done her Masters in Political Science from Banaras Hindu University, is known for her 'zero-tolerance' for corruption and for her judgements like allowing women to pass their citizenship to their children, previously open only to men. News / National by Thobekile Zhou As Civil servants' unions are preparing for a crippling strike starting Tuesday, the day has been 'declared' National shutdown Day.All the 14 civil servants unions, including doctors and all workers in the health sector, were unanimous that government is treating them unfairly by not paying them on time.President Robert Mugabe's government failed to pay the civil servants citing lack of funds resulting from the current harsh economic environment in the country.The National shutdown Day notice is spreading on social media.Below is the notice :Fellow Citizens and Countrymen, we have been tortured, tormented and violated for a long time whilst we quietly and peacefully endured hoping this government will be civil and respectful enough to either admit its failures or correct its mistakes.1. *Thousands* of our children graduate yearly in colleges and universities to be glorified educated loafers and beggars. We spend our hard earned money educating them to empower their lives and make them better people in life.However, this government has shut out employment opportunities and when our children compromise and start vending, restrictive policies are put in place. It is a clear sign this government has a deliberate agenda to impoverish and pauperize our children. Should we let them turn our children to beggars and loafers in their own land?2. *Workers* (civil servants and those in the private sector) are not paid on time and when they eventually get paid there are restrictions to the amounts they can withdraw.Why should we beg to be employed, beg to be paid and beg to withdraw our salaries? Why should we be running from bank to supermarket trying to get the money we worked for? Why should we allow this government to turn us to scavengers in our own country?3. *Our* senior citizens and gallant war veterans are treated like feaces.They are forced to endure every kind of weather (cold, rain, sun) whilst queuing for their little benefits.Remember these are the same people who sacrificed themselves to fight for our independence and to develop this nation. Should we let this government turn our elders to be feaces in the jungle whilst we are alive?4. *Corruption* is at its highest point now. We the taxpaying citizens, the working masses are struggling to pay transport to go to work, we struggle to put food on the table, we struggle to pay rent, we struggle to get medication in clinics and hospitals and we struggle to cover our own n.akedness by buying clothes.However our government officials are busy enriching themselves, paying themselves huge salaries, externalizing money to foreign bank accounts, buying themselves expensive cars, building themselves 64 roomed houses and taking themselves and their children for better medication in Malaysia, Singapore and India. Should we sit down and let them turn us to poor, n.aked, hungry, sick mongrels in our own country?Is this the freedom we fought for? Is this the development we worked for? Is this the empowerment we went to school for?This is the time. Let us end this modern day slavery and torture. Let us join hands and shutdown the country on *WEDNESDAY 06 JULY 2016.**ACTION*No-one should go to work or to vending, No one should go to school, No shop should open, No vehicle should move, No office should open It's our Salvation Day.Lets shutdown everything for a day to save ourselves forever!No-one can save us lets us unite and save ourselves peacefully NOW! Globally, it has been the news for quite some time now that the Government of India has announced major plans to increase the solar energy capacity of the country by over 100 GW by the year 2022. So far, numerous efforts and undertaking have been announced by multifarious contributors government or otherwise, who are racing against time to accomplish this mission. The magnitude of this task can neither be measured in any unit, nor can anyone expect it to happen overnight. So, what does it take for the worlds fastest growing economy to convince international leaders in this sector that India can and will achieve this herculean feat? India on the forefront First, let us look at facts. According to a report by Indian Brand Equity Foundation (IBEF), the Indian power sector is recognised worldwide as one of the most diverse among others. Be it from conventional sources like coal, natural gas, and oil, or from renewable sources like wind and solar, the basis of power generation in the subcontinent varies, depending on various economical, social, and regional factors. Also, as per the Renewable Energy Country Attractiveness Index, India is ranked as the third most attractive market after USA and China. One of the most prominent renewable source of energy that is gradually being recognised as a viable alternative to Grid is Solar Power. As stated by IBEF, Indias rooftop solar capacity has grown by up to 66% since last year and is currently measured at 525 MW. Additionally, it is estimated that there is a strong possibility for this capacity to reach over 6.5 GW soon, if it can maintain this growth rate. Furthermore, with an ever growing energy demand pan-India, it has become imperative for the government to step up and create stronger policies that can greatly benefit their mission to make India one of the largest solar markets in the world. However, every major initiative comes with its own set of challenges. In India, change in climate and inadequate permission for land allocation to develop solar infrastructure are just some of the issues that are currently hindering the progress of solar power generation in the country. Also, pricing of domestic as well as imported solar materials vary, the latter being a cheaper alternative, thus hindering the growth and technology advancement of domestic solar manufacturers. It is believed by many that, if something has potential to grow, then no power in the world can stop it from becoming successful. The same line of thought can be applied to the solar industry in India where many key contributors have come on board to fully support this mission. The Ministry of New and Renewable Energy (MNRE) has been credited with installing up to 51 Solar Radiation Resource Assessment stations across India to develop a comprehensive database of our countrys solar power system. Furthermore, there are some private solar power initiators who are constantly applying their efforts to design better solar modules for off-grid as well as decentralised solar applications. Such initiatives taken by both the government and the private sector within this realm are gradually becoming quite significant in creating a comprehensive solar power system which has potential to become the preferred energy source across India in years to come. These efforts are also being recognised by major global leaders who are now beginning to seriously consider investing in the lucrative solar energy development project in India. This was recently proven when the World Bank declared its support towards this mission, augmenting Indias solar power development with its whopping $1 billion-aid commitment. Announcing a joint proclamation with the International Solar Alliance Cell (ISA), both major organizations have declared genuine intent to globally promote solar energy. According to a statement released by the Government of India, following are some of the major areas identified for this association: Developing a roadmap to mobilise financing Developing financing instruments which include credit enhancement, reduce hedging costs/currency risk, and bond raising in locally denominated currencies that support solar energy development and deployment. Supporting ISAs plans for solar energy through technical assistance and knowledge transfer. Positive step This is an extremely progressive step taken by the World Bank as it demonstrates the fact that world leaders want to encourage India in achieving this ambitious plan and further its progress to achieve greater milestones in the near future. Adding to this progression, State Bank of India one of Indias foremost banking institutions has inked agreements with the World Bank for over $625 million (around Rs 4,200 crore) worth of facility, to support grid connected rooftop solar programmes in the country. Ensuring that these funds are allocated properly, the government has been working round the clock to boost Indias solar generation capacity. A substantial amount of these funds have been allocated solely for development of rooftop solar panels in order to achieve the set target output, viz., 40 GW. The remaining allocated funds will be gradually utilised for developing comprehensive solar power infrastructure like Solar Parks and Solar Farms. For the first time in history, it has been witnessed that a major organisation like World Bank has come forward to invest such sizable funds for a fast developing nation. This shows that India is finally ready to become one of the biggest solar power markets in the world. Furthermore, with active participation of major growth drivers in this industry, it can be safely concluded for now that India will be able to achieve its target of generating 100 GW of solar power by 2022, enhancing its solar energy capacity manifold. (The author is the Director of Sales and Marketing at Waaree Energies) Violence and arson continued unabated in Kashmir Valley for the third day today even as National Security Adviser Ajit Doval rushed home from Kenya to join in efforts to defuse the turmoil that has claimed the lives of 23 people.As Kashmir remained on the boil and normal life paralysed due to curfew-like restrictions and separatists-sponsored strike over the killing of young Hizbul commander Burhan Wani on Friday, mobs torched a police station in Sopore and targeted the air force airport in Pulwama along with other security installations in Kashmir. There was also no let up in stone pelting incidents.A total of 800 additional personnel from the central paramilitary forces are also being rushed to Jammu and Kashmir. The reinforcements will be in addition to 1,200 personnel sent to assist the state police on Saturday."Two persons have died in an incident of violence in Kulgam district yesterday and have been identified as Feroze Ahmad Mir (22) and Khursheed Ahmad Mir (38)," a police official said.With this, the death toll in the violence has gone up to 23, including a policeman. Around 250 people were also injured.A group of protesters pelted stones towards the air force airport at Koil in Pulawama this morning, a police official said. They also set afire the dry grass inside the airport complex.Security forces tried to chase away the mob but the attackers were regrouping and intermittently hurling stones, he said.Stone pelting incidents were reported from Sopore, Handwara, Bandipora and Baramulla in north Kashmir, the official said.Protesters torched a police station at fruit market in Sopore, police said, adding the men, arms and ammunitions and records were safe.Doval, who was rushed home 24 hours early by Prime Minister Narendra Modi in view of the tense situation in Kashmir, is regularly taking stock of the developments in the Valley with officials.Doval was accompanying the Prime Minister on his four- nation tour of African countries -- Mozambique, South Africa, Tanzania and Kenya. They were due to return home tomorrow."If there are problems, there are solutions. We are quite confident & competent of finding solutions," he told PTI when asked to comment on the situation in Kashmir.The NSA did not elaborate but official sources expressed confidence the situation would be under control in 72 hours."People of Kashmir are law-abiding and solidly against terrorism. They believe in peace, prosperity and development," said a government official.At the same time, government sources asserted that those holding the gun and targeting civilians or security forces would be dealt with sternly.Union Home Minister Rajnath Singh reached out to opposition leaders including Congress chief Sonia Gandhi and former Chief Minister Omar Abdullah, and discussed the prevailing situation there.Omar later said he has told the Centre that violence in the Valley will not end until the security forces exercise maximum restraint and stop killing protesters. Police arrested dozens more protesters in Baton Rouge, Louisiana, on Sunday after authorities warned they would not tolerate violence during street demonstrations over the fatal police shootings of two black men. Photos and video posted on social media by witnesses and journalists showed protesters being arrested in different parts of the city by police officers who told the crowds they were no longer holding peaceful demonstrations or that they were blocking major thoroughfares. Louisiana media, citing Baton Rouge police, reported that at least 48 people were taken into custody after demonstrators clashed with police on Sunday evening following an earlier peaceful march to the state capitol. The protests follow the shootings by police of Alton Sterling, 37, in Baton Rouge and Philando Castile, 32, in a suburb of St. Paul, Minnesota. Calls for demonstrations to remain peaceful in Louisiana and Minnesota came as authorities grappled with a wave of protests against police use of force that has swept the country in the past week. A U.S. military veteran shot and killed five police officers at a spontaneous march in Dallas on Thursday, sending a chill through law enforcement as well as those involved in the mostly peaceful demonstrations. In Baton Rouge, police arrested 102 people on Saturday night and Sunday morning, mostly for misdemeanors for not leaving a major thoroughfare known as Airline Highway. Those arrested included DeRay Mckesson, an activist and former Baltimore mayoral candidate, officials said. Louisiana Governor John Bel Edwards told a news conference earlier on Sunday that he was proud of how the police had handled the protests so far, saying law enforcement had responded in a "moderate" manner. He also said the vast majority of protesters had acted lawfully and non-violently. Protesters from Louisiana or out of state will not be allowed "to incite hate and violence, to engage in unlawful activities," Edwards told a news conference. "Now I want to be very clear. That will not be tolerated." In Minnesota, state police said more than 200 protesters shut down Interstate 94 in St. Paul for hours on Saturday night to protest against Castile's killing. Officers were hit with rocks, bottles, concrete, construction materials and fireworks, police said. The city's mayor and a protest leader both decried the violence, which injured 21 officers and led to 102 arrests. St. Paul police said one officer suffered a broken vertebrae when a concrete block was dropped on his head during the protest on the interstate. "We will not tolerate the kind of shameless violence we saw throughout the course of the night," St. Paul Mayor Chris Coleman told a news conference. "This doesn't honor anyone's memory." OUTSIDE AGITATORS In St. Paul, Rashad Turner, leader of the local chapter of the Black Lives Matter group, told WCCO-TV that the throwing of rocks and bottles at officers was disturbing. He blamed outside agitators for the violence, not the protesters on the freeway. "It's ridiculous. It cannot happen. It's not what we do here in St. Paul," Turner said. "It does not honor Philando Castile." Authorities said 50 protesters were arrested on the Minnesota interstate and 52 others later on a street near the governor's mansion, the site of most of the protests since Castile was shot on Wednesday. "Protesters last night turned into criminals," St. Paul Police Chief Todd Axtell said. "And I am absolutely disgusted by the acts of some, not all, but some." Some 300 people attended a peaceful protest on Sunday afternoon at the St. Anthony Police Department. St. Anthony police patrol the Falcon Heights suburb where Castile was shot. In Baton Rouge, the capital of Louisiana, police said protests outside their headquarters appeared to be becoming more violent as protesters arrived from out of town. After his arrest, Mckesson was booked on a misdemeanor charge of obstructing the highway and released from the East Baton Rouge Parish jail, according to the sheriff's website. Baton Rouge police confiscated three rifles, three shotguns and two pistols at Saturday night's protest and were wearing helmets, shields and body armor. Police said those arrested had ignored repeated orders to stay out of the street, while demonstrators said officers charged into the crowds seemingly without any obvious provocation. "The only people who were violent last night were the Baton Rouge Police department," Mckesson told reporters after his release. "The protesters remained peaceful, both here and across the country." Pakistan has expressed shock over the killing of Hizbul Mujahideen commander Burhan Wani in an encounter in Kashmir and deplored "oppressive measures" and "excessive" force used against civilians in the latest wave of violence in the Valley. Prime Minister Nawaz Sharif, who has been under attack from the opposition for remaining "silent" over the killing of protesters in Kashmir, came out with a late night statement condemning the action of Indian security forces. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement. The Prime Minister said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against the killing of Wani. "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," he said. Expressing concern over the detention of Kashmiri separatist leaders, Sharif said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of the killing of Wani in an encounter on Friday. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Pakistan People's Party (PPP) chairman Bilawal Bhutto Zardari has alleged that "Sharif-Modi friendship" was causing "irreparable damage" to the Kashmir issue. "While Muslims celebrated Eid-ul Fitr across the world, our Kashmiri brethren marked the day of festivities amid violence carried out by the Indian army," Bilawal said in a statement yesterday. He accused Sharif of damaging the foreign policy by giving his Indian counterpart Narendra Modi a "certificate of friendship". "Sharif is jeopardising the Kashmir issue by building his association with the Indian prime minister," he said. Unemployed youths preferably from Muslim community are being roped in by handlers of terror groups like ISIS and JMB to expand their networks in border districts of West Bengal. Jamaat-ul-Mujahideen Bangladesh (JMB) has been targeting unemployed youths and ISIS too could be following its tactics. The recent arrest of 25-year-old Mohammed Musiruddin has exposed the presence of the terror group in the state, particularly in the districts bordering Bangladesh including Burdwan, Murshidabad and Birbhum, a top CID official of West Bengal said on the condition of anonymity. The group has also spread its tentacles in different pockets of the city, the official said describing the Khagragarh blast in 2014 as a clinching proof of the existence of its bases in the state. Burdwan district entered the terror map in October 2014 when two suspected JMB terrorists were killed while making improvised explosive devices at a rented house at Khagragarh. In fact, NIA in its supplementary chargesheet in connection with the Khagragarh blast had claimed that JMB had been recruiting youths from the border districts of West Bengal. "Unemployed youths are the main targets of terror groups. JMB had been doing that and the ISIS has started the same process," the official said adding that this fact has again got a confirmation during the grilling of Musiruddin, who was part of the of recruitment network. "They have handlers who supervise one to two districts and keep a tab on educated but unemployed youths including girls in the age group of 16-30 years preferably from the Muslim community," he said. "The brainwashing starts from the first meeting which helps them convince the youth to join the group. And from there they are moved to the training camps in the bordering districts," the officer said referring to the arrest of a 19-year-old polytechnic student at Durgapur by NIA this March. The name of Ashique Ahmed, alias Raja, a resident of Hooghly's Dhaniakhali, had surfaced during an interrogation of one Abdus Sami Qasmi, who was arrested by the agency from Uttar Pradesh in February. The NIA sleuths, who had seized some documents from Ashique's ancestral home, were initially hesitant to arrest him as he was a teenager and had no criminal record. "This is another tactic to choose someone with no criminal records but has some education. Youths are told that they do not need to come to Syria to join the war actively but can initiate their own jihad from their native places," the officer said. "It is nothing but asking them to carry out 'lone-wolf' attacks which are very hard to stop until they are executed," he said, adding that these camps also worked as a fund-raising machinery too. The handlers, he said, communicate with their leaders mostly based either in Syria, Iraq or Bangladesh through the social media like Facebook and also via Whatsapp and other messengers. Musiruddin's mobile phone showed calls received and made to numbers in countries like Syria, Iraq and Bangladesh, apart from the App he was using to communicate to the leaders, the officer, who is part of the probe team, said. Pointing to a 2015 report of the Intelligence Bureau, the officer said the posters were put up in several districts of the state asking the youths to join the JMB. In West Bengal, districts like Burdwan, Nadia, Murshidabad, North 24 Parganas, Malda and Birbhum have been witnessing increasing fascination for the ISIS. "The state's secular and cosmopolitan nature has made it quite easy for the terror groups from across the border to use it as a corridor for smuggling of arms, explosives and fake currency notes and the city as a transit route," he said. Procuring ration card, passport, voter ID cards and all sorts of documents to establish their Indian citizenship are easy and sleeper cells help illegal immigrants in getting them. "Marrying local women is also another process of establishing yourself as an Indian citizen. But that is actually a curtain to cover the actual activity," he said. The electoral dynamics of Assemby bypolls for 21 segments is likely to change with the boundries of assembly seat being redrawn. Whether the bypolls would at all be held has still not been confirmed. However, it remains a possibility till the pendency of the decision of the Election Commission on a petition seeking disqualification of the 21 AAP legislators for allegedly holding office of profit of parliamentary secretaries. According to sources, a total of 40 Assembly constituencies are likely to see new boundaries and many of the 21 seats of the parliamentary secretaries figure in the list of these over three dozen seats. At present, each Assembly constituency comprises of three-four municipal wards. Once the civic wards are redrawn, the vote banks of many councillors and legislators, who may contest in the possible bypolls, may get altered. New boundaries for civic wards may also change the shape of about 40 Assembly constituencies, some of which could end up with up to six-seven wards as against the three-four wards at present. Sources in the State Election Commission, which is carrying out the delimitation process for the municipal wards said their new shape would start emerging in around year-end. Most of the wards and Assembly constituencies which are likely to undergo changes are expected to be in Old Delhi, West and East Delhi. The delimitation exercise would focus on ensuring that each ward has a maximum population of about 65,000 and there is no major difference, in terms of voters number, between two wards, said an official. Based on census The delimitation process is based on Census data and is conducted after every 10 years. As things stand today, the North and South Corporations have 104 wards each and the East Corporation as 64. The total number of wards in each civic agency would remain the same in the delimitation exercise. The only difference is more populous wards may be pruned to ensure not more than 65,000 voters are there in each ward, said an official. According to Delhi Election Commissioner Rakesh Mehta, public suggestions would also be invited in the coming months over the redrawn ward boundaries. Deputy Chief Minister Manish Sisodia on Sunday repeated his attack on the Centre on Sunday, claiming that probe agencies like the CBI, ACB and Delhi Police have only one mission and that is to arrest AAP leaders. In an angry tweet, Sisodia said with probe agencies pre-occupation with hatching conspiracies against AAP leaders, the crucial task of probing terror attacks was being handed over to Pakistani agencies. He was referring to the visit of Pakistani team to probe the Pathankot terror attack earlier this year. The Deputy Chief Minister warned Prime Minister Narendra Modi not to rely too much on investigating agencies and fall in the same trap as Congress. The Congress also relied heavily on the probe agencies, said Sisodia, hinting at perceived misuse of probe agencies to trouble political rivals. Sisodia claimed despite two years of efforts by probe agencies, Modis investigating agencies have not been able to accept the fact that AAP leaders are unlike other politicians. He said the investigation agencies attempts to implicate AAP leaders have failed miserably and a list of their foiled bids to do so over the last two years would indicate this. The Deputy Chief Minister's tweet come in the backdrop of booking of AAP MLA from Deoli Prakash Jarwal for allegedly molesting a woman. Last week, Sisodia hit out at Modi for practicing politics of hatred and paralysing the Arvind Kejriwal government by repeatedly attacking its officials and misusing the CBI and the ACB. God save the country from this politics of hate, said an angry Sisodia, adding that the AAP leaders will lay down their lives but not let Delhiites suffer due to hurdles being created by the Centre. He said the CBI has been used by the Centre to arrest Rajender Kumar, Principal Secretary to Kejriwal, the Home Ministrys powers have been used to transfer Delhi government officials en masse and the ACB is being misused to target Water Minister Kapil Mishra in the water tanker scam. Sisodia said the arrest of Kumar in a corruption case dating back to 2006, when the Congress was in power, is just an excuse to paralyse the AAP government. A dream vacation for a south Delhi resident in Kashmir with family and friends turned into a nightmare due to a rogue Delhi-based travel agent who got their air tickets for return journey cancelled and allegedly swindled the money. Sanjiv Kumar, a resident of R K Puram, has now got a cheating and criminal conspiracy complaint registered against officials of Cupid Holidays Pvt Ltd in Kotla Mubarakpur police station. We spent Rs 61,938 for buying spot air tickets for return journey from Srinagar, said Kumar, adding that the information that the ticket has been cancelled by the booking agent was conveyed by the airlines when they reached the airport for check-in. Kumar is not alone about two dozen other vacationers, including many central government employees, have lost lakhs of rupees due to the fraud by Cupid Holidays Pvt Ltd revolving around issuing air-tickets and collecting refunds after cancellation later. Naveen Gupta, an employee of Information and Broadcasting Ministry, missed out a vacation in Sikkim and left poorer by Rs 1,30,000 by the travel agent. The whole fraud seems to be of about a crore rupees, said Gupta, adding that police claim to have arrested one employee of the company so far. Like Gupta, commerce ministry employee Nanak Chand is running around to recover Rs 90,000 lost due to cancellation of air tickets. Another complainant Oojwal Manglik was duped of Rs 4 lakh in the fraud. The hassled complainants have even formed a WhatsApp group of their own for a collective fight. According to Kumar, There was no intimation or SMS from the travel agent and we were stranded at the airport after the four-day vacation last month. According to his complaint, he paid Rs 1,62,000 for return airfare of nine passengers to Cupid Holidays based in South Extension area in south Delhi. The journey from Delhi to Srinagar went off well but we were shocked on being told minutes before take off of the return flight that the tickets have been cancelled and money refunded, said Kumar, recalling the mental agony suffered by his family and friends. The complainant said on contacting a salesman of the travel agent, Ravi Kant, told Kumar that the director and managing director of Cupid Holidays were absconding after committing similar fraud with many other people. Kumar said, while running around to recover the refund money, a business associated of Cupid Holidays confirmed that the cancellation of tickets was done by him due to pending dues of Cupid Holidays. Meanwhile, Kumar has introduced Investigation officer of the case with over two dozen Cupid Holidays customers, mostly government employees who booked air tickets. Delhi Universitys third cut-off list released on Sunday brought little cheer to admission seekers as percentages of popular courses did not drop by more than 0.5 in many colleges. The third list also showed that a very few seats were now up for grabs. For those who made the cut in the third list, admissions would start on Monday. The biggest slash in cut-off for BCom (Hons) in the third list seems to be at Ramjas College, which has dropped by 1.75 per cent from its last cut-off of 97.25 per cent, keeping it at 95.5 per cent. For Economics (Hons) at Ramjas the cut-offs dropped minimally by 0.75 per cent from 97.5 per cent in the second list to 96.75 per cent in the third. Ramjas gave another big cut for Physics (Hons) which is 95 per cent in the third cut-off list, which was 96.66 per cent in the last. A DU official also said that more than half seats out of over 1,300 are still vacant at Ramjas College. Admission to BCom (Hons) has closed in many colleges like Aurobindo College and Shaheed Bhagat Singh Evening. BSc (Hons) Botany and Mathematics seats are full in many colleges like Gargi and Hansraj College. Most colleges have closed admissions for reserved categories across courses in the third list. Shri Ram College of Commerce has kept Economics (Hons) and BCom (Hons) at the highest at 97.25 and 97.75 per cent respectively. The cut-offs for the same courses were the highest at Ramjas when the first list was out. In Hindu College, BCom (Hons) and Economics (Hons) is at 97 per cent, coming down up to 0.50 per cent from its last cut-off. In Lady Shri Ram, BCom (Hons) cut off in the third list is 97.25 per cent a drop of 0.25 per cent from the last list. In Gargi College, BCom (Hons) cut off in third list is 94.5 per cent. English (Hons) cut-off seemed to have dropped the least in the third list in top colleges. In Lady Shri Ram, English (Hons) the cut-off came down to 97 per cent from 97.50 per cent. In Hindu College, the third list brought the cut-off down to 96.50 per cent from 97.25 per cent. In Gargi College it is 93.5 per cent and in Kirori Mal it is 92.5 per cent. The Kirori Mal College did not release the third list for many courses. Seats in eight courses were filled by the end of the admission process for the second list. Over-admission is a major concern for the Delhi University, as all students scoring the cut-off mark or above will have to be allotted seats by individual colleges. This year a total of 2,50,914 students have applied for 54,000 seats in 63 colleges in DU. The DU released 12 cut-off lists last year, but this time it will come out with only five cut-off lists. News / National by ZimVigil Zimbabwean Finance Minister Patrick Chinamasa was besieged in London by angry Zimbabwean exiles and had to be rescued by a vanload of police.Chinamasa was speaking at the Royal Institute of International Affairs at Chatham House on a desperate visit to Europe to try to raise money for the bankrupt Zanu PF regime.Protestors from the Zimbabwe Vigil and its sister organisation Restoration of Human Rights in Zimbabwe picketed Chatham House with posters reading: 'No to Mugabe's lies', 'Don't prop up the Mugabe regime', 'Don't lend money to thieves' and 'Zimbabweans reject odious debt'. While the meeting was under way an impassioned Cephas Maswoswa sang protests songs.It became apparent that Chinamasa and his companions were afraid to come out and face the music. The demonstrators deterred an attempt to exit from a side door of Chatham House and eventually the police arrived and provided a safe corridor for Chinamasa and his friends to access the Zimbabwean Ambassador's car amid jeers from protestors, fired up by messages from home of mounting unrest in all urban areas. Bangladesh today scrapped the downlink permission for 'Peace TV' of Indian Islamic preacher Zakir Naik, a day after it banned the channel following reports that his "provocative" speeches inspired some of the militants who carried out the country's worst terror attack at a cafe here. The Information Ministry issued the order to cancel the Peace TV's downlink permission needed to broadcast in the country. "Based on the Cabinet committee's decision, free-to-air TV channel Peace TV's downlink permission has been cancelled for violating downlink conditions," the ministry said in the directive. A spokesman of Bangladesh Telecommunication Commission (BTRC) said, "Its (Peace TV) downlink permission has been cancelled in line with a information ministry's decision." The action came a day after Cabinet Committee on Law and Order during a special meeting yesterday decided to ban the Mumbai-based preacher's channel. Doctor-turned Naik's speeches are believed to have inspired some of the Bangladeshi militants, who killed 22 people, mostly foreigners, at an upscale restaurant in Dhaka on July 1. A spokesman of the Information Ministry said copies of the circular have been sent to the Home Ministry, press information department, state-run BTV and cable operators association KOAB. Home Minister Asaduzzaman Khan had earlier said that Bangladesh's intelligence agencies were investigating the 50-year-old Naik's possible role in the cafe attack. "He is on our security scanner... Our intelligence agencies are investigating his activities as his lectures appeared provocative," Khan had said. Khan had said the investigators were also probing Naik's financial transactions in Bangladesh. One of the slain attackers of the terrorist attack in Dhaka's high-security Gulshan area, the 22-year-old Rohan Imtiaz quoted Naik in a Facebook post in January this year where he urged "all Muslims to be terrorists". The activities of Naik's Mumbai-based Islamic Research Foundation are also under the scanner of Indian Home Ministry amid allegations that funds from abroad received by it have been spent on political activities and inspiring people towards radical views. Monika Khangembam alleged that on Saturday an immigration official at Delhi's Indira Gandhi International Airport hurled racist remarks at her when she was on her way to Seoul for a conference. Union Minister Kiren Rijiju said today that an inquiry has been instituted into the allegation of racial harassment and action will be taken if anyone is found guilty. "We are examining the case. We have asked for a full report. There have been previous cases of harassment. We will take action if there was a harassment," he told reporters here. The inquiry will be conducted by the Bureau of Immigration, which comes under the Ministry of Home Affairs. After learning about the matter, External Affairs Minister Sushma Swaraj expressed regret over the incident and said she would take up the issue with Home Minister Rajnath Singh "Monika Khangembam - I am sorry to know this. Immigration is not with me. I will speak to my senior colleague Shri @rajnathsingh ji to sensitise Immigration officials at the airport," Swaraj tweeted. On her Facebook wall, Khangembam wrote that when she went to the immigration desk at IGI at around 9 pm on Saturday, an official looked at her passport and said, "Indian toh nahi lagti ho" (you don't look Indian). He then allegedly smirked at her and asked her how many states comprised the country, in an apparent bid to "know her Indianness". She alleged that a lady official at the adjacent counter was giggling while the male officer paid no heed to her. When Khangembam told him she hailed from Manipur, the officer allegedly asked her to name the states Manipur shares its border with. "I said I was really getting late and he goes like, 'aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do'" (the aircraft isn't leaving without you. You can answer at ease.). A Manipuri woman has alleged that she was subjected to racial harassment at the international airport here following which an inquiry has been ordered into the incident. Punjab may be the drug capital of India but it is Delhi which has become one of the biggest transit point in the world for contraband heading to major consumption markets like Europe and North America, says a senior Narcotics Control Bureau (NCB) officer. With the biggest producer of heroin in the world Afghanistan not very far, and with less stringent punishment for drug trafficking than some countries, India and in particular Delhi has become the chosen transit point of major drug cartels of the world. Most of the heroin consumed in the world is produced in Afghanistan. Since drug trafficking carries death penalty in most of the Middle East countries, the bulk of the produce comes to India via Pakistan, says Rohit Sharma, zonal director, Delhi zone, NCB. Once it reaches Delhi from bordering states like Punjab and Jammu & Kashmir, heroin is sent to Europe and America by concealing it in small courier parcels. The concealment is mainly done by creating false cavities in items like books, paintings, machinery parts, combs, designer clothing, show soles, decoration items and skipping ropes, says an NCB officer. Since the ouster of the Taliban regime in Afghanistan, opium production has leapt back to the pre-Taliban days when more than 80 per cent of the worlds opium, from which heroin is produced, used to grow in that country. Due to the dubious distinction of being the largest poppy producer in the world, people and luggage coming from Afghanistan are thoroughly checked at all the major European and American airports. In European countries and America, luggage coming from Afghanistan is checked thoroughly due to security reasons. Its very difficult to get contraband substances past the security checks at the airports, Sharma says. On the other hand, luggage originating from India is not as stringently checked. So the heroin is routed through India to European and American destinations, Sharma adds. Delhi being located close to the border states and the presence of a major international airport makes it an ideal place to supply contraband drug further. Not only heroin, it is a major transit point for cocaine as well. Cocaine is brought to India from South American countries, especially Brazil, mainly through air routes by carriers, concealed in their personal baggage by creating false cavities or concealing in food products like milk powder, says an NCB officer. Professional swallowers also traffic cocaine stuffed in small capsules ensheathed in cellophane, rubber or gelatin coverings. The swallowed capsules are retrieved after passing out the stools in the destination country. Besides this, cocaine also reaches India from Brazil concealed in courier parcels, he adds. The major markets of cocaine are in Southeast Asian countries. However, in countries like China and Indonesia the law against smuggling drugs is very stringent and carries the death penalty. So the suppliers choose India as a transit hub, as its near these countries also. In India, smuggling contraband drug carries punishment ranging from 10 years to 20 years. In a rare case, capital punishment can also be given. Under the NDPS (Narcotic Drugs and Psychotropic Substances) Act, a person caught carrying small quantity is liable to punishment of up to one year. A person caught carrying commercial quantity of contraband drug is liable to be punished for up to 20 years. If the amount illegally carried by a person is less than commercial quantity and more than small quantity then he can be imprisoned for up to 10 years, says Rohit Sharma. The definition of `small and `commercial quantities varies from one drug to another. So 100 milligrams of LSD is commercial quantity, one kilo of ganja is small quantity. In financial year 2015-16, the Narcotics Control Bureau seized 225 kg of contraband drug in the National Capital Region, which is an increase of 34 per cent in total NCB drug seizures compared to the previous year. That year the quantity seized was 167 kg. The central agency, tasked to control the menace of drugs in the country, also arrested 27 drug peddlers during the period in 47 operations. These included 18 foreigners. Seven of the 18 were women, says an NCB report. The agency seized various categories of narcotics like heroin, cocaine, charas, pseudoephedrine, methaqualone and amphetamine. Out of the 18 foreigners arrested, seven were women who acted as couriers. Amongst the nine Indians arrested in these cases during the same time period, only one was female, says an NCB officer. Amongst the foreigners held for these offences, the majority came from African countries like Nigeria, South Africa, Ghana and Zimbabwe. Apart from NCB, Delhi Polices various branches like Narcotics Prevention Cell, Special Cell, Crime Branch and local police stations also seized drugs and arrested peddlers. According to Delhi Polices Narcotics Prevention Cell, 41 persons, including four Nigerians, have been arrested so far in 2016. On the nexus of Afghans living in Delhi and African nationals in drug trafficking, an NCB senior officer says some Afghans bring heroin from Afghanistan concealed in cavities of bags and boxes and in Delhi they hand it over to the traffickers who take it further to the destination countries. These Africans come mostly on student visas and dont return after the expiration of their stay here. Most of them even stop going to their educational institutes after taking admission there, says Sharma. Apart from heroin and cocaine, synthetic drugs, not based on any natural product, are now being increasingly abused. Unlike cocaine and heroin, these drugs are mainly produced and distributed inside the country. Methaqualone, methamphetamine and LSD are some of the synthetic drugs available in Delhi. These are in huge demand by party-going youths because they are easily available in the city and cheaper than a drug like heroin. In India, synthetic drugs are manufactured mainly in Maharashtra, Gujarat, Uttarakhand and Himachal Pradesh. Ephedrine is used in medicines for treating shortness of breath, pseudoephedrine goes into the production of nasal decongestants. Along with acetic anhydride, they are key ingredients of synthetic drugs and their sale is controlled by the Central government, says an NCB officer. Yogesh Kumar, now 25, shares his life story with children at the de-addiction centre regularly trying to convince them of a life without drugs. His own journey, he admits, has not been easy. But he is optimistic that sharing his experiences will help change some lives. It started with a beedi. I was hardly six or seven then, says Yogesh. But it did not stop there. What followed was addiction to ganja, whitener, cocaine, heroin and injection drugs. And I went to any extent to fetch money for buying drugs. I broke into houses and stole mobiles, laptops, gold... anything that I would get. I sold them off at nominal rates like Rs 500-2000 to get money for drugs. Initially, I was with a group of friends but I soon started functioning independently, he adds. The sequence of events included being arrested several times and then let off. This went on for almost 14 years till I was sent for rehabilitation. For the last five years, Yogeshs new address has been the Sewa Kutir Complex, Kingsway Camp which is run in collaboration with Society for Promotion of Youth and Masses (SPYM), an NGO where he helps children cope with the rehabilitation process. These juveniles are his family members. My brother is married and we lead separate lives. He is also working hard to pass his class 10 exams through open learning. I keep telling these children that it will be difficult to function without drugs in the beginning... but it is definitely possible. While Yogeshs success story is not unheard of, several teenagers are still struggling to come out in the open and seek rehabilitation. The biggest challenge in rehabilitating lies in the fact that as a society we are still living in denial. While those who need to be treated do not understand the urgency of the treatment, their family members are also not cooperative. Both the family and the individual who is into drugs have to be ready for the treatment, says Rajesh Kumar, executive director of SPYM, which works on rehabilitating youths addicted to drugs. It often becomes difficult to convince the family that they have to keep bringing the person to the centre and be prepared to invest time in the process, he says. In most cases, it starts with sniffing glue which is a volatile agent. Drug abuse starts as early as the age of seven, says Kumar. Experts and doctors agree that clamping down on the supply of drugs is not enough anymore. The focus should be on the prevention of using drugs. There is a need to raise the awareness level among youths. The involvement of role models is necessary in fighting drug abuse and the media should play an important role in sending out messages against drug abuse, says Dr Samir Parikh, Director of Mental Health and Behavioural Sciences at Fortis Healthcare. There is also a need to make counsellors widely available to children so that they are aware of the consequences of using drugs, he adds. Users of drugs and their family members are often at a loss about whom to approach. There are not adequate numbers of experts. So families would not know who would be the right person to be approached in case of drug abuse. A lot needs to change at the policymaking level so that counsellors and help lines are made available, says Dr Parikh. The Delhi government is now planning to come up with a comprehensive drug rehabilitation programme which will help in identifying youths using drugs and help them recover from the abuse. The blueprints have been prepared on how the programme will be carried out, says Dr Nimesh G Desai, director at Institute of Human Behaviour & Allied Sciences (IHBAS). How it starts For most youths, it starts with the desire to experiment, say experts. Research will point towards two ruling factors: a sense of excitement to try a new substance and those who suffer from sense of alienation. In the second stage, it is the chemical process of addiction which deters a person from quitting drugs, says Dr Desai. The other factor which pushes a person into drugs is peer pressure. The tendency to do drugs also stems from the need to escape from reality. Teenagers often feel unable to cope with the stress in their lives. When they start doing drugs, they feel drugs help them, they would enhance their creativity and also help socialise better, says Dr Arti Anand, clinical psychologist at Sir Ganga Ram Hospital. In one case, a college student sought help as she felt lonely in class with some of her close classmates experimenting with drugs. On one hand, she did not want to be a part of it and on the other, she felt alienated and found it difficult to carry on in the college, says Dr Anand. Parents should look for early indicators in children like major personality changes like spending too much time alone or becoming aggressive, their academic grades suddenly dipping, weight loss, maintaining secrecy, changes in sleeping pattern and asking for money regularly. It is important to look for significant indicators but there are also dangers involved in profiling a person as an addict on the basis of stereotypical notions, says Dr Desai. The current efforts of the government and the NGOs remain unorganised. The problem can only be solved by identifying it. So the effort needs to be more coordinated. Addicts continue to feel helpless and stigmatised in society and therefore lead secret lives, he adds. The existing policies overemphasise police control, say experts. The focus should instead now shift on boosting the infrastructural facilities for counselling children in schools and colleges to fight alcohol, tobacco and drug abuse. In a major breakthrough in the murder case of two engineers in Bihar's Darbhanga district last year, the Special Task Force (STF) arrested prime accused Mukesh Pathak from Jharkhand earlier in the day, a senior police officer said. Pathak, who was in hiding for months ever since his involvement in the murder case came to light, was nabbed from Ramgarh district of Jharkhand, Additional Director General of Police (ADG), Headquarters, Sunil Kumar told reporters. The gangster has 16 cases lodged against him in East Champaran, Sitamarhi, Sheohar and Gopalganj districts for crimes like murder, extortion, atrocities against women etc, he said, adding, Pathak had escaped from judicial custody on July 22 last. Kumar said the STF had sent teams to Odisha, Gujarat and Andhra Pradesh to arrest the gangster who was living in disguise to dodge the sleuths. Engineers duo Brajesh Kumar and Mukesh Kumar were shot dead near Kusheshwarsthan on December 26 last over non-payment of levy by a private road construction company engaged in construction of state highway between Varnapur in Samastipur district to Kusheshwarsthan in Darbhanga district. The assailants had left behind a pamphlet at the spot bearing the name of a proscribed outfit Bihar People's Liberation Army. During probe and interrogation of those arrested in connection with the case, the name of Mukesh Pathak had emerged as the prime culprit in the case and a manhunt was launched against him. The ADG (Headquarters) said the STF team was bringing the accused to Patna under tight security cover. Pathak would be interrogated by the cops to find out details of his involvement in the murder case before being produced in the court, Kumar added. With unrest continuing in Kashmir, National Security Adviser Ajit Doval returned home today, cutting short his visit to Kenya where he was with Prime Minister Narendra Modi and expressed confidence that solutions would be found to the situation in the Valley. Doval, who has been sent home 24 hours early by the Prime Minister in view of the situation in Kashmir, is regularly taking stock of the developments in the valley with officials. "If there are problems, there are solutions. We are quite confident & competent of finding solutions," he told PTI when asked to comment on the situation in Kashmir. Doval was accompanying the Prime Minister on his four- nation tour of African countries -- Mozambique, South Africa, Tanzania and Kenya. They were to return home tomorrow but the NSA came early. The NSA did not elaborate but official sources expressed confidence the situation would be under control in 72 hours. "People of Kashmir are law-abiding and solidly against terrorism. They believe in peace, prosperity and development," said a government official. At the same time, government sources asserted that those holding the gun and targeting civilians or security forces would be dealt with sternly. Kashmir has been on the boil ever since the killing of Hizbul Mujahideen commander Burhan Wani, who was the poster boy militant, on Friday last in an encounter with security forces in Anantnag. Controversial Islamic preacher Zakir Naik, who was expected back here today from Saudi Arabia, is unlikely to return to the country for another two to three weeks as he is planning to visit some African countries for public speeches. Naik also cancelled his press conference scheduled here tomorrow but will address media through skype on the allegations of inspiring militants through his speeches, an aide of the preacher said today. "Dr Zakir Naik was never supposed to be physically present for the media briefing that was to take place on Tuesday. He had decided that he will address the media through Skype and answer all queries that media persons may have had," he said. "His (Naik's) travel schedule had been made long back. After performing Umrah, he is scheduled to travel to Jeddah from where he will visit Africa for his public talks scheduled there. He is therefore not expected in the country for another 2-3 weeks at least," he added. He, however, said that Naik is not running away from any inquiry and that he is only sticking to his travel schedule worked out in advance. Apart from coming under scanner in India, Bangladesh has also banned the broadcast of Naik's Peace TV,cracking down on the channel and radical sermons by the 'teleevnagelist.' Shiv Sena has demanded arrest of Naik on his landing in India and dismantling of his TV network. Meanwhile,Samajwadi Party Maharashtra unit President Abu Azmi came in support of Naik and sought to know why action has not been taken for the last 25 years if his speeches are inspiring terrorists, and called for a fair inquiry into charges against him. "Zakir Naik has been a preacher for around 25 years now. If he has been inspiring terrorists, why was no action taken against him from so long? There should be an inquiry, conducted by a retired Supreme Court judge to prove that he can never do anything of this sort. But, this media trial against him should stop," Azmi told reporters here. "While there should be a thorough investigation into Zakir Naik's speeches, fundings of his Islamic Research Foundation, the Centre should first ban Sanathan Sanstha because their members have been named by the CBI for being involved in the killing of those who opposed their way of Hinduism," he said. "We want justice for him (Naik). Let the inquiry be conducted by a non-muslim judge like Justice Srikrishna, Rajindar Sachar or another retired judge of the Supreme Court," Azmi added. CBI was today given the last chance to complete its further probe in two months in a 1984 anti-Sikh riots case in which Congress leader Jagdish Tytler was earlier given a clean chit for his alleged role, with the court saying justice delayed amounted to justice denied. Additional Chief Metropolitan Magistrate Shivali Sharma pulled up the CBI for not taking proper steps to complete the probe, as directed by the court earlier, and made it clear that if no fruitful results are shown in these two months, the agency's Superintendent of Police would have to explain. The court's direction came when the CBI prosecutor filed a status report of ongoing probe and sought two more months to file the final report. "I have perused CBI's status report and the case file. From perusal of the report and case file, it appears proper steps are not being taken to complete the probe as directed by the court earlier. Still in the interest of justice and on the asking of the investigating officer, further two months time is given to complete the probe," the magistrate said. The court made clear in its order that if "no fruitful results" are given even after two months, then the concerned SP of CBI would have to appear before it for an explanation. It also remarked "justice delayed is justice denied and it is a fact," apparently indicating the delay in the probe. During the hearing, senior advocate H S Phoolka, who was representing the complainant and riot victim, argued that he has a right to know the status of the probe and said CBI should be asked to give a copy of its communication with the High Commission of Canada regarding some information. The court, however, said if no fruitful results come out by September 14, the next date of hearing, CBI is directed to give copy of its communication with the Canadian High Commission to complainant Lakhvinder Kaur for her assistance. During the hearing, the court posed several questions to CBI including when it was going to file the final probe report, what was the problem in giving to the complainant a copy of its letter written to the High Commission of Canada and why it has not given complete available details of one Narinder Singh, son of a key witness against Tytler, to Interpol authorities. "Why are you (CBI) not giving available Canada address of Narinder Singh to Interpol and Canadian authorities? You are not giving them complete details and you still want Interpol to help you and trace the person for you? How is it possible," the ACMM asked. During the hearing, the prosecutor said the agency was taking the case seriously and effective steps are being taken. The prosecutor said CBI was awaiting reports from the Interpol and other authorities after which it would file the final report. Phoolka, however, contended that the CBI probe was a "total eye-wash" and the agency's Joint Director or Director should be called to the court to answer its queries. He said on the last date of hearing, he had offered to help CBI in getting information from the Canadian High Commission but the agency has been opposing it. The court had earlier directed CBI to write to the Canadian High Commission for information regarding the case. The court had on December 4, 2015, directed CBI to further probe the riots case against Tytler, saying the statement of arms dealer Abhishek Verma had revealed an active role played by the Congress leader in extending "helping hand" to a witness against him. The court had also said that as the CBI had filed closure reports in the case several times, it would from now on monitor the probe every two months so that no aspect of the matter is left uninvestigated. The case pertains to the riots at Gurudwara Pulbangash in North Delhi where three people were killed on November 1, 1984, a day after the assassination of then Prime Minister Indira Gandhi. The court's order had come on a protest petition filed by complainant Lakhvinder Kaur, whose husband Badal Singh was killed in the violence, challenging the CBI's closure report exonerating Tytler. The court had noted that the statement given by Verma to CBI in which he claimed that Tytler had sent the son of Surinder Singh Granthi, a key witness against him, to Canada cannot be a "sheer coincidence" and the agency should probe if the facts disclosed by Verma were true. CBI had re-investigated the case of killing of Badal Singh, Thakur Singh and Gurcharan Singh near the gurudwara after a court had in December 2007 refused to accept the closure report. CBI had filed three closure reports in the case. Tytler has denied any role in the riots. News / National by Staff Reporter ZRP message on the current situation bedeviling our neighbours-Zimbabwe. Mthwakazi Republic Party would like to take this opportunity to urge all our Mthwakazi people regardless of where they are not to read much on what is happening in that confused and cursed country of Zimbabwe, we urge our people to set their eyes on the ball, we mean lets not fall prey to their hogwash, our agenda is to push for the emancipation of Mthwakazi independence. We know we have nothing in common to share with people of Zimbabwe instead we are aware that they are all beneficiaries of the 1979 Grandplan, these are the same people who massacred our people during the moment of madness period, who knows maybe that moment of madness has visited them again? We no longer want to continue fighting other people's battles like we did during the liberation struggle when the mother of all parties Zapu and it's brave Zipra wing fought gallantly and resolutely for the black people independence but alas wat happened that victory only benefitted Shonas up to this day. We know that everything progressive and meaningful start from Mthwakazi as Joseph Msika once said all political parties gain their strength and support from Mthwakazi he said "The revolution for the black emancipation started in Matebeleland and Mashonaland joined later because they have never resisted since time immemorial. We would not want to betray our forefathers and all those who were butchered during gukurahundi in their graves lest they curse us from their graves, we survived gukurahundi for a purpose, remember the purpose of gukurahundi was meant to wipe us all, but our living God could not allow that to happen. As a party are pleading with all Mthwakazi people to remain steadfast and guard against complacency and see ourselves assisting on the fight of our neighbours. Lest we forget that these people do not love us during the day they pretend to be with us but during the night they are together planning bad against the Mthwakazi people following their evil and satanic grandplan of 1979. Our agenda is all about defending our territory which is Mthwakazi we are a peaceful loving people we do not want to be provoked neither do we want to provoke our neighbours, our message is clear we say to people of Zimbabwe keep your Zimbabwe and we keep our Mthwakazi your wars are not our wars. We are glad that finally God and God himself is in control of the current situation prevailing in Mthwakazi and to our neighbours. We urge our people to remain peaceful as they finish and devour on each other even the Bible says it all that Umbuso uzavuleka Umbuso, we need to leave everything to God lets be prayerful and our prayers should be on Mthwakazi independence, this should be our direct petition to God. We cannot waste our time and energy praying and fighting for Zimbabweans we do not even know the demon possessing them, we cannot participate in their agenda of removing Robert Mugabe, for it is not us who put him into power, it is them and Britain, during that time they called us all sorts of names labelling us the minority group, how can the minority add value to the majority? These are the same people who were castigating our fallen and gallant leader Joshua Nkomo and Zapu saying they want one part state in Zimbabwe and were loudly saying we don't want Nkomo he should be hanged and he should remain in exile, uthi umdala uNkomo oginye iZapu uginye ipoison, indeed the poison is manifesting itself. In fact Shona people never cease to amaze, they used to heap Mugabe with all praises, now they are singing another tune I quote the PROGRESS REVIEW ON THE 1979 GRANDPLAN WHICH READS GIFT-" NEVER BEFORE HAS HISTORY GIVEN US THE MAJORITY SHONA PEOPLE, SUCH A PRECIOUS PRESENT THAN IT HAS DONE WITH ROBERT GABRIEL MUGABE. R.G IS SIMPLE A PERFECT EMBODIMENT OF ALL OUR CULTURAL NORMS AND VALUES, OUR ASPIRATIONS AND EXPECTATIONS, OUR WANTS, DESIRES AND INTERESTS. IN HIS WHOLE LIFE. R.G HAS NOT FAILED TO DEMONSTRATE THAT INCREDIBLE CONSCIOUSNESS" my special advise to our neighbours is that a gift is treasured it cannot be easily disposed keep your gift and we keep our Mthwakazi.I further quote page 2 of the same satanic document BLACK JESUS, I quote "SOME PEOPLE HAVE A SMALL PROBLEM IN UNDERSTANDING WHY MUGABE IS TO THE SHONA PEOPLE, WHAT JESUS IS TO THE CHRISTIANS OR WHAT KIM II SUNG AND HIS JUCHE IDEA IS TO THE NORTH KOREANS. THIS STATUS WAS AWARDED TO R.G. BY HIS PEOPLE IN RECOGNITION OF POLITICAL ASTUTENESS ACQUIRED OVER MANY YEARS OF EXPERIENCE IN THE FIGHT AGAINST COLONIALISM" Lingaphi lina maNdebele these people are now replacing their Jesus who is replaceable? Lest we forget the North Koreans they are emulating are the ones who trained Fifth Brigade which butchered our people. Asiyekeleni ukubangothathekile imota zabo. Lest we forget this is day 9 where our Mlamuli four parents are still languishing in Lupane prison for being Ndebeles. My plea to all of us is to continue supporting them in prayers, moral, material and in everything as we await the lawyers to fight for their bail. We take this opportunity to thank all the Mthwakazi people at home, SA and abroad for once again uniting for a noble cause which these four parents have been arrested for in raising their bail money. I encourage the spirit of togetherness, a spirit of comradeship where we say an injury to one of us is an injury to all of us. Indeed our independence is beckoning. This God is our God. United we stand, divided we fall. MRP For Peace and Justice In Our Lifetime. from MQONDISI MOYO- MRP PRESIDENT Mthwakazi Republic Party (MRP) on Tuesday warned people of Mthwakazi to stay away from the current sporadic protests popping up in every corner of Zimbabwe.MRP said Mthwakazi people regardless of where they are should not take party in any protests in the "confused and cursed country of Zimbabwe."The leader of MRP, Mondisi Moyo said the people of Matabeleland "no longer want to continue fighting other people's battles like we did during the liberation struggle" when Zapu and Zipra fought gallantly and resolutely for the black people independence.Read full statement below: Cameron said he will chair his last Cabinet meeting tomorrow and attend House of Commons for his last Prime Minister's Questions on Wednesday before heading to Buckingham Palace to offer his official resignation to Queen Elizabeth II. "We will have a new Prime Minister in that building behind me by Wednesday evening," Cameron told reporters outside 10 Downing Street. "I am delighted Theresa May will be Prime Minister. She has the overwhelming support of the Conservative parliamentary party...she is strong, she is competent, she is more than able to provide the leadership that our country is going to need in the years ahead," said Cameron, who had announced he would be stepping down for a new prime minister to take the Brexit negotiations forward with the European Union a day after the June 23 vote in favour of Britain leaving the economic bloc. 59-year-old May would become Britain's second female Prime Minister after Margaret Thatcher. Earlier today, May's only rival Andrea Leadsom pulled out from the two-way contest for the Conservative party leadership in a dramatic move, leaving May sole contender. Leadsom, who was energy minister in the Cameron-led Cabinet, threw her support behind May as "ideally placed" to enforce the vote for Brexit in last months referendum on Britains membership of the European Union (EU). "The interests of our country are best served from the immediate appointment of a strong and well-supported Prime Minister. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success.I assure her of my full support," Leadsom told reporters. "The best interests of our country inspired me to stand for our leadership. I believe in leaving the EU a bright future awaits. The referendum result represented a clear desire for change," the 53-year-old senior Tory MP said. It then fell to the 1922 committee of Conservative MPs to decide the revised timetable for the leadership race. Conservative MP Graham Brady, the chairman of the committee, told reporters soon after Leadsom's announcement that May is now the only candidate for the party leadership, which only leaves the formal announcement of her as party leader and PM. The 22-member-strong Conservative Party board began urgent talks over handing the keys to No. 10 Downing Street to May, being dubbed the last woman standing by the UK media. Cameron's statement then confirmed that there was no need for a "prolonged" Conservative party leadership contest. Leadsom's withdrawal had effectively nullified the need for a postal ballot by the UK-wide Conservative party membership and made it certain that a new leader would be officially declared much sooner than the September 9 timeline initially announced. Leadsom's announcement followed days of controversy around her candidature, which included allegations of exaggerating her curriculum vitae and most recently having to apologise to her rival over an interview to The Times which suggested that being a mother made her a better candidate for the job. May had recently publicly revealed her own sadness at not being able to have children. Reacting to Leadsom's decision, May's campaign chief Chris Grayling MP said it showed what a "principled and decent politician she is". In a message to the party, he said: "Now is the time for us to unite...and get on with the job of securing a strong, prosperous future for our country." In a speech earlier today setting out her leadership campaign platform and before the days surprising developments, May said: "Brexit means Brexit and we're going to make a success of it." There had originally been five contenders to succeed Cameron, with MPs voting in two rounds to get that number down to two - and the plan then was that the party's 150,000-strong membership would have had the final say. Meanwhile, Opposition Labour, the Liberal Democrats and the Green Party are calling for a snap general election, rather than waiting for the contest scheduled for 2020 under the UKs Fixed Term Parliaments Act to get a mandate from the British electorate. Theresa May will take over as new Prime Minister on Wednesday, British Premier David Cameron said today after the home secretary's only rival in the race to become Conservative Party leader pulled out unexpectedly. "Kashmir is burning and our Prime Minister would rather tweet about his travels and play the drums", Congress said today taking potshots at Prime Minister Narendra Modi who is on a tour of African countries. The jibe was taken by the opposition party in a tweet posted on INC India. Randeep Surjewala, Chief spokesman of the party, was equally caustic, who took to Twitter to advice the Prime Minister to cut short his tour and return home with Kashmir on the boil. Surjewala wanted the Prime Minister to follow the US President Barack Obama in the wake of the Dallas shootings of policemen. "Kashmir is burning. 21 people have died. Security forces are being attacked daily. Amarnath Yatra suspended and Modiji is playing the drums. At least wake up now", he said in another tweet in Hindi. He also reminded the Prime Minister that the PDP-BJP government in the state is unable to handle the situation and he should not throw Kashmir in the "shadow of the gun" to ensure that the peace process remained unaffected. At the Congress briefing, party spokesman Abhishek Singhvi attacked Pakistan Prime Minister Nawaz Sharif for deploring India for "excessive" force against civilians in the Valley while expressing "deep shock" over the killing of Hizbul Mujahideen commander Burhan Wani. He reminded the Pakistani leader that his country is known in the world over as "largest exporter of terrorism" and a nation which is "imploding at seams."In a jibe at the Prime Minister, he said Nawaz Sharif's statement showed how Indian foreign policy is going in "reverse gear". In reply to a question, he said that it would be good if the government convened an all party meeting. He said that the initiative has to be taken by the government. "Please do not make a martyr of a declared terrorist," he said emphasising the need to handle the situation with tact and tolerance to wean away misguided youth. "We would urge the government to show maturity in handling the situation. The government, the NDA Government in the centre and the BJP-PDP alliance Government in the State, have to remember that they inherited a peaceful valley from the UPA Government. It was their duty to take this process forward", the party said in a commentary on its website. The Land Cruiser bumped and rattled over the lush, tawny savanna dotted with acacia trees. George Wittemyer, the scientific director of the conservation group Save the Elephants, steered the car toward movement in a thicket. Around a bend stood several families totaling about 50 elephants in a loose cluster. They munched leaves from trees, thrashing and breaking branches spiked with long thorns, or stood basking in the sun, swaying their trunks and fanning their giant ears. Babies that nestled against older elephants looked as pliable as putty, cuddly as oversize toys, even though newborns weigh about 200 pounds. The youngsters and adolescents were led by young females daughters that prematurely stepped into the roles of matriarchs after ivory poachers killed their mothers. A mother elephant typically becomes head of the family at about age 35. The younger set here ranged from 15 to 28. The oldest, Desert Rose, began leading her young cousins after their matriarch, Maua, was killed in April 2014 by a poachers automatic rifle. George, an associate professor in conservation biology at Colorado State University, USA has been studying the elephants at the Samburu Reserve, Kenya since 1997. He knows them by name, as if they are old friends. He pointed out Habiba, Cinnamon and Pilipili, distinguished by ear markings. The elephants are named after spices, flowers, artists, poets, Swahili names and more. Poaching has wiped out scores of pachyderms and their matriarchs, prompting researchers to study elephants more closely to monitor the orphans and the complex social ties within the family networks. From 2010 to 2012 alone, approximately one-fifth of Africas elephant population was slaughtered by poachers, according to Save the Elephants. Identifying social behaviour Researchers worry that the loss of elders, especially the matriarchs that were targeted by poachers for their large tusks, would severely impair the ability of younger ones to survive and thrive. The matriarchs carry a vast amount of knowledge about their surroundings, including safe migratory routes, the availability of water in arid landscapes, threats from predators and other vital information. Habiba and all her brothers, sisters and cousins theyre just in a little group. Their mothers were all dead, George said. These kids stuck together, but they didnt have any adult supervision, so to speak. We were really scared about what was going to happen. But researchers have watched as the social networks of Samburus elephants help them regroup, with young daughters assuming bigger roles in caretaking. Even females as young as 15 tended to emulate the social contact pattern of their mothers, George said. If their mothers are highly social and their mother dies, the kids tend to be highly social. And if the mothers are not, the kids tend not to be. Less social mothers have produced less social children with smaller networks, a phenomenon that researchers are still studying. Those findings, published in the journal Current Biology this year, offer some hope during a bleak time for elephants. The current poaching epidemic started in 2009, but so slowly that researchers in Samburu did not realise its severity. In 2010, we started getting very concerned; 2011 was a disaster, George said. Social networks are just one area of research for Save the Elephants, in addition to how elephants are migrating and whether orphans show negative physical effects after their mothers are killed. And though these conservation biologists study animals, their work starkly reflects the effects humans have on the elephants environment. To understand how social networks have been affected, researchers analysed 16 years of data about Samburus elephants and found surprising resilience. For example, the researchers recorded positive interactions between elephants like wrapping trunks, smelling one anothers mouths and rubbing one another. The researchers also noted negative behaviours, like how often elephants pushed orphans away versus non-orphans. Daughters not only emulated their mothers social behaviour, younger elephants even rebuilt networks through distant social connections to families whose most mature adults had been killed. Some new matriarchs took to caring for the young immediately, but the reactions among orphans are still being studied. The story of the Samburu orphans is one of the most poignant examples of the importance of collaboration and friendship I have seen in a nonhuman system, George said. Here are wonderful examples of these orphans moving on with their lives and rebuilding their highly and critically important social world.In 2014, Kenya toughened penalties for poaching and wildlife trafficking. Save the Elephants is studying the migration of elephants by using GPS radio collars and satellite-generated maps. In the past year, conservationists have put collars on 40 Samburu elephants to expand research on their movements. Were trying to get at an elephants mind why it uses space across the ecosystem the way it does, George said. This is fundamental for land use planning: what kinds of areas we need to conserve outright, what types we need to facilitate movement through, and what kinds of areas are not essential to elephants. Across Africa, human populations are growing and pressures on land are increasing. Some conflict arises when elephants are trying to move to another area and theyre forced to go through places where people live, Frank Pope of Save the Elephants said. If we can define and protect wildlife corridors, we have a hope of preventing this type of conflicts. Former telecom minister Dayanidhi Maran, his brother Kalanithi Maran and four others appeared before a special CBI court and moved bail petitions in connection with a money laundering case of the Aircel-Maxis deal. Among the four other accused who appeared at the court and filed bail petitions on the case were Kalanithis wife Kavery and managing director of the South Asia FM Ltd (SAFL) K Shanmugam. On receiving their bail applications, Special CBI Judge O P Saini issued a notice to the Enforcement Department, the investigation agency in the case, and fixed July 21 for the next hearing. Maran brothers, Kavery and other accused in the case turned up to the special court in compliance to the summons issued against them in February. Former telecom minister, his brother and others are accused of forcing Chennai-based telecom promoter C Sivasankaran to sell the stake in Aircel to Malaysian-based Maxis Group under a criminal conspiracy in 2006. Besides them, Malaysia-based business tycoon T Ananda Krishnan and a top executive of the Maxis group, Augustus Ralph Marshall are also accused in the case being investigated by the CBI. The ED filed a separate chargesheet against six people including Maran brothers and Kalanithis wife under money laundering Act in January this year. In its chargesheet, the economic offence investigation wing has accused them of being involved in laundering of Rs 742.58 crore in the case. The ED has listed Sun Direct TV Private Limited (SDTPL) and the SAFL also in the list of the accused in its case, claiming that the Mauritius-based companies paid to the bribe money, which was meant for Dayanidhi, to these two firms. Controversial Islamic preacher Zakir Naik, whose name cropped up during investigations into the terror attack in Dhaka, has postponed his return to Mumbai, his hometown. Zakir, 50, the founder of Islamic Research Foundation (IRF) and Peace TV, was in Mecca and Medina in Saudi Arabia and was to return to Mumbai on Monday afternoon. However, he postponed his return to India. On Sunday, Bangladesh banned Naiks controversial Peace TV, saying it incited the recent attack on the Dhaka cafe that claimed 22 lives, including those of police officers. Two of the attackers were inspired by Zakirs speeches. After his name cropped up, the Ministry of Home Affairs took cognisance of the issue and even Maharashtra Chief Minister Devendra Fadnavis asked Mumbai Police Commissioner Datta Padsalgikar to probe into Zakir Naiks speeches and also his contacts and source of funding. The Special Branch of the Mumbai Police is probing the matter even as the anti-terrorism squad (ATS) of Maharashtra Police and National Investigation Agency (NIA) are keeping a hawk-eyed vigil. Over Sunday and Monday morning, there were reports that Zakir and some prominent citizens would address a news conference at a five-star hotel on Tuesday on his return; however, it has been hurriedly cancelled. He is not returning on Monday, he has postponed his return, sources said, adding that he was going ahead with his scheduled lecture tour in the United Arab Emirates and Africa. Congress leader Jairam Ramesh has locked horns with industrialist Gautam Adani over rights to mine coal in Chhattisgarh with the former environment minister accusing him of benefiting from Prime Minister Narendra Modis largesse. Hitting back at Adanis claims that the Congress was unnecessarily dragging the corporate sector into politics, Ramesh said the industrialist should at least show the intellectual honesty to acknowledge the issue in dispute. That he is a beneficiary of Narendra Modis largesse both as chief minister of Gujarat and prime minister of India is beyond any doubt, he said. Ramesh said Adani has conveniently forgotten that the issue was not about his company being selected as the mine operator by the Rajasthan government but that of denial of community rights to tribals in the region. Home Minister Rajnath Singh on Monday reached out to opposition leaders to discuss the worsening situation in J&K, following the encounter of a Hizb commander. Singh briefed Congress president Sonia Gandhi and National Conference chief Omar Abdullah about the efforts taken by the government to control the situation in the Valley, which erupted in violence after the killing of self-styled militant commander Burhan Wani. At least 22 people lost their lives in the protests. Singh's discussions with Sonia and Abdullah, a former chief minister, are seen as an attempt by the Centre to take opposition leaders into confidence before Parliament's monsoon session, beginning on Monday. Singh told Sonia that the army operation in Kashmir was not targeted at Wani, but his aide about whom the armed forces had intelligence inputs. Sonia issued a statement that there can be no compromise on matters relating to national security, even as she expressed "deep anguish" over the loss of lives in "senseless violence" over the past few days. "There can be no compromise on matters relating to national security. Terrorism must be dealt with firmly. Even so, the death of so many of our citizens and attack on security forces is painful," she said. Sonia reminded that gains made through the political process over the last two decades "must not" be lost. "I appeal to my brothers and sisters in the Valley to allow political parties to find durable and enduring ways of fulfilling their aspirations meaningfully in a peaceful and democratic manner," she said. On his telephonic conversation with Singh, Abdullah said, "I told him until security forces exercise maximum restraint and stop killing protestors, this vicious cycle of violence will not stop. Only after the lethal use of force ends, can we begin to pull the Valley back from the abyss." Not martyrs Though the Congress was supportive of the government action in gunning down Wani, it counselled restraint in dealing with other misguided youth in the Valley. At a party briefing, spokesperson Abhishek Manu Singhvi appealed to the people of Jammu and Kashmir not to make a martyr of a declared terrorist. There is no question of this... we cannot support a declared terrorist, who has a history of terrorist activities, which is what Wani's case amounts to, Singhvi said. The Congress also wanted the government to deal with misguided terrorist sympathisers very carefully, with sympathy, but with firmness. They are on a different footing, Singhvi said. Information and Broadcasting Minister M Venkaiah Naidu welcomed the statements, saying it indicated a collective stand on terrorism. India is preparing to evacuate its citizens from strife-torn South Sudan, where fight between rival factions of soldiers resulted in the death of close to 300 people. New Delhi also issued a travel advisory asking Indian nationals to avoid travelling to South Sudan unless the situation improves in the landlocked nation in north-eastern Africa. At least 272 people were killed in and around Juba, the capital of South Sudan, since Thursday as the troops owing allegiance to the countrys President Salva Kiir clashed with the rebel soldiers loyal to first Vice-President Riek Macher. MEA reviews situation We have reports of gun fire and fighting on the streets of Juba making any movement virtually impossible, the Ministry of External Affairs (MEA) stated in New Delhi. The MEA noted that the security situation in and around Juba, the capital of South Sudan, deteriorated since Thursday, when the fight between rival troops broke out. The Embassy of India in Juba also asked Indian citizens living in South Sudan but now desirous to leave the country to register themselves with the mission so that necessary arrangement for evacuation could be made. Over 600 Indian nationals live in South Sudan. While some have set up businesses in Juba, others are working for various companies. A small number of Indian nationals also work in Christian missionary organisations and non-profit organisations in South Sudan. In addition, nearly 2,000 Indian Army personnel, 31 police officers and some civilian officials are taking part in the United Nations peacekeeping operations in South Sudan. The Embassy of India in Juba issued an advisory, asking Indian citizens in South Sudan capital to take all possible precautions and avoid non-essential travel and movements during the next 48 hours. News / National by Staff Reporter The Government of Zimbabwe is reportedly planning to ban social media in the coming days in a bid to block messages about protests from spreading, TechZim reported.The development is linked to the general intention by the government to have a single international gateway that it can control.In April, the President of Zimbabwe, Robert Mugabe, said the government was looking to learn from China's Great Firewall in restricting access to certain websites.The key social media services likely targeted for blocking are WhatsApp and Facebook as these are widely popular in the country of more than 6.6 million internet subscriptions.WhatsApp for example, accounts for about 34% of the mobile internet traffic in Zimbabwe. The government on Monday formed a high-level committee to explore if giving bonuses to farmers will boost the cultivation of pulses, and the possibility of tweaking minimum support price (MSP). The committee headed by Chief Economic Advisor Arvind Subramanian will submit its report within two weeks. The decision was taken at a meeting to review the situation of the prices of food articles in the country with a special emphasis on pulses, which is selling as high as Rs 200 per kg. The meeting was chaired by Finance Minister Arun Jaitley and attended by Food Minister Ram Vilas Paswan and Urban Development Minister M Venkaiah Naidu, besides other senior officials. The Consumer Affairs Ministry has called a meeting on Wednesday of the officials from the Intelligence Bureau, Department of Revenue Intelligence, Income Tax and the Directorate General of Foreign Trade to discuss and take measures on hoarding of pulses, if any, sources told DH. Further, on Wednesday, the inter-ministerial group on prices headed by Jaitley is expected to meet to decide ways to curb soaring prices of essential commodities.To encourage farmers to cultivate pulses, the government had in June increased the MSP of pulses along with a bonus of Rs 425 per quintal. While the Finance Ministry believes that increasing MSP for pulses can increase production and cool their prices, the governments think-tank Niti Aayog has a different view. It has raised doubts on the premise that increasing MSP alone can motivate farmers to cultivate pulses on a large scale. It would be a day dream to consider that higher price will increase production without technological breakthrough, Niti Aayog member Ramesh Chand said recently. At one point of time, prices of pulses were almost at par with wheat and rice rates, but now they are six times higher, he said. The government has also decided to increase the buffer stock of the pulses to 20 lakh tonne this year from meagre 1.5 lakh tonne in its godowns at present. Further, to cool the prices in the retail market, the importers have also been asked to lift pulses stock within 45 days from the ports. Earlier, they could lift the stock within 90 days. Meanwhile, Paswan held states partially responsible for the rise in prices of pulses as they were reluctant to lift it from the central pool. Because of the lacklustre attitude of the state governments, the image of the central government is being tarnished. I am again appealing to all states to lift pulses from us. They have infrastructure for the solution, Paswan said after the meeting. The food minister also offered more pulses to the states at Rs 66 for tur, Rs 82 for urad for retail distribution not more than Rs 120 per kg. The Centre held a similar meeting on the price situation last month and had made an appeal to the states but to no avail. Pucca, Indian ho? The question by an immigration officer to a Manipuri activist headed to Seoul has prompted the government to order a probe into a complaint of racial abuse. The incident, which took place on Saturday, came to light after Monika Khangembam posted on Facebook her experience at the Indira Gandhi International airport here. As social media exploded over the treatment meted out to the activist, External Affairs Minister Sushma Swaraj took to Twitter to express regret over the incident, and said she would discuss the issue with Home Minister Rajnath Singh. Monika wrote on Facebook that after looking at her passport, the immigration official remarked that she does not look Indian and asked with a smirk whether she was really Indian and how many states are there in the country. When she told the officer she was running late, he insisted that she answer him and told her the aircraft would not leave without her. Monika said she replied to his questions as he was not willing to proceed with the work. He also asked her how many states Manipur shares borders with. What a bully and never felt so humiliated. It was like it was his mission to make me realise my Indianness and he thought he was being funny. I was running late and felt I was giving in to the bully. Spoiled my night. Is there a way to complain against these bullies? she wrote. Sushma tweeted, I am sorry to know this. Immigration is not with me. I will speak to my senior colleague Shri @rajnathsinghji to sensitise Immigration officials at the airport. Minister of State (Home) Kiren Rijiju said the Bureau of Immigration would probe the charges. We are examining the case. We have asked for a full report. There have been previous cases of harassment. We will take action if anyone is guilty of harassment, he said. In March 2015, an immigration officer at the Delhi airport was suspended after a Bengaluru-based woman complained that he threw sexual innuendos at her while she was on the way to Hong Kong. The Gujarat High Court on Monday paved the way for the release of incarcerated Hardik Patel, the face of the Patel quota stir, by granting him conditional bail in a rioting case in Visnagar town in northern Gujarat. The court had last week granted bail to Patel in two cases of sedition on the condition that he stay away from Gujarat for six months. Hardik has been granted bail in the Visnagar case on the condition that he would not enter Mehsana district for nine months. Once he returns to Gujarat after six months, he wont be able to visit Visnagar for another three months, Patels lawyer Zubin Bharda told mediapersons. He will have to stay away from his hometown till the trial in the Visnagar case is over and if he wishes to visit Mehsana after nine months, he can do so only with the permission of the court. The police had filed a charge sheet against Patel and 16 others in a case of rioting and assault registered at Visnagar town last July. The first rally by the Patels, seeking reservation in government jobs and educational institutions, was held at Visnagar on July 6 last year. The Gujarat High Court has allowed Patel to stay in the state for two days and visit his house after his release to collect his belongings. However, it refused to accede to his request to visit the temple of his family deity in Unjha town in Mehsana. It may take a few days for Hardik to walk out of the prison as we need to complete some formalities, his lawyer said. The order on Patels bail brought cheer to his family members, who, along with well-wishers, burst crackers in celebration. The Opposition BJP and JD(S) on Monday launched a virulent attack on the Siddaramaiah government over the suicide of police officer M K Ganapathi. They demanded the immediate resignation of Bengaluru Development Minister K J George. The two parties accused the government of covering up the suicide case in order to protect George, who was named by the officer as responsible for his death before ending his life. They also vociferously demanded the suspension of two senior police officers A M Prasad, Additional Director General of Police (Intelligence), and Pronab Mohanty, Inspector General of Police (Lokayukta) whose names were also mentioned in the statement made by Ganapathi. They wanted the Central Bureau of Investigation to probe the suicide case instead of the states Criminal Investigation Department. Members of the Opposition and the Treasury benches indulged in heated arguments, accusing each other of politicising the issue. A suo motu statement by Home Minister G Parameshwara on the case left the Opposition irked as the minister did his best to defend the manner in which the case is being handled. The Oppositions demand to withdraw the statement went in vain. Opposition leader Jagadish Shettar said Ganapathis statement showed that he was not mentally unstable, as claimed by the government. The government is making up stories to protect George, he said. Shettar said the statement is a dying declaration as per Section 32(1) of the Indian Evidence Act. A case under Section 306 of the Indian Penal Code which is abetment of suicide should have been filed against all those named by the officer. The statement is elaborate and it fits in the definition of cognizable offence. But the government has chosen to file a case as per Section 174 of the IPC unnatural death. Ganapathi has in his statement clearly said that George and two of his senior colleagues are responsible for his death. The government is shameless. Honest officers are committing suicide as they are unable to bear the harassment by some ministers and influential people, he charged. Shettar referred to some cases wherein accused individuals were arrested based on the statement made by people who committed suicide. Recently, a farmer in Karwar was arrested for merely complaining about power cuts to Energy Minister D K Shivakumar. Why is the government not applying the same rule to George? Why is the government not allowing the members of Ganapathis family to file an FIR? he asked. Former minister R Ashoka charged the government with destroying evidences. Eye-witnesses have said Ganapathi had left a two-page suicide note and a pen drive (at the hotel room where he committed suicide). But the police have denied there was a suicide note and a pen drive, he said. George, however, claimed he was not involved in the case. Mothers Recipe, a household name for pickles in India, has set out on a culinary trail of sorts, wanting to savour a robust turnover of Rs 500 crore over the next three years. The brand owned by Punes Desai Brothers boasts a turnover of over Rs 200 crore, with presence in 12 categories, namely pickles, cooking paste, ready-to-fry, ready-to-cook spice mixes, instant mixes, chutneys (for the domestic market), and spice powders, simmering sauces, canned products, ready-to-eat, canned veggies, and pickled gherkins (for the international market). We have different products for different regions. According to demand, we select the most popular dish or preparation, and manufacture products catering to that demand. In India, though the prepared meals and culinary category is highly unorganised, the branded market is eating into the unbranded pie. Better convenience, higher income, time management, and surity of product quality may be the reasons, P Rajan Mathews, Vice President (Marketing and Sales), Desai Brothers Food Division (Mothers Recipe), told Deccan Herald. The company has been a big player in the Rs 1,000-crore pickles market in India, of which, only 45% share is served by organised players. Of the 126 varieties of pickles that are made in India, Mothers Recipe produces 47 varieties, holding 25% share in the organised segment. Talking about Mothers Recipes push into newer avenues of the food space, Mathews said, We are working towards consumer convenience in terms of innovation in form and presentation, with plans to launch new products as we see demand. We are looking at new markets for products, such as the mayonnaise category with our brand Spread On, a couple of years down the line. Were also looking at getting into manufacturing spreads. The company recently acquired the brand ELMAC for Rs 20 crore, which has catapulted it into the food services business selling sauces, ketchup and spreads to hotels and restaurants. We are investing around Rs 5 crore in the ELMAC facility in Kolkata towards automation. While we have a factory in Pune, a second plant is coming up in Nadiad, Gujarat, mostly for the export market, by the end of this year. We are investing another Rs 10 crore in the new plant, Mathews said, adding that the plan is to grow 25% year on year. The Kashmir Valley remained tense on Monday with spiralling violence and more deaths over the killing of Hizb-ul-Mujahideen commander Burhan Wani, prompting the Centre to rush in additional forces. Three days of violence has left 22 people dead and 1,365 injured. The local media, however, put the toll at 31 by publishing the names of those killed. In the fresh spell of violence on Monday, protesters torched a police station in Sopore and targeted an air force airport in Pulwama, where agitators set afire dry grass inside the airport complex. Protesters also set ablaze an abandoned police post in Lassipora and a special operation groups camp at Litter, both in Pulwama. There was no let up in stone pelting incidents too. The Munsif court at Dooru in south Kashmir was another target. There were reports about dozens of police stations being targeted in other areas. The rising violence saw National Security Advisor Ajit Doval return from Africa a day ahead of schedule. Doval had accompanied Prime Minister Narendra Modi on his African tour. If there are problems, there are solutions. We are quite confident and competent of finding solutions, Doval, who returned from Kenya on Modis direction, was quoted as saying by PTI. In New Delhi, Home Minister Rajnath Singh telephoned Congress chief Sonia Gandhi and former Jammu & Kashmir chief minister Omar Abdullah to discuss the situation and held a series of review meetings along with senior ministers and Doval. A concerned Centre rushed 800 more Central Reserve Police Force personnel to the Valley where around 60,000 personnel are already deployed. This took the total additional deployment to 2,000 in the last three days. The decision to deploy additional forces was taken after mobs targeted security installations in the state. Sources said they expect the situation to come under control in 72 hours, while adding that security agencies are looking into social media activity over the latest round of protests. Reports from various parts of the state said that around 40 people were injured in Mondays clashes. Protesters claimed that one Aamir Latoo is critical after he was shot at by CRPF personnel at Zirpora in Shopian. But the police said: At Zirpora Bijbehara, militants fired upon the police and CRPF personnel which resulted in injuries to three people. Meanwhile, Modi will chair a meeting of the Cabinet Committee on Security on Tuesday to review the situation in Jammu & Kashmir. The meeting will be held early in the day soon after Modi returns from Kenya. The CCB sleuths arrested two persons and recovered 14.7 tonnes of stolen copper materials worth Rs 75 lakh recently. The suspects have been identified as Ashok Kumar, 41, a resident of Salem, Tamil Nadu and Shanmugavelu, 35, Cottonpet, Bengaluru. On a tip-off, the police arrested the duo near a store in Electronics City when they were trying to sell the stolen material. A month ago, unidentified men had driven away a truck loaded with copper materials by threatening the driver near Namakkal in Tamil Nadu. Ashok Kumar and Shanmugavelu had bought the stolen copper and were trying to sell it. Pedestrian killed A pedestrian was mowed down by a hit-and-run vehicle in Kempapura during wee hours on Monday. The deceased was identified as Subhashini, 38, a native of Ananthapura in Andhra Pradesh. According to the police, the accident took place around 2.30 am when the victim was crossing the road. She was rushed to a nearby hospital, but was declared dead on arrival due to multiple injuries, added the police. The CCTV footage from the spot has been obtained to ascertain the identity of the vehicle, police said. Boy drowns in lake A 13-year-old boy drowned while he was swimming in a lake in Parappana Agrahara on Sunday. The deceased was identified as Kelvin Sagar, a resident of Rayasandra. He was studying in Class 7 at Net Public School, Rayasandra, said the police. He had gone to the Rayasandra lake along with his friends for swimming. He entered the water, swam for a while and drowned. A few local residents rescued and rushed him to a nearby hospital where he died some time later, added the police. Amaranth pilgrims from Karnataka, who were struck in violence hit Kashmir, are safe and some of them are returning to their home town. According to Karnataka Bhavan officials, over 300 pilgrims who were stuck between Sonamarg and Srinagar were safely brought to Srinagar with escort from army. The information on all the tourists who contacted us seeking help, has been passed on to Jammu and Kashmir police and they have helped them to reach safe places in Srinagar, Atul Kumar Tiwari, Resident Commissioner, Karnataka Bhavan said in a statement. If any tourists or their family members require any help, they can contact control room set up in Delhi where senior officials will extend all possible help, he said. News / National by Staff reporter Tajamuka, a group of brave protesters calling on President Robert Mugabe and his cabinet to immediately leave office over leadership failure, is urging Zimbabweans to besiege State House on Saturday.The group has activated demonstrations in Harare, Beitbridge and other parts of the country as angry pop corn protests continue.In a brief message currently circulating on social media, Tajamuka thanked Zimbabweans for heeding a call to stay away from work in the past two days resulting in the closure of most businesses in urban areas."We are now calling upon all patriots to be brave. (On) 9 July, we are marching to State House. We should meet at Africa Unity Square at 8am."We will be marching to State House and demanding Mugabe to leave office. We will do whatever it takes to liberate ourselves. Mugabe must go this month. This is a month to liberate ourselves from this evil regime," read the Tajamuka notice.The group reiterated its political neutrality but top government officials have recently linked it to the main opposition Movement for Democratic Change (MDC) led by Morgan Tsvangirai."We are just doing this as angry citizens seeking solutions to our problems. We need jobs, we need a better (life)," noted the group.Tajamuka urged business owners to shut down and citizens to postpone long trips.Service providers on Wednesday joined hands with the Postal and Telecommunications Regulatory Authority (POTRAZ) in warning social media users against generating and sharing content deemed to incite unrest, but citizen activists are unfazed.IT experts told Nehanda Radio, Whatsapp remained the safest platform for Zimbabweans to use as its messages were encrypted and no one could snoop on them."Authorities in Zimbabwe will never be able to read any WhatsApp messages as it offers end to end encryption. This means not even WhatsApp themselves can read our messages, the only people who can see the message are the sender and receiver, period." Chief Minister Siddaramaiah on Monday accused the Opposition BJP of targeting ministers belonging to minority communities. The BJPs agenda is to target minorities. You (BJP members) have been targeting K J George (Bengaluru Development Minister), Roshan Baig (Urban Development Minister) and Qamar-ul-Islam (former minister). You are anti-minorities, he said in the Legislative Assembly, countering the BJPs demand for Georges resignation following the suicide of DySP M K Ganapathi. The chief minister insisted that George had nothing to do with the suicide, and said the BJP did not have the moral right to seek the resignation of any minister. Siddaramaiahs belligerent reply came after Leader of Opposition Jagadish Shettar called him arrogant. In 2013, the chief minister had reportedly defended the suspension of police inspector G N Mohan who had registered a case against Siddaramaiahs son. Mohan, during his posting in Mysuru, had lodged a case against Siddaramaiahs son Rakesh during the 2013 Assembly elections. The officer was suspended and later given a non-executive posting in Karwar. The chief minister defended his son while speaking to the media. He asked if he should keep quiet if somebody troubles his son, Shettar said, quoting media reports. Siddaramaiah replied, My statement has been twisted. He (Mohan) had filed a case against my son though he was not involved. It was a false case. So we took action against him. CM arrogant Shettar hit back, saying that it showed the chief minister arrogance. Shettar also accused Siddaramaiah of shielding his aide K Marigowda who is accused of abusing Mysuru Deputy Commissioner C Shikha. He said the government failed to arrest Marigowda. The chief minister is protecting him, so police are reluctant to arrest him, Shettar suggested. The Marigowda issue was also discussed in the Legislative Council. Home Minister G Parameshwara has said that DySP M K Ganapathis father has complained to the police that his son was facing problems on the domestic front and was depressed. In a suo motu statement read out in the Legislative Assembly on Monday, the minister explained the status of the Ganapathi suicide case which is being probed by the CID. There was stiff resistance from the Opposition parties for allowing the minister to make the statement. They wanted to make a preliminary submission on an adjournment motion they were trying to move against the government over the DySPs suicide issue. But the Speaker K B Koliwad allowed the minister to read out the statement amidst the din in the House. Parameshwara said Ganapathis father M K Kushalappa, in his complaint with the police has said that when his son was working in Bengaluru, his (Ganapatahis) wife and children stayed in Mangaluru. She was neglecting her husband. After getting promoted as DySP, Ganapathi was transferred to Mangaluru. And, his wife continued to ill-treat him. He was depressed for sometime. As he had no peace at home, he had shared this with him (father). Lack of harmony in the family forced him to commit suicide at the lodge, the complainant has said. Brothers statement The home minister said Ganapathis brothers M K Thammaiah, also a DySP and M K Machaiah have stated that their sibling was facing domestic problems and was depressed. Pavana, the widow of Ganapathi, has said that her husband was facing work pressure. Based on the complaint by Kushalappa, a case of unnatural death under the section 174 of the Code of Criminal Procedure has been registered, the minister said. Parameshwara, however, did not mention Kushalappa retracting his statement to the police and the statement made by Pavana that she had faced no marital problems. The minister said Ganapathis wife and son had lodged a complaint with the Kushalnagar police on July 10. The complaint has been referred to the CID. Senior police officers are probing the case. The investigating agency would probe all angles, including suicide. The complaints made by the late officer will also be verified. The case would be handled as per law, he said. However, Parameshwara did not mention the names of those against whom Ganapathi had levelled charges before committing suicide. With the police refusing to register an FIR in connection with the suicide of DySP M K Ganapathi, his son filed a private complaint in the Additional Civil Court here on Monday naming Bengaluru Development Minister K J George as the first accused. Ganapathis elder son Nehal submitted the complaint through his advocate Amrith Somaiah, to the judge Ramesh Babu. While George as been named the first accused, IGP (Lokayukta) Pronab Mohanty and ADGP (Intelligence) A M Prasad have been named the second and third accused respectively in the complaint. The complainant has prayed the court to direct the police to register a criminal case against the three based on Ganapathis statement before his suicide. Nehal said no FIR was registered at the Kushalnagar Rural police station even after filing a complaint and prayed to the court to provide justice to the family. Meanwhile, Ganapathis brother M K Machaiah suspected that his sibling could have been murdered. Speaking to reporters outside the court premises, Machaiah said: There is a possibility of Ganapathis having been shot at, by removing the grills of the window, with his service revolver. He was facing threats to his life. I had been to the Kushalnagar police station to file a complaint, suspecting murder. The police should probe the case from this angle too, he said. Wifes contention Ganapathis wife Pavana on Monday claimed that the police did not document her statements in the complaint though she was was present at the hospital on July 8 when the post mortem was conducted. The CID officials who visited our house on July 9 clarified that the complaint does not include my statements. This shows that an attempt is being made to hide the truth,she said. Stating that her husband was an honest officer, Pavana said what all Ganapathi had said before committing suicide was true. Ganapathi was under pressure. The harassment increased after the church attacks. Even after he was promoted, he was not given a posting for several months. He got a posting only after the home minister was changed. He was also sad over DySP Kallappa Handibags suicide. However, he was not depressed. Since our marriage in 1996, we did not have any issues between us. Everything was fine, she stated. He was transferred frequently. When he was transferred from Madiwala to Yeshvant pur police station, he underwent a lot of harassment. He was a bit depressed after he was accused of killing a man in a fake encounter in 2008, she added. Pavana said Ganapathis family members, particularly his brother DySP M K Thammaiah, had not informed her about her husbands death though they were aware of it. Thammaiah was informed about the suicide by a police officer and his friends in Madikeri. Later, Ganapathis father Kushalappa came to know of the incident. However, they did not inform me. I came to know of it from my cousin and reached Madikeri from Mangaluru late in the night. Pavana said she has no information on her father-in-laws statement about her in his police complaint. As per the Kodava tradition, I am not supposed to come out of the house for 11 days after my husbands death. Otherwise, I myself would have gone to the police station and filed a complaint, she said. As many as 31 students of the MG Palya government primary school fell ill after consuming milk served to them as part of the Ksheera Bhagya scheme on Monday. The students started vomiting soon after drinking the milk that was served to them at around 11 am. The children were admitted to the primary health centre for treatment. They were all later taken to the Ramanagaram district hospital in an ambulance. Doctors said that the children were recovering. It is said that the milk given to children was prepared using old milk powder by the school authorities. Zilla panchayat president C P Rajesh visited the children and enquired about their health. Commuters who are dreaming to zoom through the crowded central business district to reach Kempegowda International Airport (KIA) on Namma Metro will have to wait for several years. In the absence of clarity on the route-map, the Bangalore Metro Rail Corporation Limited (BMRCL) has proposed to discuss all possible options with the state government in a few days. BMRCL Managing Director Pradeep Singh Kharola told Deccan Herald that Rail India Technical and Economic Services (RITES) has submitted a feasibility study report to the BMRCL, which includes several route options of connecting Metro to the airport. The government is yet to take a decision. We will explore all the options provided in the feasibility report and choose the best among them, he said. The proposed extension of Nagawara Metro line to the airport is one of the options, Kharola added. At the recent meeting of Bengaluru Vision group chaired by Bengaluru Development Minister K J George, the members had suggested extending the proposed Nagawara stretch to the airport. However, no decision has been taken yet. Land acquisition process is yet to be completed for the Nagawara stretch, which is proposed to go underground till the Dairy circle on Hosur Road via MG road. Experts say that the stretch would not be ready at least for the next five years. A BMRCL official, however, expressed confidence of completing the work early by giving the tunneling project work to multiple contractors. Govt not serious Urban mobility experts, however, feel that the government is not serious on providing better connectivity to the airport. R K Misra, director, Centre for Smart Cities felt that at the current pace, the Metro connectivity to the airport is less likely to be realised in the next 20 years. The government seems less interested in expediting the project. Its all talk in the air, no concrete action is in place, he said. Sanjeev Dyamannavar, founder of the advocacy group Praja-RAAG wants the government to take up the commuter rail project on priority. News / National by Staff Reporter President Robert Mugabe on Friday in Bindura, Mashonaland Central called on politicians in the country not to force people to support them.The 92-year-old leader, who has been in power for 36 year, said people must support parties out of their choice.Mugabe emphasised that guns must not lead politics, but instead it should be vice versa."When we say we fought for the people, why then are we fighting them," Mugabe said.On late payment of civil servants salaries, Mugabe said it is true the Government has been facing challenges and at times delaying paying people by weeks or even months.Mugabe said every nation has its problems. Opinion / Letters Bulawayo24.com Message from ZANU PF: 1. We have driven the Ndebele out of Matebeleland and Buruwayo over the past 34 years and our census back up this evidence and is a confirmation of the achievement of our Grand Plan 2. Ndebele women are infertile. They are not worth marrying but only abuse and neglect. Look what even our wayward son Tsvangirai did with that Ndebele girl who happened to be fertile. He operated in line with our Grand Plan objectives of impregnating those Ndebele women who are lucky to be fertile and then abandoning them as per our objectives. We are still doing well in diluting and damaging the Ndebele. 3. Ndebele men do not marry because we have made the majority of them jobless and economically poor hence their women are flocking to our people in huge numbers. They will continue to do so for the next coming years and by the time they realise it, we will have wiped the Ndebele and Ndebeleness out of the face of Zimbabwe. Cdes we are doing well and thanks for the great Messianic leadership of his excellency, the commander in chief of the Defence Forces of Zimbabwe, R.G. Mugabe. 4. The plan to destroy Buruwayo economically has spectacularly succeeded. Our census reveals that this is so as thousands remain jobless in Matebeleland 5. Our plan to destroy the Ndebele culturally and linguistically is still on course... We have deployed and we continue to deploy Teachers who do not speak local languages to Primary Schools and they are doing well as evidenced by the fact they are now running the Ndebele curriculum and scandalising local languages and the Ndebele Language examinations 6. Realising what we have done over the years, Ndebele people are becoming jittery, therefore we have deployed the security apparatus into Matebeleland and made it a police territory. This is in anticipation of a possible uprising although this is still far from being a reality. We have got to be prepared for that eventuality and deal with them ruthlessly. 7. We have reclaimed Matebeleland and most of our people are now owners of land in Matebeleland, right under their nose and they can not do anything 8. We realize that the majority of Ndebele people still idolise Joshua Nkomo who gave Matebeleland to us and as such we have erected his statue in Bulawayo and this has proved magical for us. As they celebrate Nkomo, we celebrate his weaknesses that gave Matebeleland to us 9. We have turned our swords to the white men too and we continue to do so without fear. We did strike "fear into the whiteman's heart" during the land invasions and we continue to do so. There are some good Ndebele people who have worked hard to help us achieve our goals and we have rewarded them well. The late John Nkomo comes to mind. Professor Jonathan Moyo has been a dependable son of the soil who has been outstanding and managed to tame the ANC, Jacob Gadleyihlekisa Zuma and the so called big African Power South Africa. We defeated Mandela too. Obert Mpofu has been magical and dependable us. Simon Khaya Moyo has not disappointed us either and so is Khutshekhaya and Jabulani, the war veteran leader. This is just to name but a few. Dumiso Dabengwa is trying to rebel but it will not be for long. We are monitoring him closely and if he becomes too big for his boots, we will deal with him ruthlessly. 10. We have taught both the Ndebele and the whitemen who is the boss in Zimbabwe. They now know their place in Zimbabwe. 11. A few Ndebele people refuse to speak our language and we should ensure that we criminalise this behaviour through our security apparatus and those who dare challenge us must be dealt with ruthlessly 12. We have virtually taken over local governmental administration levers of power in Matebeleland, giving us access to local resources in this territory. We must accelerate the pace of resource exploitation in this territory for our benefit, while their so called leaders remain silent. Long Rule ZANU PF, Long Live R.G Mugabe, our only given son of God, our Messiah! Dear Friends,I received this email from unknown persons. It has serious and disturbing information, but I find it very difficult to get the authenticity of it and its source. It can also be a hoax or a scare, however, I have decided to send it toto see if they can, through media, get someone to claim this email as his/her brainchild. I find this very challenging to react to it as it is indeed shallow-minded and at the same time disturbing! At the same time what do persons who wrote the email want to achieve in a volatile Zimbabwe we have a t the moment.I take this email as a direct threat to my resent articles I wrote extensively, appealing for multi-culturalism in Zimbabwe. This too is mere guessing. I ask the people of Zimbabwe to assist in dealing with this email. I am speechless for once.Nomazulu ThataSorry guys but just came across this from another group,,,and its circulating, no offence to anyone just sending. Opinion / Columnist A policy is a declaration of an intention. For every country to have a direction there is need for clarity on policy and governance issues. No investor is willing to part or partner with anyone without clarity on policy matters. One of the weakest point that Zimbabwean Government has is policy clarification. There is no policy consistency. For example President Mugabe made an announcement at heroes' acre, he made it clear that Zimbabwe does not need any help from the west yet at the same time Patrick Chinamasa the current Minister of Finance was in London to beg for funds and aid. How do you draw the line on the current impasse. Policy gives the direction of were the country is going and at the same time, you use policies to assess or evaluate the performance of an institution. We have a serious challenge as a country in terms of policy issues, we don't have a clear framework. Currently the environment is not conducive for investment.EMPOWERMENT LAWSMinisters from the same Government issued conflicting statements regarding the indigenisation law and the outside world was watching. How do we do business when we don't have a clear position regarding investments and other issues. Zhuwao and Chinamasa clashed regarding empowerment laws and investors were not sure what was happening and these are some of the issues that affect our beloved nation. We cannot ask foreign companies to cede 51% of their hard working earnings and there are a lot of questions surrounding the 51% issue because you want to partner someone without anything and you just bring your hands yet someone bought machinery for the company and buildings and you simply come and grab what is there, that is not empowerment. At least 30% in the form of capital is better and we reserve at least 10% for community development such as infrastructure development, assisting orphans and building hospitals, clinics etc. this issue of grabbing foreign companies in the name of empowerment is ill-advised and ill-timed at the time when the country is need of Foreign Direct Investment and aid. If you look at our situation today it is because of some of the bad governance on the part of our Government. According to Muzamhindo as a Development analyst the Government of Zimbabwe must re-visit the empowerment Law and revise the thresholds downwards to 28-30% ownership versus 70% for the foreigners, in other words locals should cheap in with 30% in the form of capital injection and machinery or employment issues. Foreigners must have a certain percentage of employing locals eg 30% in every department in order to improve the formal sector.GOVERNMENT MUST SET UP A THINK TANKMost of the Government ministers we have in the cabinet have no ideas on policy matters since they are political appointees it is advisable that before policies are implemented there is need for a local think to go through them first even at the same time think tanks should be responsible for crafting policies that bring investments in the country. Ministers must stop uttering destructive political statements because it will come and haunt the nation and affect ordinary Zimbabweans. For example how do we expect to lure investors when ministers from the same government issuing conflicting statements and insulting each other in public, and who will be safe in such a country?RESPECT PROPERTY RIGHTThe Zimbabwean Government must respect property rights as enshrined in our new constitution. What investors want before they put meaningful investment they want assurance and safety of their properties before they bring their money. Ministers must stop issuing threats to local farmers who contradicted with the ruling party s stance on governance matters or anyone who differs with their political ideologies. We expect political leadership in this country to be mature when dealing with property issues. They must respect investor s sproperties.LAND POLICYThe recent announcements by Dr Mombeshora the minister of lands that Government has opened up for those who are willing to partner with white farmers for agro based products and farming. My question as a development analyst is that how then do you make announcements, were is that written? Recently the same minister was telling farmers to stop partnering with white farmers and he even told a gathering that those farmers found doing that will risk losing their farms. So who in his mind will bring capital when there is no consistency on policy matters. There must be a think tank responsible for that and at the same time there must be a bill or an act of parliament to protect investors. Imagine someone pouring 500 million in agro based company and tomorrow morning you are told that you do not own anything and that will be a risk. There is need to be clarity. The way Zimbabwean Government conducted their land invasions was ill timed. In fact there is no production or Agriculture to talk about in Zimbabwe because most of these farmers they are lying idle and most people have resorted to hunting yet in the past 60% of the GROSS DOMESTIC PRODUCT came from Agriculture. What has gone wrong? Zimbabwe must conduct a serious LAND AUDIT and despite their social standing or position in society everyone who has more than one farm should hand over the other ones to the state. Land should be set aside for commercial purpose. It's an embarrassment on our part that Zimbabwean Government is busy importing grain from Zambia from the same farmers whom they chased away. The idea of giving land was good but the way it was done totally bad. This land distribution programme should start afresh and give land to those who deserve it. Our food security position is not good and Zimbabwe will risk going back to SADC AGENDA.FOREIGN POLICY AND INTERNATIONAL RELATIONSWe need to come up with a clear foreign policy that will attract investors. Our image as a country is not good honestly who will do business with Zimbabwe when police and other Law enforce agents are busy beating innocent civilians and you expect foreign aid? I don't think so. As a development analyst I think it's high time the Government must act careful in terms of the way they handle citizens of this country. We need also to come up with a clear documentation on how we do business with foreigners and how they protect their assets as well. Eg Chinese they don't do banking with local institutions, they siphon everything, they bring their own materials, labour and everything so that means as a country we have actually lost billions of dollars to these Chinese which stands clear that there is someone benefitting from this sheganisms.IMPORT BANThis move was ill timed and ill advised. I have several question as an analyst regarding this import ban issue. Someone is standing to benefit. Who owns those local companies? I think all citizens have a right to buy from wherever he/she wants. Who owns those companies? Informal sector is standing at 96% and most of these people had resorted to cross border trading and at the same time the Government without proper consultations they have already put import restrictions so how do they expect ordinary people to make a living? Something has gone wrong somewhere? Instead of apologising to the nation or resort to proper consultations they issue radical political statements that are so damaging.ALIGNMENT OF LAWSThere are more than 400 Laws which needs to be aligned to the new constitution. How do we attract investments when we do not respect on own country laws? For example the current impasse between Local Government Minister and the mayor of Harare is badly timed. Investors have tactfully employed the wait and see approach. The local Government bill is there to target individuals and have no national interest. Politicians, Government officials, MPS, Senators, Councillors, parastatal bosses must respect the rule of Law despite political affiliations.ADVISE TO THE GOVERNMENT OF ZIMBABWEIt is my humble submission to the Government of Zimbabwe that you need to sit down and come up with a clear road map for this country so that it will have a sustainable development. Currently the country is on auto movement we do not even know which the direction this country is going. Government must cut its expenditure right now the co-Vice President of Zimbabwe is staying in a five star hotel when majority of its citizens are suffering, such a greedy and unexpected move will not benefit the country in any way. We have more than 60 000 ghost workers on the pay roll in the name of youth officers, and those people must be removed. We don't even need youth officers in this country particularly when the unemployment rate has reached unprecedented levels.-------------Tinashe E Muzamhindo writes in his personal capacity as a Development Analyst and he is also the Director of Centre for Zimbabwe Development responsible for policy research and community Development. Currently he is completing his second masters in Development Studies at University of Lusaka Zambia. He can be contacted at centreforzimdevelopment@gmail.com or tinamuzala@gmail.com . He is also a motivational speaker and an expert in Capacity Development and institutional building. Seoul, korea-July 12, 2016 -- Chips&Media Inc. announced today they completed development of 2nd generation VP9 and HEVC(H.265) multi-format Decoder IP - WAVE512 and now are available to customers. This is the second rollout of their high-performance UHD (4K/8K) Video IP series called WAVE5 which are based on the sophisticated new architecture. WAVE512 is hardware implementation of VP9 Profile0, Profile2 and HEVC decoder that achieves over than 4K 60fps real-time decoding in a single core. Chips&Media has ever released WAVE412 last year which supports VP9 and HEVC decoder already. WAVE512 is the 2nd generation of this multi format decoder IP. It can reduce bandwidth by up to 70%. It also runs at much lower clock frequency than the first-generation WAVE412, which can help save the power consumption a lot. Above all, WAVE512 features improvement of decoder performance. With the fine-tuned, highly optimized design, required clock frequency for 4K60fps has been decreased a lot with almost the same IP size as the existing WAVE412. This benefit makes SoC clients save over than 50% of the IP size compared to the existing IP size and develop high-end, but small size multimedia SoCs. Currently Google's VP9 codec is being used as one of dominant video standards in the UHD market. Almost all of low resolutions to 4K (3840x2160) videos in YouTube are serviced in VP9 format. Also, there are currently a variety of HTML5.0-based web browsers supporting VP9 such as Chrome, Firefox, and Opera. VP9 now occupies the strong position in internet streaming service market as a leader of next generation video standard There are still ongoing demands on higher video quality and higher frame rate like 4K 60fps/8K 60fps. In order to keep pace with such demands, Chips&Media introduced the second generation WAVE512 timely after launch of WAVE412 HEVC/VP9 Decoder IP last year. Following this WAVE510 and WAVE512 release, Chips&Media will roll out VP9 encoder together with 2nd generation high performance HEVC encoder in near future. About Chips&Media Chips&Media is a leading video IP provider based in Seoul, Korea(Republic of). Its advanced ultra-low power and high performance video technology has been chosen by more than 70 top-tiers based in US, Europe, Korea, Taiwan, China and Japan and has proven in silicon reaching 400 millions of units. For more information, please visit the companys web site at www.chipsnmedia.com Opinion / Columnist It's a shame that the MDC led by Morgan Tsvangirai have a tendency of hijacking other unions programmes and make them theirs in an attempt to gain political mileage. It's very unfortunate that the MDC-T who at first was saying it was not involved in the recent stay-away was now imprudently riding behind the civil servants stay-away acknowledging that it was part of it.As it stands MDC-T has proved that it has nothing tangible to offer to the people of Zimbabwe. At the moment, MDC-T spokesperson, Obert Gutu and his allies are capitalizing on attacking government for no apparent reasons. Gutu should be encouraged that he is neither a spokesperson of civil servants or touts. Civil servants have their representatives who stand for them not the MDC-T.At the moment Gutu should be much worried about the health of his boss, Tsvangirai who is suffering from cancer of the colon instead waffling in the media.Civil servants should not be fooled by people like Gutu who have a political agenda of regime change. It is pleasing that acting Public Service, Labour and Social Welfare Minister, Supa Mandiwanzira assured civil servants that salary dates will be back to normal this month. Hence, there is no reason for them to be anxious. Unlike other employers, Government has the interest of its employees at heart. It may encounter a slight hiccup but most of its promises will be fulfilled. Remember, some doomsayers were saying government will fail to pay its employees the 2015 promised bonus. But, alas, all its employees received their 13th cheque.Teachers and nurses unions should be encouraged to channel their grievances through dialogue as stay- away and go slow threaten the education of children. I understand every term has a syllabus that teachers have to work on before term end. When a teacher is absent from school for a day to three it means he may fail to fully achieve the set syllabus deadline. At the end of the day it is our children who suffer most. The same applies to the healthy department. Healthy sector is a critical area where healthy practitioners should be discouraged from engaging in any form of strikes. Government's efforts of mobilizing funds and shifting the health workers pay date from 14 July to 7 July 2016 are much commendable. This depicts the efforts that government is doing as a way of resolving some of the little challenges that are currently being encountered.It is also surprising that Evan Mawarire the man behind the country's shut down boasts about giving government a deadline to address the so called our demands'. Who is Mawarire to give government a time limit on its programmes? Government is quite aware of its duties; hence, it cannot be told what to do by a little known attention seeker.Mawarire should be reminded that the Wednesday stay-away was a forced thing. Most shop owners and transport operators in urban areas were afraid to do business as they were afraid that their shops or vehicles will be destroyed by hooligans.--------------Peacemaker Zano Walking an extra 1,000 steps a day can help children with type 1 diabetes improve their cardiovascular health, according to new research. It is the first time a link has been found between a daily step count and early signs of cardiovascular risk in children with the condition, experts said. Researchers from the University of Adelaide and Womens and Childrens Hospital monitored 90 children with type 1 diabetes and measured their physical activity. They discovered improvements in blood vessel structure and also a lower risk of heart disease in those who took part in additional exercise. Lead author Dr Alexia Pena, from the University of Adelaides Robinson Research Institute and Paediatric Endocrinologist at the Womens and Childrens Hospital, said: Children with type 1 diabetes often report lower physical activity levels than recommended for children of the same age. We also tend to see early signs of atherosclerosis a build-up of plaque in the arteries and other adverse cardiovascular risks at an earlier age than usual for these children. The team found that 55 per cent of the pre-to early-teen children who participated in the study took fewer than 10,000 steps per day. Pena added: There were clear correlations between artery thickness and the average number of steps per day. With an increase of 1,000 steps each day, we saw a measurable decrease in this arterial thickness. In the children who had extra physical activity, we also saw reductions in weight, blood pressure, and trigylcerides, which indicates an overall reduction in risk of heart disease. Our findings emphasise the importance of physical activity for children, and the need for advice on the benefits of exercise for children with type 1 diabetes. The more steps they do, the better. This research, which has been published in the journal Diabetes Care, was supported by the Diabetes Australia Research Trust, the Australasian Paediatric Endocrine Care, and the Womens and Childrens Hospital Foundation. Google is going great guns with its Android Development Program in India. In a huge step forward for Indian Android developers, the mountain view based tech giant has finally announced the Android Developer Skilling Program in the country. Partnering with universities, government bodies and private institutes, Google's aim is to create a 2 million strong Android developer base in India. Commenting on the announcement, Peter Lubbers, Head of Android Development for Google said, We want to set a Google standard, a gold standard for development in India. As per data shared by Google VP, Caesar Sengupta, only 4% of the top 1000 Android apps on Play Store come from India, but the country is on its way to becoming one of the largest developer hubs in the world by 2018. The Android Developer Skilling Program aims to drastically up the number of Android developers by offering a bunch of courses ranging from beginner to advanced levels. In addition, existing Indian Android developers can also add to the authenticity, weightage of their skills through Googles Developer Certification Program. As Lubbers puts it, It will help developers set themselves apart from the crowd. Caesar Sengupta, VP, Google So, how do you get access to Googles Android Skilling and Certification Programs? Here are your options. University Classroom Learning Courses Google will be creating classroom-based training courses in Android Development, in association with 31 universities as well as training institutes under the National Skill Development Corporation (NSDC). Some noted names in this list include - Amity University, G.D. Goenka University, Lovely Professional University, Rayat Bahra University, Manav Rachna International University, Dr. A.P.J. Abdul Kalam Technical University, and more. The course is especially designed for computer science students, and will guide them in building apps, with an aim to create job opportunities for them as entry-level Android developers. Google also has plans to provide faculty training in these institutes, with an ultimate goal of enrolling 2000 universities, training 4000 faculty members, and creating a 250,000 strong student base. Online Training Courses Google has also joined hands with the National Programme on Technology Enhanced Learning (NPTEL), to provide online learning resources in Android Development. NPTEL has been offering online courses for 2 years to students who want access to courses similar to those taught at IITs. NPTELs infrastructure and resources are already powered by Google. The skill development organisation will soon offer a free Mobile Computing course, designed to help students prepare for Googles Developer Certification Program. As per information provided by NPTEL, these courses will begin in July 2016, and will be closely linked to Googles Android Skilling Program. Earlier in September, Google also announced a series of courses in partnership with Udacity. While some courses will be offered for free through this partnership, the Android Nanodegree course will be chargeable at Rs.9,800/month, for a 12 month program. In addition, August 2016 will also see the launch of a developer connect program by Udacity & Google in Delhi, Bengaluru, Chennai and Mumbai, followed by other Indian cities. Training Institute Courses To further increase the base for their Android Developer Skilling Program in India, Google is also joining forces with a select few training institutes in the country. These include - Koenig Solutions, Edureka, Manipal Prolearn, UpGrad, SimpliLearn, and Manipal Global Academy of IT. Associate Android Developer Certification Finally, all the above mentioned courses will allow students and aspiring Android developers in India to take the Associate Android Developer Certification exam. Announced first at Google I/O 2016, the Associate Android Developer Certificate will be a part of Googles global Developer Certification Program. Existing and aspiring entry-level developers will have to write Android apps in this exam, which will then be graded by Googles Android experts. The exam can be taken at a cost of Rs. 6,500. Click here for more details on course syllabus and module structures under Googles Android Developer Skilling Program. Shares in Ortac Resources soared on Monday after the company said it had won an appeal over opposition to its Slovakian project. The AIM- listed exploration and mine development company said the decision concerned a judicial proceeding brought against the District Environmental Office (DEO) in the town of Ziar nad Hronom. They cover an underground mining application submitted in 2014 which was approved by the DEO. Following this, an appeal was lodged in the regional court in Banska Bystrica by a local NGO group and the local municipality. The company has been informed that the regional court dismissed the appeal. Further judicial proceedings with respect to a decision made by the Central Mining Bureau for the same application are currently underway and a decision is expected in due course, Ortac said. Vassilios Carellas, Ortac's chief executive said: "It is sometimes necessary for exploration and development companies to navigate local opposition to new projects. With such a backdrop, it is extremely promising to see the regional court rule in favour of the environmental office, but it is even more encouraging to see that the application submitted by the Company has passed such rigorous scrutiny by both the environmental office and the regional courts." The company has also been advised by its lawyers that it has recently been cleared to proceed with a surface mining application which had been held up by the actions of local opposition groups. Ortac shares were up 11% to 0.04p at 1526 BST. Kaz Minerals: Canaccord upgrades to hold with a target price of 130p. Jupiter Fund: Numis keeps at buy with a 475p target. Associated British Foods: Credit Suisse reiterates outperform with a 3550p target. Imperial Brands: Credit Suisse keeps at outperform with a 4350p target. RPC: Numis keeps at add with a target price of 940p. Reckitt Benckiser: Credit Suisse reiterates outperform with a target price of 8100p. Sports Direct: RBC keeps at sector perform with a 280p target. Asos: RBC stays at outperform with a target of 4500p. British American Tobacco: Credit Suisse reiterates outperform with a 5150p target. Dunelm: RBC stays at underperform with a 800p target. Opinion / Columnist Scenes of the recent violent protests in Beitbridge against the Zimbabwe government's import restrictions, should serve as a stark reminder of the sombre desperation and despondency in which the people of Zimbabwe have been forced into by the callousness of the Zanu-PF government, and the abandonment they feel at the hands of a fractured and paralysed opposition.It is no longer an issue worth repeating that the Zanu-PF government under President Robert Mugabe has destroyed the lives of the people of Zimbabwe - making every living day insufferably painful and intolerable.The people of Zimbabwe have obviously have had enough, and understandably cannot take it anymore.Their lives have been battered, molested ruined, and virtually destroyed by a regime that seems deliberately hell-bent on punishing the people of Zimbabwe, for reasons only best known to themselves.Otherwise, how can anyone logically explain why a government would do everything in its power to destroy people's lives?The extent at which the Zanu-PF government has ruined a once glorious country can never be brought down to mere incompetence, but can only be attributed to a deliberate policy, as it needs a lot of effort to effect such torrential damage.How else can one explain a government that puts in place policies that ensure that the people of Zimbabwe completely fail to make a living?Every honest avenue that the people try to take in order to make a descent livelihood - after the government implemented policies that virtually destroyed every source of formal employment - is barricaded, so as to render every Zimbabwean dead.This, to me, amounts to a crime against humanity.As such, it makes every sense that Zimbabweans have gone into fight mode, since it is human nature to defend oneself against anyone who seeks to destroy you - and the Zanu-PF regime is doing exactly that.However, Zimbabweans by nature would not seek to fight for their survival through violent means, as they are a peace loving people - as witnessed by the manner in which they have tolerated over three decades of Zanu-PF brutality and abuse.The question then is: what happened in Beitbridge a few days ago?Why was there so much violence?Have the people of Zimbabwe suddenly turned violent?The answer is simple - the people of Zimbabwe feel caught between a rock and a hard place.On one hand they face the attack of the Zanu-PF regime, on the other hand they feel abandoned by the opposition that should have been their only hope.It is such a desperate situation that the people of Zimbabwe find themselves in - and we all know that a desperate person is capable of doing anything and is unpredictable - as desperate times call for desperate measures.Zimbabweans have reached desperation point, and anything can happen in this country.I can think of a number of possible scenarios, none of which I dare entertain.However, the fact is that this country is headed for calamity if no concrete efforts are made immediately to remedy the situation.This is where the opposition needs to show real leadership.The opposition needs to step up and play the role that the people of Zimbabwe expects of them.At the moment, to say that the opposition is in jeopardy is a gross understatement - the opposition is clearly dysfunctional as an effective force that can seriously challenge Zanu-PF in the forthcoming 2018 elections.That is a fact any serious-minded Zimbabwean can never deny.The opposition is fraught with such divisions that come 2018, the vote will seriously be split that no one party will claim the honours.This had been a serious challenge in a number of countries where dictators have thrived - they have thrived not necessarily as a result of their oppression of the people, but because the opposition failed to act as a united force, and therefore, split the popular vote.Even in this country in 2008, the main reason the result was what it wasthat the opposition was divided.Had the main opposition gone into those elections as a united front, the situation could have been different.We cannot afford that anymore in Zimbabwe.Another problem with the current situation is that a vast number of Zimbabweans have lost confidence in the opposition.Not a week goes by without an opposition political party being formed.This has given the people the impression that the motives behind such formations are less that noble - as some seem to be formed to settle personal vendettas, others for mere publicity, or even to make a livelihood in this difficult economic situation.This has seriously damaged the reputation of the opposition in Zimbabwe.In addition, the seemingly narrow self-serving interests of the existing opposition political parties does not inspire confidence at all.This is the time to genuinely place personal interests in the backseat, and put the people of Zimbabwe in the front seat.The interests of the suffering people need to be the first priority.This is the time for all those who genuinely care for the suffering people of Zimbabwe to come together and form a workable coalition.There is very little time left before the 2018 elections, and there are so many modalities that need to be worked out before any workable coalition can be functional.As such, there is hardly any time to dilly dally.It would be foolhardy to assume that it will be a walk in the park to put together political parties that have strong differences, but the sooner the effort to work together starts, the better.Let the opposition seek common ground, rather than focusing on the differences - surprisingly, when approached with a positive attitude, they will discover that they have more in common than differences.Most of those in the opposition have worked together before, so let them search for that commonality that enabled them to work together before.Yes, we can!The people of Zimbabwe need this desperately.A coalition that instils confidence and hope in the people of Zimbabwe, and remove the Zanu-PF government will also prevent an impending situation of lawlessness that is surely facing the country.Any leaderless angry and desperate people without any hope in sight, will inevitably resort to civil unrest, leading to an ungovernable nation - as sure recipe for disaster for this nation. Tendai Ruben Mbofana is a social activist and commentator, writer, and journalist. He writes in his personal capacity, and welcomes any feedback. Please feel free to WhatsApp/call: +263782283975, or email: tendaiandtinta.mbofana@gmail.com. Or follow on Twitter:@Tendai_Mbofana Former shadow Labour Business Secretary Angela Eagle on Monday launched her campaign to topple Jeremy Corbyn as party leader. Eagle said she was "a practical socialist driven by a strong set of values who wants to get things done", adding that she did not believe Corbyn was fit to lead the party to victory at the next General Election and had failed to hold the government to account. "These are dark times for Labour. And they are dangerous times for our country," she said at her campaign launch. She told the Mirror newspaper that the party needed to be saved. Im stepping up to the plate to say its about time we did this so we can make the Labour Party relevant again and so we can contend for government, Eagle. We've all stood on the shoulders of what Labour governments in the past have done if we're not in government we can't spread those chances around our society more widely." Eagle announced her intention to run against Corbyn on Saturday after union-backed peace talks between the leadership and disaffected Labour MPs collapsed. Deputy leader Tom Watson said there was no realistic prospect of a compromise. As a sign of the strife that is threatening to split the party, it emerged on Monday that Eagle faced the prospect of a no confidence vote from her own constituency of Wallasey, according to her local partys vice chair. Asked whether such a motion existed, Paul Davies said: Well, there is a motion to that effect and I am sure that will be a debate at our next meeting on the 22nd I cant possibly say what all 1,200 people think but its going to be a very lively debate. Up until the 24th June Angela Eagle was supporting Jeremy Corbyn but the party was united in Wallasey I want to see a fair election now with both members on the ballot papers, Davies told the BBC. Labours ruling National Executive Committee also has to to decide this week whether the party leader must be re-nominated by MPs in order to run in the event of a challenge to his leadership. The Labour party constitution says that where there is no vacancy for leader, nominations may be sought by potential challengers. They require 20% of the partys MPs to be valid. Corbyn is adamant that the leader should automatically be on the ballot. Any ruling otherwise would effectively end his tenure after MPs passed a motion of no confidence in June by 172 votes to 40. Aircraft manufacturer has been awarded two of its most importance defence contracts in Britain Chicago-based aircraft manufacturer Boeing are set to double their amount of employees in the United Kingdom after the winning of two important defence contracts in the region. The growth will take place over the next 10 years, going from a workforce of 2,000 to 4,000 during that time. The company have been boosted by the awarding of two significant Ministry of Defence contracts - for a 1.5bn order of Poseidon P8 maritime surveillance aircraft, and an additional 2.25bn for 50 Apache helicopters. Boeing have faced criticism over their lack of manufacturing facilities in the UK, as well as beating British companies BAE Systems and AgustaWestland to further defence contracts. Speaking to The Times, David Pitchforth, head of Boeing Defence UK, spoke about the expansion. You are seeing the transformation from a US-centric company to becoming what we are in the UK, a company focused on the UK, says Mr Pitchforth. Vast uncertainty has surrounded business in Britain following the aftermath of the country's vote to leave the European Union and ensuing political crisis. Many businesses have pledged to take jobs out of the UK, but many believe that it will be prosperous for those with strong UK-US ties. With the Farnborough International Airshow taking place this week, aerospace news will be coming thick and fast, and David Cameron has affirmed his belief that Ministry of Defence spending on aerospace is a sign that business news is not all doom and gloom. Whatever uncertainties our country faces, I want the message to go out loud and clear: the UK will continue to lead the world in both civil and defence aerospace. We arent just open for investment; we are a place the global aerospace industry wants to do business as Boeings long-term partnership with the UK proves, " Cameron said. Attacks on Americans in Israel, the West Bank and Jerusalem were prepared through the social media site, lawsuit says Facebook is to be sued by the families of 5 American victims of Hamas attacks in Israel , as they claim that the social media site was used by Hamas to coordinate assaults. Lawyers filed a $1bn case against Mark Zuckerberg's company, alleging that it allowed the Palestinian militant group to carry out the attacks in Israel, the West Bank and Jerusalem, in which four Americans died and one was injured. Hamas is considered to be a terrorist organisation by the US, European Union and Israel. Facebook has knowingly provided material support and resources to Hamas in the form of Facebooks online social network platform and communication services, said the lawsuit, which was sent to Bloomberg by the office of the Israeli lawyer working on the case, Nitsana Darshan-Leitner. Simply put, Hamas uses Facebook as a tool for engaging in terrorism, it said. Facebook said that it does not comment on legal proceedings. However, a senior Hamas leader told Bloomberg that suing Facebook clearly shows the American policy of fighting freedom of the press and expression. The case was given to the US District Court in New York on July 10, and the plaintiffs include the families of children who were killed in attacks in the region, such as 3-year-old Chaya Braun, whose pram was struck intnetionally at a train station in Jerusalem in 2014. The London Stock Exchange noted the announcement from Deutsche Boerse that it was considering lowering the minimum acceptance threshold for its shareholders to tender their shares which had been set at 75%. LSE said the rationale behind the decision was to allow index funds, which accounted for roughly 15% of Deutsche Boerses shareholders, to tender their shares, as they were only able to do so once the minimum threshold had been reached. "The parties involved are currently evaluating a potential lowering of such minimum acceptance threshold with a view to enable index funds to participate in the offer," LSE said in a statement. The Wall Street Journal first reported the possible lowering of the threshhold on 10 July, explaining that if such a decision were taken it would be the "strongest sign yet" of just how committed both companies were to a tie-up. Over recent weeks some observers had speculated that the merger between the two stock exchange operators might yet be scuppered as a result of the Brexit vote. Some of Deutsche Boerses largest shareholders told the Journal they still saw a strategic rationale for a merger but were unsure of how the UKs exit from the European Union might affect the combined companies. Nonetheless, people familiar with the matter also told the Journal that Deutsche Boerse was optimistic that it could win over the owners of more than 75% of its equity. As of 8 July roughly 25% of Deutsche Boerses shares had been tendered. The Food and Drink Federation consists of Coca Cola, Pepsi, Nestle and others The food and drink industry in Britain has called for a delay in the government's plans to introduce a sugar tax in 2018 , amid fears that the economic fallout from Brexit could stifle business in the sector. The Food and Drink Federation, made up of sizeable industry representatives such as Coca Cola, Pepsi and Nestle, are behind the call to ease the burden on their companies by pushing back the reforms. The legislation will introduce a levy for sugary drinks products, and was announced by Chancellor George Osborne during the budget of March 2016. The legislation will introduce a levy for sugary drinks products Food and drink lobbies have consistently criticised the legislation as being ineffective, and could do untold harm to businesses within the industry. Ian Wright, the director general of the FDF, said that government has an obligation to act quickly to support confidence and competitiveness and to provide reassurance and stability. Wright said that if the policy were to go ahead, it would be an unwelcome additional burden on a hard-pressed industry at a moment of crisis." It seems to me inconceivable that the small number of civil servants with expertise in excise duties within HMRC would, at this time, be working on the sugar levy and not on the replacement for the customs union, he added. Osborne expects that the tax will raise upwards of 520 million, which he has said will go towards funding sport in schools. Film and TV studio Pinewood , home to Bonds Spectre and Star Wars: The Force Awakens, reported an increase in full year revenue as it completed part of is development programme and said it does not expect to be affected by Brexit. Group revenue increased 10.9% to 83.2m for the year ending 31 March 2016 and normalised earnings per share increased 31.1% to 17.7p. Media Services grew by 16.4% to 66.6m for the year including 900,000 of intersegment revenue. Intersegment revenues relate to revenue generated from the utilisation of the company's core services by the group's wholly-owned film production companies. The company said that phase one of its Pinewood Studios development framework (PSDF) expansion was completed on 30 June. The company said that as most of its customers are international therefore the weak pound should benefit the company. Since the European Union (EU) referendum Brexit result the value of the pound has plummeted, which should benefit exports. Chief executive Ivan Dunleavy said: "The result of the UK's referendum on membership of the EU is now known. In the context of our business, the decline in the sterling exchange rate is undoubtedly positive for our international customers. We will continue to monitor sentiment around the issue going forward. The company is also pleased to confirm that the PSDF phase one became fully operational on 30 June 2016 adding five stages and significant capacity to our existing world class offer. The Company is delighted that the first production to utilise the new facilities is Film Stars Don't Die In Liverpool produced by Barbara Broccoli who has a long association with the Pinewood group through the Bond franchise. We have, as expected, already signed a contract for an additional major film production which will fully utilise these new facilities from August 2016. This financial year has started strongly with good visibility for the balance of 2016." The PSDF added a total of 1m sq.ft. of new facilities including 10 large stages with supporting workshops, production offices and infrastructure. Phase one of the scheme incorporates five sound stages totalling 150,000 sq.ft., 140,000 sq.ft. of workshops across 10 buildings and office buildings totalling 31,000 sq.ft. Normalised profit after tax increased 51.6% to 10.1m and group operating profit increased 136.3% to 13.6m. Net debt also increased by 1.2% to 72.8m. The company said that demand for its facilities throughout the year was strong, as stage occupancy was 90%, however ongoing film demand has limited TVs access to the film stages. The company said that its digital content services, delivered record revenues of 9.4m, up from 7.2m last year, through growing its secure management of data generated from film cameras and its partnership with Disney. Pinewood said it renewed its contract to manage Disneys international release versions for a further five years. Pinewood said despite strong film demand limiting opportunity, TV had a "resilient" year as it generated revenues of 5.2m, down from 5.8m in2015. Film revenues for the year were up 20.6% to 53m, and the company said it was due to high utilisation of stage and ancillary studio space and a higher level of international activity. Pinewood is to host to 247 tenant companies across its media hub facilities and tenant occupancy stands at 98% with over 90% of companies renewing leases that were due to expire during the year. International revenues for the year included within Film were 3.5m up slightly from 3.1m in 2015 from sales and marketing agreements in Toronto, Malaysia and Dominican Republic, and a consultancy services provided in China. Shares in Pinewood rose 5.07% to 570p at 1334 BST. Save my User ID and Password Some subscribers prefer to save their log-in information so they do not have to enter their User ID and Password each time they visit the site. To activate this function, check the 'Save my User ID and Password' box in the log-in section. This will save the password on the computer you're using to access the site. Note: If you choose to use the log-out feature, you will lose your saved information. This means you will be required to log-in the next time you visit our site. Opinion / Columnist It is a misinterpretation of reality to say that war veterans have united with opposition political parties in fighting against President Robert Mugabe's rule. This assumption is being raised by false alarmists who want to cause alarm and despondency in the country. It is not true to say war veterans threw their weight enmass behind the ongoing mass stay-aways but some few individuals with their own agendas.It sounds quite amazing, perplexing and alarming for the Zimbabwe Liberators Platform (ZLP) to accuse President Robert Mugabe of abusing former freedom fighters, alienating them from other citizens, abusing and dumping them to save his political interests and running down the country's economy. In fact the President has always been working and respecting the war veterans, which explains why he is their patron. On the other end, all war veterans did not back the demonstrators as is being alleged by some misguided elements and sections of the private media.ZLP Secretary-general Wilson Nharingo recently said that President Mugabe had eroded the liberation war values and the country was virtually under siege from alleged ZANU PF forces of destruction. The war veteran leader and his clique of supporters seem to be out of touch with reality. They are few malcontents who are selfish and lack the national vision. This group should not just shout for the sake of it as we know that they are seeking relevance as they have been expelled from the mainstream war veteran association due to their megalomania.It is now a known fact that ZLP members are a bunch of power hungry individuals who are after looting and self aggrandizement. Proper and patriotic war veterans should hail the President for sourcing funds for demobilization, Z$50 000 gratuities and the monthly pensions allowances. In addition to these, their children's fees are catered for by the government. Moreover others who were injured during the armed struggle were paid disability allowances.Government has always applied the quota system in allocating resources, be they stands, farms, projects, mines and many others. These have been done and continue to be applied in honor of war veterans for their selflessness in liberating this country. In view of this, it would be insane to allude that President Mugabe has dumped the war veterans.It is beyond doubt that many people in Africa, if not the world, envy the leadership qualities of His Excellency. The efforts by a few war veterans to blame the President for the country's economic ills while conveniently excusing western-imposed illegal sanctions are both importune and unfortunate, and lead us to the conclusion that this clique of ex-combatants is lightweight on issues of economic importance.It seems that they believe that Zimbabwe's economic woes, past and current should be solely laid at the door of one man and his party. This is also the mentality of the MDC supporters who are in a desperate mode to raise their political profiles. Woe to those that behave like a brood of vipers and want to destroy totally the economy of the country.Most regime change proponents allege that the economic problems bedeviling the country started when the war veterans were paid their gratuities. In this case it would be a fascinating paradox for the war veterans to say that President Mugabe has dumped them. In fact the opposite is true that the government is trying by all means, resources available, to improve the welfare of war veterans.We should all rally behind the President for trying to improve the lives of the former freedom fighters for those who had brains are doing well in life. We should also take into cognizance that beyond war veterans are the masses who also need a piece of the same cake. Therefore in their demands war veterans should think of others and avoid being perceived as self-centred by considering the state of the economy. In fact the war veterans should appreciate what President Mugabe and the government have done and still continue to do for them. Private security guard shoots into ceiling in Delaware County building Delaware County officials are investigating after a private security guard cleaning his gun discharged it in a county building. CHATSWORTH, Calif.Diamond Products, the parent company of high-profile brands Pipedream, Jimmyjane, and Sir Richards, has welcomed Paul Reutershan as the newest sales executive on its expanding team. When Pipedream offered me the opportunity to join the biggest and best organization in the industry, I jumped at the chance, said Reutershan. I look forward to continue building new relationships and pushing Diamond brands to the next level. Reutershan joins the international juggernaut with 15 years of sales experience in the pleasure products space on the distributor and manufacturer side, at both the regional and national level. The new world order is coming, baby, said Diamond Products Chairman and CEO, Nick Orlandino. The industry is changing, and so is Diamond Products. Bringing in a talented and knowledgeable pro like Reutershan is just the beginning. Were very happy to welcome Paul to the Diamond family. An East Coast native with a degree in business administration, Reutershan will bring his successful business development techniques to the Dream Team in order to advance the Diamond Products empire. Customers can meet Reutershan in Burbank next week when he makes his official Diamond debut at the ANME trade show. The U.S. Customs and Border Protection agency is relying on drones to help patrol the long border between the U.S. and Mexico Subscriber content preview As one economist puts it, the U.S. economy kind of just plods its way through one (crisis) after another." By CHRISTOPHER S. RUGABER AP Economics Writer WASHINGTON A burst of hiring in June provided a reassuring sign that the U.S. economy will likely withstand global weakness that may be magnified by Britain's decision to leave the European Union. Last month's gain 287,000 jobs, the most since October 2015 showed that employers shook off a hiring slump in April and May, and suggested that the economy will continue to grow steadily. . . . Subscriber content preview JUNEAU, Alaska (AP) The Alaska Marine Highway System is moving forward with plans to lay up the fast ferry Chenega because it can no longer afford to operate the vessel. Officials announced Friday they are seeking bids from companies able to house the 11-year-old Chenega. Ferry system spokesman Jeremy Woodrow said they are looking to lay the ferry up in Seattle, where it is being overhauled, The Juneau Empire reported. . . . Seattle firm pays $85M for Marriott hotel in SLU Photo by Charles Quick /AGC of Washington [enlarge] The 250-room hotel is on 1.3 acres at 925 Westlake Ave. N. A Dallas-based REIT called Ashford Hospitality Prime said it sold the Courtyard Marriott South Lake Union hotel last week for $84.5 million in cash to Seattle-based Washington Holdings. Ashford said in a press release that it realized about $15 million in net proceeds after repaying about $65 million in debt and other transaction costs. The 250-room, seven-story hotel sits on 1.3 acres at 925 Westlake Ave. N., south of the AGC Building and northwest of the Museum of History & Industry. It was built in 1998 and has 207,656 gross square feet. King County last listed the tax value at $56.5 million. Washington Holdings President and CEO Craig Wrench said one of his company's strategies is to buy and build premium hotels on the West Coast. He said the South Lake Union property is the first hotel his company has bought in Seattle and they are open to other hotel acquisitions here. We think the hotel's performance will continue to benefit from the activity in South Lake Union, including the growing presence of Facebook, Google, the Allen Institute, the Bill & Melinda Gates Foundation and Amazon, he said. The hotel lobby, bar, dining room and meeting rooms were renovated last year in a project designed by Sera Architects. Future improvements could be in the cards. We do have some good ideas to improve the property but need to discuss them with Marriott before going public, Wrench said. Matthew Behrens, manager of capital market operations at CBRE, said the explosion of development in South Lake Union has stimulated demand for hotel rooms in an area that doesn't have a lot of hotels. He said the area is also cheaper for construction than the central business district. In May, CBRE represented the sellers of the 234-room Springhill Suites Marriott between South Lake Union and the Denny Triangle. That property sold for $74.1 million. Behrens said there are six hotels with 2,411 rooms under construction in Seattle, but only one in South Lake Union: a 146-room Marriott Moxee. He said there is probably another 4,000 more rooms in various phases of entitlement, but it's unlikely that all of them will get built. According to Behrens, investors are eager to buy Seattle hotels because there are fewer properties here than in most other large cities. He said that is especially true of the upper-scale hotels, which have annual occupancy rates in the low to mid-80 percent range. Another factor that's fueling the hotel market here is the coming expansion of Washington State Convention Center. We are in a very strong lodging market in downtown Seattle, Behrens said. We're very fortunate. While this is the first hotel purchase in Seattle for Washington Holdings, the company has provided mezzanine debt for several Seattle hotels, including the Four Seasons, Hotel 1000 and the new Hyatt House next to the Space Needle. Washington Holdings manages and invests in real estate, mostly in the western U.S. Some of its local holdings are One Union Square, Two Union Square and Park Place. North Korea's military has threatened to take "physical action" after the United States and South Korea announced that they would deploy a sophisticated missile defence system on the Korean peninsula. Seoul and Washington said on Friday that the Terminal High Altitude Area Defence (THAAD) anti-missile system would be used to counter Pyongyang's growing nuclear and ballistic missile capabilities. "There will be physical response measures from us as soon as the location and time that the invasionary tool for US world supremacy, THAAD, will be brought into South Korea are confirmed," the North's military said in a statement today. "We once again warn the enemies that it is the steadfast will of the KPA [Korean People's Army] to make merciless retaliatory strikes to reduce South Korea to a sea in flames, debris once an order is issued." Pyongyang test-fired what appeared to be a submarine-launched ballistic missile a day after the announcement by Seoul and Washington, sparking swift international condemnation. The North frequently threatens to attack the South, as well as US interests in Asia and the Pacific. The planned deployment of the powerful anti-missile system has also angered the South's neighbours, including China, which said on Friday that the move would "seriously damage" regional security in northeast Asia. South Korean President Park Geun-Hye, however, defended the move as a "purely defensive" action aimed at protecting the South. "The international community will be aware that we have no intention to target or threaten another country - we are taking a purely defensive measure to protect our country and our people," Park said in a meeting with advisors. A South Korean defence ministry official told the Reuters news agency that the selection of a site for THAAD could come "within weeks", and the allies were working to have it operational by the end of 2017. It will be used "to protect alliance military forces," Seoul and Washington said on Friday. The US maintains 28,500 troops in South Korea, a legacy of the 1950-53 Korean War. The US senate last week passed legislation to establish the first mandatory requirements for food companies to label products that contained genetically modified organisms (GMOs). The bill passed by a vote of 63-30. The legislation would override state labeling laws, reports CQ Roll Call, where states such as Vermont already had in place a law that required the labeling of foods that contain GMOs. Though US senator Bernie Sanders offered an amendment to the legislation that would make Vermont's consumer-friendly labelling requirement the national standard, it did not pass. ''Under Vermont's law and my amendment, consumers can glance quickly at a product and be able to determine the GMO contents with no need for a smartphone or internet connection,'' Sanders said of his amendment. ''What makes sense is to build on what Vermont has done, not come up with an unenforceable, confusing, weak piece of legislation paid for by the large food corporations in this country.'' CQ Roll Call noted that GMO labeling laws in Connecticut and Maine had not taken effect yet, and Alaska had a mandatory labeling law for GMO shellfish and fish that would take effect when FDA-approved genetically engineered salmon hit the market. US senator Lisa Murkowski (Republican-Alaska) opposed the bill on the senate floor, as it did not mandate clear, unequivocal labels on genetically engineered (GE) salmon, while also preempting Alaska's labeling law. ''What we've been told is that if these genetically engineered salmon are out on the market, those who are marketing these salmon can voluntarily label them. Well let me ask you, who do you think is really going to voluntarily place a label on something that says, ''This is not the real thing. This is not your wild Alaska salmon. This is a genetically engineered species?' The reality is we will not see the labeling that I, as an Alaskan, who is putting fish on the dinner table for my family would require and would want. My opposition here is to anything that would mistakenly allow genetically engineered salmon into anyone's home and have it be mislabeled as salmon.'' This year's MacGill Summer School will start a day early, with Saturday devoted to honouring playwright Brian Friel who passed away last October. As well as the life of the internationally acclaimed playwright, this years event will focus on Ireland in 2016, look back on how Ireland has evolved over the last century and look forward to what the future could or should be.. The MacGill School was founded in Glenties in 1981 to celebrate the memory of Patrick MacGill. He wrote of social conditions in Donegal, the plight of migrant workers in Britain and the Great War, in which he fought as a soldier of the London Irish Rifles. The French Ambassador to Ireland Jean-Pierre Thebault will officially open the Summer School at the usual time of 8pm on Sunday in the Highlands Hotel in Glenties and Micheal Martin TD, Leader of Fianna Fail, will deliver the 16th Annual John Hume Lecture at 8.30pm. The celebration of Friel on Saturday (see story below for programme), continues on Sunday, with a visit to a visit to The Laurels home of the McLoone sisters (the Mundy sisters of Dancing at Lughnasa) and actor Stephen Rea reading Friel's A Man's World at 12 noon. Back at the Highlands Hotel, there will be more readings, a musical interlood and, at 4.30pm, a special screening of the documentary Brial Friel, produced by Noel Pearson and directed by Sinead O'Brien. Sunday's proceedings draw to a close with the Friel Play, Making History. From Monday morning, the school returns to its traditional talks, debates and discussions on current events, politics, health, the economy, education and the arts. More than 50 contributors, including An Taoiseach Enda Kenny TD, from diverse areas in Ireland and abroad will look to the future and put forward their views on the changes needed to adapt to the demands of a changing society and the current turbulent international environment. Referring to this year's Centenary of the Easter Rising and the week's programme, Dr Joe Mulholland, Director of MacGill Summer School, said, I think we honoured the men and women of 1916 very well. Now it is time to get back to the country we have today and the problems that we have 100 years on. Since the recession, MacGill has been planning for the future. We are working for better governance. That is what we are about and that is what we have built our reputation on, he added. Taoiseach to speak An Taoiseach, Mr Enda Kenny TD, will deliver an address at 5.30pm on Monday, during a session on The Future of Politics and Governance. Garda Commissioner Noirin OSullivan will be among a number of experts taking part in a panel discussion international terrorism at 4pm on Wednesday. Also on Wednesday, at 8.30pm, Irish Farmers Association President Joe Healy will be one of the panelists talking about on climate change. Friday morning's debate, on Rural Development features with Tony Hanway, CEO Virgin Media, and Heather Humphreys TD, Minister for Regional Development, Rural Affairs, Arts and the Gaeltacht among the speakers. In addition to the talks and debates, the school features many concerts, tours and other cultural events. For the full programme, visit www.macgillsummerschool.com Webcasts of each session from the MacGill Summer School 2016 can be accessed at www.donegalcoco.ie by clicking on the Quick Link button for the MacGill Webcasting. * Our picture shows: A scene from the Abbey Theatre's original staging of Friel's Dancing at Lughnasa in 1990 Donegal ranks as fourth highest in the country for the number of drink driving fatalities in the country in 2013, according to figures published by An Garda Siochana and the Road Safety Authority (RSA) at the weekend. Figures from the National Drug Related Death Index shows that 32% of drivers who died in 2013 were found to be drink driving. The released figures show that 7.6% of those deaths were in Donegal, outnumbered only by Dublin, Cork and Galway. The first six months of 2016 have shown that Irish road deaths are up 15% on 2015. This has prompted an education initiative in July and August which gets underway this week. Speaking at the weekend, Superintendent Con ODonohue, Garda National Traffic Bureau said, An Garda Siochana is increasing its day to day enforcement activity in July and August. We have added 7 national 24 hour drink driving operations to our operations plan for these months. We are placing a particular emphasis on targeting drink driving late at night over weekends. My colleagues around the country will put additional effort into targeting those counties that have a track record of drink driving related fatalities. ODonohue warned that The Gardai cannot be on every street corner that they need the support of the community to help tackle this serious issue. He called for the people to take responsibility and save lives. If youre going to drink when you go out then the only safe option is to leave the car at home. I also appeal to people who see someone who has been drinking getting behind the wheel to drive a vehicle, to report it immediately to the Gardai, he said. Gaeltacht groups in The Rosses have been invited to begin planning the development of the Irish language in the area. Sean Kyne, Junior Gaeltacht Minister, has asked the groups to consider their plans for the language as they are essential to the Gaeltacht status of The Rosses area. The groups have two months to submit their expressions of interest, with the successful organisation working with Udaras na Gaeltachta to prepare a language plan. From there, they will then have 7 years to implement the plans, with regular reviews of the plans preparation and performance being undertaken. The process is already underway in other Gaeltacht areas in Donegal, such as Gaoth Dobhair and Cloughaneely. Udaras na Gaeltachta Board Member, John Curran, says this is an important initiative for The Rosses. Securing the future This is about securing the future of the Irish language within The Rosses area and about looking at the baseline thats there and supporting the community in The Rosses to achieve that in the future, he said. Dungloe is one of the largest Gaeltacht towns in Donegal and as other language planning areas are ahead of them in the process, Curran says that it is important for The Rosses to learn from their fellow Gaeltacht communities and implement the process smoothly over the coming months. Udaras na Gaeltachta has organised a number of events that takes all the language planning areas together so that they can share info and learn from each other and see the work going forward. It is important that the areas are learning and that this learning is shared within the community, he said. Dublin City University will re-name their campus computer building after a pioneering Donegal woman who was one of the world's first programmers. They will rename it after Creeslough born Kay McNulty. Born Kathleen "Kay" McNulty(pictured right) in 1921 she was one of the six original programmers of the first general-purpose electronic digital computer. The news was announced recently at Europes largest science, technology and arts festival Inspirefest held in Dublin . A spokesperson for DCU said their campus buildings had previously been designated simply by letters but now they are being named after pioneers in their respective fields. We wanted to have a balance of male and female who would have had a good connection with Ireland so Kay McNulty was one of the people selected for our L Building, which is our computer building, they explained. Kim Tighe from Lifford, a solutions leader with Hewlett Packard Enterprises based in Galway was at the Inspirefest event when the announcement was made and says she felt super proud of the news. It is so important to celebrate the accomplishments of amazing women like her. It is so important to have role models. If you cant see it, you cant be it and everyone needs to see what is possible, Kim stated. Kay McNulty and her family moved to Philadelphia in 1924 where she went on to enjoy a long career in mathematics and programming. She passed away in 2006. A brand new online film starring actor Richard E Grant exploring Donegal and the Wild Atlantic Way has been unveiled. Its the third in a series of short films created by Tourism Ireland and Smooth Radio to highlight the island of Ireland to holidaymakers in Great Britain this year. In the film, viewers see Richard E Grant travel along the Wild Atlantic Way, visiting places like Fanad Head Lighthouse and the Slieve League Cliffs. Richard E Grant says that the Wild Atlantic Way is a route where you can truly lose yourself in the best of ways and he invites viewers to come and discover Slieve Leagues abundant untamed beauty. To view the film, view here: http://promo.musicradio.com/tourism-ireland/ Julie Wakley, Tourism Irelands Deputy Head of Great Britain, said: Our promotion with Smooth Radio and Richard E Grant has been specifically designed to highlight holidays and short breaks in Donegal and Ireland. Were excited to be working with Richard E Grant, as hes a great fit with our important culturally curious audience. We are encouraging prospective visitors to come to Ireland and experience the worlds longest defined coastal touring route. The campaign which is being rolled out in two phases is reaching about 5.5 million people across Britain. The series of films is being promoted on the Smooth Radio website and through on-air mentions. They are also being shared on Tourism Irelands website, Ireland.com, and via social media targeting Tourism Irelands audience on Facebook(more than 436,000 fans in Britain alone) and Twitter (almost 69,000 followers). The promotion also includes a competition on the Smooth Radio website, with a chance for two lucky people to win a trip to the Wild Atlantic Way. This campaign is just one element of Tourism Irelands extensive promotional programme aimed at boosting travel to the island of Ireland from Great Britain during 2016. * Our picture shows Richard E Grant, during filming for Tourism Ireland at Fanad Head Lighthouse. Will Emerson sell Leroy-Somer and CT to a Chinese buyer? Two Chinese companies and a private equity group are among the potential bidders for Emerson Electrics Motors and Drives business, according to a report from the Reuters news agency. Emerson announced last year that it was exploring strategic alternatives for the business, which includes the UK-based drives-maker Control Techniques and the French motor manufacturer Leroy-Somer, as part of a plan to streamline its portfolio and to drive growth. Reports suggest that Emerson wants to sell the business potentially worth around 1bn within the next few months. According to Reuters, one potential bidder is the Chinese company Wolong Electric, which claims to be Chinas largest motor-maker. It already owns the Austrian-headquartered motor manufacturer ATB, whose brands include Brook Crompton, Schorch, Laurence Scott, Morley, Tamal and Nordenham. Wolong has set itself the goals of becoming the Eastern Siemens and worlds leading motor supplier. Also in the running for the Emerson business is the US/UK private equity group Clayton Dubilier & Rice, one of whose partners, James Berges, is a former president at Emerson, where he led corporate growth and development activities and was responsible for the motors and appliance components, industrial automation, and network power businesses. CD&R already owns several industrial companies, including Rexel, Atkore and Spie. Other names that have been suggested as potential buyers of the Emerson business include the acquisitive Japanese motor-maker, Nidec, Brazils WEG, the US motor manufacturer, Regal Beloit, as well as another Chinese motor-maker, Harbin Electric. Emerson Electric has put its Leroy-Somer motors business up for sale Because of Leroy-Somers role as a supplier to the French military and automotive sectors, the French government may need to approve its sale. In the business world, if youre not growing, youre dying. Generating new clients and discovering new sources of revenue are a must if any business wants to stay competitive, and both of these require leads. But how can small businesses compete for leads? Large, established business have the advantage of branding and name recognition to keep a constant stream of new clients rolling in. Small businesses are different: they need to get out there and do some dirty work to get people interested. Here are several easy and effective methods that, if done correctly, can ensure a steady stream of client leads and more income. Create eBooks, Newsletters and Blogs If your business has a website (if it doesnt, stop everything and make one now!), then you are already poised to take advantage of internet marketing and the benefits that come along with it. eBooks, newsletters and blogs are important for generating leads because they present clients with quick insights and detailed looks into your business and the services you offer. Each of these can be linked directly to your website for easy visitor access. eBooks are long publications that are designed to inform readers about certain areas of your business. These work especially well for B2B companies because people are always eager to learn more about their chosen professions. Remember: eBooks are not for selling your services, but for providing information that give actionable insights. Newsletters and blog take advantage of the immediacy of the internet. Newsletters are subscription based, so there is a built-in captive audience, while blogs are more informal and you can talk about whatever topic is your mind that day to interest readers. Buy Leads If youre having trouble, there are some companies that sell leads to small businesses, like this website does with painting leads. This takes a lot of the legwork out of generating leads yourself, but it also allows you to focus on more organic generations, like eBooks and newsletters. Companies that sell leads have a variety of packages that can suit any small business. Some will sell pre-qualified leads that have already expressed interest in the niche that your business serves. Others will offer mailing lists and marketing lists that can give you access to thousands of potential clients. Lead pricing can vary, from just a few cents per lead to over one dollar per lead, so take your budget into consideration and weigh the pros and cons of spending money to gain more clients. If you think that the sales generated by the new leads will outweigh the cost, then buying lead lists may be the right choice for your business. Webinars Webinars, or web-based seminars, are an inexpensive way to get your message to thousands of potential clients. The typical business webinar takes the place of traditional business meetings and keeps partners, clients and leads abreast of the products you offer. The best part is, anyone can watch them on their own time, meaning leads can be generated day and night. Use webinars to brag about current products or introduce new ones, maintain a close connection to clients or to showcase your business as a whole. And if you ask people to register before watching, then lead gathering is even more simple. The end of a webinar is a great opportunity to ask people to sign up to your newsletter or read your eBook. Networking Events Even in the world of social media, emails and texts, nothing beats face-to-face interaction when it comes to generating leads. Attending local networking events will allow you to meet new people, gain referrals and extend your personal network. Local Chambers of Commerce usually hold monthly and annual meetings, so be sure to take advantage of these. If you are not a member of your local Chamber, then make sure to sign up. Small businesses benefit greatly from the networking events they provide; they help build new relationships and are usually inexpensive. In many cities, groups of business owners will hosts their own informal events at bars or restaurants that are more relaxing than official networking events. Always browse the internet or newspaper to find opportunities, and remember to stock up on business cardsyou never know how many people you will meet or who would love doing business with you. The task of generating leads for small business owners can be daunting, but it is a necessary part of growing and staying relevant, so never miss an opportunity to gain new clients. About the author Hey everybody, my name is Garret Merkley. I started marketing online back in 2010 and havent looked back since. I have done everything from building successful YouTube Channels to helping local mom and pops dramatically grow their business with top 3 Google Rankings. I love helping local businesses dominate the search results and you can expect me to keep doing it for years to come. With all the uncertainty in the air, businesses might be forgiven for wanting to pull back a little and just keep things on a slow boil over the next year. The fallout from the British exit from the European Union is still unclear, and with political turmoil back here at home not doing anyone any favours, sitting back and relying on a safe course of action is completely understandable. Setting your usual 12-month goals and being comfortable is perfectly normal. But that might also be a mistake. Now is the ideal time to think about ways you can transform your business in preparation for the year ahead and this is about more than spending money, or upgrading your computers. Instead, you should be asking yourself an even more important question: Where is my business going? Think beyond 12-month goals. Expand your mindset, and think back to when you first started your business are you still on that path, or have you veered off? Do you need to re-orient your business back towards that original pathway, or think even bigger and expand into something more daring? Do you perhaps need to pivot into a new business model? The economy is changing, favouring smaller businesses and start-ups with low barriers to entry. Youre competing with businesses from across the world. Are you in a position to defend your own territory? If not, a revolution may be in order. Most business owners bristle at the suggestion of such a bold move right now. The year ahead represents opportunities for growth and a risk like adopting a new business strategy is a lot to think about during a busy time. But now is exactly the right time to be thinking about it. If youve drifted too far from your original vision, or if you have a new vision and are hesitant to put it into practice, you may be missing an opportunity to evolve your offering and place your business ahead of the competitive pack in your industry. But its a new financial year, and you have the opportunity to fix it. It wont happen overnight, but you have the ability to respond to your vision and plan ahead. So if youre feeling up to the challenge, heres what you can do to revolutionise your business this year. Where is your business going? Whats your end game? Do you have a long-term vision, and are you on the way to achieving it? Is one of your divisions dragging down the rest of the business? Does it need to be scrapped, or do you need to investigate an entirely new area of opportunity with a new division, which would include hiring more people? Take the current uncertainty of our economy into account; think about what opportunities might be available to you. Is there a gap in your market right now you could expand to fill? Even if thats a little risky, just entertain the idea. Think about where you want the business to be at the end of the year, and then in three years. Forget the reality, and think about what you really want. Now, you can make a plan to get there. What do you need to get there? Get practical. Think about the processes, plans and tools you need to put your plan into action. This could mean anything from resourcing to technology infrastructure. Perhaps you want to take a step back and allow the business to be less dependent on you this year. Start thinking now about what responsibilities you need to delegate that may mean hiring someone or outsourcing to an external agency. Finding the right person takes time, so start your research early. What tools can you start using now? If youre about to embark on a massive strategy overhaul over the next 12 months, youre going to need tools and processes to help make that happen. Start-ups are able to act more nimbly and efficiently due to using cheap, powerful digital tools. If youre stuck on outdated systems, then youre already behind even if your company is profitable. Youre restricting your business ability to grow and change at a speed that will allow it to remain competitive. Hiring a virtual assistant will help take some more day-to-day tasks off your plate so you can focus on your big strategy play. Outsourcing tasks like your calendar, or even email, can save you plenty of time. If you have staff, ensure they are collaborating more and not distracting you or others with busy work. A tool like Slack, for instance, can eradicate time-solving problems and shrink email inboxes. Are your staff actively managing jobs? If not, products like Trello can manage work in progress and visualises when someone has capacity to take on more work. Collaboration and sharing tools like Dropbox are also a no-brainer. Remote work infrastructure and mobile solutions should be a big priority here. The more your business is able to work on the fly, the more productive youll be. Remember, the tools are a means to an end. By facilitating collaboration and productivity you can get more done in less time. That frees you up to work on revolutionising your business, whilst making your company even more lean and efficient in the process. How much do you want to succeed? Dont sit around and wait for an uncertain political and economic climate to get better its going to be with us for a while yet. If you wait, youll miss your chance. This year is the perfect time. Dont just achieve, revolutionise. About the author Chris Strode is the founder and Chief Product Officer of Invoice2go, and leads tech and product for the company. As a small business owner, Chris founded Invoice2go in 2002 out of frustration with the lack of simple invoicing options available. He saw the opportunity for invoicing to go mobile when the iPhone first launched and under his leadership, Invoice2go has become the #1 invoicing app globally. This article first appeared in The Korea Herald on 10 July 2016 During a visit to Seoul this week, I will hold meetings with government officials from South Korea to discuss the important role that Korea has as a shareholder in the European Bank for Reconstruction and Development. I will also meet Korean companies, with a view to stepping up joint investments in the EBRD regions. We already have strong partnerships with the Korean industry and a history of successful investments with some of the countries leading corporations such as Samsung, LG and KT. By linking up with high quality companies like these we can make an important difference to the emerging countries where the EBRD invests -- in central and Eastern Europe, Central Asia and the Caucasus, south-eastern Europe and the southern and eastern Mediterranean. The investments we make in these countries facilitate the transfer of skills, know-how and modern technology, and are supported by significant donor contributions from the Korean authorities, without which our financing would be far less effective. This is how Korea can make a material impact on the economic development of the EBRDs regions. There is, however, another way that our emerging economies can benefit from Korea: by looking to the country as a role model for remarkably successful development. In just five decades, Korea made the transition from aid recipient to major donor of overseas development aid. It can now send out a strong message of possibility and hope to other developing countries. How Korea achieved this provides key lessons for regions still struggling to develop the institutions and policies that are needed to unleash private sector potential for growth. The EBRDs 2013 Transition Report Stuck in Transition? revealed how many countries are seeing a slowdown and even reversals in reforms. While this partly reflects reform fatigue after years of progress, there is no doubt that, without a concerted effort to get transition back on track, the path to convergence with more advanced economies could remain blocked for many years come. The creation of the now-famous Korean Economic Planning Board made a decisive impact on Koreas economic transformation and is an example of how this country systematically addressed the question of economic reform. The EPBs key role in financial resource mobilization, in formulating policies, coordinating foreign aid programs and promoting foreign investment has proven to be a powerful mix. EBRD countries emerging from the shackles of communist central planning were initially eager to reduce what had been seen as the harmful role of the state in economic development. What has emerged since is an appreciation of the constructive role that the state can and should play in helping to create the institutional and policy framework within which the private sector can flourish and without which it can wither. Korea has made important progress in achieving a productive balance between the private and the public sectors. Equally relevant for many EBRDs countries of operation is how the EPB has forged national consensus by bringing all significant stakeholders, including government technocrats, research institutes, the business community and nongovernment experts into the strategic planning process. It is only by creating such consensus that policies can be developed and by taking a long-term perspective and avoiding hasty reactions to individual vested interests. Koreas development has also been supported by greater inclusion and enhanced equality in income distribution and access to opportunities, a recipe the EBRD believes helps build up economic resilience; and by government intervention that has been largely free from rent-seeking, a model for good governance elsewhere in the emerging world. Regional integration is another key plank of the EBRDs strategic focus for its countries of operation and another area where Korea has excelled. The outward orientation of the Korean economy, which initially reflected an increase in demand for imported capital goods, contributed to the creation of the successful, export-oriented economy we see today. As the EBRD intensifies efforts to work with even more Korean companies in its countries of operation, it will try and increase the exposure of these economies to Koreas best practices. This reinforces the banks broader aim of promoting innovation in its regions by encouraging countries to increase their international commercial links and by fostering integration as key to future growth. Korea, like many countries in much of the rest of the world, is currently dealing with key challenges. It has seen a slowdown in economic growth that partly reflects global uncertainty but which is also a typical feature of a country potentially facing a middle-income trap. However, the strong policies that Korea is putting in place to encourage creativity and innovation, particularly in its small and medium-sized enterprise sector, provide new lessons and experiences relevant to many of EBRDs countries of operation. Its policies should help it weather the storms of the present time and prepare it for the challenges of the future. The countrys unique combination of strong market-based economy principles with a clear strategic national development framework and the implementation of robust reforms proved to be right for Korea. This potent mix could also be the right one to ignite growth in the EBRDs countries of operation. If I had to use only one word to describe Circuit Court Judge Deborah Thomas, it would be fearless. Judge Thomas, one of three people nominated by the Michigan Democratic Party for the Michigan Supreme Court (on the non-partisan section of the ballot), has never let adversity stand in the way of achieving her goals. Despite losing her father at the age of ten and contracting polio as a toddler, she has been a fierce warrior for the communities where she has served. When Judge Thomas speaks about her candidacy, she uses the phrase Vote Justice First. The phrase has two meanings. First, its a pragmatic call for people to turn over their ballot and vote for the non-partisan races -primarily judicial races first so they arent forgotten. But its also a call to hold justice in your head and in your heart when you vote. In other words, choose candidates who will further the cause of justice in our state so that all of our residents can enjoy the opportunities available to Michiganders. I sat down with Judge Thomas last weekend while she was in Ann Arbor for a fundraiser. After we talked, I came away more impressed than ever. You can learn more about her campaign at her website JudgeDeborahThomasForJustice.com. Oh, I mentioned her fearlessness. That fearlessness doesnt just pertain to her community service, overcoming adversity, or social justice work. Shes also fearless enough to be a hydroplane pilot. Read through the interview and then enjoy a video of her in action behind the wheel of hydroplane. Its something to see! Heres our interview. Talk about your history a little bit, what youve done up until now. I know that youre a judge now. What led you to the point where you decided to run for Supreme Court Justice. Again. Again. Like I should have learned the first time! Well, I wasnt planing on running. I didnt wake up one morning thinking, Im going to run for the Supreme Court. I was born and reared in Detroit, one of three girls, Im the middle girl. My dad worked for the post office, he drove a truck for the post office. He became ill when I was about 7 or 8 years old. It was cancer. My parents talked and then my mom went back to school and she became a licensed practical nurse. My dad passed away by the time I was 10. I contracted polio, I dont know if you knew that. I didnt. I knew you were involved with issues of disability but I didnt know specifically why. I contracted polio when I was three. I can remember to this day spending a lot of time at the Detroit Childrens Hospital. I remember asking my father, Am I going to be able to walk again one day? And he said, One day youre going to be able to dance! Nothing else needed to be said. He was very involved and very supportive at that time. And I love to dance. Im not going to say Im great at it but I love it and maybe its because of all of the memories. I was educated in Detroit Public Schools. I went to White Orthopedic for awhile and then graduated from Cass Tech in clothing and textiles. I wanted to be an interior decorator and I went to Western Michigan University. But, my mom told me, and she had never said anything like that before, I was devastated, she told that little black girls dont grow up to be decorators and that I better get an education so that I could get a job. She had never told me ever in my life that there was something that I couldnt do and I was totally taken aback. How old were you then? I was like 17. And ready to take on the world Right? And I was like, What? Have you seen Dr. King???! I ended up in education, in Sociology and came back to Detroit to start teaching after completing college at Western in three years. I was getting financial aid and I was thinking, well, this isnt going to last very long. I want to get this while the gettings good. I was accustomed to going to school from September to June. So, I thought, well, this college thing is cool, but if I keep going from September to August, I can get out of here faster. I tried to get a teaching job but there were no contracts. There were more teachers than there were positions. So my mom showed up again it was easy because I was living at her house! and she said, Education. Job. Didnt we have this conversation? So, I went back to school and started working on a Masters in Criminal Justice and Family Counseling. During that time, a friend of mine from Western came over to my house one day. We had talked about going to law school while we were going through school and his brother had been accepted at Valparaiso a year before. The two of them showed up at my door and said, Here, fill this out. Im like, okay, fellas. Why am I filling this out? and they said, Were all going to law school. We had talked about it so I was good so I said fine. They left out the door with the application and the next semester I was at Valparaiso. It sounds to me like you had this social justice streak running through you from a long way back. From day one. From day one, yes. At Western I was on the student leadership council this and the dorm council that. At Valparaiso, I ran and was elected the national vice president of the Black American Law Students Association. I drafted the rules and regulations for the moot court, they had the Fredrick Douglass moot court competition. Those are to prepare people for actual court situations, correct? Yes, exactly. I was working on my Masters degree while I was in law school and I did my thesis of my Masters on affirmative action in law schools. In fact, when the lawsuit against affirmative action was filed in Michigan, I forwarded my thesis to some friends of mine who were attorneys with the NAACP who were working on it. And they actually found it very helpful. Was affirmative action ended too soon? I think so. Theres not parity yet. Not by any means. I think were going backwards, actually. Were actually sliding back. All of the kids I went to school were first generation college students. Every last one. All of the African American students, we were all first generation. We were able to go back to our respective communities and take what we had learned. We were part of a smaller group at Valparaiso University and it was kind of like part of their mission statement. They were preparing us knowing that we would go back to our communities and do service in our communities. We had a lot of students from New York, Michigan, Illinois, and Indiana. Were there people there from Michigan that you knew from before? The two that came to the door and got me into law school! But, yes, we had a network of friends. One of them had attended Michigan State so he had recruited a couple of other students from Michigan. His brother and I were both students at Western and we recruited some other students from Western as the years went on. Do you still stay in touch? Oh, yes. I know whats it like with the guys that I went to college with, we made long term friendships. I can imagine being in a crucible like that that you would have formed strong bonds. Youre so dependent on each other and we have definitely remained in contact. My first job, I had two options. I could have gone with Rockwell International out in California and done the cubicle bit with everyone aiming for the outer wall and then the corner office Im gonna guess you didnt choose that option. [laughs] No, no I didnt. It was in L.A. It was my first time in L.A. and I was like, Oh. Sodom and Gomorrah. Ive heard of this. I also got an offer to be a national fellow for a program called the Reginald Heber Smith Community Lawyer Fellowship Program. It was sponsored by the Howard University School of Law. Every year they would take three hundred to three hundred and fifty lawyers and place them around the country in places where there were shortages of attorneys but theres was a need for the service. So we were assigned to the Appalachia area, the deep South, urban centers. It was kind of like the Peace Corps at home. Our assignment was to go into the community, meet the community leaders, not necessarily the elected officials, but the community leaders, find out what the community needed and how we could assist. I was assigned to Oakland County in Pontiac. At that time, Oakland University was expanding and they were unearthing artifacts from the native American community. We worked to resolve the disputes regarding what they were going to do with these artifacts and just how gentle they were going to be. I did my first forum there on Legal Rights and Responsibilities of Youth. I actually filled the auditorium up on Telegraph Road where the Commissioners meet. I stayed there almost two and a half years then I went to work for the UAW legal services plant. They were just establishing that program that was designed to provide legal services for UAW members, providing legal services for blue collar workers. While I was working there, the bottom fell out of the housing market and I was assigned to the bankruptcy unit trying to help a lot of those folks keep their homes. Then I got a chance to work for the Michigan Employment Security Commission (MESC) and worked for the Appeals and Interpretive Standards division. We were kind of like in-house council for the Commission. It was our job to interpret the statutes and to give meaning to the various rules and regulations. We would represent the Commission itself at different meetings. My job, ultimately, I was responsible for the interpretation and application of the Trade Retraining Act. There were a lot of folks, especially at Chrysler, who were losing their jobs and needing retraining benefits and I was the one to decide if the Act would be applied broadly or narrowly. I imagine that there were some that wanted it to be applied more narrowly so that they didnt have to pay the benefits. That is correct. I stayed there for some time and then I worked for SEMTA, the South East Michigan Transportation Authority as in-house council. That was during the time that they were building the People Mover. I am an advocate of public transportation for two reasons. One being independence, especially for the disabilities community. You dont have to sit and wait for someone to find time to take you where you need to go. And also the environment. Its good for the environment. I worked there for a couple of years and then went into private practice. With the brother who came to my house with the application for law school, in fact! Well, it came full circle, didnt it?! Yes! We started a law firm. I did that for a number of years and, being a woman lawyer, sole practitioner, youd be amazed at how people think they dont have to pay you. I even had one client tell me, You have a husband. You dont need the money. At that point I was starting to have some issues with the polio, too. By that time, conversations were starting about post-polio syndrome. So, you had gone a long period of time without an issue and then started having problems? Without an issue, yes, but then it was starting to come back and I knew that I needed to get to a place where I would go to same location every day. At the same time, John Engler was Governor and this is when we had Recorders Court and we had the Wayne County Circuit Court. Although Detroit residents had to go to the Circuit Court for general, civil, and family matters, all of the criminal matters were in the Recorders Court. I liked the diversity of the docket, I dont like doing the same thing every day. Well, there were always maybe three African American judges in the Circuit Court. Id go over there and Id represent my client and the judge would say something in five, six syllable words and my client would look at me and say, Whatd he say? And Id interpret it for him and my client would give a response and the judge would look at me and say, Whatd he say? As our judges were retiring or dying or being promoted, Engler was not replacing them. He was not appointing African Americans to those positions. So, I started talking to some of the older black attorneys and I said, Somebody needs to run for judge. We have to go through the court. We need somebody who is there who is sensitive to our community. Were paying taxes, how about some representation here? I was kind of naive. I didnt understand why none of them would do it and, as I looked back, I realized that by this time, these guys have got practices. There was another attorney that I talked to, Willa Mae King, well-respected in Detroit, and I was trying to get her to run. And she says to me, Well, if you think its such a good idea, why dont YOU run? And being young and gung ho, I said, Well, I WILL then! I had been active. I was on the State Central Committee, I had been working with the Party, I had actually run for Detroit City Council at one point. So I pulled the numbers and I realized, you know, a black person could win in Wayne County, honestly they could. The first time I ran for Circuit Court, there were three vacant seats and in the primary they were taking the top six. But I couldnt get my community to support me. Their stance was, We want to support the candidate thats going to win. I ran anyway, I went all over the county, and I came in seventh. Then they came back to me and said, If wed have helped you a little bit, you would have won. You can imagine what MY response was! Two years later, in 1993, it was the next term and I ran again. I was running a poor mans campaign so I had to build on what I had already started. This time I ran against the incumbent, something that had only been done once before about 50 years before. I was trying to instill in my community that, if we pull together and work, we can be successful. We dont have to settle for the person who everyone thinks is going to win. Lets create change. So, they got behind me and I won. You beat an incumbent? I did. And I was a happy camper. I was doing community projects. I am a member of the Elks organization and there was an issue with domestic violence that caught my attention. As a state officer, I galvanized our members and we lobbied for and got the state toll-free domestic violence hotline. But, I have always been community involved and I worked on all sorts of projects like that. Working with children, feeding people You sound like you dont stop very often. Thats what my children say! So youve been on the Circuit court for twenty years? Youve run for Supreme Court before, correct? I did. That was ten years. There was an issue, again, that I was going to speak out on this issue. So, I ran for Supreme Court at the state convention and had an opportunity to speak out on that issue. And people wanted to know, Who is this woman? What was the issue? Lack of representation. Of African Americans? Yup. And women. And how did the Michigan Democratic Party respond to that? Who is that woman?! Where does she come from?! Who opened that door and let HER in?! Then, a couple of years later, I ended back at the convention again. I only went to two districts, they were both in Detroit. And I gave this speech and it was about black baby dolls are pretty baby dolls, too. I talked about the study that was done in the 50s and how black little girls, when they were asked which doll was the pretty doll, kept picking the white baby doll. And I gave this speech that asked why is it that every time we were going to support a candidate, even a woman candidate, for the Michigan Supreme Court, we wouldnt support a black woman who had at least as much training and experience as a white woman. I wasnt mad at the white woman, its just that, for crying out loud, black baby dolls are pretty baby dolls, too. The year that Maggie Drake ran, I did a floor fight that year. I really wasnt going to do the floor fight because by this time I was on the bench and I did campaigns around the state and I was feeling it. That polio thing was giving me the blues. I had campaigned for the nomination but I knew that I didnt really have it to do the floor fight. So, when I got to the convention, and this was the year that John Austin was going to run for Secretary of State, the party had already decided what they were going to do. At the convention they used their influence to have all of these other candidates to step aside at the convention. I was like the last person standing. Well, the 1st District came to me and they said, Were sick and tired of driving all this way to the convention and then everything is already decided when we get here. Why did we leave home? We could have just stayed in Marquette. And they asked me not to pull out of the race, that they would support me for a floor fight, and that it needed to be done because it was a step towards democracy. Go, 1st District! That was Bart Stupaks district, right? Yeah, it was Stupaks district. It sure was. I told them that I agreed with them and that I would do it. If youll stand, Ill stand. So, there were negotiations going back and forth right up until the vote and I finally told them that Id agree to do a voice vote if Maggie Drake agreed to do a voice vote. That was the compromise way out. So, we did the voice vote that everybody swears I won but I conceded and she ran that year. Two years later Im at the National Elks Convention down in Atlanta minding my own business, the telephone rings and its the Democratic Party saying, We want you to run for Supreme Court. Im like, what? I was still naive. I thought that they would support me, my health was good, and off I went. There were four of us running the campaign, I had a 99 Ford Explorer which we about killed and we went around the state and had a great time. I think I raised something like $23,000, ten of it was mine. And I lost. The hardest part of that experience was the backlash from the campaign. It hurt that the Democrats didnt really get behind me Since they had recruited you in the first place In the first place, you know. But the filing of grievances [by Republicans], efforts to destroy your reputation Youre talking about during the campaign? And after. And after. Because they want to make sure you dont come back again. No shit. Its that bad. Who knew? But I wasnt prepared for that. But they did one against Bridget McCormack. I hear theyve done one against Richard Bernstein. So, youve been at this two or three times now? As a candidate twice. But, for me, it has been a platform to speak about issues that need attention. Why are you back at it this year? Somebody took a phone message and it had some name on it, sounded like some community group. And the person that had referred this community group to me was someone I thought well of and I figured she was making a referral because these people wanted a speaker for a dinner or something. So, I answered the phone call. It turns out it was the Trial Lawyers Association but now theyre called the Michigan Association for Justice. I thought this was some social justice group that was standing up for some cause or another. And I said no and I said no and I said no. Finally, to just get him off the phone, I said, Sure, you can exploit my name and my image. Literally. And he took it for a yes! Im like havent you ever heard of sarcasm?! The next day I made a phone call and said, wait a minute, hold up, hold up. But, what really convinced me is that I have been giving community education forums forever, really. On expunging your state criminal convictions as the primary topic. And every year I add a second topic. Friend of the Court issues. Driver responsibility issues. I have been giving them in Wayne County and I have maintained relationships with people who I met when I ran when Marilyn Kelly ran. I had given them in Battle Creek and I had given them in Muskegon, Grand Rapids, every other year so. So, it was kind of like this would give me an avenue to go to other parts of the state and give the forums. At the same time, there other representations made to me that various individuals and groups were going to give money towards this campaign. Now, it was a far cry from a million dollars. But, being raised by a single parent, I know how to squeeze a dollar. I figured if I could do it with $25,000, well it was at least five times that that they were talking about. We can have a campaign with that kind of money. So, with the two in mind, I said, Okay, Ill give it a try. Well, the money didnt come. I did get to do the forums and I really love doing the forums. Its the teacher in me. Its community service, its going into the community and finding out where theres a community need and serving that need, something that I love. I have been doing the same thing from my first legal job to this legal job. Its actually been the same routine: going into the community, finding out what their needs are, and seeing what you can do help them out. This whole thing of them saying that they would support and then they havent done that seems like something youve gotten way too used to or at least that youve come to expect. Yes. I was disappointed but not surprised. I understand the Richard Bernstein and William Murphy, the other two Democratic Party-nominated candidates, are basically running together and they havent included you in that. Thats quite a bit different than the last cycle when all three candidates pretty much ran a campaign together. Yes, last time they were really working as a team. If we get all three of us elected, then we have the majority and we can change some things. My hope was that we were going to run as a team. As it turns out, those who, because of their stature in life, have more, use more. And its not uncommon that those who have more dont share very freely. I thought that we all understood the issues involved and I thought the mission was important to all of us. But I think the mission got lost. So, lets end on a positive note. A big turnout could make a big difference for you. I could actually still win this thing! And you have been very active in the southeastern Michigan area. So, do you see the work thats being done to get out the vote down there as critical to whats going to happen for you? Weve had phenomenal effort, not only in Detroit, but in Flint, in Battle Creek, in Muskegon, Ypsilanti, Ann Arbor. This has certainly been an old-fashioned, poor mans campaign. We have given expungement forums where we have had 250, 350 people show up. Weve had such large crowds that weve had the fire marshals close the door, nobody else can come in, you gotta come back another day. We have serviced the community. Some of those people are going to support our campaign. If the people weve helped reach back to help, and those who appreciate us going into the community, finding the legal need and servicing that need help, we can actually win this. We can actually win this. Its more impressive for a voter when they shake a candidates hand than when a candidate waves at them from the television. So, yeah, I still think we have a fighting chance. I still think we can win this thing. Now, as promised, heres Judge Thomas tearing it up in a hydroplane: [All photos by Anne C. Savage, special to Eclectablog] Circuit Court Judge Deborah Thomas recently announced that she is running for the Michigan Supreme Court. This is her second supreme court race and her candidacy is generating a lot of energy and enthusiasm. Shes a fierce and lifelong advocate for those in society without a voice in the judicial system and, in addition to her job as a Circuit Court judge, she travels the state at her own expense, giving forums and workshops on issues like having a criminal record expunged and the scourge of human trafficking in our state and beyond. For a comprehensive look at Judge Thomass life and mission, you can read my interview with her, conducted during her first run for supreme court. Tomorrow, Tuesday, July 12th, Judge Thomas is holding one of several statewide campaign kickoff events. The Southeast Michigan Campaign Kickoff event will be held at the AFSCME Council 25 Hall at 600 West Lafayette Blvd. in Detroit from 5:307:30pm. More information about this event as well as the remaining regional kickoff events can be found at http://www.judgedeborahthomas.com/kickoff. Joining her at tomorrows event will be Michigan House Democratic Leader Tim Greimel who (along with me!) is endorsing Judge Thomas for supreme court. In recent years, the Michigan Supreme Courtcurrently packed with Snyder appointeeshas rubber-stamped every bad decision passed by the Republican Legislature and signed by Governor Snyder. The effects of this have been disastrous and its clear that we need a change on the Court, said Greimel in a statement. Thats why Im supporting Judge Deborah Thomas for Michigan Supreme Court Justice. Judge Thomas has served for over 20 years as a Circuit Court Judge and has extensive subject-matter expertise on a host of legal issues. Her tireless efforts during that time have made the justice system more accessible for every Michigan resident. If youd like to support Judge Thomass candidacy with a financial contribution, click HERE. Microsoft has entered a partnership with Kind Financial to create an entity that will obtain government contracts to track seed-to-sale compliance in the legalized marijuana business, Kind announced last week. The new entity, Kind Government Solutions, will provide state, county and local municipalities with tracking information on marijuana sales to keep them in compliance with government regulations, said Kind Financial CEO David Dinenberg. Microsoft selected Kind to be a part of its newly created Microsoft Health and Human Services Pod for Managed Service Providers. We support government customers and partners to help them meet their missions, Microsoft said in a statement provided to the E-Commerce Times by spokesperson Brooke Randell. Kind Financial is building solutions on our government cloud to help these agencies regulate and monitor controlled substances and items, and manage compliance with jurisdictional laws and regulations. Cannabis Compliance Kinds main compliance product is Agrisoft Seed to Sale, a software program designed to help government agencies ensure compliance with cannabis sale regulations. No one can predict the future of cannabis legalization, Dinenberg said. However, it is clear that legalized cannabis will always be subject to strict oversight and regulations similar to alcohol and tobacco. Matt Cook, former senior leader of Colorados Medical Marijuana Enforcement Division and the author of the states medical marijuana regulations, will act as a special advisor to the partnership on government matters. Microsoft is taking advantage of a huge market opportunity, while perhaps taking a substantial risk. Due to the uncertain nature of state-by-state marijuana legalization, there are few companies willing to invest millions of dollars into a long-term commitment. Untapped Potential Regulation and compliance from one state to the next is still a huge challenge, noted Taylor West, deputy director of the National Cannabis Industry Association. There is very little in the way of consistency or reciprocity between states regulatory programs, so a company that wants to operate in more than one state really does have to approach each one almost from scratch, she told the E-Commerce Times. Twenty-five states have legalized marijuana either for full or medicinal use, West said. The legal marijuana industry is estimated at about US$5.4 billion, according to a report from ArcView Market Research and New Frontier. I think Microsoft sees a unique opportunity to grow this market, and realizes that by supporting at the ground floor, they will be in an incredibly powerful position once the market fully matures to be a dominant solution provider for it, observed Rob Enderle, principal analyst at the Enderle Group. A number of technology industry figures are finding a home in the legalized marijuana business because the industry has a large amount of available money to spend yet there is still a problem getting into traditional banking relationships, he told the E-Commerce Times. Cash Crop Indeed, the legalized marijuana industry still faces a large number of hurdles to operate like a traditional business, in part because federal law still prohibits the sale of marijuana. The Senate Appropriations Committee last week voted 16-14 to approve a measure that would allow banks to provide services to marijuana businesses. The federal government should not be forcing Oregons legal marijuana business to carry gym bags full of cash to pay their taxes, employees and bills, said Sen. Jeff Merkely, D-Ore., who coauthored the amendment. This is an invitation to robberies, money laundering and organized crime. Marijuana would bring in about half a billion dollars in the first 14 months of legal sales just in the state of Oregon he pointed out. Think about the marijuana business in now-legal states, suggested Paul Teich, principal analyst at Tirias Research. It has been an underground business where no one wanted any record of transactions, he told the E-Commerce Times. Entrepreneurs just starting up in the business are starting with a good knowledge of their domain growing weed but no real knowledge of modern business practices and legal supply chain economics. Amazon CEO Jeff Bezos recently announced an expansion of the companys investment in India to the tune of US$3 billion that was in addition to the $2 billion in investments it announced in 2014. Bezos announced the new investment during the 41st annual U.S.-India Business Council Leadership Summit, which was attended by Indian Prime Minister Narendra Modi and several top business leaders. We have already created some 45,000 jobs and continue to see huge potential in the Indian economy, Bezos said at the summit. The Amazon.in team is surpassing even our most ambitious planned milestones, he added. Amazon runs 21 fulfillment centers in India and has 85,000 sellers on its e-commerce platform, according to the U.S. Chamber of Commerce. The companys plans include opening a new region for the Amazon Web Services cloud computing business and building its largest software engineering and development center outside the U.S., the Chamber reported. When I peer into the future of India, what I see is unlimited India, Bezos said. Foreign Investment Regs Bezos announcement followed a recent change in Indias restrictions on foreign direct investment, which requires companies like Amazon to act as intermediaries in the local marketplace. Amazon sells much of its inventory through Cloudtail, a joint venture with Catamaran Ventures, the private investment arm of billionaire N.R. Narayana Murthy, who cofounded Infosys. Amazon earlier this year announced a new10-acre campus in Gachibowli, an IT-focused suburb of Hyderabad, Telangana. The campus will be the largest in India and the companys biggest outside the U.S. Amazon last year announced the launch of one of its largest fulfillment centers in Hyderabad. The new campus, which will be ready in 2019, will manage back-end operations for various business and technology teams around the world. Telangana has been home to Amazons IT operations since 2005. All In It appears that Amazon is seeking to build an infrastructure just for the India market, which is good strategy given the regulatory challenges of being a foreign entity and the different e-commerce environment, said Jim McGregor, principal analyst at Tirias Research. The investment is part competitive, part political, he said, as it no doubt will curry favor with government officials in India. With deep pockets, Amazon can outposition smaller local competitors, while buying goodwill with the powers that be, McGregor observed. One of the worlds fastest-growing e-commerce markets, India currently is dominated by firms like Flipkart, Snapdeal and Alibaba. India represents a rapidly expanding market with a growing middle class, Stratecast/Frost & Sullivan Program Manager Mike Jude told the E-Commerce Times. Overall, the online retail market in India is only 2 percent of total retail sales in that country, according to Forrester Research. However, growth in e-tailing is exploding, with the market expected to rise at a compound annual growth rate of 44 percent, starting last year, to reach $75 billion by 2020. Andy Rubin, Androids daddy, last week made some interesting comments about quantum computing and artificial intelligence. The part I agree with is it wont be long until most things we have are connected to an intelligent machine. (When referring to something that will be far smarter than we are, the use of the term artificial would not just be inaccurate it would be rude.) I disagree that there will be only one, however, because competition, latency, governments, uses (you dont want a defense system controlling your air conditioning for instance), and privacy concerns alone will ensure there are many. However, the recent tragedy in Orlando and the poorly thought through responses by both presidential candidates got me thinking about what it would be like if we turned governing over to an AI. Ill share my thoughts on that this week and close with my product of the week: a new video card from AMD targeted at virtual reality for a very reasonable US$200. AI And Orlando The political response to the Orlando mass shooting by both candidates unfortunately was typical a return to talking points already established, and no real effort to map existing resources to prevent recurrence. Trump spoke to an even greater ban on Muslims entering the country, even though the current attack was by a U.S. native, and Clinton returned to her talking points on gun control, even though it is clear the controls already in place not only worked, but also didnt have an impact. As weve seen with the war on drugs and prohibition, increased regulation into illegality tends only to create a stronger criminal element, which in this case, directly contradicts the primary goal of saving lives. A properly programmed AI (note the properly programmed part, as there is a growing concern that an AI improperly programmed could become an even greater problem) would start with the data and likely conclude the following: that the crime could be mitigated if the various databases that define people digitally were cross-connected better and a solution were structured to flag and resource people likely to become mass killers; and that the current criminal system, which is based on properly assigning blame, should be modified to focus on prevention and the effort would have to be resourced adequately. Any behavioral traits that consistently lead to violence would be flagged digitally, and the AI then would determine which people were clear and present dangers, and define a set of corrective actions from mandatory anger management to removal from the general population. The Result Once the AI system became connected and resourced appropriately, anyone buying large amounts of ammunition and an assault rifle would be flagged. Anyone using hate speech against anyone would be flagged. Anyone with a history of domestic violence would be flagged, and anyone who appeared to be aligning with a hostile entity would be flagged. When two of those elements were identified in the same person, that individual would be added to a list for investigation. Three or more would trigger prioritization for corrective action and surveillance. Anyone exhibiting all of those traits would be classified as a clear and present danger and prioritized for immediate mitigation. That would have prevented Orlando and if it didnt, the focus would be on figuring out why and fixing it, in that order, so things would get better as opposed to what we generally have now, which is closer to stalemate. Blocking all Muslims would be a massive wasted effort (the majority of mass shootings in the U.S. have not been carried out by Muslims). Banning the legal sale of weapons would force the purchases underground, eliminating the flags data now associated with legal purchases. Also, in areas where guns were less affordable, the alternative might be explosives, which typically are harder to track, as there generally is no legal way for average citizens to buy explosives in most countries. In short, the government would commission the massive intelligence-gathering data center in Utah to flag people who met a set of conditions, identifying them as threats before they could commit an act of mass violence. A mitigating procedure would be in place to eliminate the threats. If it didnt work, the failure would constitute a learning moment, and the system would take corrective action iteratively until it met with success. The goal would be to fix the problem not to persuade people to agree. An AI, at least initially, would care little about appearing right. It would be laser-focused on doing the statistically least difficult thing to solve the problem. If the AI saw the NRA as a problem, it would design a plan to fix it likely by focusing on eliminating gun company influence but it wouldnt just blame the NRA and figure that was making progress. There are easier and more effective things it could do anyway. The properly programmed AI always would look for the easiest effective path to a real solution. By the way, when folks look into this without bias, they seem to find we dont have a gun problem or, more accurately, guns arent the problem we actually need to fix we have a data problem. Folks with a biased view are more interested in sticking it to folks who disagree than in trying to solve what is actually a fixable problem. AIs vs. Politicians and People in General As I write this, I wonder if we shouldnt refer to the coming wave of machines as intelligent machines and humans as artificially intelligent. Machines will start with facts and generally be designed to factor in all evidence before making a decision. However, with people and this is apparent with Trump and Clinton the tendency is to make the decision first, and then just collect the data that proves you made a good one. This is evident in the argument between President Obama and Trump. Trump argued that Obama was more concerned with Trump than with fixing the problem, which actually is correct, given that the actual fix is within the presidents authority (adjusting monitoring systems to flag threats). Both men are focused on who appears right rather than on fixing the problem. When working on a spreadsheet, have you ever gotten into an argument with your computer over who made a mistake? How about with your accountant? Computers dont care about appearances. They do care about data, though, and if that data is bad or their programming is corrupted, then they can make errors but they still often do better than their human counterparts. We ignore the data. Wrapping Up: Machine Intelligence for President? Were not yet ready to put an AI in the highest office of the U.S., but that may be the only way we survive into the next century. It also could be the way we end the human race. You see, the other problem I havent yet touched on is that people are creating these machine intelligences, and that means some of them will be corrupted by design, so that they dont do anything that disagrees with their creators world view. That means there literally will be insane machine intelligences, because they were improperly programmed on purpose. The chance of putting one of those things into power unfortunately is very high. For instance, look how we deal with drone mistakes. We dont call collateral damage collateral damage we reclassify the dead as combatants. Can you image a smart weapon with that programming? Suddenly everyone would be a target, and wed have designed a Terminator future. Unfortunately, what that means is that unless we fix ourselves which is really unlikely we are rather screwed. The entire tech industry is hoping that at least on the consumer side of the market VR takes off like a rocket. Obstacles include a lack of content and the problem that cellphone-based solutions arent very good. PC based-solutions are wickedly expensive, and there is a very real likelihood youll hurt yourself if you dont sit down when using them you can either lose your balance or trip over the necessary tether. Well, at last weeks E3, AMD stepped up to address the first problem with an impressive $200 graphics card, the Radeon RX480. It is premium VR certified, and you should be able to add it to your existing Windows 10 desktop PC to make it capable of supporting VR. AMD Radeon RX480 I played with the Radeon RX480 a few weeks ago in Macau, and it is an impressive piece of work. What allowed the company to reach the low price point was that it focused on things that would make VR work better and that approach paid off in spades. Similar technology is rumored to be going into Microsofts Xbox Project Scorpio, which suggests that a gaming system on steroids could be surprisingly affordable when it comes out next year (Xboxes typically sell at or below cost) and ideal for the VR games expected to arrive with that console. However, the Radeon RX480 is due in stores at the end of the month, so you dont have to wait that long. Im always up for a value, and when it comes to graphics the AMD Radeon RX480 should be one of the biggest bargains in the VR or desktop PC segment at least for now and it therefore is my product of the week. Sea Shepherd Conservation Society is asking big-box retailer Costco to stop its purchase and sale of salmon exported from the Faroe Islands until the Faroes bring the brutal and archaic mass slaughter of pilot whales and other cetaceans, known as the grindadrap or grind, to a grinding halt. Actors Richard Dean Anderson (MacGyver), Eric Balfour (Haven), Rutger Hauer (Blade Runner), Ross McCall (24: Live Another Day), Cliff Simon (Stargate SG-1), Clive Standen (Vikings); actresses Shannen Doherty (Charmed and Beverly Hills, 90210), Perry Reeves (Entourage) and Red Hot Chili Peppers front man Anthony Kiedis have teamed with Sea Shepherd to send a letter to Costco CEO Craig Jelinek from the organizations founder, Captain Paul Watson. Hollywood Stars Demand @Costco Stop its Purchase and Sale of Faroe Islands Salmon https://t.co/Sx8p8Fyvtd pic.twitter.com/58nWarTYJ2 Sea Shepherd US (@SeaShepherdSSCS) July 8, 2016 The letter comes on the heels of last weeks news that a pod of 30-50 pilot whales was slaughtered in the first grindadrap (grind) of the year, on the island of Vioy in the Danish Faroe Islands archipelago. The letter to Jelinek expresses concern that chain-store giant Costco is selling salmon farmed in the Faroe Islands, an archipelago of 18 isles in the North Atlantic, where hundreds of wild, migrating cetaceans are slaughtered each year. Describing this massacre of ocean wildlife, the letter states that entire pods of pilot whales and dolphins are driven by hunting boats to the shallow waters along the Faroe Islands. Those cetaceans who are not herded far enough into the shallows will have a gaff hook stabbed into their blowholes and will be pulled ashore the rest of the way by rope. The panicked and thrashing whales or dolphins are then slowly sawed into behind their blowholes with a special Faroese hunting knife and killed by the severing of their spinal cords, as each animal is brutally slaughtered before the eyes of their family members. No member of the pod is spared, not even pregnant females or juveniles. Though Faroese whalers claim that the grind brings a quick and humane death, some of these highly intelligent and socially complex marine mammals take as long as four minutes to die, as the steely waters of the Faroes run red with blood. The letter to Jelinek continues, As a large and respected member of the corporate retail community, Costco should not condone these acts of brutality by economically supporting the Faroese salmon fishery. Costco can apply economic pressure to end the atrocity known as the grind, a whale hunt that should be deemed illegal by the anti-whaling EU but yet is supported by Denmark, a part of the EU. Mr. Jelinek, Costco and you as its CEO, now have the opportunity to show your members and the international community that you represent a company of compassionate individuals who care about the fate of intelligent and sentient whales and dolphins as well as the oceanic eco-systems upon which theyand all life on Earthdepend for survival. The grind is an outdated practice as the Faroese people have one of the highest standards of living in all of Europe and access to the same foods found in grocery stores in Denmark. In addition, Faroese health officials have warned against consumption of the pilot whale meat, especially by children and pregnant women or women of childbearing age, because it is contaminated with neurotoxins such as mercury. Though it is the slaughter of cetaceans by the Faroese that is opposed by Sea Shepherd, the organization is calling for a boycott of salmon exported from the Faroes until the senseless grind is permanently ended. These compassionate celebrities have offered their desperately needed voices to the pilot whales who were killed recently in the Faroe Islands and to those who are at risk each time they pass by Faroese shores, Watson said regarding the Hollywood industrys support. We must make it known that the blood of intelligent and social whales and dolphins stains every package of salmon from the Faroes. This archaic massacre of cetaceans, defended by the EU-member nation of Denmark, must be sunk economically. I encourage all concerned consumers to do their part by boycotting salmon from the Faroe Islands at Costco and wherever it is sold. The appeal to boycott Costco comes just weeks after Sea Shepherd released a 22-minute documentary short on YouTube shot by McCall, chronicling his experience in the Faroe Islands, along with a companion essay he published in the Huffington Post. Since 1983, Sea Shepherd has sent 10 campaigns to the Faroes, saving hundreds of whales and dolphins while dealing with the arrest of Sea Shepherd volunteers and the seizure of the organizations boats. Faroese law states it is illegal to interrupt the killing and illegal to sight a pod of whales and not report it. To further protect their beloved Grind from outside interference, this year the Faroese enacted laws that prohibit Sea Shepherd crew from entering their waters and wearing Sea Shepherd shirts on land. This year, in response, Sea Shepherd Global announced Operation Bloody Fjords, a new operation targeting the massacre of pilot whales in the Faroe Islands. With years of footage of this bloodshed, Operation Bloody Fjords will include culling together decades worth of photographic and video evidence to target the Grind in legal, political, commercial and economic arenas. A full-length documentary feature will also be produced. Its as thick as guacamole, but you dont want it near your chips. You dont want it in your water, either, but thats exactly where it is, a sprawling mat of toxic algae the size of Miami, spreading out across Floridas storied Lake Okeechobee and from there along major rivers to the states Atlantic and Gulf Coasts. Lake Okeechobees blue-green algae bloom is visible from space. National Aeronautics and Space Administration Fish are dying. Beaches are closing. People are getting sick. The smell is so bad it will make you gag, Mary Radabaugh told officials at a town hall meeting last week near Palm Beach. We have red eyes and scratchy throats. Gov. Rick Scott has declared a state of emergency in affected areas and is pleading with Washington for assistance to cope with widespread threats to the environment and public health. South Florida is facing a crisis, Sen. Bill Nelson, D-FL, wrote in a letter July 6 to U.S. Senate leaders. Beaches and waterways that would normally have been crowded this past Fourth of July weekend were empty as families and vacationers heeded warnings to avoid the toxic blue-green and brown algae blooms that have formed along the waterways and even out into the Atlantic Ocean. 'Guacamole-Thick' Algae Takes Over Florida's Atlantic Coast, 4 Counties Declare State of Emergency https://t.co/r3n8BhYXD4 @TheCCoalition EcoWatch (@EcoWatch) July 3, 2016 The algae blooms that have thrown the Sunshine State into crisis are telling us three things. First, we need to protect our waters from the pollution that breeds these toxic blooms. Next, we need to fight the climate change that brings warmer temperatures that amp up algae growth. And finally, we need to demand real action on both fronts from our elected leaders at every level. Algae blooms are a national problem. In recent years, weve seen them in water bodies as large as Lake Erie. There are a perennial problem near the mouth of the Mississippi River, where algae blooms strip oxygen from the water, creating a dead zone that threatens shrimp, fish, crabs and other marine life across a span of ocean the size of Connecticut in the rich fisheries of the Gulf of Mexico. Theyre a growing threat to our environment and health. The immediate cause of the blooms can vary, but the common basics are these: Rains wash pollution from farms, septic tanks and other sources into our watersfrom small streams and wetlands to great rivers and lakesand municipal sewage systems add waste to these waters. These pollutants then supercharge the waters with nutrients like nitrogen and phosphorus. That feeds a population explosion for algae that feast on these nutrients. Warmer temperatures accelerate the growth. Algae blooms, though, are toxic. Cyanobacteria is what scientists call them and they can cause skin and respiratory ailments as well as gastrointestinal and liver illness. In large doses, they can even threaten the nervous system. Humans can be affected by coming in direct contact with the algae; swallowing water at the lake, river or ocean; or even breathing water spray in which algae are growing. These toxins threaten marine life, birds and other wildlife as well. In addition, when algae die they decay, a process that robs water of oxygen, which can cause mass fish kills. Finally, a mat of algae like the one covering much of Lake Okeechobee starves underwater plant life of needed sunlight, in turn denying food to fish and other species dependent on those plants. The fix is to set commonsense limits that keep pollution out of our waters and then to enforce those limits. That means requiring cities and towns to do a better job treating sewage and keeping it from entering the watershed after heavy rains. It means stopping large, concentrated animal feeding operations from dumping massive amounts of waste into our waters. It means applying standards to prevent industrial agricultural operations from polluting our waters with fertilizer that runs off their fields. And it means fighting the climate change that is warming our waters, helping to turn modest seasonal algae growth into crisis-level blooms. We just wrapped up the hottest June ever recorded in the contiguous U.S.a blistering 3.3 degrees Fahrenheit above the 20th-century average. Last year was the hottest year globally since record keeping began in 1880 and this years first five months have been even hotter. Nineteen of the hottest years on record have occurred in the past 20 years. Turning this around means shifting away from the dirty fossil fuels that are driving global climate change and investing in cleaner, smarter ways to power our future without imperiling the planet. The algae blooms in Florida are a reminder of how much this matters and how urgently we must act. (Photo: L'Osservatore Romano)Pope Francis meets with Greg Burke and Paloma Garcia Ovejero, July 11, 2016. Pope Francis has named a former Time magazine and Fox News correspondent to head up the Vatican press office and appointed the Holy See's first-ever female deputy spokesperson. American Greg Burke, 56, the former communications adviser for the Vatican, was named July 11 as the new director of the Holy See press office. The new vice-director will be Paloma Garcia Ovejero, 40,who was previously the Rome and Vatican correspondent for the Spanish broadcaster COPR and is first woman appointed to the position. American Greg Burke, 56, was brought in by the Vatican in 2013 to overhaul its public-relations operation and will take up the post on Aug. 1, when outgoing chief spokesman Father Federico Lombardi steps down. Burke received the appointment from Pope Francis after the current director, Lombardi, a Jesui priest, submitted his resignation to the pontiff, the Holy See press office said in a July 11 press release. "The purpose of my work is very clear: to serve the Pope," Burke told journalists after the announcement was made. Francis had said he had "prayed on this appointment," Burke recounted of his meeting with the pontiff, Catholic News Agency reported. Burke, who also worked as correspondent in Rome for the Catholic weekly National Catholic Reporter, is a member of the influential conservative Catholic group Opus Dei, meaning he is a lay person but is celibate. "By naming Greg Burke as his new spokesman, Pope Francis hit a three," wrote Vatican watcher John L. Allen wrote on the Cruxnow website. "He's debunked impressions of being anti-American, he's shown that competence matters, and he's signaled openness to groups seen as conservative. For a bonus, Francis tapped a lay woman as Burke's number two." Allen noted that there are many Vatican positions that exercise more real power, but few are more publicly recognizable than the papal spokesman. "For most of the Pope Benedict XVI era, and all of the early stages of Francis' papacy, Lombardi has been the most-quoted figure in Catholicism after the popes he served, and thus he played an enormously important role in shaping public perceptions of the Church." In February, Burke was named vice-director of the Holy See press office where he worked under Lombardi after joining as the senior communications adviser to the Vatican Secretary of State in 2012. Born Nov. 8, 1959 in St. Louis to a Catholic family, Burke graduated in 1983 from New York's Colombia University with a degree in comparative literature, specializing in journalism. Latest News Nalanda varsity to offer course on Bay of Bengal The course will be imparted via online mode IGNOU to close UG, PG admissions in July 2022 cycle Those who wish to seek admissions to IGNOU courses can do so today Alert: Allotment result for AP EAPCET 2022 counselling final phase today, know details here Candidates can check their result on the official website The earliest piece of writing I can remember producing was a story, written in phonetic spelling on small pieces of scrap paper stapled together. Mimicking as best I could a real picture book, I called it Yuc, Yuc, u Slug, and it was based on an experience Id had the day before, when my best friend and I turned over a large rock and found beneath it ... a frightful slug! This must have been in late kindergarten or early 1st grade, as my writing development closely mirrored my reading development. Throughout my childhood, I wrote many stories and poems, and in all of them, I can see the combined influence of the reading I did and my own lived experiences. The same is true for many of my students today. In an activity toward the beginning of the school year, I ask them to tell me about their reading and writing histories and often hear the fond memories they have of the early stories theyve written. Some even bring those stories into class and reminisce about the fun they had writing them. As students progress through school, though, it seems that their reading and writing experiences become increasingly lopsided: They continue to read works of fiction and poetry, but they must make a dramatic shift away from the imaginative writing of their childhoods toward analytical paragraphs and essays. The Common Core State Standards have compounded this effect by emphasizing analytical writing at much earlier ages, while not explicitly requiring fiction or poetry writing at any age. I know kindergarten teachers who now prompt students to answer questions about an authors choices during story time, and creative writing is taking even more of a back seat in many language arts classrooms. This is a mistake for many reasons, but especially if we want students to read more critically. Imagine youre taking a ride in the back seat of someones car and youre asked to offer a critique of their driving. Now imagine you have very limited experience as a driver yourself. You might be able to describe how the ride feels to youbumps, turns, acceleration, sudden stopsand perhaps formulate some opinion about the driver based on these feelings. But you wouldnt be able to analyze what the driver is doing (or not doing) to create the effect you feel as a passenger. When the driver shifts into low gear to go up a hill in the rain, for example, you probably wouldnt noticeand even if you did, youd find it difficult to understand why this was an effective choice without having experience with the particular problem the driver is addressing. When we ask students to be critics of literary works without giving them consistent, relevant experience writing literary pieces themselves, we put students at a similarly awkward disadvantage. Rewriting the Script Literary analysis is something kids can do in a meaningful way under the right conditions. Authentic reading of whole novels and other texts is one condition I advocate strongly. Increasingly, Ive come to believe that another essential condition for students to analyze and critique writers moves is the chance to be in the drivers seat as literary artists themselves. Here is one example of how students fiction writing propels critical thinking in my classroom: It was March, and my 8th grade students had finished reading My Heartbeat, a young-adult novel by Garret Freymann-Weyr. While half the class discussed the book, I had the other half work independently on a creative-writing assignment: They had to put themselves in the role of author and write one scene in which they alter an element of the novel. The choices included adding a character, shifting the point of view, changing the setting, giving a boring scene a makeover, orthe most popular optionkilling a character. The creative but focused nature of the task easily captured everyones interest, and students of all levels dug in with excitement. After several days, we came together as a whole class to share the writing. I asked students to read aloud from their writing without introduction or explanation and I asked listeners to take some notes on each reading. The prompts for ensuing discussion were: 1. Based on what you heard, what did the student choose to do differently from the original author? 2. What literary techniques or elements stand out to you in this piece? We brainstormed and recorded a menu of techniques as a starting place for discussing the second question, including things like dialogue, descriptive language, interior monologue, and foreshadowing. Some we had practiced in previous fiction-writing assignments; others we only discussed as readers. We added to the menu throughout the readings. The scenes were a lot of fun to hear. Every student approached the assignment differently, and the concept of the role of the author was suddenly very tangible for everyone. Its often challenging for students to remember there is a real person with a real life behind a novel or any text, using his or her imagination and making decisions. Reading fiction is such a powerful virtual experience that kids tend to interact with it as if it were an extension of their own lives, rather than the creative production of a stranger. For young children, the distinction isnt very important: A story should simply be enjoyed! But as we help students become critics, that distinction becomes essential. In this case, the authors were simply the classmates sitting right in front of us. Literary Devices When students share their writing like this, endless opportunities arise to discuss the choices of each writer and their impact. Jonathon, for example, changed the contemporary setting of the novel to 1894. In the novel, the narrators brother, Link, is struggling to come to terms with his sexual orientation. Here is an excerpt from Jonathons scene: Im not gay, Link said. James is. My heart dropped. I suddenly began to fear for James life. The year was 1894. Any openly gay person could be killed by angry mobs. Being gay was not only a sexuality, but also an open bounty on your head for anyone to take. I now realized why Link wanted to deny it. In addition to the obvious change in setting, several students also noticed a shift in the conflict. The conflict got bigger, because of the time period, one student observed. This created a perfect opportunity for students to see how different literary elements affect one another. The common-core standards in English/language arts emphasize this concept. In fact, 7th grade reading-standard RL.7.3 asks students to analyze how particular elements of a story or drama interact (e.g., how setting shapes the characters or plot). In this case, creative writing created an obvious springboard for students understanding of that standard. As more students shared, we heard a number of carefully foreshadowed tragic deaths of various characters. The chance to share and discuss raises students awareness of how these literary concepts work and helps them name their own techniques. Many students didnt realize they effectively used foreshadowing until their writing was discussed. In her scene, Soraya took the point of view of the unpopular, overbearing father character. In the book, the father makes it clear he wants a heterosexual son, but we only hear his voice through dialogue told by Ellen, the first-person narrator. But Soraya explored his emotions and internal conflict: I see Link asleep on the couch. His eyes have dark circles under them, and I begin to wonder if its because of me. I begin to wonder if his stress was always caused by me. ... she wrote. In the group, students noted the strong interior monologue in Sorayas scene. Then someone said, Its like she made a whole character out of the father. I added character development and complex character to the menu of writing techniques, words we had used before but that hadnt made it onto our earlier brainstorm. Why isnt the father a whole or complex character in the book? I asked. Because Ellen is the narrator, so you really cant know what the father is thinking, a student answered. So, given that, what could an author do to write a book that has several whole or complex characters? I asked. You could switch off narrators, one student suggested. Like in Wonder, another added, referring to a popular young-adult novel that features multicharacter narration. Or you could write in third person, another student offered. Once again, our experimentation with writing fictional narratives created opportunity for students to see how authors choices around narration and point of view affect the story and the readers experience. The Art of Storytelling Another interesting moment came when Lana allowed a classmate to read her scene. It was quite intense; every word in the piece seemed carefully thought out. It began with Link studying with excruciating focus for a math test. Then she wrote: I stood up, ready to go to James house, when Links head fell loudly onto the table. Link! I yelled, running to him. White bubbles foamed out of his mouth, and tears trickled down his face, mixing with sweat from earlier. His body was shaking aggressively. Links eyes began to roll back into the inside of his head, when I hurried to pick up my phone. I dialed 9-1-1, and the ambulance soon arrived. As we listened, I caught Lana scanning the faces in the room with a look that was difficult to read. The scene continued as we followed characters to the hospital. Then, in the same serious tone, we found out that Link has a tumor in his brain. At that point, James, his best friend, started coughing uncontrollably and admitted he has cancer. There were some gasps from the class. Lanas face cracked a slight smile. The situation got more and more extreme, and several students let out laughs, but Lanas narrator never broke character. When we discussed the scene, students immediately remarked on her descriptive language. I notice that some people laughed. Did Lana use humor? I asked. Students were quiet, and I imagine they were unsure as to whether their laughs were appropriate. Not exactly, but it was still funny at times, someone said. I probed. Lana, did you expect people to find your scene funny? Yeah, kind of, she said, with a mischievous smile. It was tragic, but another student said, trailing off. There was something not completely serious about it, right? I offered. We discussed tone, and I ended up introducing the term satire to describe the effect of Lanas scene. In a way, after hearing more than a few tragic death scenes of characters by other students, Lana seemed to be satirizing us! Students rarely get to experience such an immediate interaction between author and audience through text. From the drivers seat, and as members of a learning community, students can gain awareness of their own intentions as they write stories and become more keen analysts of authors intentions. They get to encounter, firsthand, the problems authors encounter in crafting stories and they discover and play with literary techniques to solve these problems. The lessons, both explicit and implicit, are powerful. Like the driving critic who lacks driving experience, students without genuine experiences creating literary art and reflecting on the process can easily be left to look to the teacher for answers as to what the author is up to in his or her use of literary techniques. That causes frustration, as it diminishes students ability to activate their own critical thinking in this area. There are clear arguments for the social-emotional value of empowering students to write creatively. And anecdotally speaking, Ive found engagement is extremely high and classroom management is a breeze when students get to write stories. But Im convinced that fiction writing is a much more important component of a rigorous English education than is commonly believed. Imaginative writing contributes not only to the development of many soft skills like empathy and community, but also to the hard skill of literary analysis. A member of the Rollin Crips street gang who took part in the city's first Violence Reduction Initiative "call-in" has been arrested on federal charges. Aveus Bailey, 24, was charged with being a felon in possession of a firearm after he was found with an SKS rifle - the same type gun used in the killing of five Dallas police officers and the wounding of several others. He was also found with a handgun. Agents said on June 28, a field training officer responded to 5005 18th Ave. on a warrant for Bailey. On arrival police made contact with Ponshala Fluellen, a resident of the home. Police explained to Ms. Fluellen why they were at the address and asked for her consent to enter the home to search for Bailey. Ms. Fluellen advised that Bailey was inside. Police then entered the home to search for him. As police began to clear the residence, the officer observed a handgun in plain view in the kitchen on top of the refrigerator. He also saw a bag of what he knew to be marijuana on the couch in the living room. During the search, Bailey was found hiding in a back bedroom closet under some clothing. He was taken into custody without incident. In the same room where Bailey was located, the officer observed what he believed to be the barrel of a rifle under the mattress of the bed. Bailey advised that it was his gun, and he did not want "his girl," Ms. Fluellen, to get into trouble. The officer located an SKS rifle with a full magazine under the mattress in the bedroom where the suspect was hiding, and where he first observed the barrel of the rifle. Bailey's ID was also located in the same bedroom near the rifle. The officer also located a bag with several small baggies of suspected cocaine (28.6 grams total) in a black purse in the living room, along with another baggie of marijuana (4.2 grams total) and $647 cash. The handgun from the kitchen was also determined to be stolen out of Bledsoe County. The firearms, narcotics, and several cell phones were confiscated and taken as evidence. I am an 81-year-old white male and served in the USMC and later in the 60's I served in the police department for about eight years. Since '68 I have been stopped several times and this is how I handled each one. If it was dark I turned on my dome light and put both hands on the steering wheel and kept them there until the officer told me what he wanted. This was done so he could see he was safe in my presence. If he told me to get out of the car I would say, Yes sir and get out of the car. I did as I was asked without any mouth. I did not argue, curse or get in his/her face, get loud or act disrespectful. If I thought it was wrong I would take the matter before the judge in court if I received a summons. I learned many years ago most people talk their way into a ticket or being arrested. I treat people with common courtesy and that includes our police officers. I never refer to them as cops but as officer as cop is a slang word. N.D. Kennedy Ooltewah * * * Your advice isn't real world experience for many, Mr. Kennedy. My son, USAF home on a short leave with his wife, also military being deployed to Iraq, was very polite when he was stopped while walking in the neighborhood he was born and grew up prior to enlisting. It was my son's politeness that seemed to enrage the cop most. And when the cop forcefully patted my son down and found his military ID, credit cards, driver's license on him (thank goodness he had those things on him at the time - no telling what else might have happened if he hadn't) for whatever reason that appeared to have enrage the cop even more. When my son asked simple questions like why he was being stopped, the cop started with the cussing "so we don't have to kick your a**, and STFU!" The cop was spoiling for a confrontation, yet even with the physical abuse and verbal cussing my son experienced that evening, it was my son who remained professional and calm, while the cop behaved the fool. Please don't try to excuse away dirty cop behavior with a "if you only do this do that, then you won't get the **** beaten out of you or worse," Okay? That's like telling the rape victim if she'd only dressed more appropriately and less flashy she wouldn't have been raped. Your advice doesn't work with a cop who has made up his mind to use his badge and position as a power trip to bully and brutalize. And don't remind us either that there are good and bad in all professions. We already know that. But no other profession has the power to ruin or destroy a person's life and change their destiny for the worse during a chance encounter. No doctor, no teacher, no other profession I can immediately think of has that kind of power. If any of my family members who have been or are presently in the field behaved the way some of these hotheads have been known to behave, and I knew about it, I would immediately report them and demand they either be fired, psychologically re-evaluated, or placed somewhere before they harmed some innocent person or placed the lives of fellow officers in danger. Brenda Washington * * * Mr. Kennedy, It amazes me how disrespectful many have become to those that do their best to protect and serve. As you stated, Yes sir or Yes maam along with 100 percent cooperation goes a long way in any situation that requires an officer of the law. Its sad many children, teens and young adults these days lack the common courtesy and manners that were instilled 30-40 years ago. Yea and No were not answers given in response to authority figures, adults or parents without sir or mam attached without the consequence of having that wonderful vinegar taste in your mouth. I guess its a generation thing or some parents who just dont care about teaching their kids manners and respect. Well this is the outcome. Ill be honest, I dont think you could pay me enough to endure the environment and violence officers have to be surrounded by on a daily basis and not knowing if that simple traffic stop or call out to a residence will end in a simple ticket or a gun battle. All I can say is thank God for those officers that are willing to protect and serve our communities in what has become an extremely dangerous and for the most part a thankless job. Chris Morgan Chattanooga * * * I strongly suggest Brenda read Roy's column today, a most enlightening article (written by a black officer) on the subject of black lives matter. I urge everyone to read it. God bless and protect our men and women in blue. Sue White * * * All Lives Matter. That is why it's very important that we all need to understand that a "Police Officer's command matters." Michael Burns * * * Sounds like Ms. Washington has nothing good to say about the men and women in blue. This is not the way to bring unity to our community. I too can say some negative things, but opt to speak on the positive side, realizing the barrel of apples may have one or two bad, but the rest good. Thank you law enforcement for the great job you do in spite of the pressure that is now applied to you. I believe its time for the black community to be handled by black police officers reducing the chance for white on black apprehension at a huge risk. As a white man I have no problem with being stopped or questioned by any police officer: white, black, male or female. Unfortunately both the white and black races have bad apples and that should never stand in the way of great relations between the decent white and black society. Maybe its time for both the white and black community to get rid of the trash. Michael Mansfield * * * Once again Ms. Washington demonstrates that liberals cannot distinguish between what is true and what they so desperately want to be true. Dennis Wooden Ely, Cambridgeshire is best known for its majestic cathedral dubbed the 'Ship of the Fens' because it dominates the flat landscape. The city, which is the second smallest in England, is about 14 miles north-northeast of Cambridge and about 80 miles by road from London. 13:33, 25 OCT 2022 Voters of District 2 have the opportunity to send a new representative to the Hamilton County Board of Education. I recommend Kathy Lennon for this important position. Ms. Lennon currently works as a business executive with a successful and well-known non-profit organization and has extensive experience in education. She began her teaching career in 1987, after receiving her degree in Religious Studies, and has taught students at every level from elementary to high school. I have known Ms. Lennon and her family for about 30 years. She is hardworking, intelligent and strongly motivated by a genuine desire to improve the quality of public education in Hamilton County. I encourage my friends in District 2 to vote for Kathy Lennon during early voting (July 15-30) or on election day (Aug. 4). Joe DeGaetano Chattanooga Three Manx students to study to NASA space school Three young people from the Isle of Man will study at space school this summer. The sixth-form students from Ramsey Grammar, Castle Rushen and King Williams will be spending two weeks in the US at the NASA United Space School. They won a yearly competition run by Manx satellite firm ManSat by writing essays on three space related subjects in the chance to win a scholarship for the trip. Rose ten Donkelaar, Laurence Couch and Julius Herzig will travel to Houston to study with astronauts, engineers and scientists and help plan a simulated mission to Mars. Rose says she's excited: Media Rose ten Donkelaar In the state of Tennessee, it can require hundreds of hours of education and cost thousands of dollars to get a license to earn a living. The state should not be picking winners and losers in this economy through burdensome regulations imposed by unelected bureaucrats. Take the case of Tammy Pritchard who lives in Memphis. Tammy wants to be able to wash hair for a living, but she cannot do this without becoming a fully licensed cosmetologist, something shes stated she cannot afford to do. Tammy filed a lawsuit with the Beacon Center, and this is a part of the State of Tennessee's unacceptable response: Much of what states do is to favor certain groups over others on economic grounds. We call this politics. These are the kind of politics Im vowing to fight against in Nashville. Each person should be able to decide their own future, not the government. I believe we should work to remove Nashvilles interference in Tennesseans ability to achieve the American Dream. We must continue to build upon the Right to Earn a Living Act, passed this past year, and hold accountable unelected licensing boards and defend Tennesseans right to work and earn an honest living. Im running to create better opportunities for people so we can put Tennesseans back to work. Too often, the Republican Party talks more about what it is against than what we are for. I am for working to create opportunity for everyone, rather than placing lids on their aspirations and dreams. Lets fight the bureaucrats in Nashville and demand our right to pursue the American Dream. Ethan White Jay Stalien is a police officer who works in Riviera Beach, Florida. He has also worked for the Baltimore Police Department so, as an African-American who knows far more about the agony and the ecstasy of servicing and protecting All Lives That Matter than you or I ever will, I am going to take you to his Facebook and beg you to read every word of a heart-filled post he just wrote. As you will see, I dont need to add a word. * * * WOULD MY LIFE HAVE MATTERED? By Jay Stalien I have come to realize something that is still hard for me to understand to this day. The following may be a shock to some coming from an African American, but the mere fact that it may be shocking to some is prima facie evidence of the sad state of affairs that we are in as human beings. I used to be so torn inside growing up. Here I am, a young African-American born and raised in Brooklyn, NY wanting to be a cop. I watched and lived through the crime that took place in the hood. My own black people killing others over nothing. Crack heads and heroin addicts lined the lobby of my building as I shuffled around them to make my way to our one- bedroom apartment with six of us living inside. I used to wake up in the middle of the night to the sound of gun fire, only to look outside and see that it was two African Americans shooting at each other. It never sat right with me. I wanted to help my community and stop watching the blood of African Americans spilled on the street at the hands of a fellow black man. I became a cop because black lives in my community, along with ALL lives, mattered to me, and wanted to help stop the bloodshed. As time went by in my law enforcement career, I quickly began to realize something. I remember the countless times I stood two inches from a young black man, around my age, laying on his back, gasping for air as blood filled his lungs. I remember them bleeding profusely with the unforgettable smell of deoxygenated dark red blood in the air, as it leaked from the bullet holes in his body on to the hot sidewalk on a summer day. I remember the countless family members who attacked me, spit on me, cursed me out, as I put up crime scene tape to cordon off the crime scene, yelling and screaming out of pain and anger at the sight of their loved ones taking their last breath. I never took it personally, I knew they were hurting. I remember the countless times I had to order new uniforms, because the ones I had on, were bloody from the blood of another black victimof black on black crime. I remember the countless times I got back in my patrol car, distraught after having watched another black male die in front me, having to start my preliminary report something like this: SUSPECT BLACK MALE * * VICTIM BLACK MALE I remember the countless times I canvassed the area afterwards, and asked everyone, Did you see who did it, and the popular response from the very same family members was always, F*** the Police, I aint no snitch, Im gonna take care of this myself. This happened every single time, every single homicide, black on black, and then my realization became clearer. I woke up every morning, put my freshly pressed uniform on, shined my badge, function-checked my weapon, kissed my wife and kid, and waited for my wife to say the same thing she always does before I leave, Make sure you come back home to us. I always replied, I will, but the truth was I was never sure if I would. I almost lost my life on this job, and every call, every stop, every moment that I had this uniform on, was another possibility for me to almost lose my life again. I was a target in the very community I swore to protect, the very community I wanted to help. As a matter of fact, they hated my very presence. They called me Uncle Tom, and Wanna-be White Boy, and I couldnt understand why. My own fellow black men and women attacking me, wishing for my death, wishing for the death of my family. I was so confused, so torn, I couldnt understand why my own black people would turn against me. Every time they called I was there. Every time someone died I was there. Every time they were going through one of the worst moments in their lives I was there. So why was I the enemy? I dove deep into that question Why was I the enemy? Then my realization became clearer. I spoke to members of the community and listened to some of the complaints as to why they hated cops. I then did research on the facts. I also presented facts to these members of the community, and listened to their complaints in response. This is what I learned: COMPLAINT: POLICE ARE ALWAYS TARGETING THE BLACK MAN FACT: A city where the majority of citizens are black (Baltimore, for example) will ALWAYS have a higher rate of black people getting arrested, it will ALWAYS have a higher rate of blacks getting stopped, and will ALWAYS have a higher rate of blacks getting killed, and the reason why is because a city with those characteristics will ALWAYS have a higher rate of blacks committing crime. The statistics will follow the same trend for Asians if you go to China, for Hispanics if you go to Puerto Rico, for whites if you go to Russia, and the list goes on. Its called Demographics. COMPLAINT: MORE BLACK PEOPLE GET ARRESTED THAN WHITE PEOPLE FACT: Black People commit a grossly disproportionate amount of crime. Data from the FBI shows that nationwide, blacks committed 5,173 homicides in 2014, whites committed 4,367. Chicagos death toll is almost equal to that of both wars in Iraq and Afghanistan, combined. Chicagos death toll from 2001November, 26 2015 stands at 7,401. The combined total deaths during Operation Iraqi Freedom (2003-2015: 4,815) and Operation Enduring Freedom/Afghanistan (2001-2015: 3,506), total 8,321. COMPLAINT: BLACKS ARE THE ONLY ONES GETTING KILLED BY THE POLICE, OR, BLACKS ARE KILLED MORE FACT: As of July 2016, the breakdown of the number of US citizens killed by police this year is: White people killed by police 238; Black people killed by police 123; Hispanics killed by police79; Others/Race Unknown killed by police 69. FACT: Black people kill more other blacks than police do, and there is only protest and outrage when a cop kills a black man. University of Toledo criminologist Dr. Richard R. Johnson examined the latest crime data from the FBIs Supplementary Homicide Reports and Centers for Disease Control and found that an average of 4,472 black men were killed by other black men each year between Jan. 1, 2009, and Dec. 31, 2012. Professor Johnsons research further concluded that 112 black men died from both justified and unjustified police-involved killings annually during this same period. COMPLAINT: WE (BLACKS) ARE ALREADY DOING A GOOD JOB OF KILLING OURSELVES AND WE DONT NEED POLICE TO DO IT. BESIDES, THEY SHOULD KNOW BETTER The more I listened, the more I realized. The more I researched, the more I realized. I would ask questions, and would only get emotional responses and inferences based on no facts at all. The more killing I saw, the more tragedy, the more savagery, the more violence, the more loss of life of a black man at the hands of another black man.the more I realized. I havent slept well in the past few nights. Heartbreak weighs me down, rage flows through my veins, and tears fills my eyes. I watched my fellow officers assassinated on live television, and the images of them laying on the ground are seared into my brain forever. I couldnt help but wonder if it had been me, a black man, a black cop, on TV, assassinated, laying on the ground dead would my friends and family still think black lives mattered? Would my life have mattered? Would they make t-shirts in remembrance of me? Would they go on TV and protest violence? Would they even make a Facebook post, or share a post in reference to my death? All of my realizations came to this conclusion: BLACK LIVES DO NOT MATTER TO MOST BLACK PEOPLE Only the lives that make the national news matter to them. Only the lives that are taken at the hands of cops or white people, matter. The other thousands of lives lost, the other black souls that I along with every cop, have seen taken at the hands of other blacks, do not matter. Their deaths are unnoticed, accepted as the norm, and swept underneath the rug by the very people who claim and post Black Lives Matter. I realized that this country is full of ignorance, where an educated individual will watch the ratings-driven news media, and watch a couple YouTube video clips, and then come to the conclusion that they have all the knowledge they need to have in order to know what it feels like to have a bullet proof vest as part of your office equipment, Stay Alive as part of your daily to do list, and having insurance for your health insurance because of the high rate of death in your profession. They watch a couple videos and then they magically know in 2 minutes 35 seconds, how you are supposed to handle a violent encounter, which took you six months of Academy training, 2 3 months of field training, and countless years of blood, sweat, tears and broken bones experiencing violent encounters and fine tuning your execution of the Use of Force Continuum. I realized that there are even cops, COPS, duly sworn law enforcement officers, who are supposed to be decent investigators, who will publicly go on the media and call other white cops racist and KKK, based on a video clip that they watched thousands of miles away, which was filmed after the fact, based on a case where the details arent even known yet and the investigation hasnt even begun. I realized that most in the African American community refuse to look at solving the bigger problem that I see and deal with every day, which is black on black crime taking hundreds of innocent black lives each year, and instead focus on the nine questionable deaths of black men, where some were in the act of committing crimes. I realized that they value the life of a sex offender and convicted felon who was in the act of committing multiple felonies: a felon in possession of a firearm-FELONY, brandishing and threatening a homeless man with a gun-Aggravated Assault in Florida: FELONY, who resisted officers who first tried to taze him, and WAS NOT RESTRAINED, who can be clearly seen in one of the videos raising his right shoulder, then shooting it down towards the right side of his body exactly where the firearm was located and recovered more than the lives of the innocent cops who were assassinated in Dallas protecting the very people who hated them the most. I realized that they refuse to believe that most cops acknowledge that there are bad cops who should have never been given a badge and gun, who are chicken s*** and will shoot a cockroach if it crawls at them too fast, who never worked in the hood and may be intimidated. That most cops dread the thought of having to shoot someone, and never see the turmoil and mental anguish that a cop goes through after having to kill someone to save his own life. Instead they believe that we are all blood-thirsty killers, because the media says so, even though the numbers prove otherwise. I realize that they truly feel as if the death of cops will help people realize the false narrative that Black Lives Matter, when all it will do is take their movement two steps backwards and label them domestic terrorist. I realized that some of these people, who say Black Lives Matter, are full of hate and racism. Hate for cops, because of the false narrative that more black people are targeted and killed. Racism against white people, for a tragedy that began 100s of years ago, when most of the white people today werent even born yet. I realized that some in the African American communitys idea of Justice is the prosecution of ANY and EVERY cop or white man that kills or is believed to have killed a black man, no matter what the circumstances are. I realized the African American community refuses to look within to solve its major issues, and instead makes excuses and looks outside for solutions. I realized that a lot of people in the African American (community) lead with hate, instead of love. Division instead of unity. Turmoil and rioting, instead of peace. I realized that they have become the very entity that they claim they are fighting against. I realized that the very reasons I became a cop, are the very reasons my own people hate me, and now in this toxic hateful racially charged political climate, I am now more likely to die,... and it is still hard for me to understand. to this day. * * * I thank God for Officer Jay Stalien, and for the thousands of police officers and law enforcement officials all across our nation who know that good has always overcome evil. - - - The world is a dangerous place, not because of those who do evil, but because of those who look on and do nothing. -- Albert Einstein We must learn that passively to accept an unjust system is to cooperate with that system, and thereby to become a participant in its evil. -- Martin Luther King, Jr. There are no problems we cannot solve together, and very few that we can solve alone. -- Lyndon Baines Johnson royexum@aol.com Bad government policies block good jobs By Dr. Peter Morici Jobs are getting scarcer, and bad public policies are making matters worse. The Labor Department reported the economy added 287,000 in June, but that was a bounce from only 11,000 the prior month. Over the last three months, new jobs have averaged only 147,000about two-thirds the pace from 2013 to 2015. Unemployment increased to 4.9 percent from 4.7 percent in May, and wages were up only 2 cents per hour or less than one-tenth of a percent. The U.S. economy is growing againabout 2.5 percent annually in the second quarter and going forwardbut good jobs remain scarce and wage gains lackluster. New technologies are reducing the demand for workers but poor government policies are making matters worse. The robotics and artificial intelligence revolution is all around useven if we don't yet have an android doing our housework. Uber brings patrons cars without the dispatchers that once took calls at the local car services. At Amazon Prime, customers point and click without the aid of sales clerks and packages are increasingly assembled by robots at fulfillment centers. Tasks requiring complex manual dexterity have proven tougher to replace but automated checkouts are spreading, and robots are at the cusp of not just taking orders at McDonald's but also grasping and handing you hamburgers, fries and soft drinks. Globalization accelerates these trends by forcing more aggressive substitution of machines for high-wage Americans in factories. The next generation of Boeing jetliners will be assembled with more robotsmoving and fixing components into place. What few people are left will be greatly assisted, for example, by Google Glass and software that aid in assembling the complex wiring and programming of cockpits. Sweeping labor saving innovations have confronted us since the spear and the wheel but in the past, we moved redundant workers who often did repetitive manual tasks into emerging industries. As agriculture mechanized, workers moved to repetitive tasks in manufacturing and as factories automated, workers moved into servicesfor example, at convenience restaurants, shopping malls and dry cleaners. As those jobs disappear, the economy has too few new uses for workers that can't perform complex, intellectually demanding work. Major institutional failures make these challenges more wrenching. Bad trade agreements permit other nations to boost exports into U.S. markets without accepting comparable amounts of American made goods and services. Subsidies, currency manipulation and non-tariff barriers to U.S. exports accentuate pressures on companies like Boeing and Ford to automate or outsource more. The Obama administration promised thousands of new jobs from the 2012 Korean-U.S. Free Trade Agreement, but it boosted the trade deficit by $16 billion and unemployment by 130,000. The Affordable Care Act, mandatory overtime and higher minimum wages imposed by many states and cities raise the cost of employing Americans, compelling businesses to purchase labor saving devices more quickly or close. Our high schools and colleges are better at preaching social justice than producing enough graduates who can do the complex cognitive work that machines still leave to human beings. Skilled technicians with a year or two training and graduate engineers and systems analysts remain too scarce. Too many Americans simply don't qualify for the jobs that pay high wages in a globalized, technologically advanced economy. Consequently, average family incomes continue to cycle down, even as the upper middle classthe top 20 percent or sogets richer. Passing lawstaxing the upper middle class to subsidize child care or by forcing them to pay more for hamburgers to support a higher minimum wagedo not address those fundamental policy failures and leave America vulnerable to more aggressive societies in Asia. Policymakers must more effectively manage globalization by negotiating better trade deals, stop pandering to voters with giveaway programs and force schools and universities to shift from proselytizing about the evils of American capitalism to equipping young people with the skills they need to compete. Peter Morici is an economist and business professor at the University of Maryland, and a national columnist. Comey & FBI blink after email investigation By Michael R. Shannon FBI Director James Comey's news conference on the conclusion of the Hillary Clinton investigation reminded me of another staged media event in a hostile environment almost 50 years ago. During the Vietnam War Commander Jeremiah Denton had been shot down on a bombing raid and was being held in a Hanoi prison camp. In May 1967 Denton was marched out by his captors to face a battery of television cameras. The goal of the propaganda event was to "prove" to gullible leftist journalists that the prisoners were welltreated in Hanoi. During his forced testimony Denton fooled his captors by blinking out the Morse code letters that spelled TORTURE. This was the first proof the Pentagon had that POWs were being tortured. Denton paid the price for his courage by suffering more beatings. In some ways the stakes at Comey's event were as momentous as those at Denton's: Potential duress, hostile leftist environment, psychological pressure and anxiety about his future. And although the final conclusion let Hillary off the hook, for a while it looked as if Comey was trying undermine the general tone of exoneration by using facts to contradict her lies and the administration's predetermined outcome for the investigation. (For those of you who don't believe it was predetermined, note the timing: Comey recommends no indictment on the same day Obama travels with Hillary to Charlotte for a public endorsement.) Comey's stated the felony portion of the investigation was to determine if classified information was mishandled "either intentionally or in a grossly negligent way." He then methodically proved Clinton had been lying to the American public for months, which is not usually the script for a government whitewash. Instead of a single email server for "convenience" Hillary "used several different servers and administratorsand used numerous mobile devices to view and send e-mail." Although the Nixonlike Hillary has denied ever sending classified email, the FBI found 110 messages containing classified information when Hillary sent or received the email. Classification varied from Top Secret, to Secret, to Confidential. Hillary assured the public a statement not covered by perjury law that all nonyoga email had been returned to the State Department. Comey said "thousands" of workrelated email had been withheld from investigators. During the toothextraction like process that characterized Hillary's return of official business communication, she promised the public her legal team "read" every email to see if it was official or yoga. Comey found the Clinton team "did not individually read the content." Even after finding thousands of email messages not returned to investigators, Comey explained, "It is also likely that there are other work-related e-mails that they did not produce" and will never be produced because her lawyers erased the server in a manner that left the email impossible to recover. Just offhand it seems to me we have obstruction and conspiracy, in addition to the other offenses. Comey then, as if waking from a trance, returned to the official line that there was no intent to violate the law, just "extreme carelessness." So how does one distinguish between the earlier "gross negligence" and "extreme carelessness"? Easy, with the same set of facts if you're just another government employee it's "gross negligence," but if you're the Democrat presidential nominee, it's "extreme carelessness." Anyone whose ever gotten a speeding ticket for unknowingly going faster than allowed knows ignorance of the law is no excuse, unless you're a Clinton. Comey knows this and maybe it bothered his conscience, briefly, hence the damning details. But he recovers fast. The conclusion he delivered exonerated Hillary in this instance and confirmed that henceforth under Democrat rule we will have a twotier system of justice. One for Hillary and administration favorites and another for us little folks. That's why NBC's David Gregory can violate DC gun laws and face no consequences and Katie Couric can violate federal gun laws and not even get a call from ATF. While David Daleiden who went undercover to videotape the damning Planned Parenthood organ selling scandal is now the one under indictment. Even Comey recognizes that now the law "defers to the great" as he sheepishly explains, "this is not to suggest that in similar circumstances, a person who engaged in this activity would face no consequences." Maybe Comey thought pointing out the lies and laying out the evidence was enough to absolve him of blame for this travesty. But it most certainly was not. When faced with a careerdefining test Jeremiah Denton did all he could do, I'm not sure Comey did the minimum he could do. Michael R. Shannon is a public relations and advertising consultant with corporate, government and political experience around the globe. He is a dynamic and entertaining keynote speaker. He can be reached at mandate.mmpr (at) gmail.com. He is also the author of Conservative Christian's Guidebook for Living in Secular Times (Now with added humor!). Dallas and the Earth's First New World Order Black Muslim Dictator: Barack Hussein Obama By Michael Moriarty Radical Islamic terrorism and American racial warfare, both at the same time! Was the slaughter of police in Dallas inevitable? Here is a report on the African-Americans of Black Lives Matter rejoicing over the murders of five policemen. As might be said: "All racial war cards are on the table now!" That homicidally embittered corner of the black community that has no sympathy or compassion for white police, or as they describe officers of the law, "the pigs"?! Utterly without pity. At the bottom of the computer site listed below there is an over-16 minute video of the President of the United States, Barack Hussein Obama, regularly consulting his notes, voicing his clearly organized overview of racial relations in America. "We are better than this!" He cites statistics that record the frequency of blacks being pulled over in their cars by the police. The frequency of their arrests. The quantity of blacks and Hispanics at 30% of the American population making up over 50% of the prison population. As the President says: "Now these are facts!" Not entirely. Obama does not site the quantity of American crime "facts" committed by the black population. Here is a statistical analysis of crime in America that examines the percentage of criminal activity by white, black and Hispanic Americans. Here is one observation: First, we find that during the 2012/2013 period, blacks committed an average of 560,600 violent crimes against whites, whereas whites committed only 99,403 such crimes against blacks. This means blacks were the attackers in 84.9 percent of the violent crimes involving blacks and whites. This figure is consistent with reports from 2008, the last year DOJ released similar statistics. Perhaps not coincidentally, that was the year Mr. Obama was elected president. The truth? From my perspective? When President Obama says, "We can do better!" My answer: "We can do much better than this President is doing now!" We have done much, much better in the past. Crime statistics involving blacks have not been reduced at all by President Obama's presence in the White House. What has increased in America under Barack Obama's Presidency are acts of terrorism not only in America but around the world. We are not in an "Arab Spring", as the President described this Islamic Uprising. We are in an undetermined and possibly unending period of "Islamic Vengeance". Here is that 2011 article's list for terrorist acts in America: 1. Binghamton, New York May, 2009 Taliban chief claims responsibility for N.Y. shooting massacre. 2. Bronx, New York May, 2009 FBI arrest four in alleged plot to bomb Bronx synagogues, shoot down plane 3. Little Rock, Arkansas June, 2009 Shooting at military recruiting center; 1 dead, 1 wounded; suspect is anti-military Muslim convert 4. New York and Colorado September 21, 2009 Three men were arrested and charged in the ongoing terror probe centered around New York and Colorado. The three men are Najibullah Zazi, 24; his father Mohammed Wali Zazi, 53; and Ahmad Wais Afzali, 37. When authorities searched Zazi's car, they found a laptop computer that contained "a jpeg image of nine-pages of handwritten notes. Zazi had connections to high levels of al Qaeda. 5. Dallas, Texas September 22, 2009 A 19-year-old Jordanian man, Hosam Maher Husein Smadi, was arrested on charges he intended to bomb a downtown Dallas skyscraper. Smadi placed what he believed to be a car bomb outside the 60-story Fountain Place office tower, according to a statement from the U.S. attorney's office in Dallas. The decoy device was given to him by an undercover FBI agent. 6. Fort Hood, Texas November, 2009 Fort Hood massacre: Major Hasan killed 13 service men and women and wounded over 40 others. He has been linked to al-Qaeda. 7. Detroit, Michigan December, 2009 Northwest 253 passengers tell of thwarted Detroit terror attack. 8. May, 1st, 2010 United States New York City, New York United States New York's Times Square was evacuated after the discovery of a car bomb. 9. Thwarted attack in November 2010 by Christmas tree bomber' Mohamed Osman Mohamud is accused of plotting to bomb a Portland, Ore., Christmas tree lighting ceremony. 10. Frankfort, Germany United States Military base, March 2011 Islamist terrorists attacked US military base killing two US soldiers. 11. New York and DC, September 9, 2011 Islamic terrorists threaten to attack on the 10-year anniversary of 9/11. Both cities on high alert' as a specific, credible threat' targets New York and Washington According to this 2016 list, there have been 60 terrorist attacks and related incidents in America since 2008, the year in which President Obama took office. The killing of 5 policemen and wounding of 7 other police officers in Dallas, Texas, though seemingly unrelated to Islam, is, unquestionably, a major 61st terrorist act under Obama's Presidency. According to Breitbart, worldwide terrorism deaths have quadrupled under Obama. Yet the President, after giving faint praise to the work of American policemen, and then, after patronizingly adding, "They have a right to go home to their families! We understand that." He then pauses. "But when we see data that indicates disparities in how African-Americans and Latinos may be treated in various jurisdictions around the country then it's incumbent upon Americans to say, We can do better than this!'" The statistics game! Citing figures that help your argument!! Leave out figures that put your argument in question!!! "Yes, Mr. President! We can do better than this!! We can do better than you!!! We can impeach you! Wait out, with Joe Biden, the rest of your Democrat Party's last year and then find an American, like Donald Trump, who's tired of this New World Order Shit that not only you http://www.enterstageright.com/archive/articles/0716/poetdest.htm but the Bushes and the Clintons have increasingly and will increasingly put us through!" Obama's cited remarks were made only hours before Micah Xavier Johnson began shooting Dallas policemen in the back. Before the full reports on the possibly homicidal actions of three police officers against two American blacks are concluded, President Obama further lights fires of an increasingly possible race war between blacks and whites?! He says: "We have to have a greater sense of urgency about this!" Then that night, the Dallas nightmare erupts?! No! Micah Xavier Johnson at the beginning of President Obama's 16 minute speech had already planned his bloody vengeance. Perhaps no matter what the President said, Micah Johnson would still have gone on his rampage. However, by not waiting for the wheels of justice to turn in rendering a verdict upon the police officers who were suspended, the President did make the crimes of Micah Johnson that much more inevitable. One thing is for certain: nothing the President did say helped to deter the evil fruits of Micah Johnson's rage. Is it possible the President could have held Micah Johnson back from his evil actions by declaring that he, the President, cannot voice an opinion on the Minnesota and Louisiana shootings until the officers have been tried and a verdict rendered? That is the expected posture a President should and that most Presidents have taken amidst a criminal justice proceeding. And Obama is a Harvard Law graduate?! This recent speech of Obama's is an infuriating denial of the race-baiting Presidency he has conducted, particularly in his last four years?! The President, if only subconsciously, so obviously and so perversely wants a Civil War in America. He wants a racial divide so that he can assume total and complete, dictatorial power under American Martial Law. Martial Law that cannot lawfully be declared unless the circumstances warrant it. Dallas is precisely the kind of nightmare the President has been hoping for. Insofar as he can, it is what the President and/or his embittered subconscious has obviously been praying for! With a number of members in the Black Lives Matter movement rejoicing over the deaths and injuries of 12 policemen?! And President Obama has expressed open admiration for Black Lives Matter. Given Obama's history as a black activist in Chicago and as a possible Muslim himself and therefore propagating the cause of Black Muslims for most of his adult life?! A Black Muslim President of the United States adjudicating Martial Law, the declaration of which renders him a fully empowered dictator?! That is the ultimate position of full tyranny that Barack Hussein Obama clearly wants to hold! God help America if he ever does reach his ultimate goal of America's First Dictator Under Martial Law. The President and Micah Johnson, The killer of Dallas, Texas, Are, At least in my mind, And have been, Fully active soldiers Of the Black Muslim Movement. Being Muslim They are more than eager To willingly die For a Black Muslim Cause. Such suicidal abandon Is encouraged in Islam's bible, The Koran! Given the undeniably Anti-Semitic reality of Muslims, Radical Islam Is clearly And without question The Nazi-like Storm Troopers Of a Hitlerian Fourth Reich Entitled The New World Order. Michael Moriarty is a Golden Globe and Emmy Award-winning actor who starred in the landmark television series Law and Order from 1990 to 1994. His recent film and TV credits include The Yellow Wallpaper, 12 Hours to Live, Santa Baby and Deadly Skies. Contact Michael at rainbowfamily2008@yahoo.com. He can be found on Twitter at https://twitter.com/@MGMoriarty. Home Slick Hillie The Slick Willie sequel By Mark Alexander In 1980, Arkansan Paul Greenberg was a Pulitzer Prize-winning columnist for the Pine Bluff Commercial. In September of that year, after listening to his state's greenhorn governor fallaciously extol his brilliance and virtues, Greenberg dubbed William Jefferson Clinton "Slick Willie." That derogatory nickname was a perfect fit. A decade later, when Bill and Hillary Clinton set their sights on the White House, Greenberg wrote, "[Slick Willie] doesn't mean liar. It means dissembler. This is a particular subspecies of lying. It's a very lawyerly, sophisticated, elastic lie. In my opinion, the old-fashioned lie would be a step up." Indeed, Slick Willie perfected the art of the BIG Lie, but "Slick Hillie" is no piker. With the help of her patron saint Barack Obama and their Leftist cadres in the Democratic Party, Hillary now trots out whoppers with a frequency that defies comparison. A year ago, as Clinton's 2016 presidential bid was getting underway, I outlined her long record of deceptions, obfuscations and subterfuges, from Little Rock to Chappaqua. The question now is, "Slick Hillie will any scandal stick?" So, just how slick is she? On June 27th, the Democratic National Committee's point man, Bill Clinton, had a "primarily social" private meeting with Obama's Attorney General, Loretta Lynch. Despite their assertions that the meeting was "unscheduled," it was obviously coordinated to give Bill advance assurance of the FBI's decision to refrain from indicting Hillary. This would explain why, when a local Phoenix news crew heard the two were at the airport at the same time, there was an effort to black out any coverage. According to one of those reporters, "The FBI there on the tarmac instructed everybody around, 'No photos, no pictures, no cell phones.'" According to Hillary, "I learned about [the meeting] in the news and it was a short, chance meeting at an airport tarmac and both of their planes, as I understand it, were landing on the same tarmac at about the same time. ... It was purely social." Once news of their tarmac social broke, Lynch announced that she would remove herself from the decision process regarding a Clinton indictment and, in the words of the New York Times, "accept whatever recommendations career prosecutors and the F.B.I. director made." Of course she could remove herself. She knew the fix was already in. The next day, BO, who obviously received the same report Lynch gave to Bill Clinton, scheduled his first joint appearance with Hillary Clinton last week. On Saturday, July 2nd, unannounced and under cover of a holiday weekend, Hillary Clinton reported for an FBI interview, which was apparently little more than a formality. And that brings me to Tuesday morning of last week, when I was working on my column, "What Difference Does 13 Hours Make?" I had finally seen the movie "13 Hours," based on the assault and murder of our ambassador and three American security personnel by al-Qa'ida terrorists in Benghazi, Libya, on September 11, 2012. Given the recent release of the House Benghazi report, it was a good juncture to revisit the false narrative Clinton propagated regarding this attack to protect Obama's 2012 re-election bid. Indeed, that report stated unequivocally, "What we did find was a tragic failure of leadership in the run up to the attack and the night of and an administration that, so blinded by politics and its desire to win an election, disregarded a basic duty of government: Tell the people the truth." To reiterate, the reason Obama centered his 2012 re-election bid on his claimed "Middle East victories" was because his domestic policies were a disaster. Predictably, BO's campaign-driven withdrawal from Iraq left a power vacuum filled by the Islamic State and the unprecedented escalation of terrorism worldwide. We have provided substantial analysis on Clinton's "Web video" charade to divert attention from the al-Qa'ida attack in Benghazi. Ahead of the 2012 election, we delivered a "Memo to Mitt Romney," insisting that Mitt must, in his final debate with Obama, "make the case that the reason Obama is obfuscating the facts on who attacked and killed our Ambassador and three other Americans in Benghazi is to maintain his thematic campaign pretense that 'al-Qa'ida is on the run.'" Unfortunately, Romney didn't challenge Obama. Had he done so, he'd likely be running for re-election this year. We provided detailed analysis of Hillary's cover-up of the Benghazi cover-up and her diversionary protest, "What difference does it make?" The difference between lies and the truth. But the topic of my column changed mid-morning on Tuesday, as news broke that FBI Director James Comey would not recommend prosecuting Hillary Clinton. Before getting to his conclusion, let's revisit a few facts. Obama made peace with Hillary after the 2008 election by appointing her secretary of state on January 21, 2009, the day after he took office. She held that position until February 1, 2013. After being sworn in, Clinton signed a national security secrecy agreement, a breach of which constitutes a felony under 18 U.S.C. 793(f) for anyone who "through gross negligence permits the same to be removed from its proper place of custody or delivered to anyone in violation of his trust, or to be lost, stolen, abstracted, or destroyed." In May 2014, after Clinton had resigned as secretary of state, the House established the Select Committee on Benghazi to investigate the false narrative that Clinton and others floated to protect BO's re-election campaign. When investigators discovered Clinton had concealed her official communications on a private, non-secure email server in order to hide them from Freedom of Information requests ahead of her 2016 election bid, she denied it. And that was the beginning of another plethora of lies. Clinton denied there were emails about her orchestration of the Benghazi cover-up to protect Obama's 2012 re-election bid. But that, too, was a lie. Clinton denied there were classified communications, sent and received, on that server, but you guessed it that was a lie. Some were classified "Top Secret/Special Access" the highest classification. Clinton insisted her off-the-grid server was secure, but that was a lie. The FBI determined that it's highly probable her emails all of them were read by both the Russians and Chinese. Clinton claimed that she provided all her official emails to the State Department, but that was a lie. After all, it was Clinton's team that decided what constituted "official" and the FBI discovered thousands of emails that had not been turned over. Undoubtedly, there were thousands more that were incriminating. Clinton denied that she deleted all her communications archived on that server, but that was a lie and many of those communications were unrecoverable. The fact is, Clinton concealed all of her communications as secretary of state (and also destroyed records of her meetings) in order to hide them from public scrutiny and prevent them from being accessed ahead of her long-planned 2016 presidential bid. So, what did Comey find? His recommendation to the Justice Department is a case study in contradictions. He noted, "There is evidence to support a conclusion that any reasonable person in Secretary Clinton's position, or in the position of those government employees with whom she was corresponding about these matters, should have known that an unclassified system was no place for that conversation." That finding alone should have meant an indictment, but Comey inexplicably concluded, "Although there is evidence of potential violations of the statutes regarding the handling of classified information, our judgment is that no reasonable prosecutor would bring such a case." So "any reasonable person in Secretary Clinton's position" should know this was a gross violation of law, but "no reasonable prosecutor would bring" charges? On that note, former federal prosecutor Rudy Giuliani said, "This is the special exemption for the Clintons. It would be unreasonable for a prosecutor not to go forward with it and almost an abdication of duty. A reasonable prosecutor would have brought this case no doubt. ... [Violating this statute is] a felony 10 years in prison." Comey admitted, "To be clear, this is not to suggest that in similar circumstances, a person who engaged in this activity would face no consequences," but not former Secretary of State Hillary Clinton. The FBI just found that she was "extremely careless in the handling of very sensitive, highly classified information." In other words, after finding "evidence classified information was improperly stored or transmitted on that personal system, in violation of a federal statute making it a felony to mishandle classified information either intentionally or in a grossly negligent way," because Clinton, in his opinion, was just stupid, no charges were warranted. As former DoJ prosecutor Andrew McCarthy notes regarding Comey's conclusion: "The point of having a statute that criminalizes gross negligence is to underscore that government officials have a special obligation to safeguard national defense secrets; when they fail to carry out that obligation due to gross negligence, they are guilty of serious wrongdoing. ... In essence, in order to give Mrs. Clinton a pass, the FBI rewrote the statute." Comey's bottom line: "Although the Department of Justice makes final decisions on matters like this, we are expressing to Justice our view that no charges are appropriate in this case." Of course, Clinton was elated, but unavailable for comment as she was boarding Air Force One with Obama for their scheduled political junket to North Carolina the first time they have flown together since 2012. However, her spokesman, Brian Fallon, said, "We are pleased that the career officials handling this case have determined that no further action by the Department is appropriate. ... We are glad that this matter is now resolved." Donald Trump responded kindly, "The system is rigged. The final jury will be the American people, and they will issue the verdict on her corruption, incompetence, and bad judgment on November 8th." But like Mitt Romney, Trump will go down in flames if he does not successfully call Clinton out on the fact that, yes, she committed prosecutable offenses, but her biggest lie was the false Benghazi narrative refusing to call for military assistance to protect her State Department ambassador and personnel in order to protect Obama's faux re-election campaign theme. There is an outside chance that DoJ prosecutors might still take some action against Clinton, but it's more likely that a catastrophic impact with an asteroid would negate the need for such an indictment. However, this I know for certain: The decision not to recommend indictment of Hillary Clinton is the worst possible decision for Democrat prospects of winning the presidency this year. On a Clinton indictment, I have written, "Be careful what you hope for," because if Clinton was just a placeholder for a Biden-Warren replacement ticket, that combo would pose a much more difficult challenge for Donald Trump than a Clinton-Warren ticket. Why? I'll answer with another question: How can Clinton successfully attack Trump? What's she going to hit him with? His marital history? His ethics? His honesty? His wealth? His Wall Street connections? His politically incorrect ways? On every one of those issues, one of two things applies: Her record is either as bad as or worse than his, or he's managed to turn a "weakness" into a strength. Substantial numbers of working-class Democrats are tired of Slick Willie and Slick Hillie and have discovered Trump's appeal. A couple of Trump-Clinton debates will be reality TV worth watching. Richard Nixon never lived down "Tricky Dick" in his second term, much as "Slick Willie" dogged Bill Clinton's second term. The question is: Will Hillary Clinton be able to endure "Slick Hillie" before being elected to a first term? And a final note. While the pundits punt Slick Hillie's indictment dodge around the political circuits, there is one group of Americans who take this news more seriously than any other our military men and women. The consensus in the active duty community is, "Will our next Commander in Chief totally disregard the Rule of Law we are charged to 'to Support and Defend' with our lives?" The Farmers of District 29 have endorsed Rep. Mike Carter. Kenneth McCallie, chairmen of the group, issued this statement: "As farmers of District 29, we support Mike Carter to be our state representative. "He has walked my farm and talked to me and my wife extensively about the plight of today's family farm. He understands the right of the families to know where their food is produced and under what conditions. Our children and grandchildren deserve a safe and healthy source of food, produced under the most modern and humane conditions. "During the annexation debate, Rep. Carter added a provision dealing with farmers. Did you know that if a city tries to annex your farm, the farmer must specifically consent to being annexed? The majority does not over rule the family farm. We are the only state in the nation where we as family farmers have this protection. "We wholeheartedly endorse Rep. Mike Carters candidacy for what he has done in the past four years and for what he will continue to do in the future for farmers and all citizens. We ask that you will vote with us on Aug. 4 for the man who listens and cares about us. The man who fights and comes through in Nashville for us." Farmers for Carter includes Mr. McCallie Birchwood, Greg Vital - Georgetown, James Lee, James Crooks, Hunter Grissith, Aubie Smith, Dot Longley - Collegedale, Eugene McCallie Harrison, Jim Lovell - Harrison, Bill Hullender - Farm Bureau, Randy Wilhoite - Farm Bureau, Dale Hixson - Harrison, Jeff Bishop - Birchwood and Lynn Roark - Birchwood. July 11, 2016--(BRONX, NY)--More than one-third of Bronx residents are born outside of the United States. Often separated from family, challenged by language barriers, unfamiliar with health resources, and burdened by poverty, they are at high risk for health problems. And these risks extend to their young children. Now, researchers at Albert Einstein College of Medicine and Montefiore have received a $3.7 million grant from the National Institutes of Health (NIH) to test a new intervention to improve the health outcomes of children of South Asian immigrants. South Asians are the fastest growing immigrant community in the United State and recent arrivals often lack access to good medical care. Community health workers, who will also be South Asian women, will visit nearly 400 mothers with newborns in the Bronx and greater New York area to help them learn healthy feeding practices and improve oral hygiene for their children. "We've found that many new mothers bottle-feed more frequently and delay weaning to a later age than is optimal for their children," said Alison Karasz, Ph.D., associate professor of family and social medicine at Einstein and principal investigator on the project. "This can result from overcrowded homes, where mothers feel pressure to keep their children quiet, or concerns about children getting enough to eat. Based on our pilot program, we expect that when mothers are provided resources and support from someone they see as their peer, feeding practices will improve--and so will children's health." The home-based intervention is titled Child Health Action to Lower Oral Health and Obesity Risk, or CHALO!, which means "Let's go!" in Hindi. It will target excess bottle-feeding and the extended use of bottles and "sippy" cups--the two most significant risk factors for childhood obesity and cavities. "We know that health behaviors and eating patterns in the first few months of life can have an oversized impact on long-term health," said Dr. Karasz. "Obesity and caries, or tooth decay, are the two most common childhood diseases in the United States and impose a significant, lifelong burden." Community health workers will visit 377 South Asian mothers of children aged 4 to 5 months and their families six times over the course of a year and will supplement with phone support. They will work with the mothers and their families to make concrete changes, such as: Listening and responding to children's cues about when they are hungry and when they are full Making and keeping dental visits Ending the common practice of using food to pacify children To evaluate the efficacy of the intervention, the health workers will assess the children's oral health and height and weight at 6, 12 and 18 months of age. They will use novel devices and techniques, including an inter-oral camera to capture images of the teeth. These images will be sent to the University of Rochester dental school for review. They'll also use an iPad program to assess children's feeding and oral health practices, in collaboration with Columbia University dental researchers. Einstein researchers are partnering with a New York-based nonprofit organization, Sapna NYC, to deliver this home-based intervention. The mothers will be identified through Montefiore and the South Asian Practice Partnership for Health Improvement and Research, a practice-based research network for South Asian primary care practices. Dr. Karasz is executive director and co-founder of Sapna NYC, which started from a research project on women's depression funded by the NIH. Karen Bonuck, Ph.D., professor of family and social medicine at Einstein, is the project's co-principal investigator. Dr. Bonuck conducts research on early childhood feeding. The title of the grant is "Obesity and Caries in Young South Asian Children: A Common Risk Factor Approach" (1R01MD010460). ### About Albert Einstein College of Medicine Albert Einstein College of Medicine is one of the nations premier centers for research, medical education and clinical investigation. During the 2015-2016 academic year, Einstein is home to 731 M.D. students, 193 Ph.D. students, 106 students in the combined M.D./Ph.D. program, and 278 postdoctoral research fellows. The College of Medicine has more than 1,900 full-time faculty members located on the main campus and at its clinical affiliates. In 2015, Einstein received $148 million in awards from the National Institutes of Health (NIH). This includes the funding of major research centers at Einstein in aging, intellectual development disorders, diabetes, cancer, clinical and translational research, liver disease, and AIDS. Other areas where the College of Medicine is concentrating its efforts include developmental brain research, neuroscience, cardiac disease, and initiatives to reduce and eliminate ethnic and racial health disparities. Its partnership with Montefiore Medical Center, the University Hospital and academic medical center for Einstein, advances clinical and translational research to accelerate the pace at which new discoveries become the treatments and therapies that benefit patients. Through its extensive affiliation network involving Montefiore, Jacobi Medical CenterEinsteins founding hospital, and three other hospital systems in the Bronx, Brooklyn and on Long Island, Einstein runs one of the largest residency and fellowship training programs in the medical and dental professions in the United States. For more information, please visit www.einstein.yu.edu, read our blog, follow us on Twitter, like us on Facebook, and view us on YouTube. In an advance that helps pave the way for next-generation electronics and computing technologies--and possibly paper-thin gadgets --scientists with the U.S. Department of Energy's Lawrence Berkeley National Laboratory (Berkeley Lab) developed a way to chemically assemble transistors and circuits that are only a few atoms thick. What's more, their method yields functional structures at a scale large enough to begin thinking about real-world applications and commercial scalability. They report their research online July 11 in the journal Nature Nanotechnology. The scientists controlled the synthesis of a transistor in which narrow channels were etched onto conducting graphene, and a semiconducting material called a transition-metal dichalcogenide, or TMDC, was seeded in the blank channels. Both of these materials are single-layered crystals and atomically thin, so the two-part assembly yielded electronic structures that are essentially two-dimensional. In addition, the synthesis is able to cover an area a few centimeters long and a few millimeters wide. "This is a big step toward a scalable and repeatable way to build atomically thin electronics or pack more computing power in a smaller area," says Xiang Zhang, a senior scientist in Berkeley Lab's Materials Sciences Division who led the study. Zhang also holds the Ernest S. Kuh Endowed Chair at the University of California (UC) Berkeley and is a member of the Kavli Energy NanoSciences Institute at Berkeley. Other scientists who contributed to the research include Mervin Zhao, Yu Ye, Yang Xia, Hanyu Zhu, Siqi Wang, and Yuan Wang from UC Berkeley as well as Yimo Han and David Muller from Cornell University. Their work is part of a new wave of research aimed at keeping pace with Moore's Law, which holds that the number of transistors in an integrated circuit doubles approximately every two years. In order to keep this pace, scientists predict that integrated electronics will soon require transistors that measure less than ten nanometers in length. Transistors are electronic switches, so they need to be able to turn on and off, which is a characteristic of semiconductors. However, at the nanometer scale, silicon transistors likely won't be a good option. That's because silicon is a bulk material, and as electronics made from silicon become smaller and smaller, their performance as switches dramatically decreases, which is a major roadblock for future electronics. Researchers have looked to two-dimensional crystals that are only one molecule thick as alternative materials to keep up with Moore's Law. These crystals aren't subject to the constraints of silicon. In this vein, the Berkeley Lab scientists developed a way to seed a single-layered semiconductor, in this case the TMDC molybdenum disulfide (MoS2), into channels lithographically etched within a sheet of conducting graphene. The two atomic sheets meet to form nanometer-scale junctions that enable graphene to efficiently inject current into the MoS2. These junctions make atomically thin transistors. "This approach allows for the chemical assembly of electronic circuits, using two-dimensional materials, which show improved performance compared to using traditional metals to inject current into TMDCs," says Mervin Zhao, a lead author and Ph.D. student in Zhang's group at Berkeley Lab and UC Berkeley. Optical and electron microscopy images, and spectroscopic mapping, confirmed various aspects related to the successful formation and functionality of the two-dimensional transistors. In addition, the scientists demonstrated the applicability of the structure by assembling it into the logic circuitry of an inverter. This further underscores the technology's ability to lay the foundation for a chemically assembled atomic computer, the scientists say. "Both of these two-dimensional crystals have been synthesized in the wafer scale in a way that is compatible with current semiconductor manufacturing. By integrating our technique with other growth systems, it's possible that future computing can be done completely with atomically thin crystals," says Zhao. ### The research was supported by the Office of Naval Research and the National Science Foundation. Lawrence Berkeley National Laboratory addresses the world's most urgent scientific challenges by advancing sustainable energy, protecting human health, creating new materials, and revealing the origin and fate of the universe. Founded in 1931, Berkeley Lab's scientific expertise has been recognized with 13 Nobel prizes. The University of California manages Berkeley Lab for the U.S. Department of Energy's Office of Science. For more, visit http://www.lbl.gov. DOE's Office of Science is the single largest supporter of basic research in the physical sciences in the United States, and is working to address some of the most pressing challenges of our time. For more information, please visit science.energy.gov. The Deutsche Forschungsgemeinschaft (DFG, German Research Foundation) will set up seven new Research Units and one new Clinical Research Unit. This was decided by the Senate of the DFG in its summer session during the DFG Annual Meeting in Mainz. In addition to the already established Units, another Research Unit is now in a position to start work. This Unit is funded jointly by the DFG and the Austrian Science Fund (FWF). The DFG Senate had already supported this Unit in March 2016 and approval has now been obtained from the Austrian partner organisation. The research collaborations will offer researchers the possibility of pursuing current and pressing issues in their research areas and establishing innovative work directions. Clinical Research Units are also characterised by the close connection between research and clinical work. The maximum funding duration of Research Units and Clinical Research Units is two periods of three years. In the initial funding period, the nine new groups will receive approximately 23 million in total. As a result, the DFG will be funding a total of 190 Research Units and 19 Clinical Research Units. The new Research Units (In alphabetical order by spokesperson's university) What is in store for the engine of the future? The mobile drive systems of tomorrow ought to produce less carbon dioxide in the combustion process and there should be a significant reduction in their emission of toxic substances such as nitrous gases and soot. The "Optimization-Based Multiscale Control of Low-Temperature Combustion Engines" Research Unit will look into the low-temperature combustion procedure in order to achieve the stated objectives. The process can be adapted to both petrol and diesel engines and comes with the advantage of emissions already being reduced inside the engine. (Spokesperson: Dr.-Ing. Thivaharan Albin, RWTH Aachen University) The "Journal Literature: Format Conditions, Visual Design, Cultures of Reception" Research Unit will examine text and image types not initially published in book form, but within journals or newspapers in the 19th century. Researchers intend to use their investigations to draw attention to the fact that books were just one of several media options at the time for literary categories. In addition, they want to show that alternative publication formats also deserve to be taken seriously. The aim of the Research Unit is to develop basic principles for the history of media literature which take into account literature in journals and in books and analyse their interactions. (Spokesperson: Professor Dr. Nicola Kaminski, University of Bochum) Gas diffusion electrodes (GDEs) are used in fuel cells and catalysts and play a key role in the electrochemical processes that take place there. The "Multiscale Analysis of Complex Three-Phase Systems" Research Unit will focus on the GDEs that help to reduce oxygen in silver catalysts. In so doing, the Unit aims to understand and describe the complex interaction of reaction and transport processes in gas diffusion electrodes and their impact on the performance of electrodes as a whole. (Spokesperson: Professor Dr.-Ing. Thomas Turek, Technical University of Clausthal) We have seen improvements in the diagnosis and treatment of colorectal cancer over the past few decades. Nevertheless, innovative and targeted cancer treatments work less effectively on colorectal cancer than on other tumours. The "Cell Plasticity in Colorectal Carcinogenesis" Research Unit will focus heavily on the environment for immune cells in the intestines as it is assumed that there is a direct correlation between inflammation and cancer. The researchers want to analyse and better understand the complex network of signals in the immediate vicinity of the colorectal cancer. (Spokesperson: Professor Dr. Florian Greten, Georg-Speyer-Haus, Institute for Tumor Biology and Experimental Therapy, Frankfurt/Main) The "From Few to Many-Body Physics with Dipolar Quantum Gases" Research Unit is jointly funded by the DFG and the Austrian Science Fund (FWF) and has been set up to further develop physics of dipolar quantum gases in the field of ultracold gases. Dipole forces are exerted between molecules and atoms with dipole moments. Dipole-dipole interactions can dramatically change the properties of ultracold gases. The Research Unit will work on techniques to produce and specifically manipulate ultracold dipole atomic and molecular gases and examine their collision properties. (Spokesperson: Professor Dr. Silke Ospelkaus, University of Hannover) What impact do technological medical procedures have in cases of heart and lung failure? The "(Pre)terminal Heart and Lung Failure: Mechanical Relief and Repair" Clinical Research Unit intends to decipher the effects of mechanical relief in (pre)terminal heart and lung failure in order to develop new treatment strategies. The goal is to relieve or replace severely damaged organs such as the heart or lungs, using advanced medical technology in intra- and extracorporeal treatment procedures in the short or long term in order to enable a repair. We do not yet have a sufficient understanding of the mechanisms of action behind corresponding relief procedures. (Spokesperson: Professor Dr. Johann Bauersachs, Hannover Medical School) The work of the "Reduced Penetrance in Inherited Movement Disorders: Clarifying Mechanisms to Protect Against Endogenous Disease" Research Unit focuses on hereditary movement disorders, e.g. the loss of control of movement, disturbances of equilibrium or muscular spasms, as seen in Parkinson's disease or dystonia. The Unit is investigating the so-called penetrance of these genetic diseases: how is it that the same genetic defects in some sufferers cause more severe movement disorders than in others? Are there endogenous mechanisms that counteract the manifestation of these hereditary diseases? (Spokesperson: Professor Dr. Christine Klein, University of Lubeck) From high-energy to solid-state physics, so-called gauge fields are an essential tool in successfully describing physical phenomena. In ultracold atomic gases, artificial gauge fields can be created by coupling them to laser fields. Using these controllable synthetic fields, the "Artificial Gauge Fields and Interacting Topological Phases in Ultracold Atoms" Research Unit will investigate the dynamics of many-body systems and many-body topological phases. (Spokesperson: Professor Dr. Immanuel Bloch, University of Munich (LMU)) Doctors and teaching staff need to be able to make accurate diagnoses. The academic understanding of how diagnostic competences can be measured has constantly improved over the past few years. But how can these skills be sharpened in higher education? Researchers operating in the didactics of medicine, mathematics, physics and biology as well as in educational and psychological research into teaching and learning methods in the "Facilitation of Diagnostic Competences in Simulation-Based Learning Environments in Higher Education" Research Unit will work with simulation-based learning environments to examine the best way to design these in order to teach diagnostic action. (Spokesperson: Professor Dr. Frank Fischer, University of Munich (LMU)) ### Further Information Media contact: DFG Press and Public Relations, Tel. +49 228 885-2109, presse@dfg.de Further information will be provided by the spokespersons of the established units. For information on the DFG Research Units and Clinical Research Units, visit: http://www.dfg.de/for/en Scientists have found that changes in cloud patterns during the last three decades match those predicted by climate model simulations. These cloud changes are likely to have had a warming effect on the planet. Records of cloudiness from satellites originally designed to monitor weather are plagued by erroneous variability related to changes in satellite orbit, instrument calibration and other factors, so the team used a new technique to remove the variability from the records. The corrected satellite records exhibited large-scale patterns of cloud change between the 1980s and 2000s that are consistent with climate model predictions, including poleward retreat of mid-latitude storm tracks, expansion of subtropical dry zones and increasing height of the highest cloud tops. The research from Lawrence Livermore National Laboratory, Scripps Institution of Oceanography, University of California, Riverside and Colorado State University appears in the July 11 edition of the journal, Nature. "What this paper brings to the table is the first credible demonstration that the cloud changes we expect from climate models and theory are currently happening," said study lead author Joel Norris, a climate researcher at Scripps. Clouds substantially impact Earth's energy budget by reflecting solar radiation back to space (the albedo effect) and by restricting emission of thermal radiation to space. Most of the uncertainty in how much the planet will warm in response to greenhouse gas emissions is due to uncertainty in how clouds will respond and impact Earth's energy budget. "After the spurious trends were removed, we saw consistent responses among several independent datasets and with model simulations," said Mark Zelinka, an LLNL scientist and co-author of the paper. "That is a nice confirmation of the models' predictions, at least for the types of cloud changes that models agree on." The authors also were able to assess the causes of the observed cloud trends using a variety of climate model simulations with and without influences of humans, volcanoes and other factors. "If models are correct, the observed cloud trends are very unlikely to have been caused by natural climate variability," said Stephen Klein, another LLNL scientist involved in the research. "Rather, we found that increasing greenhouse gas concentrations and a recovery from volcanic radiative cooling are the primary causes in these decades." The scientists expect the cloud trends to continue in the future as the planet continues to warm due to increasing greenhouse gas concentrations. ### The research is funded by the National Oceanic and Atmospheric Administration, the Department of Energy Office of Science and NASA. Founded in 1952, Lawrence Livermore National Laboratory is a national security laboratory, with a mission to ensure national security and apply science and technology to the important issues of our time. Lawrence Livermore National Laboratory is managed by Lawrence Livermore National Security, LLC for the U.S. Department of Energy's National Nuclear Security Administration. Scientists name top 5 animals that are suitable to be kept as new pets Looking for a new pet? If so, consider the Agile Wallaby or the Asian Palm Civet. Responding to the growing trend in keeping exotic animals as pets a team, led by Dr. Paul Koene, has developed a methodology to assess the suitability of mammals to be kept domestically in a new study published in Frontiers in Veterinary Science. The top five animals were: the Sika Deer, Agile Wallaby, Tamar Wallaby, Llama, and Asian Palm Civet, which were all judged to be suitable pets by the scientists from the Wageningen University and Research Centre, in the Netherlands. So, will the Sika Deer challenge the common canine for the title of man's best friend? "The main influence of this work is methodological. In the Netherlands many mammal species are kept and for a long time the government wanted to guarantee the welfare of animals," said Dr. Koene; "Therefore the Dutch Animal Act was made stating that mammals should not be kept unless they are production animals, or are species that are suitable to be kept by anyone without special knowledge or skills." In order to determine if this is the case for a given animal species a list of suitable candidates had to be created. Then a method was devised to place each mammal species in a rank order, ranging from suitable to unsuitable. The team began by conducting a web-based survey to discover which animals were most frequently kept as pets in the Netherlands. Other mammals were then added to the list based on data from veterinarians and rescue centers. In the first instance the 90 most common species were selected. Animals classed as 'production animals' such as rabbits, guinea pigs and hamsters are allowed to be kept by anyone and so were not analyzed. A wide range of bibliographic data was sourced in order to create the one-line criteria statements that the mammals chosen for analysis were graded against. These one-liners were then assigned a score related to behavioral needs or welfare risks. The risks were assessed on the reported one-liners of the species in both captivity and the wild. Animals with high scores had high behavioral needs and high health, welfare and human relationship risks. Three teams worked together to produce the final pet suitability rank order. The first team selected one-line statements for each animal. The second team assessed the strength of one-line statements about behavior, health, welfare and human-animal relationship in both captivity and the wild. A third team assessed the suitability based on all assessed strengths for that animal to be a pet. Dr. Koene explained: "A team is now completing the full list, analyzing the other 270 mammals. They are also looking at how to determine the suitability of birds and reptiles in future. "So, the impact of the study is that there is a framework and shared database that could be further developed in a more widely used context, for instance across the EU, the US or even worldwide." However, Dr. Koene does not envisage that Agile Wallabies will replace dogs and cats in man's affections anytime soon. "Dogs and cats are a special kind of pets, because of their way of housing (free roaming), of variation in breeds, the vast amount of literature and of the delicacy of the subject and so were not analyzed, and wallabies will certainly not replace them." ### Local radio and television host James Howard will pilot a one-year anniversary memorial flight this weekend in honor of the military servicemen killed by the terrorist attack in Chattanooga. The attack happened on July 16, 2015; four U.S. Marines and one sailor were killed.Mr. Howard and his daughters Gracie and Lucy, along with copilot Taylor Newman will depart from the Chattanooga Airport this Saturday and will fly to Port Angeles, Wa. Mr. Howard chose the destination of the memorial flight based on the support the city of Chattanooga received after the terrorist attack from the unlikely place of Port Angeles, Washington.Last year at this time, Outside Magazine hosted their annual Best Towns competition.Readers of the magazine voted Chattanooga Americas Best Town of 2015 with Port Angeles, Wa. coming in second place. Shortly after the terrorist attack, the city of Port Angeles sent a representative from their city, along with banners signed by members of the Port Angeles community, to Chattanooga as a gesture of care and support.I was touched by the fact that the people of Port Angeles took the time to show us, Chattanooga, their support in such an intentional way, said Mr. Howard. Showing up with posters and banners a representative from their city it was another piece of the healing process for me and for Chattanoogans and so the destination for the flight just makes sense. Terrorism is now a global problem we all face, and Port Angeles stepped up and proved to be a great example of support in our time of horrific tragedy and grief.Mr. Howard will depart (wheels up) from Wilson Air at the Chattanooga Airport on Saturday at 6 a.m. and will be flying a Cessna 172. A water arch, color guard, and bagpipes accompaniment will take place prior to departure. He will receive a military escort out of the city from two T-28 Trojans to honor the military men whose lives were lost in the Chattanooga terrorist attacks on July 16, 2015.The names of the servicemen killed are:1. Sgt. Carson Holmquist2. Navy Petty Officer 2nd Class Randall Smith3. Gunnery Sgt. Thomas Sullivan4. Lance Cpl. Squire Skip Wells5. Staff Sgt. David WyattThe names of the five military servicemen will be marked on the Cessna 172. The plane will be nicknamed The Spirit of the Fallen Five in honor of the five servicemen who lost their lives that day.We want to honor these men, and we want to communicate what it means to be 'NoogaStrong,' said Mr. Howard. We will have that opportunity every two and a half hours when we stop to fuel up, to tell to everyone we come in contact with all across the country from here to the west coast when we land in Port Angeles, what that means. We want to honor these mens lives and communicate what this tragic event has meant to Chattanooga and to be united as a city to be NoogaStrong.Estimated arrival time at the airport in Port Angeles, Wa. is the evening of July 17. Upon his arrival, Mr. Howard will deliver a thank you banner to the city of Port Angeles as a gesture of thanks for the support shown to Chattanoogans last year. After his mission in Port Angeles is complete, Mr. Howard will set his second speed record through the National Aeronautic Association on his return flight.About James HowardJames D. Howard has been in the broadcasting industry for over 25 years and a pilot since he was a teenager. He is the host of WTVC-TV NewsChannel 9s This N That and co-host of WDEF Sunny 92.3s number one rated morning show. He shared the microphone with Luther Masingill, the longest running broadcaster in the history of the world, until Luthers death in 2014. Mr. Howard continues to co-host the morning show with Kim Carson, weekday mornings on WDEF Sunny 92.3.He has been flying since the age of 13. In 1996, Mr. Howard set his first speed record when he touched down at every airport in Tennessee in less than 19 hours, raising money for the Childrens Hospital at Erlanger. Mr. Howard has been a Chattanooga resident all his life and lives with his wife, Christy, his two daughters, Gracie and Lucy, and his dog, Lily. INDIANAPOLIS - Cancer centers promoting their services dramatically increased their advertising spending from 2005 to 2014, with the bulk of the spending by for-profit organizations, according to the results of a study published Monday. Researchers at Indiana University School of Medicine and the University of Pittsburgh School of Medicine and Graduate School of Public Health reported that 890 cancer centers spent $173 million for advertising in 2014, and just 20 centers accounted for 86 percent of the spending. One company, Cancer Treatment Centers of America, a for-profit firm with a national network of five hospitals, spent $101.7 million, 59 percent of the total. In contrast, 25 of the nation's 60 National Cancer Institute-designated cancer centers spent no money on advertising, and of those that did, half spent less than $4,000, the authors said. Of the 20 centers that accounted for the bulk of spending, five were for-profit institutions, 17 were Commission on Cancer-accredited and nine were NCI-designated centers. The report was published Monday by the journal JAMA Internal Medicine. "Spending on cancer center advertising has more than tripled since 2005, and a small percent of cancer centers are responsible for the majority of spending. Patients should be aware that cancer centers that spend the most on advertising may not necessarily provide the highest quality of cancer care," said study first author Laura Vater, MPH, a fourth-year medical student at the IU School of Medicine. Additional work is needed to better understand how advertising may affect the cost and quality of care, she said. In a 2014 study published in the Annals of Internal Medicine, Vater and colleagues analyzed the content of cancer center advertising and concluded that "clinical advertisements by cancer centers frequently promote cancer therapy with emotional appeals that evoke hope and fear while rarely providing information about risks, benefits, costs, or insurance availability." For the new study the researchers used data from Kantar Media, an agency that tracks advertising and calculates expenditures. They obtained data for television, magazine, radio, newspaper, billboard and Internet advertising. The expenditures were adjusted to 2014 U.S. dollars using the Consumer Price Index. The researchers also identified the centers that were National Cancer Institute-designated, accredited by the Commission on Cancer, were not-for-profit versus for-profit organizations, and by location. Spending in all advertising categories grew from 2005 to 2014, led by television where $37 million was spent in 2005, rising to a peak of $107 million in 2011. Television spending declined somewhat after that, but still stood at $87 million in 2014. Print media spending rose from $11 million to $34 million. In a time when Internet advertising was growing, cancer center online ads were among them - Internet display advertisements rose from $300,000 in 2005 to $9 million in 2014. "More work is needed to understand the effects of cancer center advertising on the web, as more and more people search for health information on line," said senior author Yael Schenker, M.D., assistant professor in the University of Pittsburgh School of Medicine. "One concern is that when advertisements are listed at the top of internet search results, patient may have trouble finding and recognizing good information." After Cancer Treatment Centers of America, the two biggest advertisers in 2014 were MD Anderson Cancer Center, which spent $13.9 million, and Memorial Sloan Kettering Cancer Center at $9.1 million. The authors noted that the expenditure calculations could be low because advertising in cancer-specific magazines was not included, nor was advertising by affiliated organizations designed to encourage charitable donations. ### The research was supported by a National Institutes of Health grant KL2TR000146 and by a University of Pittsburgh Department of Medicine Junior Scholar Award. In addition to Vater and Dr. Schenker, researchers contributing to the study were Julie M. Donohue, Ph.D., of the University of Pittsburgh Graduate School of Public Health, and Seo Young Park, Ph.D., of the University of Pittsburgh Department of Medicine. Following the discovery of a new and very valuable enzyme which folds linear molecules into different shapes, scientists at the John Innes Centre are building a 'triterpene machine' which will enable them to custom-build valuable chemical compounds called triterpenes and produce them in large, cost-effective quantities. Working with the pharmaceutical, agricultural and biotechnology industries, they hope to improve existing triterpenes to make better medicines with fewer side effects, or improve the specificity of pesticides. They also hope to make completely new, custom-designed triterpenes to any specification, which could lead to development of new anti-cancer drugs, agrochemicals, industrial chemicals or cosmetics. In the ancient Japanese art of origami, different ways of folding a single sheet of paper can transform it into an aeroplane, a flower, or a bird. Plants perform origami too - not with paper, but with chemical compounds, taking individual precursor molecules and using enzymes to fold and modify them to create many different variations. For several years, Professor Anne Osbourn of the John Innes Centre has been studying the 'chemical origami' that gives rise to a large group of plant compounds called triterpenes, many of which may have valuable uses in the pharmaceutical, agricultural and biotechnology industries. Professor Osbourn said: "Some triterpenes are currently used in drinks as foaming agents, but there are many more exciting possibilities - new medical therapies such as anti-cancer drugs, diabetes medicines and antidepressants, for example; anti-fungal agents in crop protection, or cosmetic ingredients. All of the triterpenes we know about are based on a suite of similar molecular 'scaffolds' - we want to understand how these scaffolds are made, 'folded' and 'decorated' so that we might be able to engineer completely new triterpenes to make new medicines and industrial chemicals, or to improve those we already have." In new research published in the scientific journal Proceedings of the National Academy of Sciences, Professor Osbourn, along with colleagues at the John Innes Centre and collaborators from the USA, describes how she discovered an important part of the triterpene origami process, almost by accident. By analysing oat plants that had been exposed to a DNA-mutating chemical, the researchers "stumbled across" a handful of mutated versions of an enzyme called SAD1. SAD1 is a triterpene synthase enzyme responsible for a critical step in building triterpenes: in its normal form, it takes a linear precursor molecule called 2,3-oxidosqualene (OS for short), and turns it into a pentacyclic scaffold - a molecule with 5 carbon rings. This is then further modified by other enzymes to produce hundreds of different triterpene compounds. However, one of the mutated forms, which differed from the normal form by one little change in the enzyme's structure, produced tetracyclic scaffolds with four carbon rings instead - the scaffold for a completely different set of triterpenes. Incidentally, the same mutation in an equivalent gene from a different plant, Arabidopsis thaliana, gave the same results, suggesting that this 'molecular switch' from pentacyclic to tetracyclic triterpene production is conserved between different plant species. Next, the scientists tried putting the mutant SAD1 gene into yeast, a fast-growing, single-celled organism, to see if it could be used to make large quantities of triterpenes. Here, the team discovered that the SAD1 enzyme favoured dioxidosqualene (DOS) as a substrate rather than OS. "This was an exciting discovery," said Professor Osbourn, "because we realised that we could not only modify the enzyme to produce different triterpene scaffolds, but we could also modify the building block to make different more highly oxygenated scaffolds." The PNAS article presents just one part of ongoing work by the Osbourn lab to harness the power of genes and enzymes to generate high-value chemicals from plants. Professor Osbourn said: "Here at the Norwich Research Park we're building a 'Triterpene Machine'; a toolkit of molecular parts we can put into yeast, or a recently developed rapid expression system using tobacco leaves, which we hope will allow us to custom-build valuable triterpenes and produce them in large, cost-effective quantities. Working with the pharmaceutical, agricultural and biotechnology industries, we hope we'll be able to modify known triterpenes to improve their existing applications - to make better medicines with fewer side effects, or improve the specificity of pesticides, for example. We might even be able to make completely new, custom-designed triterpenes to any specification we want, which could provide us with new anti-cancer drugs, agrochemicals, industrial chemicals or cosmetics. The possibilities are potentially endless!" This research was funded by the Biotechnology and Biological Sciences Research Council, the John Innes Foundation and a Norwich Research Park Studentship Award. ### Notes to editors 1. The paper 'Terpene origami: A conserved amino acid residue critical for product and substrate specifity in plant triterpene synthases' will be published in the journal Proceedings of the National Academy of Sciences. 2. If you would like to interview Professor Osbourn please contact; Geraldine Platten Interim Head of External Relations, The John Innes Centre T: 01603 450 238 E: Geraldine.platten@jic.ac.uk 3. An image of Professor Osbourn can be downloaded from: http://bit.ly/29oA8g8 4. About the John Innes Centre The John Innes Centre is an independent, international centre of excellence in plant science and microbiology. Our mission is to generate knowledge of plants and microbes through innovative research, to train scientists for the future, to apply our knowledge of nature's diversity to benefit agriculture, the environment, human health and wellbeing, and engage with policy makers and the public. To achieve these goals we establish pioneering long-term research objectives in plant and microbial science, with a focus on genetics. These objectives include promoting the translation of research through partnerships to develop improved crops and to make new products from microbes and plants for human health and other applications. We also create new approaches, technologies and resources that enable research advances and help industry to make new products. The knowledge, resources and trained researchers we generate help global societies address important challenges including providing sufficient and affordable food, making new products for human health and industrial applications, and developing sustainable bio-based manufacturing. This provides a fertile environment for training the next generation of plant and microbial scientists, many of whom go on to careers in industry and academia, around the world. The John Innes Centre is strategically funded by the Biotechnology and Biological Sciences Research Council (BBSRC). In 2014-2015 the John Innes Centre received a total of 36.9 million from the BBSRC. The John Innes Centre is the winner of the BBSRC's 2013 - 2016 Excellence With Impact award. 5. About the BBSRC The Biotechnology and Biological Sciences Research Council (BBSRC) invests in world-class bioscience research and training on behalf of the UK public. Our aim is to further scientific knowledge, to promote economic growth, wealth and job creation and to improve quality of life in the UK and beyond. Funded by Government, BBSRC invested over 509M in world-class bioscience in 2014-15. We support research and training in universities and strategically funded institutes. BBSRC research and the people we fund are helping society to meet major challenges, including food security, green energy and healthier, longer lives. Our investments underpin important UK economic sectors, such as farming, food, industrial biotechnology and pharmaceuticals. For more information about BBSRC, our science and our impact see: http://www.bbsrc.ac.uk For more information about BBSRC strategically funded institutes see: http://www.bbsrc.ac.uk/institutes 6. The John Innes Foundation (JIF) is a charity dedicated to the better understanding of plant science and to the encouragement and education of those involved, or wishing to become involved, in its study. These objectives remain unchanged since its establishment in 1910. For further information go to: http://www.johninnesfoundation.org.uk/ In what is probably the largest study of salary differences between male and female medical school faculty members, researchers at Massachusetts General Hospital (MGH) and Harvard Medical School (HMS) find that - even after adjusting for factors likely to influence income - women physicians earn an average of $20,000 per year less than men. Their study, which analyzed data for physicians employed at 24 public medical schools, is being published online in JAMA Internal Medicine. "More than raising attention to salary sex differences in medicine, our findings highlight the fact that these differences persist even when we account for detailed factors that influence income and reflect academic productivity," says Anupam B. Jena, MD, PhD, of the MGH Department of Medicine and the HMS Department of Health Care Policy, who led the study. "The fact that we observed these income differences among physicians who are public employees raises issues that may have state regulatory implications." While several previous studies have documented salary differences between male and female physicians working in academic medicine, those investigations have been relatively small, restricted to specific specialties or depended on participants' responses to survey questions. The current study started with employee information - including names, titles and salaries - from public medical schools in 12 states that make such information available online. The researchers merged that data on physician faculty salaries with information from the Doximity database of more than 700,000 U.S. physicians - including age, gender, faculty rank, university affiliation, specialty, year of residency completion, clinical practice (reflected by receiving Medicare payments) and several factors reflecting research activity. Among their final sample of almost 10,250 physician faculty members - 35 percent of whom were women - the unadjusted average annual salaries of women were almost 20 percent lower ($206,641 versus $257,947) than those of male physicians. After adjusting for the factors mentioned above, female physician faculty members still received salaries 8 percent lower than those of comparable male physicians ($227,783 versus $247,661). Adjusted salary disparities were greatest for orthopedic surgery, obstetrics/gynecology (one of the specialties female physicians were most likely to enter), other surgical subspecialties and cardiology. They were least in family medicine and emergency medicine; and adjusted average salaries for women in radiology were slightly higher than for men. Disparities also varied among medical schools, with adjusted average salaries for male physicians being significantly higher at nine schools - the greatest disparities occurring at schools in the western U.S. - and higher adjusted salaries for female physicians at two schools. Co-author Daniel Blumenthal, MD, MBA, of the MGH Division of Cardiology, adds, "Our findings also highlight how non-traditional data sets like Doximity and public employee salary information can be used to investigate questions that historically have been difficult to evaluate due to lack of access to large-scale data." He is currently using the Doximity database to investigate gender-based differences in academic rank among academic cardiologists. ### Jena is the Ruth L. Newhouse Associate Professor of Health Care Policy at HMS. Andrew Olenski of the HMS Department of Health Care Policy is also a co-author of the JAMA Internal Medicine paper. The study was supported by National Institutes of Health Early Independence Award 1DP P50D017897-01. Massachusetts General Hospital, founded in 1811, is the original and largest teaching hospital of Harvard Medical School. The MGH Research Institute conducts the largest hospital-based research program in the nation, with an annual research budget of more than $800 million and major research centers in HIV/AIDS, cardiovascular research, cancer, computational and integrative biology, cutaneous biology, human genetics, medical imaging, neurodegenerative disorders, regenerative medicine, reproductive biology, systems biology, photomedicine and transplantation biology. The MGH topped the 2015 Nature Index list of health care organizations publishing in leading scientific journals, earned the prestigious 2015 Foster G. McGaw Prize for Excellence in Community Service and returned to the number one spot on the 2015-16 U.S. News & World Report list of "America's Best Hospitals." Hamilton, ON July 11, 2016 -- Children who are thumb-suckers or nail-biters are less likely to develop allergic sensitivities, new research has found. And, if they have both 'bad habits', they are even less likely to be allergic to such things as house dust mites, grass, cats, dogs, horses or airborne fungi. The research, published in the journal Pediatrics today, was completed by researchers of New Zealand's Dunedin School of Medicine, assisted by professor Malcolm Sears of McMaster University's Michael G. DeGroote School of Medicine, and formerly from Dunedin. "Our findings are consistent with the hygiene theory that early exposure to dirt or germs reduces the risk of developing allergies," said Sears, who is also a researcher for the Firestone Institute for Respiratory Health at McMaster and St. Joseph's Healthcare Hamilton. "While we don't recommend that these habits should be encouraged, there does appear to be a positive side to these habits." The researchers were testing the idea that the common childhood habits of thumb-sucking and nail-biting would increase microbial exposures, affecting the immune system and reducing the development of allergic reactions also known as atopic sensitization. The habits of thumb-sucking and nail-biting were measured in a longitudinal birth cohort of more than 1,000 New Zealand children at ages 5, 7, 9 and 11; and atopic sensitization was measured by skin-prick testing at 13 and 32 years old. The researchers found 31 per cent of children were frequent thumb suckers or nail biters. Among all children at 13 years old, 45% showed atopic sensitization, but among those with one oral habit, only 40% had allergies. Among those with both habits, only 31% had allergies. This trend was sustained into adulthood, and showed no difference depending on smoking in the household, ownership of cats or dogs; or exposure to house dust mites. However, the study did not find associations between the oral habits and development of asthma or hay fever. ### Editors: A downloadable photo of Malcolm Sears may be found at http://adobe.ly/29sgGMK McMaster provides a high definition broadcast studio that can connect with any television broadcaster around the world. To book an interview, please contact: Susan Emigh Director, Public Relations Faculty of Health Sciences McMaster University T: (905) 525-9140, ext. 22555 emighs@mcmaster.ca M: (905) 518-3642 Non-Europeans have a higher frequency of the gene variants that increase the risk of lupus as compared to the European population, a new study from researchers at the National Institute for Health Research (NIHR) Biomedical Research Centre at Guy's and St Thomas' and King's College London, has confirmed. The findings, which are published today in Nature Genetics, could lead to the development of tests to predict if an individual is more likely to develop lupus and may also contribute to the development of personalised treatments for the difficult to treat autoimmune condition the affects more than five million* people worldwide. The study was led by Professor Tim Vyse, an expert in genetics and molecular medicine at King's College London and an honorary consultant rheumatologist at Guy's and St Thomas' NHS Foundation Trust, who said: "Lupus is a very poorly understood condition. The confirmation that the condition's increased prevalence in non-Europeans has a genetic basis is an important step towards developing better predictive and diagnostic tools and may eventually help us to develop personalised treatments too." The research team searched genetic data gathered from 22,670 Europeans, 13,174 Chinese as well as data from South Asian, east Asian and African recorded in the 1000 genomes**. Analysis of the data revealed that non-European populations have a higher number of the gene variants, known as alleles, which are thought to contribute to the risk of developing lupus, amongst the Chinese population. While the study establishes that lupus is highly hereditary, researchers believe there is still a large 'environmental' component which plays a significant role. Dr David Morris, a researcher at King's College London and one of the study's co-authors, said: "For the first time we've shown that Chinese populations have a higher number of risk alleles than their European counterparts, but we don't understand why this susceptability hasn't diminished over time for non-Europeans. "When thinking about whether someone might develop lupus, we use evidence from Twins studies*** which has shown that genetic factors account for two-thirds of the picture and environmental factors make up the final third. Our study advances our understanding of the genetic component, but more work needs to be done to better understand the environmental factors." Further analysis of the data also uncovered 10 additional risk alleles associated with lupus, bringing the total of known lupus-related alleles to 88. Dr Morris said: "Identifying more lupus-related risk alleles gives us a clearer picture of the genetic triggers. It's possible that we may never identify all of these triggers, but we are moving closer to a threshold that when crossed will help us to more effectively predict and treat this debilitating and poorly understood condition." The team is keeping a track of these genes on its website http://insidegen.com/. ### For more information please contact: Ben Sawtell, BRC Communications Manager ben.sawtell@gstt.nsh.uk - 020 7188 7604 *Source: Lupus Foundation of America: http://www.lupus.org/about/statistics-on-lupus ** The 1000 Genomes Project ran between 2008 and 2015, creating the largest public catalogue of human variation and genotype data: http://www.1000genomes.org/about *** The TwinsUK resource is the biggest UK adult twin registry of 12,000 twins used to study the genetic and environmental aetiology of age related complex traits and diseases. The TwinsUK is based at the Department of Twin Research and Genetic Epidemiology at King's College London. Notes to editors Nature Genetics (2016) 'Genome-wide association meta-analysis in Chinese and European individuals identifies ten new loci associated with systemic lupus erythematosus.' Professor Tim Vyse et al. The National Institute for Health Research (NIHR) Biomedical Research Centre at Guy's and St Thomas' NHS Foundation Trust and King's College London is one of the first five (of 11) biomedical research centres in England is funded by the National Institute of Health Research to help establish the UK's translational biomedical research infrastructure. With embedded world class core facilities, a range of hosted research organisations and partnerships with industry, this represents the foundation for London's premier biomedical cluster. We are arranged around four research clusters. For more information visit http://www.guysandstthomasbrc.nihr.ac.uk/ Guy's and St Thomas' NHS Foundation Trust provides more than 2 million patient contacts in acute and specialist hospital services and community services every year. As one of the biggest NHS trusts in the UK, with an annual turnover of more than 1.3 billion, we employ around 13,650 staff. http://www.guysandstthomas.nhs.uk Guy's and St Thomas' is part of King's Health Partners Academic Health Sciences Centre (AHSC), a collaboration between King's College London, and Guy's and St Thomas', King's College Hospital and South London and Maudsley NHS Foundation Trusts. http://www.kingshealthpartners.org King's College London - For further information about King's, please visit the 'King's in Brief' web pages. National Institute for Health Research (NIHR) is funded by the Department of Health to improve the health and wealth of the nation through research. Since its establishment in April 2006, the NIHR has transformed research in the NHS. It has increased the volume of applied health research for the benefit of patients and the public, driven faster translation of basic science discoveries into tangible benefits for patients and the economy, and developed and supported the people who conduct and contribute to applied health research. The NIHR plays a key role in the Government's strategy for economic growth, attracting investment by the life-sciences industries through its world-class infrastructure for health research. Together, the NIHR people, programmes, centres of excellence and systems represent the most integrated health research system in the world. For further information, visit the NIHR website (http://www.nihr.ac.uk). A comprehensive investigation of the underlying genetic architecture of type 2 diabetes has unveiled the most detailed look at the genetic differences that heighten a person's risk for disease development. The findings, published today in the journal Nature by an international team of more than 300 scientists led by the University of Oxford, the Broad Institute, and the University of Michigan, reveal the complexity of the disease in more detail than previously appreciated, but also identify several promising targets for new treatments. Type 2 diabetes is a global health concern, with approximately ten percent of the world's population diagnosed with the disease or likely to develop it in their lifetime. Previous studies into the genetics of diabetes risk have identified over 80 areas in the human genome associated with type 2 diabetes; however, it remains unknown exactly how these genetic changes, known as variants, are distributed among populations and how they lead to increased risk. Functional explanations for these risk variants have been particularly elusive because most of them fall outside the coding region of genes, and are presumably involved in gene regulation. Using DNA sequencing in more than 120,000 people with ancestral origins in Europe, South and East Asia, the Americas and Africa, the authors, including Francis Collins, M.D., Ph.D., director of the National Institutes of Health and head of the Molecular Genetics Section at the National Human Genome Research Institute, evaluated the genome at a greater level of detail than had been previously attempted for type 2 diabetes. Some individuals had their entire genome sequenced while for others the researchers focused on the part of the genome that codes directly for proteins, known as the exome. The researchers then compared the genetic changes between affected and healthy participants. The findings suggest that most of the genetic risk of type 2 diabetes can be attributed to common, shared genetic variants - each contributing a small amount to an individual's risk of the disease - rather than many rare variants unique to individuals. This resolves a question about the genetics of type 2 diabetes that has puzzled researchers for decades. The researchers also identified over a dozen genes in affected participants where changes in the DNA sequence altered the structure or composition of the proteins they encode, suggesting that those genes and proteins are directly involved in the development of the disease and providing important clues to the mechanism by which they confer risk. That, in turn, provides possible clues to new drug targets. Results from this study suggest that any personalized approach to treatment and prevention of type 2 diabetes will need to be tailored on the basis of an individual's broader genetic profile, as well as environmental factors. ### This work was funded by the National Human Genome Research Institute, the National Institutes of Diabetes and Digestive and Kidney Diseases, the National Institute on Aging, the National Heart, Lung and Blood Institute, the National Institute on Minority Health and Health Disparities, all part of the National Institutes of Health. Article: Fuchsberger, et al. The genetic architecture of type 2 diabetes. Nature. July 11, 2016. DOI: 10.1038/nature18642 Who: Francis Collins, M.D., Ph.D., director of the National Institutes of Health and head of Molecular Genetics Section at the National Human Genome Research Institute. Contact: To schedule an interview, please contact Sheena Faherty, NHGRI, at 301.443.3523, or sheena.faherty@nih.gov NHGRI is one of the 27 institutes and centers at the NIH, an agency of the Department of Health and Human Services. The NHGRI Division of Intramural Research develops and implements technology to understand, diagnose and treat genomic and genetic diseases. Additional information about NHGRI can be found at: http://www.genome.gov. National Institutes of Health (NIH): NIH, the nation's medical research agency, includes 27 institutes and centers and is a component of the U.S. Department of Health and Human Services. NIH is the primary federal agency conducting and supporting basic, clinical and translational medical research, and is investigating the causes, treatments and cures for both common and rare diseases. For more information about NIH and its programs, visit http://www.nih.gov. There's an affiliated release from the University of Michigan here PORTLAND, Ore. - A new study led by nationally prominent stem cell scientist Markus Grompe, M.D., has determined the existence of at least four separate subtypes of human insulin producing beta cells that may be important in the understanding and treatment of diabetes. The findings were published online today in the journal Nature Communications. "This study marks the first description of several different kinds of human insulin producing beta cells," said Grompe, primary investigator, director of the Oregon Stem Cell Center at OHSU and the Pape Family Pediatric Research Institute at OHSU Doernbecher Children's Hospital. "Some of the cells are better at releasing insulin than others, whereas others may regenerate quicker. Therefore, it is possible that people with different percentages of the subtypes are more prone to diabetes. Further understanding of cell characteristics could be the key to uncovering new treatment options, as well as the reason why some people are diabetic and others are not." Diabetes affects more than 29 million people in the United States. It is caused by the dysfunction or loss of insulin producing beta cells, which help the body to achieve normal blood sugar levels. Previously, only a single variety of beta cell was known to exist. However, using human pancreatic islets, or clusters of up to 4,000 cells, Grompe and colleagues discovered a method to identify and isolate four distinct types of beta cells. They also found that hundreds of genes were differently expressed between cell subtypes and that they produced different amounts of insulin. All type 2 diabetics had abnormal percentages of the subtypes, suggesting a possible role in the disease process. Additional research is needed to determine how different forms of diabetes - and other diseases - affect the new cell subtypes, as well as how researchers may take advantage of these differences for medical treatment. ### The paper "Human islets contain four distinct subtypes of cells" was supported by the National Institutes of Health (Grant #s DK105831 and DK089569) and the Helmsley Trust. Researchers from OHSU, the University of Pennsylvania School of Medicine, and the University of California, San Francisco contributed to this study. OHSU actively regulates, tracks and manages relationships and financial interests that our researchers may hold with entities outside of OHSU. In regards to this research project, OHSU has commercially licensed technology of which Grompe is an inventor. About OHSU Oregon Health & Science University is a nationally prominent research university and Oregon's only public academic health center. It serves patients throughout the region with a Level 1 trauma center and nationally recognized Doernbecher Children's Hospital. OHSU operates dental, medical, nursing and pharmacy schools that rank high both in research funding and in meeting the university's social mission. OHSU's Knight Cancer Institute helped pioneer personalized medicine through a discovery that identified how to shut down cells that enable cancer to grow without harming healthy ones. OHSU Brain Institute scientists are nationally recognized for discoveries that have led to a better understanding of Alzheimer's disease and new treatments for Parkinson's disease, multiple sclerosis and stroke. OHSU's Casey Eye Institute is a global leader in ophthalmic imaging, and in clinical trials related to eye disease. CORVALLIS, Ore. - Girl Scouts and their parents reported increases in energy-saving behaviors, such as turning off power strips at night and washing clothes in cold water, after the children participated in an intervention program, according to a study published today in the journal Nature Energy. The new energy conservation program was developed by researchers from Oregon State University and Stanford University, who designed and tested the program's effectiveness with 30 Girl Scout troops in northern California. The researchers found that the increased energy-saving behavior, as self-reported by the children, continued for more than seven months after the trial program ended. They also found that the intervention had an effect on parents' energy-saving behavior for more than eight months. The findings suggests that these kinds of educational programs could have a significant and lasting impact on family energy consumption, said Hilary Boudet, an assistant professor of climate change and energy at Oregon State University and lead author of the paper. "Children are a critical audience for environmental programs, because their current behavior likely predicts future behavior," said Boudet, who teaches in the School of Public Policy at OSU's College of Liberal Arts. "By adopting energy-saving behaviors now and engaging family and community members in such efforts, children can play an important role in bringing about a more sustainable future." The study was supported by grants from the U.S. Department of Energy's Advanced Research Projects Agency - Energy Program, the California Energy Commission, the Child Health Research Institute and the Precourt Energy Efficiency Center. Co-authors of the study are Nicole Ardoin, June Flora, K. Carrie Armel, Manisha Desai and Thomas N. Robinson of Stanford University. The researchers set out to develop a new energy conservation intervention program for children, using best practices from social cognitive theory and public health interventions to guide the program's design. "The goal of the program was to get the girls actively practicing and mastering the skills, and modeling the behaviors that would lead to reduced energy use," Boudet said. "But we also recognized the importance of making the project fun and engaging." The program, called Girls Learning Environment and Energy, or GLEE, offered two interventions designed to promote energy-saving behaviors either at home or in food and transportation decisions. Using a randomized control trial, the 318 participating girls, all fourth- and fifth-graders, were randomly assigned to one of the programs. In 50- to 60-minute lessons once a week for five weeks, the Girl Scouts learned about different ways to save energy in their assigned intervention group and participated in activities designed to support the lessons. The girls and their parents completed surveys about their energy-saving behaviors in those areas at the beginning and end of the five-week program and again several months later. The study's authors estimate that the reported behavior changes associated with the home energy savings intervention represent an annual household energy savings of approximately 3-5 percent immediately following the intervention and 1-3 percent at follow-up. If magnified across the population, those savings become quite significant, Boudet said. Girls participating in the food and transportation intervention also reported a significant increase in energy-saving behavior at the end of the program, but there was no significant change noted at the seven-month follow-up or among parents. Boudet said the food and transportation program may have proved more challenging for the children, in part, because they have less control over the types of transportation used by their families or the types of food their families buy and eat. Additional study could help researchers understand which pieces of the program worked best and which could be improved, she said. Based on GLEE's initial success, researchers are working to disseminate the curriculum to Girl Scout leaders around the country. They are also hoping to adapt the program for other groups, including schools and youth-focused organizations such as 4-H. More information is available online at https://sites.stanford.edu/glee/. ### As many as 24 assassin bugs new to science were discovered and described by Dr. Guanyang Zhang and his colleagues. In their article, published in the open access Biodiversity Data Journal, they describe the new insects along with treating another 47 assassin bugs in the same genus. To do this, the scientists examined more than 10,000 specimens, coming from both museum collections and newly undertaken field trips. Assassin bugs are insects that prey upon other small creatures, an intriguing behavior that gives the common name of their group. There are some 7000 described species of assassin bugs, but new species are still being discovered and described every year. The new species described by scientists Drs Guanyang Zhang, University of California, Riverside, and Arizona State University, Elwood R. Hart, Iowa State University, and Christiane Weirauch, University of California, Riverside, belong to the assassin bug genus Zelus. Linnaeus, the Swedish scientist, who established the universally used Linnean classification system, described the first species (Zelus longipes) of Zelus in 1767. Back then, he placed it in the genus Cimex, from where it was subsequently moved to Zelus. All of Zhang & Hart's new species are from the Americas. Mexico, Panama, Peru, Colombia and Brazil are some of the top countries harboring new species. To conduct the research, Zhang examined more than 10,000 specimens and nearly all of them have been databased. These specimen records are now freely and permanently available to everybody. Zhang's work demonstrates the value of natural history collections. The specimens used in his work come from 26 museums in nine countries. The discovery of the new species would not have been possible without these museums actively collecting and maintaining their insect collections. It took more than a century for some of the new species to be formally recognized and described. The first specimens of the species Zelus panamensis and Zelus xouthos, for example, had been collected in 1911 and 1915 from Panama and Guatemala. However, since then they had been waiting quietly in the collection of the Smithsonian National Museum of Natural History, USA. Now, over 100 years later, they are finally discovered and given scientific names. Meanwhile, more recently collected specimens also turned out to be new species. Specimens of Zelus lewisi and Zelus rosulentus were collected in 1995 and 1996 from Costa Rica and Ecuador, about two decades ago, a timeframe considered relatively short for taxonomic research. These interesting patterns of time lapse between specimen collecting and scientific description suggest that it is equally important to examine both long deposited in museums specimens and those newly collected from the field. The kind of research performed by Zhang and his colleagues is called revisionary taxonomy. In revisionary taxonomy a researcher examines a large number of specimens of a group of organisms of his or her interest. This can be either a monophyletic lineage or organisms from a particular region. The scientist's goal is to discover and describe new species, but also examine and revise previously published species. Besides describing new species, the present taxonomic monograph treats another 47 previously described species. Nearly all species now have images of both males and females and illustrations of male genitalia. Some of these insects are strikingly brightly colored and some mimic wasps. ### Additional information: Guanyang Zhang is currently a postdoctoral research associate at the Arizona State University. He performed this work while a doctoral student at the University of California, Riverside. Elwood Hart is a retired Professor who previously worked at the Iowa State University. Christiane Weirauch is a Professor of Entomology at the University of California, Riverside. Original source: Zhang G, Hart E, Weirauch C (2016) A taxonomic monograph of the assassin bug genus ZelusFabricius (Hemiptera: Reduviidae): 71 species based on 10,000 specimens. Biodiversity Data Journal 4: e8150.doi: 10.3897/BDJ.4.e8150 The Bradley County Sheriff Office will honor the five officers that were slain in Dallas. Tonight at midnight. Tuesday at 12 a.m., the B.C.S.O. Honor Guard will stand at attention for 24 hours to honor the lives of officers: Police Officer Patrick Zamarripa Senior Corporal Lorne Ahrens Police Officer Michael Krol Sergeant Michael Smith Police Officer Brent Thompson A memorial will be set-up at the downtown Bradley County Courthouse to remember their sacrifice towards their community. The public is encouraged to visit the memorial, and leave personal reflections that will be delivered to the officers departments. Additionally, members of the public are encouraged to meet at the Johnston Park on Ocoee Street for a Unity Walk. Personnel from the Bradley County Sheriffs Office will join citizens from the Cleveland/Bradley County community to promote unanimity. The walk will start at 3 p.m. on Tuesday, travel down Ocoee Street, and will conclude at the memorial set-up at the Bradley County Courthouse. Sheriff Eric Watsons Statement: I extend my prayers to the Dallas Police Department, Dallas Area Rapid Transit Department, and the families of the five officers that were slain a few days ago. These officers are the epitome of heroes, and we should never forget the names of these brave men. Its vitally important the Bradley County Sheriffs Office continues to have the support of the Cleveland/Bradley County community. The Unity Walk will commemorate the ultimate sacrifice the five officers paid while protecting their community, while also symbolizing the unity between the Bradley County Sheriff Office and the community we protect and serve daily. HOUSTON - (July 11, 2016) - A metallic molecule being studied at Rice University begins to glow when bound to amyloid protein fibrils of the sort implicated in Alzheimer's disease. When triggered with ultraviolet light, the molecule glows much brighter, which enables real-time monitoring of amyloid fibrils as they aggregate in lab experiments. Rice chemist Angel Marti said such a powerful probe could be a boon to researchers seeking a way to break up amyloid plaques, which form in the brains of patients with Alzheimer's. Marti's lab reported on the light-switching molecule in the Journal of the American Chemical Society. Rice graduate student Amir Aliyan is lead author of the paper. Marti and his team study dyes made of metallic complexes that luminesce when attached to amyloid fibrils or DNA. They discovered that when rhenium dipyridophenazine complexes bind with an amyloid fibril in a test tube and are excited with ultraviolet light, the synthetic molecules increase their natural photoluminescence by several orders of magnitude. "Most dyes decrease their fluorescence upon continuous excitation because they photobleach," Marti said. "This dye does the complete opposite, increasing its emission even more every time you excite it." The effect isn't nearly as strong if the metallic molecule is either floating in a solution or attached to single amyloid strands, he said. The effect is seen in two stages, he said. The probe incorporates a hydrophobic part that naturally binds to aggregating fibrils and emits light when it does, giving researchers a clear signal that aggregation is happening. Exciting the combined aggregate and probe with ultraviolet light then boosts the light output more than a hundredfold. The Rice researchers suspect the dramatic increase happens when reactive oxygen species attack the amino acids on the amyloid beta fibril that would normally quench the luminescence of the metal complex. "Our hypothesis is that upon ultraviolet irradiation, our (rhenium) metal complex produces reactive oxygen species and they're more aggressive than conventional molecular oxygen," Aliyan said. "There are reports that rhenium complexes are capable of activating oxygen from one form to a more aggressive form in solution." "That's one of our theories," Marti added. "We still don't understand well what is happening. But we know that besides increasing the emission intensity, the complex also chemically modifies the (amyloid) protein." Marti said experiments that removed as much oxygen as possible eliminated the enhanced fluorescence effect. He said the lab stepped back to test an earlier metallic complex based on ruthenium, which also showed emission when attached to amyloid fibrils. It did not show enhanced emission under ultraviolet light. "We thought the effect might be happening with ruthenium and we had completely missed it, so we ran a control experiment and nothing happened," he said. That makes the rhenium complex unique so far. It also gives researchers the opportunity to learn more about amyloid beta proteins and the mechanics of aggregation, Marti said. "We've always been interested in knowing where these complexes bind," he said. "If they oxidize amyloid beta in the periphery of their binding site, then by tracking the place of oxidation we will know the place of binding. That is called footprinting. It will allow us to specifically explore binding and how chemical modification of the surface of the protein would affect factors like toxicity and aggregation." Aliyan said the probe allows real-time protein aggregation study as the probe turns on upon aggregation. "To the naked eye, aggregation is not obvious," he said. "You need a probe to follow the process and see if potential drugs can inhibit aggregation or make it faster or slower. Then you can run assays with or without any drug and in a variety of conditions. One would think if there are ways to modify amyloid beta aggregation, maybe there are ways to treat the process." ### Co-authors are Rice alumnus Benjamin Kirby and research scientist Christopher Pennington. Marti is an associate professor of chemistry, of bioengineering and of materials science and nanoengineering. The Welch Foundation supported the research. Read the abstract at http://pubsdc3.acs.org/doi/abs/10.1021/jacs.6b04411 This news release can be found online at http://news.rice.edu/2016/07/07/amyloid-probes-gain-powers-in-search-for-alzheimers-cause/ Follow Rice News and Media Relations via Twitter @RiceUNews. Related materials: Marti Research Group: http://martigroup.rice.edu New clues illuminate Alzheimer's roots: http://news.rice.edu/2013/07/19/new-clues-illuminate-alzheimers-roots-2/ Molecules 'light up' Alzheimer's roots: http://news.rice.edu/2011/07/13/molecules-light-up-alzheimers-roots-2/ Wiess School of Natural Sciences: http://natsci.rice.edu Images for download: http://news.rice.edu/files/2016/07/0711_AMYLOID-1-WEB-2jafwc5.jpg Aggregating amyloid fibrils glow green with the addition of fluorescent metallic complexes synthesized at Rice University. The complexes glow when attached to the fibrils and exposed to ultraviolet light, giving researchers a way to monitor aggregation in real time. Amyloid fibrils are implicated in Alzheimer's disease. (Credit: Jeff Fitlow/Rice University) http://news.rice.edu/files/2016/07/0711_AMYLOID-2-WEB-27s3ubk.jpg Rice University graduate student Amir Aliyan prepares an experiment using light-switching molecules to monitor in real time the aggregation of amyloid fibrils implicated in Alzheimer's disease. (Credit: Jeff Fitlow/Rice University) http://news.rice.edu/files/2016/07/0711_AMYLOID-3-WEB-2e9sft7.jpg Rice University researchers Christopher Pennington, Angel Marti and Amir Aliyan are among the authors of a new study about a synthetic molecule with a rhenium core that glows when attached to an amyloid fibril in the process of aggregating into a plaque, and brighter still when hit with ultraviolet light. The molecule may allow for real-time experimental monitoring of amyloid plaques implicated in Alzheimer's disease. (Credit: Jeff Fitlow/Rice University) Located on a 300-acre forested campus in Houston, Rice University is consistently ranked among the nation's top 20 universities by U.S. News & World Report. Rice has highly respected schools of Architecture, Business, Continuing Studies, Engineering, Humanities, Music, Natural Sciences and Social Sciences and is home to the Baker Institute for Public Policy. With 3,910 undergraduates and 2,809 graduate students, Rice's undergraduate student-to-faculty ratio is 6-to-1. Its residential college system builds close-knit communities and lifelong friendships, just one reason why Rice is ranked No. 1 for best quality of life and for lots of race/class interaction by the Princeton Review. Rice is also rated as a best value among private universities by Kiplinger's Personal Finance. To read "What they're saying about Rice," go to http://tinyurl.com/RiceUniversityoverview. David Ruth 713-348-6327 david@rice.edu Mike Williams 713-348-6728 mikewilliams@rice.edu HOUSTON - (July 11, 2016) - The Medicare program's transition in 2004 to tiered fee-for-service physician reimbursement for dialysis care had the unintended consequence of reducing use of home dialysis, according to a paper co-authored by a nonresident scholar at Rice University's Baker Institute for Public Policy and colleagues from Stanford University and Baylor College of Medicine. More than 100,000 people develop end-stage renal disease every year in the United States. Due to a shortage of kidneys available for transplantation, the vast majority receive dialysis, which can be provided through one of three methods. In-center hemodialysis is the most common and involves patients going to a dialysis facility three or four times per week to receive therapy; home-based dialysis therapies (which include peritoneal dialysis and home hemodialysis) are alternatives that offer more flexibility and lifestyle benefits for some patients. The authors of "Effects of Physician Payment Reform on Provision of Home Dialysis" conducted analyses comparing patients with traditional Medicare coverage who were affected by the policy with others who have Medicare Advantage and were unaffected by the policy. The analyses consisted of a cohort study of patients starting dialysis in the U.S. in the three years before and the three years after payment reform. The study also examined whether the policy had a more pronounced influence on dialysis method assignment in areas most affected by the policy due to lower costs of traveling to dialysis facilities. Patients with traditional Medicare coverage experienced a 0.7 percent reduction in the absolute probability of home dialysis use following payment reform compared with patients with Medicare Advantage. Patients living in areas with larger dialysis facilities (where payment reform made in-center hemodialysis comparatively more lucrative for physicians) experienced a 0.9 percent reduction in home dialysis use following payment reform compared with patients living in areas with smaller facilities (where payment reform made in-center hemodialysis comparatively less lucrative for physicians). The paper was co-authored by Dr. Kevin Erickson, nonresident scholar in the Baker Institute's Center for Health and Biosciences, assistant professor in the Section of Nephrology and an investigator at the Center for Innovations in Quality, Effectiveness and Safety at Baylor; Dr. Wolfgang Winkelmayer, the Gordon A. Cain Chair of Nephrology and professor of medicine at Baylor; Dr. Glenn Chertow, professor of medicine and chief of the Division of Nephrology at Stanford's School of Medicine; and Dr. Jay Bhattacharya, professor of medicine at Stanford. It was published in the American Journal of Managed Care. "We found that national physician payment reform enacted by Centers for Medicare and Medicaid Services in 2004 in an effort to encourage more frequent face-to-face dialysis visits and improve the quality of care resulted in an unintended consequence of relatively fewer patients choosing home dialysis," the authors wrote. "The tiered fee-for-service payment system enacted in 2004 continues to govern physician reimbursement for in-center hemodialysis care and, consequently, may continue to discourage home dialysis use in certain patient populations. These findings highlight both an area of policy failure and the importance of considering unintended consequences of future efforts to reform physician payment." Due to concern that home dialysis is underused and that some patients with end-stage renal disease could benefit from increased autonomy and health-related quality of life if they received home dialysis, the House of Representatives Committee on Ways and Means' Subcommittee on Health asked the U.S. Government Accountability Office to investigate key barriers to home dialysis use. The GAO's October 2015 report highlighted the current physician payment policy's incentives as a potential barrier to home dialysis use. However, the GAO investigation of this topic was limited to interviews with physicians and physician associations. The study co-authored by Erickson provides empirical evidence supporting the concern raised by the GAO. ### For more information or to schedule an interview with Erickson, contact Jeff Falk, associate director of national media relations at Rice, at jfalk@rice.edu or 713-348-6775. Related materials: Paper: http://www.ajmc.com/journals/issue/2016/2016-vol22-n6/effects-of-physician-payment-reform-on-provision-of-home-dialysis. Erickson bio: http://bakerinstitute.org/experts/kevin-erickson. Follow the Baker Institute via Twitter @BakerInstitute. Follow the Center for Health and Biosciences via Twitter @BakerCHB. Follow Rice News and Media Relations via Twitter @RiceUNews. Founded in 1993, Rice University's Baker Institute ranks among the top five university-affiliated think tanks in the world. As a premier nonpartisan think tank, the institute conducts research on domestic and foreign policy issues with the goal of bridging the gap between the theory and practice of public policy. The institute's strong track record of achievement reflects the work of its endowed fellows, Rice University faculty scholars and staff, coupled with its outreach to the Rice student body through fellow-taught classes -- including a public policy course -- and student leadership and internship programs. Learn more about the institute at http://www.bakerinstitute.org or on the institute's blog, http://blogs.chron.com/bakerblog. London, UK (July 11, 2016). The truth is being suppressed across the world using a variety of methods, according to a special report in the 250th issue of Index on Censorship magazine. Physical violence is not the only method being used to stop news being published, says editor Rachael Jolley in the Danger in Truth: Truth in Danger report. As well as kidnapping and murders, financial pressure and defamation legislation is being used, the report reveals. "In many countries around the world, journalists have lost their status as observers and now come under direct attack." There's an increasing trend to label journalists as "extremists" or "terrorists" so governments can crackdown on reporting they don't like. According to Index's Mapping Media Freedom project, which tracks attacks on journalists in more than 40 countries, 35 incidents were reported where journalists were being linked to "extremism" to restrict reporting, 11 in Russia and others in Belgium, Hungary, France and Spain. Veteran journalists say certain countries including Syria are becoming almost impossible to cover. And citizen journalists in Syria say they are under enormous pressure to stop reporting but feel a responsibility to carry on despite the risks, particularly since so few international journalists are left in Syria. "All we can do is persevere, coping with the fear and the risks," one told Index. Laura Silvia Battaglia, who trains journalists in Iraq says: "In Iraq providing safety training is not only necessary, it's a duty for international originations who care about journalists and activists in dangerous zones. [...] Local journalism is vital if the Iraqi people are to know what is happening in their country, and to do that journalists need to continue to protect themselves." The special report is part of the 250th issue from global quarterly magazine Index on Censorship which explores the increasing threats journalists are facing globally. More information about 'Danger in Truth: Truth in Danger' can be found here. The magazine also includes an exclusive translation of a play extract by Swedish crime writer Henning Mankell, published for the first time in English. Index on Censorship magazine is celebrating its 250th issue with a launch party on the Power of Print, at MagCulture in London on July 12, with performances by actor Simon Callow, poet Jemima Foxtrot and musician Moddi. ### Sara Miller McCune founded SAGE Publishing in 1965 to support the dissemination of usable knowledge and educate a global community. SAGE is a leading international provider of innovative, high-quality content publishing more than 950 journals and over 800 new books each year, spanning a wide range of subject areas. A growing selection of library products includes archives, data, case studies and video. SAGE remains majority owned by our founder and after her lifetime will become owned by a charitable trust that secures the company's continued independence. Principal offices are located in Los Angeles, London, New Delhi, Singapore, Washington DC and Melbourne. http://www.sagepublishing.com Index on Censorship launched in 1972, has reporters around the world. International in outlook, outspoken in comment, and publishing some of the world's finest writers, Index exposes stories that are suppressed, publishes banned writing, investigative journalism and new fiction. Previous contributors include Margaret Atwood, Noam Chomsky, Nadine Gordimer, Aung San Suu Kyi, Salman Rushdie, Tom Stoppard and Ai Weiwei.http://www.indexoncensorship.org A huge earthquake may be building beneath Bangladesh, the most densely populated nation on earth. Scientists say they have new evidence of increasing strain there, where two tectonic plates underlie the world's largest river delta. They estimate that at least 140 million people in the region could be affected if the boundary ruptures; the destruction could come not only from the direct results of shaking, but changes in the courses of great rivers, and in the level of land already perilously close to sea level. The newly identified threat is a subduction zone, where one section of earth's crust, or a tectonic plate, is slowly thrusting under another. All of earth's biggest known earthquakes occur along such zones; these include the Indian Ocean quake and tsunami that killed some 230,000 people in 2004, and the 2011 Tohoku quake and tsunami off Japan, which swept away more than 20,000 and caused the Fukushima nuclear disaster. Up to now, all known such zones were only under the ocean; this one appears to be entirely under the land, which greatly multiplies the threat. The findings appear in this week's issue of Nature Geoscience. Subduction-zone quakes generally occur where plates of heavy ocean crust slowly dive offshore beneath the lighter rocks of adjoining continents, or under other parts of the seafloor. Sometimes sections get stuck against each other over years or centuries, and then finally slip, moving the earth. Scientists knew of the plate boundary in and around Bangladesh, but many assumed it to be sliding only horizontally near the surface, where it sometimes causes fairly large, but less damaging earthquakes in areas that are not as densely populated. However, the authors of the new research say movements on the surface over the past decade show that subduction is taking place below, and that part of the plate juncture is locked and loading up with stress. They are not forecasting an imminent great earthquake, but say it is an "underappreciated hazard." "Some of us have long suspected this hazard, but we didn't have the data and a model," said lead author Michael Steckler, a geophysicist at Columbia University's Lamont-Doherty Earth Observatory. "Now we have the data and a model, and we can estimate the size." He said strain between the plates has been building for at least 400 years--the span of reliable historical records, which lack reports of any mega-quake. When an inevitable release comes, the shaking is likely to be larger than 8.2, and could reach a magnitude of 9, similar to the largest known modern quakes, said Steckler. "We don't know how long it will take to build up steam, because we don't know how long it was since the last one," he said. We can't say it's imminent or another 500 years. But we can definitely see it building." The newly identified zone is an extension of the same tectonic boundary that caused the 2004 Indian Ocean undersea quake, some 1,300 miles south. As the boundary reaches southeast Asia, the complexity of the motions along it multiply, and scientists do not completely understand all of them. But basically, they say, a giant plate comprising India and much of the Indian Ocean has been thrusting northeasterly into Asia for tens of millions of years. This collision has caused the Himalayas to rise to the north, bringing events like the 2015 Nepal quake that killed 8,000 people. Bangladesh, India's neighbor, lies on the far eastern edge of this plate, but pressure from the collision seems to be warping Asia clockwise around the top of Bangladesh, ending up largely in the next country over, Myanmar. This wraparound arrangement has resulted in a crazy quilt of faults and quakes in and around Bangladesh. Among the largest, a 1762 subduction-zone quake near the southern coast killed at least 700 people. This January, a magnitude 6.7 event in adjoining eastern India killed more than 20. There have been dozens of large quakes in between, but the assumption was that no actual subduction was taking place under Bangladesh itself, seeming to insulate the region from a truly gigantic one. The new study undercuts this idea. Starting in 2003, U.S. and Bangladeshi researchers set up about two dozen ground-positioning (GPS) instruments linked to satellites, capable of tracking tiny ground motions. Ten years of data now show that eastern Bangladesh and a bit of eastern India are pushing diagonally into western Myanmar at a rapid clip--46 millimeters per year, or about 1.8 inches. Combined with existing GPS data from India and Myanmar, the measurements show that much of the resulting strain has been taken up by several known, slowly moving surface faults in Myanmar and India. But the rest of the movement--about 17 millimeters, or two-thirds of an inch per year--is shortening the distance from Myanmar to Bangladesh. This has been going on for a long time, and the results are clearly visible: neatly parallel north-south ranges of mountains draping the landscape, like a carpet being shoved against a wall. The researchers interpret the shortening pattern to mean that subduction is taking place below, and that a huge zone--about 250 kilometers by 250 kilometers, more than 24,000 square miles--is locked and building pressure, just a few miles below the surface. The zone includes Bangladesh's densely packed capital of Dhaka, a megalopolis of more than 15 million. Steckler says that, assuming fairly steady motion over the last 400 years, enough strain has built for the zone to jump horizontally by about 5.5 meters, or 18 feet, if the stress is released all at once. If strain has been building longer, it could be up to 30 meters, or almost 100 feet. The land would also move vertically, to a lesser extent. This is the worst-case scenario; in the best case, only part would slip, and the quake would be smaller and farther from Dhaka, said Steckler. In any case, Bangladesh and eastern India sit atop a landscape vulnerable even to moderate earthquakes: the vast delta of the Ganges and Brahmaputra rivers. This is basically a pile of mud as deep as 12 miles, washed from the Himalayas to the coast, covering the subduction zone. In a quake, this low-lying substrate would magnify the shaking like gelatin, and liquefy in many places, sucking in buildings, roads and people, said study coauthor Syed Humayun Akhter, a geologist at Dhaka University. The great rivers--10 miles across in places--could jump their banks and switch course, drowning everything in the way; there is in fact evidence that such switches have happened in previous centuries. Akhter says that fast-growing, poor Bangladesh is unprepared; no building codes existed before 1993, and even now, shoddy new construction flouts regulations. Past quake damages and deaths are no indicator of what could happen now, he said; population and infrastructure have grown so fast that even fairly moderate events like those of past centuries could be mega-disasters. "Bangladesh is overpopulated everywhere," he said. "All the natural gas fields, heavy industries and electric power plants are located close to potential earthquakes, and they are likely to be destroyed. In Dhaka, the catastrophic picture will be beyond our imagination, and could even lead to abandonment of the city." Roger Bilham, a geophysicist at the University of Colorado who has studied the region but was not involved in the new paper, said its "data are unassailable, the interpretation is sound." Bilham said the research "ties an enormous amount of structural interaction together. We have seen in recent history only modest seismicity responding to those interactions. The Indian subcontinent is effectively being pushed into a tight corner." Susan Hough, a U.S. Geological Survey seismologist who also studies the region and was not involved in the study, said that in recent years, "we've been surprised by big earthquakes that have not been witnessed during historical times, or witnessed so long ago, they were forgotten. Studies like this are critical for identifying those zones." Scientists in Bangladesh and neighboring countries continue to assess the hazards. James Ni, a seismologist at New Mexico State University, said he and colleagues hope to deploy 70 seismometers across Myanmar in 2017, to get a better image of the apparently subducting slab. "We don't have a good idea of its geometry, we don't know how far it goes down," said Ni. He said that if the study authors are right, and the slab is building strain, a quake would probably turn urban areas in eastern India "into ruins," and effects likely would extend into Myanmar and beyond. "We need more data," he said. ### The other authors of the study are Dhiman Ranjan Mondal of the City University of New York; Leonardo Seeber, Jonathan Gale and Michael Howe of Lamont-Doherty Earth Observatory; and Lujia Feng and Emma Hill of Singapore's Nanyang Technological University. The research was supported by the U.S. National Science Foundation. SEE AN AUDIO SLIDESHOW ON THE RESEARCH: http://bit.ly/29wwjmO The paper, "Locked and loading megathrust linked to active subduction beneath the Indo-Burman Ranges," is available from the authors, or from Nature: press@nature.com. Scientist contacts: Michael Steckler steckler@ldeo.columbia.edu 845-365-8479 Dhiman Ranjan Mondal dhimandu@gmail.com Syed Humayun Akhter shakhter@du.ac.bd Leonardo Seeber nano@ldeo.columbia.edu More information: Kevin Krajick, Senior editor, science news, The Earth Institute/Lamont-Doherty Earth Observatory kkrajick@ei.columbia.edu 212-854-9729 Lamont-Doherty Earth Observatory is Columbia University's home for Earth science research. Its scientists develop fundamental knowledge about the origin, evolution and future of the natural world, from the planet's deepest interior to the outer reaches of its atmosphere, on every continent and in every ocean, providing a rational basis for the difficult choices facing humanity. http://www.ldeo.columbia.edu | @LamontEarth The Earth Institute, Columbia University mobilizes the sciences, education and public policy to achieve a sustainable earth. http://www.earth.columbia.edu. Female academic physicians at public medical schools had lower average salaries than their male counterparts, a disparity that was only partly accounted for by age, experience, medical specialty, faculty rank and other factors, according to an article published online by JAMA Internal Medicine. While the number of women in medicine has grown rapidly since the 1970s, significant sex differences persist in job achievement and compensation. Anupam B. Jena, M.D., Ph.D., of Harvard Medical School, Boston, and coauthors analyzed salary information data for academic physicians at 24 public medical schools in 12 states using Freedom of Information laws. They combined that data with information on clinical and research productivity. The study included 10,241 physician faculty members, of whom 3,549 (34.7 percent) were women and 6,692 (65.3 percent) were men, a proportion comparable to that seen among other U.S. medical schools not included in the study. In unadjusted analyses that did not account other mitigating factors, women had lower average salaries than men - $206,641 for women vs. $257,957 for men - with an absolute difference in salaries of $51,315, according to the results. Women physicians in the study were less likely than men to be full professors, they tended to be younger and more women specialized in internal medicine, obstetrics and gynecology, and pediatrics. Woman also had fewer total publications, were less likely to have funding from the National Institutes of Health (NIH) and less likely to have conducted a clinical trial. Still, factors including faculty rank, age, years since residency, specialty, NIH funding, clinical trial participation and publication count accounted for only a portion of the salary difference with a $19,878 difference remaining with average adjusted salaries of $227,783 for women and $247,661 for men. Surgical specialties had the largest sex differences in salaries, while sex differences in salaries were present at all faculty ranks. For example, salaries for female full professors ($250,971) were comparable to those of male associate professors ($247,212), according to the results. Study limitations included a lack of information on faculty track or part-time status. Also, reported incomes in some schools or states may exclude other payments to physicians and thus not reflect the full salary. "Our use of publicly available state employee salary data highlights the importance of physician salary transparency to efforts to reduce the male-female earnings gap," the study concludes. (JAMA Intern Med. Published online July 11, 2016. doi:10.1001/jamainternmed.2016.3284. Available pre-embargo to the media at http://media.jamanetwork.com.) Editor's Note: The article contains funding/support disclosures. Please see the article for additional information, including other authors, author contributions and affiliations, financial disclosures, funding and support, etc. Commentary: It is Time for Equal Pay for Equal Work for Physicians - Paging Dr. Ledbetter "In a Supreme Court case, Lilly Ledbetter sued Goodyear Tire and Rubber Company for unequal pay. ... Fixing the pay gap between male and female physicians in academic medicine requires more than just studies showing that it exists; concerted efforts are needed to understand and eliminate the gap. Fixing the gap will also require the courage and leadership of women academic physicians - the "Dr. Lilly Ledbetters" out there - to advocate to eliminate it. It is time that the "woman card" be worth the same amount as the "man card," writes Vineet M. Arora, M.D., M.A.P.P., of the University of Chicago. (JAMA Intern Med. Published online July 11, 2016. doi:10.1001/jamainternmed.2016.3289. Available pre-embargo to the media at http://media.jamanetwork.com.) Editor's Note: The article contains conflict of interest disclosures. Please see the article for additional information, including other authors, author contributions and affiliations, financial disclosures, funding and support, etc. ### Media Advisory: To contact corresponding study author Anupam B. Jena, M.D., Ph.D., call Angela Alberti at 617-432-3038 or email Angela_Alberti@hms.harvard.edu. To contact commentary author Vineet M. Arora, M.D., M.A.P.P., call Matt Wood at 773-702-5894 or email Matthew.Wood@uchospitals.edu. Traumatic brain injury (TBI) with a loss of consciousness (LOC) may be associated with later development of Parkinson's disease but not Alzheimer's disease or incident dementia, according to a study conducted at the Icahn School of Medicine at Mount Sinai and the University of Washington School of Medicine. The research, published today in JAMA Neurology, contradicts common assumptions about the relationship between TBI and Alzheimer's disease as found in other high-profile studies. There is great interest in the late effects of TBI and the widespread public health implications. The Centers for Disease Control and Prevention estimates that more than 1.3 million Americans visit an emergency department each year because of a TBI-related injury. The research team studied head injury data from 7,130 older adults - the largest study ever on this topic - as part of three prospective cohort studies that performed annual or biennial cognitive and clinical testing. Of this group, 865 people had suffered TBI with LOC at some point before the study began. Of the 865, 142 had been unconscious for more than one hour. The researchers evaluated associations between TBI and late-life clinical outcomes, such as dementia, Alzheimer's disease, mild cognitive impairment, Parkinson's disease and change in parkinsonian signs. Roughly 23 percent of the study group had brain autopsies, and in that group researchers searched for any link between TBI and neuropathological findings. Neuropathology is considered the gold standard for diagnosing neurodegenerative disease. No statistically significant relationship between TBI with LOC and dementia risk was discovered when the group with TBI with LOC was compared with the 1,537 patients who developed dementia during the study. Results for Alzheimer's disease (diagnosed in 1,322 study participants) were similar. However, regression data showed a strong association between TBI with LOC greater than an hour and Parkinson's disease (117 cases during the study). Neuropathological findings at autopsy (1,652 autopsy cases) showed no association between TBI with LOC and beta amyloid plaques or neurofibrillary tangles, the hallmark indicators of Alzheimer's disease. However, the autopsies found an increased risk for Lewy bodies (abnormal aggregates of protein) in TBI with LOC less than an hour and an increased risk of cerebral microinfarcts (microscopic stroke) in TBI with LOC more than an hour. "The results of this study suggest that some individuals with a history of TBI are at risk for late-life neurodegeneration but not Alzheimer's disease," says Kristen Dams-O'Connor, PhD, Co-Director of the Brian Injury Research Center and Associate Professor in the Department of Rehabilitative Medicine at the Icahn School of Medicine at Mount Sinai. "We want to identify and treat post-TBI neurodegeneration while people are still alive, but to do this, we need to first understand the disease. Prospective TBI brain donation studies can help us characterize post-TBI neurodegeneration, identify risk factors, and develop effective treatments." These findings suggest that clinicians may be misdiagnosing late-life TBI-related neurodegeneration as Alzheimer's disease, and therefore treatment targeting Alzheimer's would be ineffective in helping late-life decline among patients who experienced TBI. Further work is needed to characterize post-TBI neurodegeneration. ### The lead investigator for this study is Paul Crane, MD, MPH, Professor in the Department of Medicine at the University of Washington School of Medicine. Cleveland Clinic, University of Utah, Rush University Medical Center and Group Health Research Institute also contributed to this research. The National Institutes of Health and the Paul Allen Family Foundation provided funding for the study. About the Mount Sinai Health System The Mount Sinai Health System is an integrated health system committed to providing distinguished care, conducting transformative research, and advancing biomedical education. Structured around seven hospital campuses and a single medical school, the Health System has an extensive ambulatory network and a range of inpatient and outpatient services--from community-based facilities to tertiary and quaternary care. The System includes approximately 7,000 primary and specialty care physicians; 12 joint-venture ambulatory surgery centers; more than 140 ambulatory practices throughout the five boroughs of New York City, Westchester, Long Island, and Florida; and 31 affiliated community health centers. Physicians are affiliated with the renowned Icahn School of Medicine at Mount Sinai, which is ranked among the highest in the nation in National Institutes of Health funding per investigator. The Mount Sinai Hospital is ranked as one of the nation's top 10 hospitals in Geriatrics, Cardiology/Heart Surgery, and Gastroenterology, and is in the top 25 in five other specialties in the 2014-2015 "Best Hospitals" issue of U.S. News & World Report. Mount Sinai's Kravis Children's Hospital also is ranked in seven out of ten pediatric specialties by U.S. News & World Report. The New York Eye and Ear Infirmary of Mount Sinai is ranked 11th nationally for Ophthalmology, while Mount Sinai Beth Israel is ranked regionally. For more information, visit http://www.mountsinai.org/, or find Mount Sinai on Facebook, Twitter and YouTube. The medical imaging drink, developed to diagnose and treat gastrointestinal illnesses, is made of concentrated chlorophyll, the pigment that makes spinach green BUFFALO, N.Y. -- The pigment that gives spinach and other plants their verdant color may improve doctors' ability to examine the human gastrointestinal tract. That's according to a study, published today (July 11, 2016) in the journal Advanced Materials, which describes how chlorophyll-based nanoparticles suspended in liquid are an effective imaging agent for the gut. "Our work suggests that this spinach-like, nanoparticle juice can help doctors get a better look at what's happening inside the stomach, intestines and other areas of the GI tract," says Jonathan Lovell, PhD, assistant professor in the Department of Biomedical Engineering, a joint program between UB's School of Engineering and Applied Sciences and the Jacobs School of Medicine and Biomedical Sciences at UB, and the study's corresponding author. To examine the gastrointestinal tract, doctors typically use X-rays, magnetic resonance imaging or ultrasounds, but these techniques are limited with respect to safety, accessibility and lack of adequate contrast, respectively. Doctors also perform endoscopies, in which a tiny camera attached to a thin tube is inserted into the patient's body. While effective, this procedure is challenging to perform in the small intestine, and it can cause infections, tears and pose other risks. The new study, which builds upon Lovell's previous medical imaging research, is a collaboration between researchers at UB and the University of Wisconsin-Madison. It focuses on Chlorophyll a, a pigment found in spinach and other green vegetables that is essential to photosynthesis. In the laboratory, researchers removed magnesium from Chlorophyll a, a process which alters the pigment's chemical structure to form another edible compound called pheophytin. Pheophytin plays an important role in photosynthesis, acting as a gatekeeper that allows electrons from sunlight to enter plants. Next, they dissolved pheophytin in a solution of soapy substances known as surfactants. The researchers were then able to remove nearly all of the surfactants, leaving nearly pure pheophytin nanoparticles. The drink, when tested in mice, provided imaging of the gut in three modes: photoacoustic imaging, fluorescence imaging and positron emission tomography (PET). (For PET, the researchers added to the drink Copper-64, an isotope of the metal that, in small amounts, is harmless to the human body.) Additional studies are needed, but the drink has commercial potential because it: Works in different imaging techniques. Moves stably through the gut. And is naturally consumed in the human diet already. In lab tests, mice excreted 100 percent of the drink in photoacoustic and fluorescence imaging, and nearly 93 percent after the PET test. "The veggie juice allows for techniques that are not commonly used today by doctors for imaging the gut like photoacoustic, PET, and fluorescence," says Lovell. "And part of the appeal is the safety of the juice." ### The research was supported by a National Institutes of Health grant. The subtropical weather in Cornwall means new exotic crops such as quinoa and Japanese persimmon are now more likely to succeed, according to a new technique developed by University of Exeter experts to monitor the climate. Parts of Cornwall have become subtropical since 2000 and this could create opportunities to grow new, unusual plants. Sunflowers, maize, grapevines and tea are already grown in the Duchy. Researchers from the Environment and Sustainability Institute in Penryn, Cornwall, have developed new techniques for modelling local microclimates. The models capture the effects of terrain, sea temperatures, altitude and soil properties to predict local temperatures, which can differ greatly from those measured at weather stations. Using these models, they have been able to identify particularly mild parts of the landscape that would be most suitable for growing unusual crops associated with warmer climates. Sheltered coastal valleys are often buffered from the coldest temperatures by the more stable sea temperatures, and south-facing slopes are often up to 15 degrees warmer than the surrounding landscape. The model shows that the amount by which temperatures have increased over the last 40 years has varied across the county, as Cornwall has become sunnier as well as warmer, but some locations have benefited from this more than others. Dr Ilya Maclean, a Senior Lecturer in Natural Environment who lead the research, said: "While sub-tropical conditions may create opportunities to grow exotic crops, the lower frequency of frosts is also making Cornwall more susceptible to invasive species. As the temperatures continue to warm, we need to ensure we manage the risks carefully as well as capitalising on the opportunities. This will require scientists to continue to work hand-in-hand with the horticultural sector." The techniques build on those first developed by geographer Glenn Thomas Trewartha, of Cornish-American descent, who in 1966 developed the world famous classification system for grouping climates into polar, boreal, temperate, subtropical and tropical. Using this system, regions in which temperatures are 10C or greater for 4-7 months of the year are considered temperate, and those with temperatures of 10C or greater for more than 7 months of the year are considered subtropical. At that time the system was developed Cornwall lay firmly in the temperate zone. Climate change impacts and adaptive strategies: lessons from the grapevine is published in the journal Global Change Biology. ### July 11, 2016 (West Palm Beach, FL) - The Florida Institute for Health Innovation released a report today on communities from Palm Beach to Key West with the greatest risk for adverse health effects of sea level rise. In conjunction with the South Florida Regional Planning Council and Florida Atlantic University's Center for Environmental Studies, Florida Institute for Health Innovation mapped zones most prone to environmental sea level rise impacts, described associated public health risks and identified the region's socially, economically and medically vulnerable communities most susceptible to sea level rise health effects. Using an innovative public health framework developed by the Florida Institute for Health Innovation, the research provides a foundation for health-related climate change studies. It informs adaptation, mitigation and infrastructure planning and provides a new method for linking socio-economic vulnerability and health risks to climate change effects. It also includes a tool kit with recommendations for local decision makers and planners. Funded by the Kresge Foundation, the study findings provide significant indicators for communities and their socio-economic levels. It is also the beginning of better detection and monitoring of vector-borne illnesses such as the Zika virus and chikungunya fever. "Sea level rise represents an unexpected public health concern," said Roderick King, M.D., M.P.H., associate professor in the Department of Public Health Sciences at the University of Miami Miller School of Medicine, and CEO of the Florida Institute for Health Innovation. "We normally think of populations with the lowest socio-economic status as being the most vulnerable, due to lack of financial resources to pay for health care. In the case of sea level rise, the most vulnerable turn out to be the wealthier populations who can afford to live close to the ocean. They may also be older, with health issues that require regular treatment, and if they can't access health care because the streets are flooded, it poses a significant problem." A result of two years of cross-sector research and outreach, the study, titled Health and Sea-level rise: Impacts on South Florida, serves as a guide for all coastal communities. Newly established vulnerability indicators include flooding risk; educational attainment, income and race; emergency department visits and hospitalizations due to chronic obstructive pulmonary disease, asthma, and pneumonia, giardiasis, and healthcare access. Using these results, researchers mapped "hot-spots" in the region to be considered for Adaptation Action Areas, governmentally designated areas that experience sea-level rise impacts and coastal flooding. Director of FAU's Center for Environmental Studies, Colin Polsy, Ph.D. stated: "The human health impacts from climate change is a relatively under-researched area, especially as applied to South Florida. The report reflects a significant positive step towards improving South Florida's coastal resilience with applicability to other regions." Providing a next step for regional resiliency planning, the study directly addresses multiple recommendations outlined in the 2012 Regional Climate Action Plan led by the Southeast Florida Regional Climate Change Compact. "This is an important new study that will support ongoing regional and local resilience planning initiatives," stated Jim Murley, Chief Resilience Officer for Miami-Dade County. "It informs our efforts by stressing the link between climate change impacts and public health." ### The research team will host a webinar discussion of findings, methodology and implications for resiliency late summer, early fall. To receive webinar notifications and other briefings on the subject, please email info@flhealthinnovation.org. About the Florida Institute for Health Innovation The Florida Institute for Health Innovation (FIHI) believes that today's health challenges require a new way of working based on shared leadership, aligning root causes and strategy, and measurable results. A nonprofit public health institute, FIHI aims to achieve health equity for Florida's most vulnerable by addressing upstream factors that contribute to health. FIHI's mission states: Creating healthy and sustainable communities by championing innovative public health policy and cross-sector collaboration. They achieve this by facilitating data-driven, results- based collaborative action; conducting community-focused research, providing impartial analysis of policy health impacts and communicating accessibly. To learn more, visit http://www.flhealthinnovation.org. Contact information: Florida Institute for Health Innovation 2701 North Australian Ave., Suite 204; West Palm Beach, FL 33407 (561) 838-4444 info@flhealthinnovation.org http://www.flhealthinnovation.org Twitter: @flhealthinnova Facebook: https://www.facebook.com/flhealthinnov Children who suck their thumbs or bite their nails may be less likely to develop allergies, according to a new study from New Zealand's University of Otago. The finding emerges from the long-running Dunedin Multidisciplinary Study, which has followed the progress of 1,037 participants born in Dunedin, New Zealand in 1972-1973 into adulthood. The study, which appears in the August issue of the US journal Pediatrics, suggests that childhood exposure to microbial organisms through thumb-sucking and nail-biting reduces the risk of developing allergies. Study lead author Professor Bob Hancox says that this exposure may alter immune function so that children with these habits become less prone to developing allergy. Parents of Dunedin Study members reported their children's thumb-sucking and nail-biting habits when their children were ages 5, 7, 9, and 11 years old. The members were checked at ages 13 and 32 years old for atopic sensitisation, defined as a positive skin prick test to at least one common allergen. At age 13, the prevalence of sensitisation was lower among children who had sucked their thumbs or bit their nails (38 per cent) compared with those who did not (49 per cent). Children who both bit their nails and sucked their thumbs had an even lower risk of allergy (31 per cent), Professor Hancox says. The associations were still present at age 32 years and persisted even with adjustments for confounding factors such as sex, parental history of allergies, pet ownership, breast-feeding and parental smoking. "The findings support the "hygiene hypothesis", which suggests that being exposed to microbes as a child reduces your risk of developing allergies," he says. Despite these findings, Professor Hancox and his co-authors do not suggest that children should be encouraged to take up these habits, because it is unclear if there is a true health benefit. Ms Stephanie Lynch, a medical student who undertook the study as a summer project, says "although thumb-suckers and nail-biters had fewer allergies on skin testing, we found no difference in their risk for developing allergic diseases such as asthma or hay fever". ### The Dunedin Multidisciplinary Health and Development Research Unit, which runs the Dunedin Study, is supported by the Health Research Council of New Zealand. Walker County Commissioner Bebe Heiskell said the sale of the county landfill south of High Point has drawn considerable interest. "I think we will have at least five bidders," she said. One of those interested is the city of LaFayette, the county seat. Commissioner Heiskell said the bids will be opened on Thursday at 3 p.m. at her regular commissioner meeting. She said she expects a crowd because the landfill has become "the main thing my opponents have been talking about." Commissioner Heiskell said there was criticism about the landfill losing money and then more criticism when she decided to sell it. She said she felt it was better to go ahead and offer it for sale rather than slowly try to turn it around by increasing rates. She said the landfill rates are cheaper than competitors, but she said any time there was a rate increase it had a ripple effect - with private haulers charging their customers more. Commissioner Heiskell said there is especial interest in the property since it accepts construction and debris items. She said the Hamilton County landfill at Birchwood is closing its C&D operation. She said when the county ambulance company was sold it worked out better for the community. She said the private firm has lower rates and a better fleet of ambulances. Commissioner Heiskell said Walker and Dade counties are the only area Georgia counties still operating landfills. She said, "Maybe the other counties know something we don't know." Outdoor learning can have a significant and positive impact on children's quality of life but needs to be introduced more formally into global school curricula in order for its potential benefits to be fully realised, a new report suggests. Student Outcomes and Natural Schooling has been produced by Plymouth University and Western Sydney University, following a conference organised in collaboration with the University of East London and Natural England, and with funding from the Economic and Social Research Council (ESRC). It highlights the many and varied benefits to children of learning in the natural environment, not just from an educational perspective but also in terms of their behaviour, social skills, health and wellbeing, resilience, confidence and sense of place. But it also says that in an age dominated by a full curriculum, busier family lifestyles and increased fear within society, children are losing the freedom to play, explore and be active in their environment and being denied opportunities that could enhance their long term prospects. The report, published today, identifies a framework showing how governments could build on existing and current research and introduce outdoor learning as an integral element of national education policies. Sue Waite, Reader in Outdoor Learning at Plymouth University and one of the authors of the report, said: "At the moment, if outdoor learning is part of a school's curriculum in England, it is largely because the teachers recognise the values of it. With so much focus on academic attainment, there can be pressure on teachers to stay in the classroom which means children are missing out on so many experiences that will benefit them throughout their lives. This report shows that although there is significant research which supports outdoor learning for academic as well as social and personal outcomes, it is only by having that recognised by policy makers that we are likely to achieve universal positive cultural change." Over the past 10 years, there have been five significant reviews focussed on children learning in natural environments in the UK and abroad. This is at a time when there is evidence that childhoods are dramatically changing, and children are experiencing limited opportunities to be outdoors in formal or informal learning settings, with consequent negative effects. This report was produced following the Lessons from Near and Far conference led by Plymouth University in July 2015, which featured 21 international presentations intended to encourage researchers, practitioners and policy makers to share areas of best practice which could potentially be embedded into national policies. The report also includes a review of recent research and policy impacts in the UK, Australia, Singapore and Denmark (which host partners in the ESRC funded international partnership network), to demonstrate ways in which evidence and policy can support each other effectively. The framework it proposes includes pathways to research informed practice designed to generate five key outcomes for children: a healthy and happy body and mind; a sociable confident person; a self-directed creative learner; an effective contributor; an active global citizen. Professor Karen Malone, from Western Sydney University's Centre for Educational Research, said as the amount of evidence on the benefit of learning in natural environments on health and wellbeing continues to mount, the question is, is it enough to persuade policy makers to come on board? She said: "This report maps the evidence to encourage researchers and policy makers to meet at the interface of research and policy in order to shape a positive future for our children. While the report was funded and supported by agencies in the UK, the lessons learnt resonate for most high income nations around the world, particularly in Australia, where the political landscape and its impact on funding for programs in schools for outdoor learning are comparable. The report should be taken up and read widely by researchers, educators and policy makers connected to the field of outdoor education, health and physical education and sustainability and environmental education." ### Crimes committed in England and Wales contributed over 4 million metric tonnes CO2e, equivalent to the carbon emissions of around 900,000 UK homes Burglary is the worst offender, contributing 30% of the total crime carbon footprint, followed by criminal justice system services (21%) However, reducing crime does not necessarily reduce carbon footprint, according to University of Surrey study Recent research by the University of Surrey's Centre for Environmental Strategy has found that despite policy makers currently examining the economic and social impacts of crime, the environmental impacts have not, to date, been included. The study, published in the Journal of Industrial Ecology, estimates the carbon footprint of crime. It was conducted by a UK-based research team led by an engineering doctorate student, Helen Skudder, in the Centre for Environmental Strategy at the University of Surrey. The authors use a complex method of quantification--applying carbon emissions factors to the monetized costs of crime using environmentally-extended input-output analysis. This allowed them to estimate the carbon footprint of crime committed in England and Wales in 2011. They found it to be over 4 million metric tonne s CO2e, equivalent to the carbon emissions of around 900,000 UK homes. Of the offence types considered, burglary resulted in the largest proportion of the total footprint (30%) due to large volume of offences and the carbon associated with replacing stolen or damaged goods. Criminal justice system services (policing, prisons and courts) also accounted for a large proportion of the total footprint (21% of all crime and 49% of police recorded offences). The limitations to the findings are particularly interesting and predominantly result from the footprinting methodology. Although it is tempting to conclude from the research that crime reduction will automatically result in a reduction of carbon emissions, this is not necessarily the case, due to the rebound effect. That is, the study considered how money currently spent addressing crime might be spent in the absence of crime. By comparing the carbon emissions associated with this re-spending of the money with the carbon footprint of crime, it was found that the most likely rebound effect would be an increase in emissions of around 2%. Author Helen Skudder, of the University of Surrey, said "Although there are limitations to the methodology, we've highlighted some interesting findings, such as the large proportion of the footprint which arises due to burglaries. Looking at burglary in more detail, we find that emissions not only arise from policing and the criminal justice system response to crime, but also that the carbon arising due to replacement of stolen items is significant. The analysis illustrates the complex ways that institutions in society and the associated economic activity shape the impact we have on our climate. We have shown that it is possible to take into account the environmental implications of crime alongside the social and economic costs, as part of crime prevention policy appraisals". ### The article, "Addressing the Carbon-Crime Blind Spot: A Carbon Footprint Approach" is freely downloadable here. Media enquiries: Ashley Lovell, Media Relations Office at the University of Surrey, Tel: 01483 686141 or E-mail: a.lovell@surrey.ac.uk Notes to Editors: About the University of Surrey The University of Surrey is one of the UK's leading professional, scientific and technological universities with a world-class research profile and a reputation for excellence in teaching. Ground-breaking research at the University is bringing direct benefit to all spheres of life - helping industry to maintain its competitive edge and creating improvements in the areas of health, medicine, space science, the environment, communications, defence and social policy. Programmes in science and technology have gained widespread recognition and it also boasts flourishing programmes in dance and music, social sciences, management and languages and law. In addition to the campus on 150 hectares just outside Guildford, Surrey, the University also owns and runs the Surrey Research Park, which provides facilities for 110 companies employing 2,750 staff. The University of Surrey was named University of the Year by the Times and Sunday Times, both overall and for 'Student Experience', and achieved a top-five ranking in the Guardian University Guide 2017. For more information about the University and its work, visit http://www.surrey.ac.uk Nicotine experimentation could become nicotine addiction for youth who never used any other tobacco products, USC study suggests A new USC study debunks the popular belief that electronic cigarettes are merely a substitute for cigarettes among teens. Instead, the study suggests that some teens who never would have smoked cigarettes are now vaping. E-cigarettes, which entered the U.S. market in 2007, vaporize liquids that may or may not contain nicotine. In 2011, about 1.5 percent of high schoolers had vaped in the past 30 days, according to the National Youth Tobacco Survey. Four years later, that number skyrocketed to 16 percent. A USC study of 5,490 high school juniors and seniors shows tobacco use among teens in Southern California is on the rise. In 2014, about 14 percent of 12th-graders said they had either smoked or vaped in the previous 30 days. A decade earlier -- before e-cigarettes were sold in the United States -- 9 percent of surveyed teens in this age group reported that they had smoked, said Jessica Barrington-Trimis, lead author and a postdoctoral scholar research associate in the Department of Preventive Medicine at the Keck School of Medicine of USC. "If teenagers who vape are using e-cigarettes instead of cigarettes, we would have expected to see the decline in smoking rates continue through 2014," Barrington-Trimis said. "But what we've seen is a downward trend in cigarette use from 1995 to 2004 but no further decrease in cigarette smoking rates in 2014. The combined e-cigarette and cigarette use in 2014 far exceeded what we would have expected if teens were simply substituting cigarettes with e-cigarettes. The data suggest that at least some of the teens who are vaping would not have smoked cigarettes." The study, published on July 11 in the journal Pediatrics, followed five groups of high schoolers who graduated in 1995, 1998, 2001, 2004 and 2014. Researchers collected the history of tobacco use in an individually administered questionnaire. Cigarette use is the largest preventable cause of death and disease in the United States. Cigarette smoking kills more than 480,000 Americans annually, according to the Centers for Disease Control. "An important question in the rapidly evolving landscape of youth tobacco product use is whether e-cigarettes are replacing cigarettes," said Rob McConnell, the study's senior author and professor of preventive medicine at Keck Medicine of USC. "However, use of e-cigarettes by youth who would not otherwise have smoked results in exposure to the hazards of inhaled vaporized liquids and flavorings in e-cigarettes and may result in exposure to nicotine that can damage the adolescent brain." USC is one of 14 U.S. research institutions that received National Institutes of Health funding to establish the Tobacco Centers of Regulatory Science. What the numbers suggest The National Youth Tobacco Survey has reported a long-term decline in teen smoking rates followed by a leveling off between 2014 and 2015. The USC study found that the number of 12th-graders in Southern California who had smoked in the past 30 days dropped from 19 percent in 1995 to about 9 percent in 2004 and then leveled off, with the rate of smoking just under 8 percent in 2014. But when cigarettes and e-cigarettes were combined, some 14 percent of high school seniors in 2014 said they had smoked or vaped in the last 30 days. "Because e-cigarettes are perceived as less harmful and less dangerous than combustible cigarettes, another concern is that teens may be introduced to nicotine use via e-cigarettes," Barrington-Trimis said. "In California, where smoking rates are among the lowest in the country, the increase in vaping, possibly followed by increases in smoking, could erode the progress that has been made over the last several decades in tobacco control." A perilous experiment In fact, older teens who experiment with electronic cigarettes are six times more likely to try regular cigarettes within about a year when compared to those who have never vaped, reported Barrington-Trimis and her USC colleagues in a study published last month in Pediatrics. Although some e-liquid providers say their products do not contain nicotine, this industry has not been regulated until just recently. The U.S. Food and Drug Administration announced plans to regulate all tobacco products -- including e-cigarettes, cigars and hookah tobacco -- in May. Last month, California became the second state, behind Hawaii, to raise the age of tobacco purchase -- including e-cigarettes -- from 18 to 21. "E-cigarettes may be recruiting a new group of kids to tobacco use," Barrington-Trimis said. "E-cigarettes may be safer than regular cigarettes for adults who are transitioning from smoking to vaping, but for youth who have never used any other tobacco products, nicotine experimentation could become nicotine addiction." ### The study was supported by the National Institutes of Health National Cancer Institute and the Food and Drug Administration Center for Tobacco Products (P50CA180905). Ever play with a magnifying lens as a kid? Imagine a lens as big as the Earth. Now focus sunlight down to a pencil tip. That still wouldn't be good enough for what some Texas scientists have in mind. They want to make light even 500 times more intense. And they say it could open the door to the most powerful radiation in the universe: gamma rays. Comic book readers might know about gamma rays. The Incredible Hulk was transformed from mild scientist into wild superhero by gamma rays from a nuclear explosion. The real gamma rays form in nature from radioactive decay of the atomic nucleus. Besides hazardous materials, you'd have to look in exotic places like near a black hole or closer to home at lightning in the upper atmosphere to find natural forces capable of making gamma rays. Scientists have found that gamma rays, like the Hulk, can do heroic things too -- if they can be controlled. Hospitals now eradicate cancer tumors using a 'gamma ray knife' with surgical precision. The rays can also image brain activity. And gamma rays are used to quickly scan cargo containers for terrorist materials. But it's near impossible to make gamma rays with non-radioactive materials. To do that today one needs a colossal atom smasher like at CERN or SLAC. No one has been able to make a gamma ray beam from lasers. But it can be done, say scientists at The University of Texas (UT) at Austin. Supercomputers might have helped unlock a new way to make controlled beams of gamma rays from a laser that fits on a table-top, according to research physicist Alex Arefiev, who has a dual appointment at the Institute for Fusion Studies and at the Center for High Energy Density Science at UT Austin. Arefiev co-authored the study, "Enhanced multi-MeV photon emission by a laser-driven electron beam in a self-generated magnetic field," published May 2016 in the journal Physical Review Letters. "One of the key results that we found is that a laser pulse can be efficiently converted into a beam of very energetic photons," Arefiev said. "They are more than one million times more energetic than the photons in the laser pulse. Until recently, there hasn't been a method for producing a beam of such energetic photons. So the proposed regime can be groundbreaking for a number of applications and also for fundamental science studies." Arefiev and colleagues want to fire up the Texas Petawatt Laser, one of the most powerful lasers in the world. They'll target a piece of solid plastic with a tiny chamber drilled through that's filled with plastic foam. Simulations run on the Lonestar and Stampede supercomputers of the Texas Advanced Computing Center (TACC) show that the laser goes through the target chamber without making a hole, like sunlight through a pane of glass. Along the way it energizes the electrons of the foam. This plasma of high-energy electron particles then release a controlled beam of ultra-energized photons, the gamma rays. Study lead David Stark said, "It's exciting to be able to work in collaboration with people at the Texas Petawatt Laser," which is also at UT Austin. "That was one of the benefits to doing this study, being able to combine plasma physics with the optical capabilities that are just in the basement of our building." Stark was then a graduate student of the physics department at UT Austin, and has since completed his PhD and moved on to an appointment at Los Alamos National Laboratory. The scientists found even more than just radiation, said study co-author Toma Toncian. "In a nutshell, we have discovered using numerical simulations a physical regime where we would generate the highest magnetic fields ever generated on Earth. A side benefit is that we would also generate one of the most intense gamma ray sources." Toncian is the assistant director of the Center for High Energy Density Science at UT Austin. The ultra-high magnetic fields induced by the laser strike are key to what the scientists describe as 'relativistic transparency' of the target. For instance, if you aim your normal laser pointer at a blackboard, some light is reflected but mainly it's absorbed at the surface. The electrons in the material follow the oscillation of the laser field and short circuit it so it cannot propagate inside the board. "In our case," Toncian explained, "the electrons are getting heavier and heavier because we are accelerating them very close to the light speed. They become immobile. They cannot respond anymore to the high oscillating light of the laser. Suddenly, the laser can propagate inside the target because the electrons cannot short circuit the laser light." Besides relativity, the scales of the experiment can boggle the mind. They're working with some of the world's most powerful laser light, amplified to a petawatt -- a billion million watts. The light burst dwarfs by several several hundred times the power from all of the world's electric plants combined. But it only lasts only a few hundred femtoseconds -- a millionth of one billionth of a second. That's about as long as it takes for the laser light to go through the target, which is only 1/100 as thick as a human hair. "On that timescale, we need to be able to resolve the dynamics," Stark said. "Because that's how we understand the physics of what's going on. We needed to use in our kinetic simulation very high resolution on both space and time." Scientists have turned again and again to computer simulation in cases where they need to know what's happening when there's thousands, millions, billions of things going on simultaneously, and each thing influences every other thing. Here they used the UK-developed EPOCH 'particle-in-cell' code, where particles are modeled as 'chunks' that describe the bigger reality of the dynamics of the plasma system. About three billion excited electrons advance at infinitesimally small time steps in the simulation. "To do that, we needed to be able to use many, many processors simultaneously in order to evolve the system in a meaningful length of time to observe what we're trying to find. That was one of the major challenges," Stark said. "That's why we turned to TACC. We started out by using Lonestar 4. And now we've started working with Stampede more. We're using both 2D and 3D simulations. We're using thousands of processors simultaneously for all these simulations and running them for the better part of a day. We're talking about tens of thousands, up to 60,000 processor hours for one simulation, just to get all the data out. So, we realistically needed to use the facilities here at TACC in order to achieve what we're looking for," Stark said. What's more, as the particles move through their plasma, they generate the gamma ray photon particles. "The number of particles increases dramatically during the simulations," Arefiev said. "The memory requirements are also very stringent. Stampede, with the extra memory resources was very helpful." And then once you are done with the simulation, you have a lot of data. Even for just a 2-D output, one snapshot can be hundreds of megabytes. That can be tens of gigabytes for a 3D output. And then you have tens and tens of those files." Hundreds of thousands of computing hours on Stampede and Lonestar were needed not only for the computation but also for the visualization and post-processing of the laser experiment data, said Arefiev. "The supercomputer can run for a day, but then to post-process the data and to assemble it to determine which electron emitted what photon, that was pretty demanding too. And after that, the visualization takes a lot of time. This would not have been possible without the resources that TACC provided to us," Arefiev said. "One of the big assets of having Stampede at TACC available for our research is, of course, you can do a lot of productive runs," Toncian said. "You can do parameter variations that you wouldn't have been able to do in the past." One of the further possibilities opened up by advanced computing in this laser research is the creation of antimatter -- the mirror nemesis of the ordinary matter that makes our existence. When matter and antimatter meet, they annihilate and create gamma rays. Arefiev's team want to reverse the process. "Potentially," said Arefiev, "you could have a gamma ray collider, which seemed not even feasible until recently, in a laboratory on Earth, to collide two beams of light and actually produce matter. Not just a couple of particles, but a lot of them." Plentiful antimatter creation has eluded even the world's biggest science labs like CERN. It would cost over one million billion dollars to make one gram of antimatter, according to Symmetry magazine. "There would be a substantial amount of matter in the vacuum created out of light," Arefiev continued. "This can potentially allow people to study some of the processes that are underpinning a lot of phenomena in the universe, in the laboratory." "Scientists are generally very, very curious," Toncian said. "Their curiosity drives them. In Europe, there is a laser consortium sponsored by the European Union to build a huge laser facility. This huge laser facility would be at least 10 times bigger than what we have here in Texas at UT Austin, in terms of the Texas Petawatt Laser. These are 10 petawatt lasers. They have a huge and broad scientific case in order to be able to finance a lot of these envisioned studies." Toncian said that what they're doing in Texas with their laser could pave the way for bigger science with the proposed EU laser. "I think the most important outcome of our study is that we can now actually fast track a lot of the science that was planned to be done basically just with this future 10 petawatt laser," said Toncian. But Texas scientists aren't just going to wait around. Real tests based on the simulations will be performed in 2016 with the Texas Petawatt Laser led by Professors Manuel Hegelich and Todd Ditmire from the Center for High Energy Density Science at UT Austin. "So very soon (at the time of interview), an experiment will probe for the first time the intensity regime we just predicted up to now, theoretically," Arefiev explained. "It's going to be a very interesting time for us to see if these effects will really be seen and measured." Arevfiev joked that he didn't want to become a victim of his own success. "I told the guys to let me know when they do their runs. The gamma rays are so intense and so energetic that they don't even need to remove the aluminum flanges to detect them. So I would like to stay at home when they do the experiment, just in case everything works," Arefiev said. ### This research was supported by funding from the Air Force Office of Scientific Research, National Nuclear Security Administration, and the US Department of Energy. HPC resources were provided by the TACC at the University of Texas at Austin. In a new study published in Frontiers in Microbiology, Mark Eppinger, assistant professor in the Department of Biology at The University of Texas at San Antonio (UTSA) describes innovative strategies to track disease-causing pathogens like E. coli. Eppinger hopes his research will aid in halting and preventing large-scale outbreaks. "When there's an outbreak of human pathogenic E. coli, a timely response is very important," he said. "Usually, at first no information is available about where the disease originated." Eppinger, a member of the UTSA South Texas Center for Emerging Infectious Diseases, calls the method he developed at UTSA a form of whole genome sequence typing of outbreak strains. According to the researcher, each outbreak features unique characteristics. The outbreak strains have subtle traits that make them distinguishable from other strains, which makes it possible for Eppinger to compare and contrast them to other outbreaks. He likens the investigation to looking at a parking lot full of the same blue car. They all look very similar, but Eppinger is looking for the tiniest differences such as a dent, a scratch or a broken tail light. "No detail is too small," he said. "We can use these differences to trace the outbreak back to its contaminated source by looking to see if these traits existed in other reported outbreaks of the same pathogen." Finding similarities between outbreaks allows for the pathogen to be traced back to a certain country or region, or even to a singular location. The more quickly investigators can find the source of an outbreak, the faster they can contain the outbreak. Eppinger's strategies can also aid in identifying how lethal the pathogen can be. In his study, he focused on outbreaks of E. coli, which has recently affected restaurants like Chipotle and companies like Totino's, which sells frozen pizza products. "Some outbreaks can be more severe than others," he said. "As a result of the 2006 outbreak, which spread through spinach, nearly half the people effected were hospitalized and a large portion had permanent kidney damage." By studying strains from different past E. coli outbreaks with his techniques, Eppinger is able to measure the amount of toxin produced in each strain, which is a direct mediator of disease and shows how severe the resulting illness could be. "In terms of outbreak investigation, you can ask a person where they've eaten, where they work and if they've traveled recently," Eppinger said. "If we get an outbreak strain, we can map it directly to other outbreak strains and pinpoint the origin. The answer is there in these tiny details." ### HOUSTON - (July 11, 2016) - A patch made from cryopreserved human umbilical cord may be a novel method for treating spina bifida in utero, according to researchers at McGovern Medical School at The University of Texas Health Science Center at Houston (UTHealth). The findings were published today in Obstetrics & Gynecology, the journal of The American College of Obstetricians and Gynecologists. A patch comprised of the donated outer layer of the umbilical cord from healthy newborns was used for the repairs. The surgeries were performed at Children's Memorial Hermann Hospital. "The promise of this patch is that the umbilical cord contains specific natural material called heavy chain hyaluronic acid/pentraxin3 that has regenerative properties," said Ramesha Papanna, M.D., M.P.H., lead author, assistant professor in the Department of Obstetrics, Gynecology and Reproductive Sciences at McGovern Medical School and maternal-fetal medicine specialist at The Fetal Center at Children's Memorial Hermann Hospital. "It allows the local tissue to grow in at the repair site instead of a healing by scar formation that occurs with traditional repair methods. This decrease in scar formation may help improve the spinal cord function further and reduce the need for future surgeries to remove the effects of the scar tissue on the spinal cord." According to the National Institute of Neurological Disorders and Stroke, spina bifida is characterized by the incomplete development of the coverings of the brain, spinal cord or meninges - the protective covering around the brain or spinal cord. It is the most common neural tube defect in the country, affecting 1,500 to 2,000 of the more than 4 million babies born each year. The defect can result in paralysis, urinary or bowel dysfunction and mental retardation. In 2011, a landmark clinical trial sponsored by the National Institutes of Health found that if a fetus underwent in utero surgery to close the defect, the serious complications associated with spina bifida could be reversed or lessened. In cases where the defect was too large to close with the fetus' existing skin, a patch was necessary. But in some cases, scar tissue may cause adherence of the patch to the underlying spinal cord. This could result in a loss of neurologic function as the child ages. Further surgery was often needed to remove this scar tissue. "The use of this patch for fetal repair heralds a new era for fetal spina bifida repair," said Kenneth Moise, M.D., co-author, professor, director of the Fetal Intervention Fellowship Program at McGovern Medical School and co-director of The Fetal Center. "For the first time, a bioscaffold has been successfully employed to allow the fetus to heal itself. The implications for the future of a minimally invasive approach to fetal spina bifida repair and even neonatal spina bifida repair are enormous." In the first case study, the skin lesion in the fetus measured 5 centimeters by 6 centimeters and there was evidence of Chiari II malformation, a complication of spina bifida in which the brain stem and the cerebellum protrude into the spinal canal or neck area. It can lead to problems with feeding, swallowing or breathing control. At 24 weeks gestation, the patient underwent fetal surgery by KuoJen Tsao, M.D., associate professor and The Children's Fund Distinguished Professor in Pediatric Surgery and co-director of The Fetal Center, and Stephen Fletcher, D.O., co-author, associate professor in McGovern Medical School's Department of Pediatric Surgery and pediatric neurosurgeon affiliated with Memorial Hermann Mischer Neuroscience Institute at the Texas Medical Center and Children's Memorial Hermann Hospital. Moise and Papanna participated in the surgery. The lesion was closed with skin edges sutured to the human umbilical cord patch in a watertight fashion. The mother was discharged on postoperative day 5. The baby was born at 37 weeks and the patch was intact with no leakage of fluid. The patch at the site of the lesion appeared semi-translucent with incomplete regeneration of the skin. Within two weeks, the skin had healed over the patch spontaneously. The child had normal movements of the lower extremities and bladder control function and there was a complete reversal of the Chiari II malformation. In the second case, performed by the same team, the patient's fetus had a lesion of 4 centimeters by 5 centimeters and Chiari II malformation. The expectant mother underwent surgery at 25 weeks gestation and the procedure and application of the patch were similar to the first case. The baby was delivered at 37 1/2 weeks and there was complete covering of the lesion with the patch but without skin grown into the patch. As with the first case, the skin grew over the patch and by day 30, was completely healed. There was normal motor and urinary function and the Chiari II malformation was completely reversed. Both cases were approved by the FDA under Expanded Access use, the Fetal Therapy Board of The Fetal Center at Children's Memorial Hermann Hospital and UTHealth Institutional Review Board prior to the surgery. The clinical cases were the culmination of seven years of research after Papanna, and co-author Lovepreet K. Mann, M.B.B.S., instructor in McGovern Medical School's Department of Obstetrics, Gynecology and Reproductive Sciences, began brainstorming ideas about possible patch materials. This led them to co-author Scheffer C.G. Tseng, M.D., Ph.D., of Ocular Surface Center and TissueTech, Inc., in Miami, Fla., who was using human amniotic membrane and umbilical cord - donated by mothers of healthy infants - to repair corneas. The patch is approved by the U.S. Food and Drug Administration for corneal repair. "This patch acts as a scaffold, which is watertight and allows native tissue to regenerate in an organized manner, and has anti-scarring, anti-inflammatory properties. Preventing the scarring could prevent tethering, which can prevent further damage to the cord," Mann said. The patch was first tested in animal models by a team of researchers that included Papanna, Mann, Moise, Fletcher and Saul Snowise, M.D., a maternal-fetal fellow who has now joined McGovern Medical School as an assistant professor in the Department of Obstetrics, Gynecology and Reproductive Sciences. In 2011, after the landmark national trial for fetal surgery was ended early because of positive results, physicians at McGovern Medical School and The Fetal Center were the first in Texas to perform the newly approved surgery. Since then the team has performed more than 30 fetal surgeries to treat spina bifida. Mann said the team was taken aback at first by the lack of skin covering the patch at the birth of the first infant but she could see the child's legs moving and knew it was an early success that they hope will continue as the baby grows. "It would mean a lot to the team if we can make a small change and improve the quality of life for the child. That will mean we really did something," she said. The team has since completed a third surgery and Fletcher has used the new patch in surgeries to untether the spinal cord of children who had previous spina bifida surgery. They wait now to see if the umbilical cord patch will help prevent tethering in the long run. Currently, the team members are working on finding ways to make the skin heal inside the uterus and different ways to deploy the patch over the defect site through less-invasive ways. Research collaborators, who come from different disciplines across the country, include Sanjay Prabhu, M.B.B.S., assistant professor of pediatric neuroradiology at Harvard Medical School; Raymond Grill, Ph.D., professor of neurobiology and anatomical sciences at the University of Mississippi; and Russell Stewart, Ph.D., professor of biomedical engineering at the University of Utah. ### Hi friends, I think I may have a little problem - I have a UK driving license but I'm a Canadian national. I just read that in order to transfer your license to a Abu Dhabi / UAE one both the nationality of the person and the country of issue of the driving license must match. Eg if a UK license then you must also be a UK national. Two questions therefore: 1) How fussed are they if the nationalities of the documents do not match. 2) If I can't transfer my document, would I have to then do a driving lesson/exam from scratch? Cheers! Hey, Just recently moved here. Have been playing squash for a few years. Would classify myself as an intermediate player. Would like to play more but unfortunately don't have access to a court as such and neither do I know any players here. If you'd like to play and are located somewhere in Dubai/Sharjah, give me a shout and we can figure something out. fmartin_gila said: That is a great batch of old pics, thanks. Gene thanks for the help, I don't know how to do some things. Fred Click to expand... You're very welcome Fred. Believe me, there are many things I don't know how to do too. Just ask my wife---I'm sure she has the list somewhere---Hahaha Decided to purchase a new vehicle here in the Philippines. As most know if you get a loan to finance a vehicle here they normally want 20% down payment, with financing from 24-60 months. Also most loans are to be paid off by reaching 65 years old. I am currently 68 years old. Working with my BDO branch manager I secured a loan with 25% down with 36 months financing, the loan department wanted 30% down and because of my age will only go 36 months. We will pay the car off early next year so the loan term is immaterial. Just letting you know that loans are still possible for us old farts. Personally could care less about a new vehicle but the asawa has never had one so worthwhile from that standpoint. Suggest all to establish a good working relationship with your bank branch manager. They can be very helpful. Think the asawa will be happy with her new Tucson. Chuck As the job hunting in South Africa seems to be close to an impossible task to overcome, my girlfriend has suggested that she starts a company and hire me as an IT consultant. Forgetting, for the moment, the fact that we would need to get clients to get the business going (which may or may not be easier than getting a normal job...), would there be any issues when presenting a contract to the DHA? Issues i'm thinking of are the fact that a salary may not be present in the beginning while hunting for contracts. This is ok for me as i have the financial means, but perhaps the DHA will care and i assume a salary will have to be mentioned in the contract? Also the company would literally have been started just before presenting a contract to the DHA, will they look that up and consider it fishy? Will the fact that my girlfriend is the owner trigger any warnings? Any input is much appreciated. Hi guys, Googled couple of hours long, but failed to find any information, maybe someone knows something or can give some advise how/where to find needed information. So, here is my story: I'm originally from Russia, have Russian citizenship, have German residence permit, living and working in Germany since about 14.5 years. My German company is going to sign a contract with a Spanish company which will be doing software development for us and planning to send me to Spain for 1-1.5 years to stay there as technical representative. Officially, I will stay employee of my German company and will receive my usual salary in Germany, but will have stay on-site in Spain. From point of view of German officials, my residence permit will stay valid. Question is will I need some special Spanish stay or work permit or some visa in this situation? I know, there is EU Blue Card, but since I will be employee of Germany company, not sure if it can be applied to me. Thanks for any information or advise. Best regards Alexey From: American Evaluation Association (AEA) For Immediate Release: Dateline: Washington , DC Monday, July 11, 2016 Ann Price and I am the President of Several of the Georgia prevention collaboratives with whom I work are using Positive Social Norms (PSN) campaigns to prevent youth substance abuse. Many choose either the Most of Us approach taught by the Lessons Learned: Community-based programs are most effective when they are grounded in the needs of the community and reflect the But why here? That is, the factors in the community that support teen initiation and use and misuse of alcohol or other drugs. Hot Tip: Think of the But Why? as the overarching cause of a social problem such as substance abuse and the But Why here? as the local condition helps communities focus on what is really driving a particular issue in their community. Designing the logic model, community intervention, and the evaluation around the But Why? and But Why Here? helps to focus your work. Lesson Learned: You can lead a horse to water but you cant make your clients drink. Coalitions and community collaboratives get stuck along the prevention road in many different ways. For example, some get stuck in the beginning phases of coalition development. Others get stuck after they design their logic model but never move on to implementation. Some talk about, but never develop a sustainability plan. Evaluation is also about program development and implementation- be there for your client as a prevention partner every step of the way. Rad Resource: Were looking forward to October and the Evaluation 2016 annual conference all this week with our colleagues in the Local Arrangements Working Group (LAWG). Do you have questions, concerns, kudos, or content to extend this aea365 contribution? Please add them in the comments section for this post on the aea365 webpage so that we may enrich our community of practice. Would you like to contribute to aea365? Review the contribution guidelines and send your draft post to My name isand I am the President of Community Evaluation Solutions, Inc . (CES), a consulting firm based in Alpharetta, Georgia just outside of Atlanta. I am a community psychologist and work with many federally-funded, community-based alcohol and substance abuse prevention programs. Across the state of Georgia, whether in rural areas or suburban areas of the state, community coalitions are working together to prevent youth substance use using environmental strategies.Several of the Georgia prevention collaboratives with whom I work are using Positive Social Norms (PSN) campaigns to prevent youth substance abuse. Many choose either the Most of Us approach taught by the Montana Institute or the methodology proposed by Michael Haines . Both involve developing substance abuse prevention messages to correct incorrect perceived norms of rates of use. The goal of the PSN approach is to bring perceived norms in line with actual norms of alcohol or other substance use. The hope is that by correcting the perceived norm, the rates of underage drinking and binge drinking will decrease. For example, many youth overestimate actual rates of alcohol use on college campuses, assuming that ALL students drink, when in fact, this is not true. A PSN campaign might include messages like Most Central High School students dont drink that are shared on campus posters and through social media. Some of our clients are beginning to demonstrate a correction in the perceived norm and a reduction in youth alcohol use.Community-based programs are most effective when they are grounded in the needs of the community and reflect the But why here? That is, the factors in the community that support teen initiation and use and misuse of alcohol or other drugs.Think of the But Why? as the overarching cause of a social problem such as substance abuse and the But Why here? as the local condition helps communities focus on what is really driving a particular issue in their community. Designing the logic model, community intervention, and the evaluation around the But Why? and But Why Here? helps to focus your work.You can lead a horse to water but you cant make your clients drink. Coalitions and community collaboratives get stuck along the prevention road in many different ways. For example, some get stuck in the beginning phases of coalition development. Others get stuck after they design their logic model but never move on to implementation. Some talk about, but never develop a sustainability plan. Evaluation is also about program development and implementation- be there for your client as a prevention partner every step of the way. Community Anti-Drug Coalitions of America (CADCA) is a great resource for prevention information.Were looking forward to October and the Evaluation 2016 annual conference all this week with our colleagues in the Local Arrangements Working Group (LAWG). Do you have questions, concerns, kudos, or content to extend this aea365 contribution? Please add them in the comments section for this post on the aea365 webpage so that we may enrich our community of practice. Would you like to contribute to aea365? Review the contribution guidelines and send your draft post to aea365@eval.org Gov. Greg Abbott, injured in an incident in which his legs and feet were burned by scalding water, was admitted Monday to San Antonio Military Medical Center Centers burn unit after an examination found he had developed a minor infection. Abbott will undergo skin grafts Tuesday to repair his feet. The procedure will require local anesthesia and he is expected to be discharged afterward and return to Austin, the governors office said in a statement issued Monday afternoon. As a result of todays news, and regretfully, Governor Abbott will not be able to attend tomorrows memorial service at the Morton H. Meyerson Symphony Center in Dallas, the statement continued. In his place, First Lady Cecilia Abbott will attend. Further decisions on Governor Abbotts schedule continue to be day-to-day. The decision to admit Abbott came after an outpatient visit at Brooke Army Medical Center, which serves as the Defense Departments sole burn treatment facility, and followed an incident late last week in which Abbott, 58, suffered second- and third-degree burns to his legs and feet. The governors office has blamed scalding water for the injuries but has not explained how the accident happened while Abbott was vacationing last week in Wyoming. His deputy press secretary, John Wittman, wouldnt say how the accident occurred, but added that infection is certainly a concern for Abbott, a paraplegic. He obviously went to the hospital right away when he was in Wyoming, Wittman said. This is basically, its a check-up, and the biggest concern right now is risk of infection, so were ensuring that everythings going along and progressing as it should be. Abbott suffered the burns while at a lodge in Jackson Hole, Wyoming, but rushed back to Texas following last Thursdays shooting attack that left five officers dead in Dallas. The governor appeared at a press conference Friday and was interviewed on national television. He was at St. Davids Medical Center in Austin on Saturday having his wounds treated when he took a call from President Obama, who expressed condolences for the loss of the officers. Abbott did not tell the president about his injury, Wittman said. He said his boss was expected to make a full recovery, though he did not know if Abbott would be able to attend the upcoming Republican National Convention in Cleveland, saying, That is still up in the air, as his schedule is day to day. A SAMMC spokesman, Dewey Mitchell, said the medical center couldnt comment on the governors case or even provide an expert to discuss general issues concerning second- and third-degree burns. SAMMC treats civilians who are taken to the hospitals emergency room. However, the facility would not accept a civilian seeking an outpatient examination for burns that are not potentially fatal unless an exception is made by high-level government officials. In a bureaucratic twist, the medical center still uses its original name, Brooke Army, for outpatient visits. With Abbott admitted, the newer name, San Antonio Military Medical Center, applies. Mourners flocked to the Dallas police stations over the weekend to pay their respects to the officers who died on duty Thursday night. In what was the worst attack involving law enforcement since 9/11, five officers were killed in Dallas during a Black Lives Matter march by Army veteran Micah Johnson, 25. Staff photographer Lisa Krantz captured tender moments between police officers, mourners and Dallas civilians alike who came to the memorial sites. South Dakota 4-H recognizes volunteers during the 2022 State Fair South Dakota 4-H inducted nine individuals into to the 4-H Volunteer Hall of Fame and 4-H Shooting Sports Volunteer Hall of Fame. The Tenant Farmers Association has announced it will be promoting its post Brexit agricultural policy at the Great Yorkshire Show in Harrogate this week. Produced in April and adjusted in light of the referendum result, the TFAs policy envisages a radical change in the way that British farming should be supported. TFA National Chairman Stephen Wyrill said: "Unlike other organisations, I am pleased the TFA thought about the shape of a post Brexit agricultural policy long before the result of the referendum was known. "This has enabled the TFA to hit the ground running with Ministers, Civil Servants and other stakeholders rather than scrabbling around for a viable plan. "Having made the decision to leave the EU it is important that we use our new found freedoms to develop a farm policy which delivers success for British farming including within the devolved administrations of our country. "We should retain the current budget and spend it through three new pillars. Outcome focused agri-environment scheme "Firstly one to create a properly constituted, outcome focused agri-environment scheme which properly rewards individuals for environmental management. "Secondly there should be an infrastructural grant scheme to encourage the development of farm businesses taking into account economic, social and environmental resilience. "Thirdly, a pillar using public funding to promote British farm products, funds near market research, develops public procurement of British food and promotes import substitution whilst finding beneficial export markets for our farm products," said Mr Wyrill. "We also have some messages for Government on trade. To date we have relied upon the European Union to negotiate our trade deals. "We now need highly skilled negotiators within the British civil service to assist our industry in having fair access to overseas markets for agricultural products. "But also, to ensure that British agriculture does not become the lever used to develop export markets in non-farming areas by granting unfavourable market access deals which will impact upon domestic markets in agricultural produce," said Mr Wyrill. "Whilst we await the appointment of the next Prime Minister and cabinet there is much that can be done to develop the groundwork on a new policy framework for agriculture and the TFA is fully engaged in making this a reality," said Mr Wyrill. Changes in consumer shopping habits and growing demand for organic food means there are greater business opportunities available for organic farmers and growers than ever before. Retail and sector exports said strong global demand for organic food indicates producers can feel confident about investing in the sector, provided they understand their market and where opportunities for growth lie. Speaking at OF&Gs National Organic Combinable Crops conference in Cambridge on Thursday (7 July), Paul Moore of the Organic Trade Board said despite having a bumpy ride in recent years, there were strong reasons for optimism in the sector. In addition to growing global demand for organic produce, a new generation of shoppers in the organic sector had emerged, who brought fresh opportunities for producers in the sector, he said. "The organic market fell by 12% during the economic downturn, but that was thanks to the reaction of retailers delisting product, and not because consumer appetite for organic had disappeared," he told delegates. "Since 2012 organic sales have accelerated in value and volume and although accessibility is still an issue consumers have changed their habits and started shopping outside multiple retailers, increasingly going to smaller retailers and places like farm shops instead." With global organic sales now worth about 50bn, and European sales accounting for a third of that, Mr Moore said growers had to have confidence that the long-term consumer trends were going to help the sector. "The UK has the largest potential for growth in organics, both in terms of access to products and potential for increased consumption," he added. "A survey of nearly 2000 shoppers revealed that not only do people plan to buy more organic food, but that organic shoppers are younger, dynamic, more engaged with where their food comes from. They see food as part of their lifestyle." Issues of availability The challenge now for the sector was to address issues of availability, he said, which meant encouraging more producers to convert to organic and ensuring growers responded to consumer demands. "We need to make sure we have enough and a good choice of organic foods on offer. Growth will come in increasing the repertoire of products on offer." Andrew Saunders, chair of the Waitrose Farming Partnership, agreed that being able to meet demand for organic had to be a focus for the entire sector. Accounting for 25% of total organic food sales Waitrose is keen to work collaboratively with UK growers to shorten supply chains, limit risk, and ensure demand is met, he said. "There are significant areas of risk with an extended supply chain. The more suppliers you have in the market the more there is to go wrong. Shortening the supply chain is key." As an example, Mr Saunders said that of the 12,600ha of organic wheat grown in the UK each year, Waitrose needed 41% of the volume in order to satisfy customer demand for organics. "We want to work with producers to grow that hectarage," he said. "We all have got to work to increase the amount of organic land growing wheat." Roger Kerr, OF&G chief executive, said indications from the market showed there were very strong reasons to look at organic production from a commercial perspective as a resilient, long term business opportunity. "People are increasingly looking to understand the production methods and ethics behind their food and organic offers an incredibly strong story," he said. "Research shows that more people are looking to buy more organic, so the challenge for organic farmers and suppliers and retailers is to ensure we have the right products available in the UK to fulfil the increasing demand." Two weeks on from the momentous decision by the British public to leave the European Union, discussions are starting to take place to decide on what a post-Brexit Britain could look like for farmers. Adam Bedford, regional director at NFU North East, said its time to roll our sleeves up and have a blank sheet of paper to craft a policy that works very well for farmers. Amidst the widespread political uncertainty that has followed Brexit, Adam called for assurances around issues of income, trade and regulation. He said that the NFU was now at the beginning of the biggest farming consultation in a generation to get the policy right. It is calling on farmers to engage and have their say to make sure the policy is right for them and their businesses in the future. The NFU Council launched farmings 'most significant consultation' over the impact of Brexit on agriculture and what a domestic farming policy should look like in the future outside the EU. The agreed principles from the NFU are: Farmers must get the best possible access to markets in the rest of Europe. Although the UK will not be a member of the EU, it will still be a major trading partner for the foreseeable future. Currently the UK benefit from more than 50 trade agreements with countries in the rest of the world. Farmers will continue to need these kind of arrangements in future, whether this means negotiating new deals or not. A key question we had to the Leave camp, and on which we never received a clear answer, was what kind of access would an independent UK give to imports from the rest of the world? Our requirement is that we are not open to imports which are produced to lower standards. During the referendum the NFU has repeatedly drawn attention to the sectors need for access to migrant labour, both seasonal and full-time. Outside the EU farmers will need some kind of student agricultural workers scheme, which is open to students from around the world. Leaving the EU gives farmers the opportunity to build a new domestic agricultural policy which is adapted to British people's needs, easy to understand and simple to administer. The NFU will be looking for guarantees that the support given to British farmers is on a par with that given to farmers in the EU, who will still be the UK's principal competitors. The NFU says it wants to see a rural development policy which focuses on enhancing competitiveness. Britain has been a pioneer in agri-environmental schemes, but these are currently running out of steam - in part because of over prescriptive EU rules. "We must take this opportunity to devise better schemes," the NFU said. If there was one message which came over loud and clear in farmer meetings it was frustration with European regulation and its handling of product approvals, due to an over-politicised approach and excessive use of the precautionary principle. British farmers now have a golden opportunity to ensure arrangements are in future proportionate and based on sound science. Most farmers voted to leave the European Union A poll conducted just before the referendum revealed that nearly two-thirds of farmers intended to vote to leave the European Union. Richard Bramley, an arable farmer and member of the NFU environment forum, believes that regulation and problems with the common agricultural policy played a vital role in farmers voting to leave. He said that it was important that a new government did not try to simply reinvent the Common Agricultural Policy to create a British version. He believes the Government needs to put real value on what farming brings to the countryside. Will Terry, a mixed arable and livestock farmer, said he was really looking forward to the challenge that Brexit had brought and that there was now opportunity for real changes in the agricultural industry. He said he hoped that British produce would still be able to be sold into Europe but would be against paying sums of money into the EU to keep trading with the countries within it. All previous trade negotiations were carried out by the EU and Will believes that being free from that will open up trade with the rest of the world. Arla has today unveiled a new initiative titled 'Arla Farmers Milk', created to give shoppers the opportunity to pay a little extra on each bottle to help Arla farmers. The launch from the farmer-owned dairy company is based on research showing that almost two thirds of consumers (60%) said they would pay more for dairy products if they know the extra money goes back to farmers. In addition, seven in 10 consumers would choose to buy their dairy products from a company which is owned by the farmers themselves. Arla Farmers Milk will be available in ASDA stores from 12 July in a four-pint format, with both semi-skimmed and whole milk options at an RSP of 1.20. ASDA brand four-pint milk RSP is 95p, and the extra 25p for Arla Farmers Milk will be returned to directly to Arla farmers. The extra money will be shared amongst Arla's 12,700 farmer owners. 'Great boost' to dairy farmers Arla Foods amba Farmer Board Director, Jonathan Ovens, commented: "The launch of this new milk is a great boost to our dairy farmers. "People want to know more about where their food comes from and who benefits and are willing to pay more if they know it goes directly to the farmers that produce it. "As were part of a cooperative, the money we receive goes back to the farmers who supply our milk. "With farmgate milk prices much lower than we would like, we thank ASDA for the continued support of our cooperative principles and also for providing an opportunity for their shoppers to give something back to Arla dairy farmers." 'Helping farmers in challenging times' Chris Brown, Senior Director, Sustainable Business, ASDA said: "ASDA has had a strong affiliation with dairy farmers since its formation and this continues today with the support we provide. "Launching Arla Farmers Milk in Asda provides our shoppers with the perfect opportunity to help farmers in these challenging times. "It offers them the choice to pay that little extra for their milk knowing it will go back to the farmers and is another example of how Asda is supporting the dairy industry." The new Arla Farmers Milk will be officially launched at the Great Yorkshire Show on 12 July, when Arla will kick-start a nationwide roadshow throughout July and August. The European Agriculture Commissioner Phil Hogan has annoucned the deadline by when a member state can make its BPS payments without interest being applied for late payment. The deadline can be extended to 15 October instead of 30 June due to difficulties being experienced in a number of EU member states. The extension could place increased pressure on British farmers and in some cases be the difference of them surviving or going bust, according to property consultants Bruton Knowles. With many farmers struggling to make ends meet, news that payments could be put back until the middle of October will bring little comfort to those who rely on these payments for things such as cash flow and investment. With the UK also having voted to leave the EU, some farmers may now fear that delays will get even longer. 'Will not go down well with farmers' Ben Compton from the rural team at Bruton Knowles is now advising those farmers who may not yet have received their full payment, to get in touch with the Rural Payments Agency (RPA) to find out the latest on their particular application. Ben said: "This announcement will not go down well with farmers especially those still waiting on payments. "Our advice is not to panic but to keep on top of the RPA with regard to individual applications. "The fact that some member states are having difficulties will vindicate why some farmers voted to leave the EU in the referendum. "But despite the UK voting to leave the EU my feeling is this will not impact on payments in the UK over the next two years. "In fact with the exchange rate as it is, 2016 payments due in December, will likely be up on the 2015 figure. "We are also advising farmers who have received payments to check their claim statements carefully and to instigate an appeal as soon as possible if they feel there has been an error on the part of the RPA." Kent farmers have been told their subsidy payments are safe when negotiations for Brexit begin. EU agricultural commissioner Phil Hogan told a gathering at the Kent County Show that nothing will change until the negotiations are completed. "By way of summarising this, as long as you keep paying in we will keep paying out. "When the exit negotiations are completed we then sit down to the business of doing a free trade agreement between the United Kingdom and the European Union." Hogan's visit marked the first public engagement between the EU Commission and the British public. Addressing uncertainties The Kent County Show said it was a 'really important moment to offer clarification after recent events and address some of the uncertainties of the rural and farming communities.' Hogan, CLA Deputy President Tim Breitmeyer and NFU President Muerig Raymond all gave speeches while the Commissioner stayed for a Q and A session. Hogans speech reiterated the calls by the President of the EU Commission, Jean-Claude Juncker, for the UK to clarify its position after the Brexit referendum as soon as possible in order to minimise the uncertainty. He also underlined that he was optimistic the UK and EU can begin a new relationship as good neighbours. No negotiations will take place until the UK invokes Article 50, and until those negotiations are completed the UK will stay as a full member so all of the EU agricultural regulations will still need to be met, Hogan said. Free movement of people Deputy President of the CLA Tim Breitmeyer spoke on the importance of future stability after the turbulent political events of the past two weeks as the key ingredient for the success and development of our rural and farming industries. He claimed that free trade will be of crucial importance so that the industry has access to the markets it needs. Free movement of people will of course be the controversial sticking point in any trade negotiations. Finally Meurig Raymond, President of the NFU, gave an earnest talk thanking the Commissioner and discussing the future developments between the EU and UK. Raymond emphasised that on many issues, like the simplification of CAP, the UK will still be part of the debate for as long as were part of the EU. Livestock markets across the country are reporting a lift in prices when compared to a year ago, with Brexit showing some instant positives for UK farmers. Chris Dodds, executive secretary of the Livestock Auctioneers Association, reports that the weakening of the pound, coupled to supply and demand, is seeing firmer prices, at a time when prices are generally tailing off. "Across all sectors, including milk, there are firm prices being reported which is highly encouraging," he says. Most LAA members admit that the increase is down to a bit of both the Brexit decision, where the exchange rate is helping the export market, and a boost in demand on home sales. Dairy cattle, prime cattle, prime lambs and culls are all seeing positive movement with farmers getting higher returns when they sell through an auction, rather than to an abattoir. "Fat lambs have had a fair lift, averaging 212p/kg last week which is up 50p/kg on this time last year, and theres plenty of competition out there at the moment in the livestock markets" says Mark Woodmass from the Longtown mart. Katie Morris from Knighton mart agrees that prices are exceptional compared to this time last year, also recording a good 50p/kg rise in fat lamb prices as strong demand from all buyers is being seen, but warns that a longer term view is difficult at this point. Supply and demand David Pritchard from Harrison and Hetherington explains that although prices are buoyant at the moment the number of lambs coming through has been slower because of the weather. "The exchange rate is, of course, assisting the export trade but its supply and demand that ultimately sorts out the price," he adds. United Auctions in Scotland also echoed the price lift adding that lamb numbers were also lighter last week with less lambs coming forward due to the poor weather which of course increases price. And with Ramadam ending last Tuesday with everyone buying what they needed up to that point, the tighter numbers in the mart have boosted price as well as the lift influenced by the exchange rate too. A dairy sale last week, at Borderway, Carlise, saw a quality cow in the second week of her lactation selling for 1700, and top heifers going to 1450 apiece. In the South West, Kivells saw top prices of 1620 at Holsworthy and 1550 at Exeter, with director Mark Bromell saying: "Fears of a collapse when the Brexit decision was made have not come to fruition. "The falling against the euro has firmed the trade, but to be fair it was already on a rising scale." Northern Ireland is a step closer towards the introduction of a land mobility scheme to link young farmers with older farmers keen to retire, but who have no succession plans in place. The Young Farmers Clubs of Ulster and the Ulster Farmers Union say they are moving towards the introduction of a land mobility scheme to link young farmers with older farmers keen to retire, but who have no succession plans in place. The joint group driving the project is now finalising the operational detail and funding arrangements. Commenting following another meeting of UFU and YFCU representatives on this issue, Roberta Simmons, YFCU president said: "Our research has identified the need for this scheme. "It will allow young farmers seeking to develop their farming career to become involved in the industry while enabling older farmers to take a step back." Ms Simmons added that the Land Mobility Scheme will help farmers and farm families considering expansion, changing enterprise, or stepping back from the family farm. "As with every business, agreements must be financially beneficial and meet the needs of both parties," she said. Matching people to opportunities UFU President, Barclay Bell added: "A key element will be matching people to opportunities. "Together we intend to create a database of interested older and younger farmers that will help link to appropriate opportunities. "The service will outline available options and will develop an agreement based on personal priorities and circumstances. "Both UFU and YFCU believe this will open a much needed gateway to the agricultural industry and will benefit both the older and younger farming generations." To progress the scheme UFU and YFCU plan to meet with industry stakeholders, including DAERA Minister, Michelle McIlveen in the coming months. Electricity distributor, Northern Powergrid, is set to spend its fifth year at the Great Yorkshire Show spreading potentially lifesaving messages to the regions farming community. The show, now in its 158th year, starts Tuesday 12 July and runs until Thursday 14 July with Northern Powergrids team on site for the full three days. Employees will be on hand offering essential free safety guidance to farmers about working near its overhead power lines, answering questions from landowners about the occasions when the company needs to go onto their land to carry out essential maintenance as well as providing information about how Northern Powergrid invests 1 million every day in the regions electricity network. Craft apprentices and graduates from the company will also be on hand to provide details about career opportunities as well as sharing real-life experiences of working for the regions electricity distributor. Northern Powergrids safety mascots: Metal Theft Man, Vic Voltage, Lola Livewire, Billy Brightspark and Bee Safe will all make appearances as part of a range of family-fun activities planned for the event. The company will also host live demonstrations on staying safe around power lines and how its people manage and maintain the power network across the North East, Yorkshire and northern Lincolnshire. Sharing safety messages To help bring the harvesting safety message home to local farmers and farm workers, Northern Powergrid will be handing out specially designed key rings and pens, containing scrolls with vital safety advice, and in-cab air fresheners and stickers aimed at reminding people to look up and be aware of any power lines where theyre working. Geoff Earl, Northern Powergrids Director of Safety, Health and Environment, said: "This will be our fifth year attending the Great Yorkshire Show and were looking forward to once again being part of this prestigious agricultural event. "With around 130,000 visitors expected overs the three days, it provides us with a great opportunity to meet our customers, share safety messages with the farming community and give people a real insight into the investments we make and what we do every single day to keep their lights on." Northern Powergrid is also planning to attend the Driffield Show (20 July), Penistone Agricultural Show (10 September) and Stokesley Show (17 September). 2016 is the tenth anniversary of North Norfolks 220-strong ABC Grower Group. Set up by Adams & Howling (A), H Banham (B) and Crisp Malting Group (C) to improve local sourcing, it has gone from strength to strength. With its focus on malting barley, the ABC Group has played a key role in the success of Britains beer sector as members at a recent seminar heard. "Malt produced from the ABC growers barley makes a significant contribution to Britains brewing and distilling," says H Banham director Tom Rivett. "Crisp also exports substantial quantities of malt, so all over the world people are tasting our Norfolk grain as they sip their beer or whisky." The seminar, held at Limagrain in Docking, North Norfolk, highlighted the significant achievements of farmers in the Group and underlined some of the challenges ahead. Delegates were told by Crisp Malting Group director Bob King that the Group had managed a 97% success rate for the 2015 crop. Flagon and Venture "This is pretty impressive," says Matthew Adams of Adams & Howling, "particularly given the stringent standards required by the maltsters." Bob King gave an overview of the malt market, pointing out that 52% of malt produced in Britain is used in distilling, 30% in brewing, 13% for export and 5% for the food industry. He said that this year, the ABC Groups production is 41% winter barley and 59% spring barley. Flagon and Venture are the dominant winter varieties, with a new variety, Craft, being trialled for approval in 2017. Spring varieties are predominantly Concerto, Odyssey and some proanthocyanidin-free Husky, with Laureate also going on trial in 2017. Other presenters included Les Daubney from Limagrain, Steven Tompkins from NIAB TAG, Allison Grundy from CF Fertilisers and Sarah Kendall from ADAS. Following the informative sessions indoors, during which the rain beat down, blue skies miraculously appeared and ABC delegates were given tutored tour of nearby trial plots by Mr King. "Were focussing on dual purpose spring varieties," he said. "They allow us to produce premium malt for distilling or brewing purposes. "This provides maximum flexibility in changing markets which is good for growers and maltsters as well as for brewers and distillers." The three companies confirmed that last year was a bumper year from a quality and yield point of view barley and agreed that the barley in the trial plots was looking resplendent. However, with the changeable weather, none of the Group would be drawn on predictions for this years harvest. They completed the day with a local beer brewed with barley supplied by the ABC Grower Group. Southam farmer, Hugh Darbishire, has won the prestigious Forsyth Lapwing Challenge Cup, awarded to the leading Warwickshire farm in recognition for excellence in environmental farming and conservation. Organised by The Warwickshire Rural Hub and sponsored by Syngenta, the award is given to the farm judged to be attaining the optimal balance of profitable farming alongside environmental conservation and ecological enhancement. With more farms entering than ever before this year, the two other short-listed finalists were William and Richard Morton of Manor Farm, Napton-on-the-Hill and Richard White from Swan Farm, Grendon near Atherstone. Hugh Darbishire has successfully incorporated a range wildflower margins rich in pollen and nectar, buffer strips, wild bird cover and winter food habitats, alongside his arable cropping and grassland for the sheep enterprise on his 180 hectare (450 acre) Hill Farm at Priors Hardwick. Over 10% of the farm is dedicated to HLS (Higher Level Stewardship) management of environmental areas. Anthony Forsyth and Hugh Darbishire Furrow pasture dating back to medieval times "We are looking to protect the existing environmental features that we have, including ridge and furrow pasture dating back to medieval times and old Victorian hay meadows. "But there are always ways to enhance areas or change the way that we manage things that can further enhance the ecological value, without impinging on the way that we can farm profitably," he said. Ponds have been restored, hedgerows planted and pockets of woodland created - all aspects that provide a diverse habitat for wildlife, but have also enhanced the farming practices. Hugh is extremely clear that the sustainability of the farm is dependent on economic viability of the business, alongside the long-term management of soils and the environment. "We aim to farm the areas that suit modern practices in a commercial way, and the areas that dont suit it are managed more traditionally and extensively farmed. It all seems far more harmonious." Open Farm Sunday Forsyth Silver Lapwing Award winners Hugh recently opened his farm as part of Open Farm Sunday, to demonstrate his environmental work to the wider public. Belinda Bailey, Syngenta Environmental Initiatives Manager and judge of the Forsyth Lapwing Challenge Cup, highlighted that all the entrants to this years competition had demonstrated that commercial farmland can be proactively managed to enhance the environment, alongside productive sustainable intensive agriculture. "There are many ways that ecological features, such as pollen and nectar margins or wild bird food mixes, can add enormously to the diversity of wildlife on the farm, as well as protecting soils and water resources with a truly multi-functional landscape," she said. "In the current agri-environment system they can also make a positive contribution to overall farm profitability and long-term sustainability." Belinda also praised the Morton brothers for their initiatives to attract wild birds to their farm, with rotational wildflower and bird seed mixes, overwintered stubbles and areas of unharvested cereals to provide food, along with scrapes by the river Leam and bar ground patches for nesting farmland birds. Richard White was also highlighted for working with the local community and environmental specialists in creating a whole farm plan to encourage diverse wildlife on the farm. Alongside the wildflower planting, John has dedicated areas of scrub managed for butterflies and two fields next to the local school have been used to educate children about the role of conservation and the balance with commercial farming. More entries than ever before The Forsyth Lapwing Challenge Cup, originated in 1983, has been resurrected by the Warwickshire Rural Hub after a gap of several years. The Hubs co-ordinator, Carrie Robbins, highlighted that this year it received more entries than ever before. "That reflects the outstanding work that our regions farmers are doing for environmental conservation. "We have seen a real interest from farmers and desire for training to get the best possible results from environmental areas managed under various initiatives, along with ever greater emphasis on preserving and enhancing soil and water resources. The environmental measures can fulfil both objectives," she added. The Warwickshire Rural Hub provides a wide range of support services and training primarily for the regions farmers, including a dedicated Environmental Farming Group and a closely allied Soils Group. The Forsyth Lapwing Challenge Cup was set up in 1985 by the Forsyth family, farming in Warwickshire, to encourage and promote conservation initiatives on commercial farms in the county. Presenting this years awards at a Syngenta Solatenol Platform Site Open Evening for farmers earlier (4 July 2016) this month, Anthony Forsyth recalled how the farming businesss success in a national Silver Lapwing Award - as runners up in 1981 and overall winners in 1983 - had spurred them on to focus on conservation maters alongside the progressive farming. "All farms are custodians of the countryside and most are making great efforts to encourage wildlife and conservation efforts. "The Forsyth Lapwing Challenge Cup is a way of recognising and rewarding their individual great work, and the overall benefit to the county," he added. The winners received Syngenta Operation Pollinator seed mixes, for annual wildflower pollen and nectar habitats and Bees N Seeds mix to encourage pollinating insects and overwinter wild birds. Taste Of Chicago Protest Organizer Charged With Attempting To Disarm Officer By Stephen Gossett in News on Jul 11, 2016 6:32PM Activist Ja'Mal Green faces officer George Devereaux (Tyler LaRiviere/Chicagoist) Update 2:20 p.m.: Green has been ordered held on $350,000 bail. Prominent local activist Ja'Mal Green has been charged with five felony counts, including one count of attempting to disarm an officer at a demonstration on Saturday at Taste of Chicago, police said. Green helped organize the demonstration against racist policing and police brutality, sparked by fatal police shootings in Louisiana and Minnesota last week. The total charges faced by Green are: two counts of aggravated battery of an officer; two counts of aggravated battery in a public place; one count of attempting to disarm an officer; and two misdemeanor counts of resisting or obstructing an officer. Video shows Green standing on a police barricade, beginning to address the crowd of demonstrators, when officer George Deveraux, the executive officer of Area Central that day, first orders him to step down. He then pulls Green down by Green's left short leg. It's difficult from that moment onward to clearly see the action through all the commotion. An officer can be heard saying, "Just relax." Crowd members then repeatedly chant, "Let him go!" A photo taken by a Tribune photographer appears to show Green with his hand stretched toward Deveraux at one point during the protest. Jamal Green, ID'd by CPD as person hitting Cmdr. George Devereaux in this photo, charged w/ 5 felonies #chicago pic.twitter.com/YvUOO0F63Q Peter Nickeas (@PeterNickeas) July 11, 2016 Black Lives Matter Chicago called for the charges to be dropped and claimed to have received reports of police mistreatment toward Green. Please call 312 325-9200 & demand immediate drop of charges. Bond hearing at 1:30 26th & cali https://t.co/75Ies1aWQ1 BLMChicago (@BLMChi) July 11, 2016 Reports that Ja'Mal Green was beaten & not allowed food/drink for 30 hrs trying to make him falsely confess. Demand his release 312 325-9200 BLMChicago (@BLMChi) July 11, 2016 Supporters, including activist Anwar Hodges, demonstrated outside Cook County Jail, referencing Green's organizing against outgoing State's Attorney Anita Alvarez. Green is scheduled to be arraigned on Monday at 1:30 p.m. The city saw more protests on Sunday, through the Loop, on Monday morning outside City Hall, and more are scheduled for Monday afternoon at the Federal Building. They follow the controversial fatal police shootings last week of Philando Castile, 32, in Minnesota and Alton Sterling, 37, in Baton Rouge. Graphic video of both shootings circulated widely last week. Farm leaders warn of 'devastating' new veterinary rule for exports Fast Facts: Kudzu bugs, first detected in Arkansas several years ago, now moving into soybeans Growers should scout and treat for nymphs, rather than adults CES agents receiving training to pass on to producers throughout the Delta and elsewhere After almost five years of waiting, the inevitable has finally arrived: Kudzu bugs have made their way across the Delta, into Arkansas, and are poised to begin affecting soybeans in the fall. The pest, which overwinters in kudzu, was first detected in Arkansas in 2013, mostly in small numbers. Robert Goodson, Phillips County agricultural agent for the University of Arkansas System Division of Agriculture, said that only within recent weeks had the pest been discovered in large numbers in a commercial soybean field near Helena. Its an unusually high amount, Goodson said. Weve never had these numbers in the state of Arkansas before. We found them here in Phillips County last October for the first time. Nick Seiter, extension entomologist for the Division of Agriculture, said research in North Carolina had shown that, left unchecked, heavy concentrations of kudzu bugs can sap the vigor of soybeans in the field, and lead to large-scale yield losses. However, growers were unlikely to see such concentrations in real-world scenarios, and that growers who actively scout their fields will be in the position to effectively control the pests before they inflict serious damage. The key, however, is recognizing the pests true threat: the nymphs, rather than the adults, Seiter, Goodson and others said. Addressing a group of about 20 Cooperative Extension Service agricultural agents from throughout the Delta region on Wednesday, Seiter emphasized the importance of growers focusing not on the adults, which are mobile, but on the nymphs, which will stay on a given plant and do far more damage. If you find a lot of those, if its your first time, youre going to panic a little bit, Seiter said. Whats happened in the southeast, in just about every state its come over, is, people have tried to spray those adults. And they end up in that situation where youre putting out multiple sprays, trying to control these adults that are coming right back into the field. The treatment threshold for kudzu bugs is 25 nymphs per 25 sweeps, Seiter said. Because the insects have a maturation window of about six to eight weeks from nymph to adult, growers will have plenty of time to control them. Controlling kudzu bugs in soybeans will bring trade-offs, extension experts warned. Gus Lorenz, extension entomologist with the Division of Agriculture, said spraying pyrethroid insecticides will likely impact beneficial insects, including nabids and parasitoids, which would in turn lead to greater management challenges regarding pests such as boll worms and loopers. Its the whole complex of predators and parasites we have in the field that maintain those populations below treatment level, Lorenz said. When you spray a pyrethroid and wipe them out, it kind of opens the door for those other pests. Source:uaex.edu Photos: Anti-Police Brutality Protesters Stop Traffic On Michigan Avenue By Stephen Gossett in News on Jul 11, 2016 3:57PM Some 150 protesters demonstrated against police brutality in the Loop on Sunday, briefly stopping traffic on main thoroughfares Michigan Avenue and Jackson Boulevard in the afternoon. The protests came in the wake of two fatal police shootings this past week. Philando Castile, a 32-year-old African American man in Minnesota, was killed by police on Wednesday while complying with orders to produce an ID. On Tuesday, 37-year-old Alton Sterling was killed in a parking lot outside a food mart by Baton Rouge police responding to a call about an armed man. There have been rallies in the wake of the shootings all over the country in the last week, including in Chicago. Following all-day Saturday demonstrations, Sunday's demonstrations began with a rally at Daley Plaza. Protesters then began marching through downtown at around 2:45 p.m., briefly stopping traffic on Michigan Ave. and Jackson Blvd. Activists clash with police as they attempt to block Michigan Ave. today. More photos here: https://t.co/C2sL3aqyFq pic.twitter.com/DnlgnteVAW Chicago Trib Photo (@ChiTribPhoto) July 10, 2016 Some demonstrators made it to Taste of Chicago, where they police cut them off. Protest organizers try to keep order but some demonstrators want to disrupt the Taste of Chicago. pic.twitter.com/m61dDVHFwS Tahman Bradley (@tahmanbradley) July 10, 2016 Reports indicate at least two protesters in the march on the Loop were arrested, although police would not verify an arrest total on Monday morning. Activists noted on social media that those arrested had been released. Everyone arrested at yesterday's demo for #AltonSterling & #PhilandoCastile in Chicago was released late late night. pic.twitter.com/t81H6g2Tpe agitator in chief (@soit_goes) July 11, 2016 150 people at the #AltonSterling & #PhilandoCastile demo circle up & get ready to march on in the Federal Building pic.twitter.com/T4Xy1XJ8xO agitator in chief (@soit_goes) July 10, 2016 Demonstrations took place downtown for much of the day on Saturday, too, most notably at the Taste of Chicago, but also along the Magnificent Mile and at Trump Tower. Fourteen people were arrested during the protests on Saturday. Those arrested for misdemeanors that day had been released as of Sunday morning, according to police. More police brutality protests are planned for Monday, including a sit-in led by youth of color at Millennium Park at 2 p.m. and a demonstration at the Federal Building at 4:30 p.m. Showing Up For Racial Justice also protested on Monday morning outside City Hall against a proposed ordinance that would expand hate-crime protection to law enforcement officers. Alzheimer's patient among those raising money in Fayetteville Jay Reinstein, a former assistant Fayetteville city manager, is among those walking to raise money for Alzheimer's research Saturday at Segra Stadium. Illinois Comfort Dogs En Route To Dallas After 5 Local Police Officers Killed By Gwendolyn Purdom in News on Jul 11, 2016 7:06PM LCC K-9 Comfort Dogs (Photo via Facebook) After five local police officers were fatally shot by a sniper on Thursday, the people of Dallas will be getting some much-deserved consoling, thanks to a Chicago-area team of comfort dogs. Golden retrievers Luther and Ruthie of the Northbrook-based non-profit LCC Canine K-9 Comfort Dogs deployed to Texas Monday morning "to comfort those who are hurting," according to the group's Facebook page. The dogs will be working with canine teams from Nebraska, Oklahoma and Texas, as well as The Crossing, a Lutheran church in Dallas. K-9 Comfort Dog teams were also recently sent to help those grieving in Orlando after the mass shooting at Pulse nightclub, and to a school in Rantoul, Illinois that had requested the organization's services after a student was killed in a car accident in June. [h/t WGN] Vandals Scrawl 'Rape And Kill Mexico' On Pilsen Church By Gwendolyn Purdom in News on Jul 11, 2016 8:05PM (Photo via Facebook) Updated with statement from Chicago Methodist Bishop Sally Dyck at 4:30 p.m.:: A Pilsen pastor took to Facebook Friday to condemn the racist messages that someone wrote on Chicago Lincoln United Methodist Church property, the third instance of this sort of vandalism at the church in recent months. The graffiti, discovered on the church's doors, included the words "Rape and Kill Mexico," "KKK," "Trump Rules" and a Nazi swastika, according to a photo that pastor Emma Lozano posted on social media. "As the Pastor of two majority Latino congregations and as a Mexican woman who is a mother and a grandmother I am alarmed by the audacity of the person who would send my humble loving congregation such a hateful threatening message for the third time," Lozano wrote on Facebook. "The Lincoln UMC is in our prayers as they once again face the hateful speech and vandalism that has been inflicted on them," Chicago Methodist Bishop Sally Dyck told Chicagoist in a statement Monday. "They are a faithful and loving congregation that helps many people in their community. We must learn to live together in all our communities and care for one another, not threaten each other. I pray not only for Lincoln UMC but also that the hearts of those who are filled with such hatred will be softened and changed." The building was last tagged with similar racist messages in March, DNA Info noted. Lozano said on social media that the crime might have been a response to Rev. Jesse Jackson's Easter sermon at the church in which he advocated for immigrant families and families facing deportation. She cited Donald Trump's anti-immigration, anti-Latino rhetoric as another possible trigger. Her Facebook post doubled down on her congregation's embrace of immigrants: I pray for love to conquer hate and for the lord to protect us from our enemies and to change the hearts of those who hate because we are brown and speak Spanish. We need to unify and stand up for equality not supremacy and resist the need to be the privileged instead fight for all to live in basic freedom. God sees me and you and loves us both please look through his eyes. Stop deportations separation of families. Stop mass incarcerations and bring our deported veterans home. We will win because when Jesus says yes nobody can say no. #godlovesusall h/t DNA Info A Culver City, California man was arrested Thursday on federal charges of economic espionage and violating the Arms Export Control Act for trying to sell sensitive satellite information to a person he thought was a Russian spy. Gregory Allen Justice, 49, worked for a cleared defense contractor as an engineer on military and commercial satellites during his alleged crimes. He made his first court appearance Friday. The DOJ didnt identify who Justice worked for. But the Los Angeles Times said he worked on the night shift for Boeing Satellite Systems in El Segundo. Justice allegedly stole proprietary trade secret materials from his employer and provided them to a person whom he believed to be a representative of a foreign intelligence service, but who was in fact an FBI undercover agent, the DOJ said. The documents contained technical data covered by the U.S. Munitions List and therefore controlled for export from the United States under the International Traffic in Arms Regulations, according to the allegations. If convicted, Justice faces up to 15 years in federal prison for economic espionage and up to 20 years in prison for violating the Arms Export Control Act. Justice told the undercover FBI agent he needed money to pay his wifes medical bills, according to an FBI affidavit. But he sent much of what he got from the FBI to a mysterious woman in a Long Beach apartment, the affidavit said, which identified her as C.M. The FBI said from December 2015 to May 2016, Justice sent C.M. more than $21,000 in FedEx envelopes, and over the past year and a half, sent her gifts that included a Dyson fan, a Vizio television, a purse, a blanket and another TV, as well as money for a $900 iPhone. Justices father told the LA Times his sons wife had a variety of health problems, including diabetes and chronic accident-related back pain. I think it will look a little different when the facts come out, his father said. John Carlin, the Assistant Attorney General for National Security, said Justice allegedly placed his own interests of greed over our national security by providing information on sensitive U.S. technologies to a person whom he believed was a foreign agent. In the wrong hands, this information could be used to harm the United States and its allies, Carlin said. Justice is being held without bail. _____ Richard L. Cassin is the publisher and editor of the FCPA Blog. Hell be the keynote speaker at the FCPA Blog NYC Conference 2016. The UK Serious Fraud Office said Friday a court approved its second application for a deferred prosecution agreement to settle Bribery Act and related offenses committed in several foreign countries. The SFO didnt name the company involved because of ongoing related litigation. But it said the company is a small or medium sized firm with a U.S. registered parent company. The SFO applied to Lord Justice Leveson for the DPA at Southwark (London) Crown Court. Justice Leveson said publication of the defendant companys name is postponed until the criminal proceedings in relation to a number of [the defendants] former employees have concluded. Only by delaying naming the company will it be possible to avoid a substantial risk of prejudice to the administration of justice in those proceedings, he said. The company had been indicted for conspiracy to corrupt and bribe contrary to Section 1 of the Criminal Law Act 1977 and failure to prevent bribery under Section 7 of the Bribery Act 2010. The offenses related to contracts to supply its products to customers in a number of foreign jurisdictions, the SFO said. This SFO suspended the indictment when the court approved the DPA. The defendant company will pay about 6.55 million ($8.48 million) for the settlement. The penalty consists of 6.2 million ($8 million) in disgorgement of gross profits and a 352,000 ($455,000) fine. The defendants U.S. parent company will pay nearly 2 million ($2.6 million) of the disgorgement as repayment of a significant proportion of the dividends that it received from the [defendant] over the indictment period, the SFO said. The DPA requires the defendant company to continue to cooperate fully with the SFO and to provide a report addressing all third party intermediary transactions, and the completion and effectiveness of its existing anti-bribery and corruption controls, policies and procedures within twelve months of the DPA and every twelve months for its duration. The DPA will extend until December 31, 2020. But it could terminate up to two years earlier if the defendant company meets its financial obligations under the settlement. Lord Justice Leveson said: [This conclusion] provides an example of the value of self-report and co-operation along with the introduction of appropriate compliance mechanisms, all of which can only improve corporate attitudes to bribery and corruption. The SFO said it investigated the case for two years. It examined 74 contracts and found that 28 were procured with bribes. SFO chief David Green said Friday, The decision as to whether to force a company into insolvency must be balanced with the level and nature of co-operation and this case provides a clear example to corporates. The offenses occurred from 2004 to 2012, the SFO said. The defendant companys U.S. parent implemented a global compliance program in late 2011. The SFO said, In August 2012, this compliance program resulted in concerns being raised within the [defendant company] about the way in which a number of contracts had been secured. The [defendant company] took immediate action, retaining a law firm that undertook an independent internal investigation. The law firm delivered a report to the SFO on 31 January 2013, after which the SFO conducted its own investigation. Deferred prosecution agreements are commonly used in the United States to resolve FCPA and other corporate criminal offenses. But the practice is new in the UK. The SFO first used a DPA in November 2015. London-based ICBC Standard Bank agreed to pay about $33 million in penalties for failing to prevent overseas corruption. Lord Justice Leveson also approved the SFOs application for that DPA. The SFO accused ICBC Standard Bank of failing to prevent a former affiliated bank from trying to bribe officials in Tanzania in 2012 and 2013. At the same time as the UK settlement, Standard Bank settled FCPA-related charges with the SEC. The SEC resolved the case through an internal administrative order and didnt go to court. The SEC order required ICBC Standard Bank to pay a $4.2 million civil penalty. It also required disgorgement of $8.4 million but deemed it satisfied by the disgorgement in the UK enforcement action. The SFO said Friday that a DPA is not a private plea deal or bargain between the prosecutor and the company. It is a way in which a company accounts for its alleged offending to a criminal court, and can have no effect until a judge confirms in open court that the DPA is in the interests of justice and that its terms are fair, reasonable and proportionate, pursuant to paragraph 8(1) Schedule 17 Crime and Courts Act 2013. A redacted copy of the SFOs second DPA is here (pdf). ____ Richard L. Cassin is the publisher and editor of the FCPA Blog. Hell be the keynote speaker at the FCPA Blog NYC Conference 2016. Two former senior executives of Louis Berger International were sentenced for bribing officials for more than a decade to win contracts in India, Indonesia, Vietnam, and Kuwait. James McClung, 60, of Dubai was sentenced Thursday to a year and a day in jail. Richard Hirsch, 62, of Makaati, Philippines was sentenced to two years probation and fined $10,000. They appeared before federal judge Mary Cooper in New Jersey. McClung and Hirsch were Louis Berger senior vice presidents. McClung was in charge of the companys operations in India and Vietnam. Hirsch oversaw Indonesia, Thailand, and the Philippines. New Jersey-based Louis Berger is a construction management company. In July last year, McClung and Hirsch each pleaded guilty to one count of conspiracy to violate the Foreign Corrupt Practices Act and one substantive count of violating the FCPA. Also last July, Louis Berger International Inc. resolved FCPA violations by paying a $17.1 million criminal penalty. It entered into a deferred prosecution agreement that required an independent compliance monitor for three years. On Friday, the DOJ said Hirsch and McClung were among the companys employees who orchestrated $3.9 million in bribe payments to foreign officials to win government contracts. They tried to hide the payments by calling them commitment fees, counterpart per diems, and other payments to third-party vendors. The bribery occurred from 1998 through 2010. McClung cooperated with the governments investigation by identifying other executives at LBI who had knowledge of bribery, the DOJ said. Some of the information McClung provided helped the DOJ prosecute Louis Bergers former CEO, Derrish Wolff. Wolff, now 80, pleaded guilty to accounting fraud in late 2014. He was sentenced in May 2015 to 12 months of home confinement and fined $4.5 million. The DOJ said Wolff conspired to defraud the U.S. Agency for International Development in connection with contracts worth billions of dollars over a nearly 20-year period. Louis Berger billed USAID on cost-reimbursable contracts for overhead and other indirect costs at falsely inflated rates. The contracts included hundreds of millions of dollars for reconstruction work in Iraq and Afghanistan. In a statement emailed to the FCPA Blog in July 2015, Louis Berger chairman Nicholas Masucci said: The DOJ has acknowledged the extensive global reforms undertaken at Louis Berger since 2010. . . . [The FCPA settlement] is the critical final milestone in our reform, as it was important for us to take responsibility for the historic actions of former managers and close the chapter on the companys pre-2010 era. ____ Richard L. Cassin is the publisher and editor of the FCPA Blog. Hell be the keynote speaker at the FCPA Blog NYC Conference 2016. Jared Leto has described the role of the Joker as 'hallowed ground.' Jared Leto as the Joker The Oscar-winning actor is set to take on the iconic role for the first time this summer as he stars in the eagerly anticipated Suicide Squad. Leto follows in the footsteps of Jack Nicholson and Heath Ledger and I cannot wait to see what he brings to this role. Speaking to EW, the actor said: You're kind of walking upon hallowed ground, and it deserves the very best that you can do. It takes over your life and that's what I needed to do for myself. "You don't know what the Joker is going to do next; you never do," he shared. "It was intoxicating to have no rules." Leto is part of a terrific cast as he stars alongside Will Smith, Margot Robbie, Viola Davis, and Joel Kinnaman are just some of the great names that are on board. Suicide Squad also sees Leto team up with filmmaker David Ayer for the first time as he returns to the director's chair for his first film since the success of Fury. As for Leto, Suicide Squad is the first time that we will have seen Leto on the big screen since the success of Dallas Buyers Club back in 2014. The actor starred alongside Matthew McConaughey and won the Best Supporting Actor Oscar for his performance - McConaughey went on to win Best Actor. Leto is an actor who does work sparingly but has mixed and matched his roles over the years. It is always exciting to see him back on the big screen and the Joker is nothing like any role that he has explored before. Suicide Squad is released 5th August. by Helen Earnshaw for www.femalefirst.co.uk find me on and follow me on Britain's Prince Edward visited the Scottish Borders to mark the Diamond Anniversary of the Duke of Edinburgh's Award. Prince Edward The 52-year-old royal was taken on a tour of the Netherurd Girlguiding camp to see just how much the DoFE is helping young people learn new skills and overcome challenges. Barry Fisher, the Regional Director for the Duke of Edinburgh's Award in Scotland, said: "As we celebrate 60 years of the Duke of Edinburgh's Award, it's clear the charity is an integral part of life at Girlguiding Scotland, which has played an invaluable role in the development of thousands of young people in the community. "It's fantastic to see Girlguiding Scotland being recognised for the outstanding work it does and enormous thanks must be given the volunteers who work tirelessly to ensure that every DoFE participant makes the most of this wonderful opportunity." According to the Borders Telegraph, 900 children have achieved their bronze, gold and silver awards over the past year. On welcoming His Royal Highness The Earl of Wessex to Netherurd, Chief Commissioner Sue Walker said: "We were delighted to welcome HRH The Earl of Wessex to Netherurd, our home from the Scottish Borders. "Girlguiding Scotland has helped hundreds of girls and women achieve their Bronze, Silver and Gold Duke of Edinburgh's Awards as part of activities and opportunties. "It is one of many life-changing opportunities we offer girls and young women to develop their skills, grow in confidence, enjoy new experiences and to be a powerful force for good in their communities." Britain's Queen Elizabeth is looking for a pot washer. Queen Elizabeth The 90-year-old royal is advertising for a Kitchen Porter and will give them a salary of 17,000 a year to clean the dishes at Buckingham Palace, and the person needs no experience for the job. An advert posted on The Royal Household website also claims the right candidate would have the option to live on-site and have the benefit of having all meals provided for them. The person would have to be able to roam between the Palace and Balmoral and Windsor Castle as and when required. The full job description reads: It's the feeling of satisfaction from helping to deliver a spotless service. "It's the collaboration and the community spirit. And it's helping to deliver extraordinary service in incredible surroundings. This is what makes working for the Royal Household so different. "You'll join a close-knit and committed catering team who prepare and serve food to the very highest standards. "You'll assist the team by maintaining the wash-up environment, ensuring our chefs and assistants have all they need to deliver hundreds of staff meals every day. "You'll clean equipment and utensils ready for use on a daily basis, as well as assisting with food preparation. "And whether you're based in Buckingham Palace or, when required, travelling to another Royal residence, you'll embrace this challenge and the many others that come your way." There are also five other jobs posted on the website including a linen keeper and a cataloguer. No one knows better than a new mom how your life can be thrown out of gear with the arrival of your baby. Jyotsna Kini, a new mom, with a baby who is a few days short of his first birthday, lives it every day. The founder of the Extraordinary Travel Company curates experiential holidays to beautiful destinations. With the arrival of her son, Jyotsna took a years break and is now back to business with this unique concept getaway for moms like her, who need some time alone with the baby. "Were launching the Moms and Babies Luxury retreat this weekend (but we plan to include dads in our next getaways, because many women have requested it). With these getaways, I want moms and even parents to discover how pleasurable baby and parent holidays can be. But this one has a special focus on new moms, with ayurvedic consultations, massages, specially designed menus for moms and babies based on naturopathic nutrition, moderated water exercises for moms and babies, classes in baby massage and chanting, among other things, to calm babies. "Chants help more than singing lullabies and hushing babies. Sometimes I do it to calm myself down too," laughs Jyotsna. Conceptualised by a mom, for moms, this getaway pre-empts every new moms concern. Theres even an option that allows you to take your nanny along. "This getaway is designed to bring back the mom into her own spirit. With so much focus on the baby, we forget that the new mom also goes through a lot of changes physically and emotionally and very often she has no guidance. This getaway is simple, as in its just an hours drive from Bangalore to Shreyas Retreat. There are no flights to catch and no ambitious packing involved. Besides, it's with other moms, whom you can bond with, she ends. Just a week before her 33rd birthday, Priyanka Chopra has started filming for Quanticos second season in New York. She posted a sweet Instagram snap of the cast and crew from a team dinner last night. The actor was accompanied by her mum Dr Madhu Chopra. During her recent trip to Mumbai, Priyanka told Femina. I love to have my mother around me. Thats how I feel at home away from home. Clearly success couldnt have happened to a nicer girl. Robbers Are Using Pokemon GO To Target Victims By Stephen Gossett in News on Jul 11, 2016 5:09PM Screenshot from Pokemon GO: Get Up and Go! The massively popular augmented-reality game Pokemon GO allows players to capture various Poke-creatures that are virtually scattered across real-life environmentsbut users might have to worry about being targets themselves in the real world. The Pokemon GO Chicago community tweeted on Sunday night that some users had been robbed at Millennium Park and along Michigan Ave. Everyone please be careful when playing at night. There's people being robbed by The Bean and Michigan Avenue. Pokemon Go Chicago (@PokemonGOChi) July 11, 2016 We're still waiting on police to confirm, but this would not be the first instance in which robbers have targeted people using the app since it was launched last week. The O'Fallon, MO police department issued a press release on Sunday morning stating they had arrested four suspects who are believed to have used the geo-location game to "add a beacon to a Pokestop to lure more players," then robbing the players who turned up. Police recovered a handgun from the suspects. (If you don't know what beacons and Pokestops are, just ask your kid, or your co-worker, or seemingly anyone on the planet.) Similar incidents have occurred in Philadelphia, according to the local police department's 35th district. Be mindful on what you play online, A String of armed robberies perpetrated by suspects who targeted their victims using Pokemon Go. RH PPD 35TH District (@PPD35Dist) July 11, 2016 While this all has a decidedly "news of the weird" feel, players should probably take it seriously. Be safe, and don't forget the "reality" half of "augmented reality." We've reached out to police and @PokemonGOChi admins for details, and will update this post when we hear back. Update 4:30 p.m.: The sergeant in the Area Central Detective Division is unaware of any such incidents being reported in the First or 18th Districtswhich encompass downtown, police said. But they will monitor incoming cases "for similarities with the reported Pokemon GO robberies." Responsible practices and sustainable sourcing remain a challenge for the global footwear and apparel industries, even as they continue to register growth and are poised to net $134 bn by 2020, observed a Hong Kong based quality control solutions company that tracks global trade , product quality and supplier compliance trends across the world.In its Q3 barometer released over the wekend, AsiaInspection acknowledged that cotton sourcing practices in particular were in the spotlight in a recent report which slammed many major brands for doing virtually nothing to ensure that their cotton is sustainable. Responsible practices and sustainable sourcing remain a challenge for the global footwear and apparel industries, even as they continue to register growth and are poised to net $134 bn by 2020, observed a Hong Kong based quality control solutions company that tracks global trade, product quality and supplier compliance trends across the world. # Forced and child labour also posed particular risk to apparel supply chains, with top cotton exporters such as Uzbekistan and Turkmenistan being pointed out for doing little to enforce the conventions they sign.According to Sebastien Breteau, AsiaInspection CEO Child labour could trap multiple generations in poverty, and it gets further perpetuated by the lack of access to quality and free education.Thus, AI was keen to align its efforts with initiatives such as the Global Business Council for Education, and help out in eradicating child labour, he said.Meanwhile, progress in structural safety of apparel supply chains also remained slow. AI's data on structural audits for Q2 2016 found that a little more than half (53.3%) of all factories audited were ranked as Immediate Action Required or Need Improvement.Citing an ILO and IFC report, AI noted that despite the recent strides made by the Bangladeshi RMG sector, one in four factories requires extensive retro-fitting remedial work.On sourcing challenges, AI data indicated that while the manufacturing base in Africa is growing, it is still immature: Inspection demand saw +61% growth year-on-year, but at the same time failed inspections rate surged by +15% in 2016 compared to last year.Other industries such as jewellery industry also faced responsible sourcing issues, AI noted adding that sustainability efforts were gaining momentum with an audit program for jewelers launched by the Responsible Jewelry Council. As the EU recently adopted the framework to curb trade in conflict minerals, this program's membership is poised to increase further.Across industries, AI data showed that unethical labour practices remain among the most pressing issues discovered during ethical audits: in Q2 2016, 5.9% of audits failed in the Child Labour section, an uptick from the Q1 figure of 4.6%. (SH) Fibre2Fashion News Desk India Beginning July, online lingerie retailer Zivame is planning to set up 50 offline experience customer stores across India by March 2017, the company's chief executive officer Richa Kar said.While three new stores will come up in Pune, Delhi and Gurgaon this month, one each will be opened in Chandigarh and Kochi by the end of next month, Kar said, adding that 50 such exclusive Zivame label experience stores, will come up across the country by March 2017. Beginning July, online lingerie retailer Zivame is planning to set up 50 offline experience customer stores across India by March 2017, the company's chief executive officer Richa Kar said. While three new stores will come up in Pune, Delhi and Gurgaon this month, one each will be opened in Chandigarh and Kochi by the end of next month, Kar said...# She, however, emphasised that the stores would only be customer touch points and will not store inventory. Zivame had opened its first such store in Bengaluru in December last year.Expressing optimism over business garnered by such experience stores, Kar said, the company was encouraged by 80 % conversion (into real sales) from the first store in Begaluru's Indiranagar area.The company expected the experience stores to contribute 50% to its revenues in the next year and a half. The focus was to show customers in a unique way and the Bengaluru experience motivated them to replicate the idea across the country, she said.Elaborating on their marketing style, Kar reportedly said "We want to give a good customer experience by asking our staff to be 'lingerie advisors' and build lingerie closets for women."Zivame, which started out as an aggregator of lingerie brands like Enamor, Wonderbra, Jockey and Amante, claims a clientele of 1 million customers. However, since 2013, it added private brands such as Penny and Cou, to make up for the limited customer base.As of date, Zivame's own labels contribute 70-75% of its total sales. A confident Kar expected the company may look at opening stores in Sri Lanka and Nepal next year. (SH) Fibre2Fashion News Desk India In a bid to protect the interests of domestic manufacturers, India has slapped anti-dumping duty on import of PTA or Purified Terephthalic acid from five countries, including China and Iran, according to a notification of Central Board of Excise and Customs (CBEC).The import restrictive tax has been imposed for five years by the Revenue Department on recommendations of the Directorate General of Anti-Dumping and Allied Duties (DGAD) following a joint application by MCC PTA India Corp and Reliance Industries. In a bid to protect the interests of domestic manufacturers, India has slapped anti-dumping duty on import of PTA or Purified Terephthalic acid from five countries, including China and Iran, according to a notification of Central Board of Excise and Customs (CBEC). The import restrictive tax has been imposed for five years by the Revenue Department on ...# The imports of PTA from China, Iran, Indonesia, Malaysia and Taiwan will now attract an anti-dumping duty in the range of USD 83.08 per tonne to USD 168.76 per tone, the notification said.PTA finds extensive use in the textile industry.In December last year, the Department had imposed provisional anti-dumping duty on PTA imports after an investigation found that the chemical was being exported to India from these five countries below its normal value.That made a case for imposing anti-dumping duty on the chemical to eliminate the losses accruing to the domestic industry following a surge in below-cost imports, the notification said adding that the steps are well within the provision of WTO regime.Countries initiate probes and impose anti-dumping measures to ensure fair trade and provide a level-playing field to the domestic industry. They are not a measure to restrict imports or cause an unjustified increase in cost of products, it clarified. (SH) Fibre2Fashion News Desk India The Indian Texpreneurs Federation (ITF) has lauded the government's initiatives for creating a growth-conducive platform for the Textile value chain and forwarded some suggestions aimed at achieving the export target of $ 300 billion by 2024-25 to the new Union Minister of Textiles Smriti Zubin Irani.Congratulating the new Textile Minister in a letter, ITF Secretary Prabhu Dhamodharan said in the last two years, the ministry had created a good platform for growth by improving efficiency in the system and introducing a few good policies to trigger growth in the sector. The Indian Texpreneurs Federation (ITF) has lauded the government's initiatives for creating a growth-conducive platform for the Textile value chain and forwarded some suggestions aimed at achieving the export target of $ 300 billion by 2024-25 to the new Union Minister of Textiles Smriti Zubin Irani. Congratulating the new Textile Minister# The domestic textile industry, he said, was now equipped with capacities to export fabrics and can capture this huge market if the ministry and industry worked in tandem.An immediate Preferential Trade Agreement with UK would help strengthen India's position, Dhamodharan said, adding that apart from the traditional markets, tremendous effort was required to tap new markets such as Russia.In spite of technological advancements, India was not able to figure out the exact crop size or provide correct estimates and stock levels of cotton , leading to heavy speculation as witnessed in the last 60 days, when there was a 35 per cent spurt in prices.Thus, the government should institutionalise a strong mechanism to analyse and announce the exact cotton crop and stock data once a month, he suggested.Pointing out that the fashion world was moving towards Cotton-Man Made Fibre (MMF) blends, the ITF sought duty rationalisation of MMF fibres warning that the Indian industry would lag behind in one of the fastest growing segments in the textile sector.Mr Damodharan also appealed to the minister to visit the important textile clusters in the country and establish a direct connect with regional industry associations to understand the scope of growth of each cluster and renew collaboration towards growth right away. (SH) Fibre2Fashion News Desk India Going by the reports and allegations made by Karisma Kapoor for her ex-husband Sunjay Kapoor, one can understand that the actress has gone through a lot! Finally, Karisma is out of her troubled marriage and post divorce, here's what she is doing! The Raja Hindustani actress was seen holidaying in Budapest like here ain't any tomorrow and we are glad to see her so happy and enjoying her life on her own terms and conditions. Go Through The Slides Below To See All The Pictures.. Karishma, who has starred in popular films like Hero No 1, Dil Toh Pagal Hai and Hum Saath Saath Hain, Biwi Number 1 and many more, was last seen onscreen in the 2013 film Dangerous Isshq. Recently, Karisma's star sister Kareena Kapoor Khan expressed her wish to work with Karisma and here's what she told: "I always wish...there are no plans, but I wish to work with her (Karishma). Right now there are no plans because I don't know if she (Karisma) is even thinking of working on the big screen as her kids are really small...Her mindset is very different." Later, Kareena stresses that if a good script comes their way, they might star together. "But of course if there is a good script... You never know. But right now nothing," she added. Kareena, who is expecting her first child with actor Saif Ali Khan in December, will also start shooting for Rhea Kapoor and Ekta Kapoor's upcoming venture Veere Di Wedding, which also stars Sonam Kapoor and Swara Bhaskar in the lead role. A few hours ago, social media is inundated with the pictures of Rani Mukerji's little daughter Adira. A statement issued from the actress' side stated that there are many fake accounts that keep cropping up in the name of the Mardaani actress. "This is to inform you that Rani Mukerji is not on any social media platform and has never been. There are several fake accounts that keep cropping up. We would like to request you to not pay any heed to them or the content they put up as it is all fake," Rani's spokesperson said in a statement. Go Through The Slides To See All The Pictures: Recently, mommy Rani Mukerji was spotted at the Mumbai airport sans Adira and post pregnancy, she has put on oodles of weight. If you haven't seen those pictures, you can see them in the slides above. The mommy-daughter duo was also spotted in Paris, where Aditya Chopra's Befikre shoot was going on. Recently, the team of Befikre posted a group picture, in which Rani & Aditya were seen posing together for the camera. OMG! Pulkit Samrat Made 11 Shocking Revelation About Estranged Wife Shweta! On he work front, Rani was last seen in Mardaani and as of now, the lady has no plans to do a comeback in Bollywood as she wants to spend the entire time taking care of Adira. On the other side, daddy Aditya Chopra is gearing up for his upcoming film, Befikre, which casts the never seen before pair, Ranveer Singh & Vaani Kapoor. The film is scheduled to release on December 9, 2016. If anybody has doubts regarding the growing popularity of Nivin Pauly, the Facebook page of the actor would definitely help them erase those qualms. Nivin Pauly, now has overtaken none other than Mohanlal in terms of the number of Facebook likes. The actor, currently is placed at the second spot behind Dulquer Salmaan. Click below to see the top 10 male celebrities of Mollywood, with the maximum number of Facebook likes.. Nivin Pauly, at present has more than 3.8 Million likes for his official Facebook page, which gives him a slight lead over Mohanlal. Mohanlal, who has been pushed to the third spot is slowly nearing 3.8 Million likes for his Facebook page and the actor might once again take over the second spot, with two big Telugu films of Mohanlal slated for release, in the form of Janatha Garage and Manamantha. In fact, the popularity of Nivin Pauly skyrocketed with the release of his film Premam, which fetched him fans and admirers from other states like Tamil Nadu. Meanwhile, it is Dulquer Salmaan, who is leading the pack among the male celebrities of Mollywood, with more than 4.3 Million likes for his official Facebook page. He is way ahead of Nivin Pauly and Mohanlal and is sitting pretty at the first position. Parvathy, the super talented actress has always stated that she wants to direct a film in the future. In a recent interview given to a popular FM radio, Parvathy has confirmed her direction plans. If the reports are to be true, the actress has already started scripting for her directorial debut. Reportedly, she is planning to cast Prithviraj or Nivin Pauly as the lead actor of the project. The sources suggest that Parvathy will soon start working on the project after she completes the filming for editor Mahesh Narayanan's directorial debut. However, Parvathy hasn't confirmed the reports yet. She is playing the role of a Malayali nurse who gets trapped in Baghdad, during the Iraq war time. She is sharing the screen with romantic hero Kunchacko Boban for the first time, in the movie. Parvathy has already established herself as the most sought-after actress of Mollywood, post the critical and commercial successes of the popular movies Charlie, Ennu Ninte Moideen, and Bangalore Days. The actress won the Best Actress trophies in all the prominent film awards of 2016, including the Kerala State Film Awards, Filmfare Awards, SIIMA Awards, Asianet Film Awards, Vanitha Film Awards, etc. Kajal Aggarwal, who made her first Tamil cinema appearance way back in 2008 has grown by leaps and bounds, becoming one of the top heroines in both Tamil and Telugu film industries over the years. Having shared screen space with stars like Ilayathalapathy Vijay, Suriya, Dhanush and Vishal, Kajal might feel a sense of completion as far as her acting career is concerned, if she can allot dates to Sathya Jyothi Films to be a part of Thala Ajith's upcoming film, which is being referred as 'AK 57'. After holding talks with many actresses including Anushka Shetty and Tamannaah Bhatia, the makers have finally zeroed in on the All in All Azhagu Raja actress, who is likely to sign on the dotted lines, according to a source close to the project. "For now, Kajal is in talks for the film. The actress has almost been confirmed, though she is yet to sign the project. Several other actresses, Anushka, Ritika Singh and Tamannaah, had been approached earlier for the role. But now, it looks like Kajal has bagged it," the source told a leading daily. If she does bag the role, it will be interesting to see the on-screen chemistry between Ajith and the 31-year-old actress for the first time ever, as they have never worked together before. The project, which will go on floors before the end of this month, will also have actor Karunakaran sharing screen space with Ajith for the first time. Directed by Siva, the film, which is said to be a stylish thriller set against the backdrop of Europe, will have its music scored by young sensation Anirudh Ravichander. Meanwhile, Kajal is also shooting for Jiiva's Kavalai Vendam and Vikram's Garuda. She will also be seen in an untitled Telugu flick, which has Rana Daggubati in the lead. Also Read: Heart-warming: When Rajinikanth's Disguise Helped A Poor Soul National Award-winning actor Vikram's daughter Akshita got engaged to Manu Ranjith, son of entrepreneur Ranganathan of Cavin Kare. The low-key engagement took place on Sunday (July 10) here in Chennai at a star hotel amid family and close friends of the couple. "It was a very private ceremony. The couple had known each other for a long time and got engaged with the consent of their parents. The wedding is scheduled to take place next year," a source told IANS. Also present at the event were film-maker S Shankar, who has worked with Vikram on two Tamil projects; Anniyan & I, actor-producer Udhayanidhi Stalin and many other famous personalities. Manu Ranjith is the grandson of former Tamil Nadu Chief Minister M Karunanidhi, and hence the wedding is expected to be a grand affair. Though it is confirmed that the marriage will take place next year, the date has not yet been revealed. Well-known celebrities from various film industries and famous politicians are expected to make their presence felt at the wedding. Unlike other Tamil stars, Vikram has so far kept his family away from the paparazzi. He also has a son, who will be making his acting debut shortly, according to reports. Meanwhile, Vikram, who is currently shooting for Iru Mugan, which is being helmed by Anand Shankar of Arima Nambi fame, will also be seen in Thiru's Garuda. While Iru Mugan has Nayantara and Nithya Menon playing important roles, Garuda will see Kajal Aggarwal paired up opposite Vikram for the first time. The actor, who recently celebrated his 50th birthday, received his seventh Filmfare Award for his work in Shankar's I at the 63rd Filmfare Awards South. Vikram's characters in Iru Mugan too, are said to be hard to portray and might hand him more number of awards upon the release of the film. Also Read: Director Talks About Kalabhavan Mani's Commitment In His Last Tamil Movie Flash Russia's Foreign Ministry on Sunday slammed the North Atlantic Treaty Organization (NATO) for its anti-missile deployment in Europe, saying the bloc continued to deliberately ignore the action's negative consequences and risks for the entire Euro-Atlantic security system. The ministry's spokeswoman Maria Zakharova accused the United States and NATO in a statement of "deliberate actions to change the existing balance of force, including the forced implementation of the U.S./NATO anti-missile plans in Europe." "Contrary to the objective interests of maintaining peace and stability in Europe, as well as the need for uniting all responsible international players to fight real and not contrived modern challenges, the alliance focuses on the containment of a non-existent 'threat from the East,'" Zakharova said, commenting on the NATO summit held in Warsaw on July 8-9. There have been "completely exaggerated" attempts at demonizing Russia in order to justify the steps taken toward a military buildup in Europe and divert attention from NATO's "destructive role" in provoking crises and instability across the world, she said. "We expect NATO's detailed explanations regarding its enforcement in all directions during the upcoming Russia-NATO Council's meeting at the level of permanent representatives due July 13," the spokeswoman said. On the first day of the Warsaw summit, NATO acknowledged the initial operational readiness of the anti-missile shield, which in fact means that NATO can officially take command of the component of the missile defense system, located in Romania. Divyanka Tripathi and Vivek Dahiya, who got married on 8th July in Bhopal, hosted a lavish reception in Chandigarh on 10th July. The reception was held at a five-star hotel on Zirakpur Road. Apparently, only a very few media personnel were invited to cover this high profile wedding. It is also said that, around 150 guests were invited for this reception party. Divyanka looked gorgeous, as usual, in a maroon lehenga, while Vivek looked dashing in black and white suit. The actor posted the picture of his suit (Slide 10) on Instagram and wrote, "Time to dress to impress in my fav attire- the tux for the evening! #Chandigarh #MeraGhar #MrsKaSasural." The wedding was followed by haldi, mehndi and sangeet functions. All the functions were a grand affair. The marriage was no less than a Bollywood affair. Only thing we missed were celebrities at the marriage. It is said that the actors had not invited their friends to the wedding as they wanted it to be a family affair. But, a few other reports say that, it was difficult for the actors' celebrity friends to attend the function as it was far and they were packed with their hectic schedules. After the marriage, Divyanka had left Bhopal with Vivek, to Chandigarh. The actress posted a selfie (Slide 11) on Instagram and wrote, "#MarriedAndHappy #MrAndMrs @vivekdahiya08." While the actor too, took to Instagram and expressed his feelings. He posted his picture (Slide 12) and wrote, "Yeh raat bheegi bheegi, yeh masst fizaayen, utha hai dheere dheere woh chaand pyara pyara. #FilmyMood #DippedInCheese #ShaadiKiGaadi #MarriageOnTheBrain." The couple has organised a wedding reception for their friends in Mumbai, on July 14. (Images Source: The Wedding Story & Instagram) Hit the comment box to wish the lovely couple. Stay locked to this space for the latest update. LAS VEGAS (IT-Times) - Der Chip-Hersteller NXP und Geely Automotive, ein chinesischer Automobil-Auftragsproduzent, arbeiten kunftig gemeinsam an Forschung und Entwicklung. Das wurde auf der Elektronikmesse CES bekannt. Die beiden Unternehmen wollen gemeinsam herausfinden, wie die Halbleiter-Branche und... Werbehinweise: Die Billigung des Basisprospekts durch die BaFin ist nicht als ihre Befurwortung der angebotenen Wertpapiere zu verstehen. Wir empfehlen Interessenten und potenziellen Anlegern den Basisprospekt und die Endgultigen Bedingungen zu lesen, bevor sie eine Anlageentscheidung treffen, um sich moglichst umfassend zu informieren, insbesondere uber die potenziellen Risiken und Chancen des Wertpapiers. Sie sind im Begriff, ein Produkt zu erwerben, das nicht einfach ist und schwer zu verstehen sein kann. ABERDEEN, UNITED KINGDOM -- (Marketwired) -- 07/11/16 -- Ithaca Energy Inc (TSX: IAE) (LSE: IAE) TSX: IAE Not for Distribution to U.S. Newswire Services or for Dissemination in the United States This Announcement Contains Inside Information Ithaca Energy Inc. Second Quarter 2016 Operations Update 11 July 2016 Ithaca Energy Inc. (TSX: IAE, LSE AIM: IAE) ("Ithaca" or the "Company") provides an operations update following the end of the second quarter of the year ("Q2-2016" or the "Quarter"), including an update on the status of the "FPF-1" floating production facility modifications programme being undertaken by Petrofac in the Remontowa shipyard in Poland. The Company is scheduled to issue its financial results for the first six months of the year ("H1-2016") on 15 August 2016. Les Thomas, Chief Executive Officer, commented: "The FPF-1 works are now being finalised, with preparation and performance of the inclination test being the only work required prior to the vessel exiting the yard. We are delighted with the quality and completeness of the vessel, having achieved our key objective of completing the onshore scope in the yard and avoided costly carry over of unfinished work offshore. Our existing production business is performing well, with volumes running ahead of guidance and continued deleveraging being delivered ahead of Stella start-up." Greater Stella Area Development The FPF-1 modifications are essentially complete and the vessel will be ready to leave the yard upon completion of approximately two weeks of work that is required to prepare for and perform the planned vessel inclination test and loading of supplies for the transit from the yard. Importantly, the onshore modifications scope has been completed in the yard without the need to carry onshore scope offshore. The FPF-1 is expected to leave the yard later this month and will complete the final marine system trials offshore Gdansk prior to being towed to the field. The anticipated period from sail-away to first hydrocarbons is approximately three months. Further updates will be provided once the FPF-1 has left the yard and when it commences the tow to the field. Production & Operations The producing asset portfolio has performed well over H1-2016, with production running ahead of guidance. Average production during the Quarter was approximately 9,800 boepd, resulting in average production in H1-2016 of 9,400 boepd. The remedial works on the Pierce field subsea gas injection flowline were completed as planned at the end of the Quarter and unrestricted production rates have been restored. Full year base production guidance, excluding any contribution from start-up of the Stella field during 2016, remains unchanged at 9,000 boepd. The additional production contribution resulting from the start-up of Stella during the year will depend on the exact timing of first hydrocarbons from the field. Prompt ramp up of production is anticipated following first hydrocarbons, leading to an expected initial annualised production rate of approximately 16,000 boepd net to Ithaca. Production in the third quarter of the year is expected to remain broadly in line with full year guidance, with the two week planned Brent System maintenance shutdown that impacts production from the Company's Northern North Sea fields now scheduled to take place in October 2016. Financials Hedging The Company's future commodity hedged position remains unchanged from that announced at the previous quarter's financial results. During Q2-2016 approximately 11,000 boepd (52% oil) of commodity hedges were realised. Approximately 9,400 boepd (48% oil) is hedged in the remaining six months of 2016 at an average price of $58/boe. In the first half of 2017 approximately 7,000 boepd (50% oil) is hedged at an average price of $60/boe. Net Debt Net debt at 30 June 2016 was $606 million, down from $630 million at the end of the first quarter of the year. Following completion of the semi-annual RBL redetermination process in April 2016 the Company has in place total available debt facilities of $730 million, providing in excess of $120 million of funding headroom ahead of planned first hydrocarbons from the Stella field. This funding capacity comprises $300 million unsecured senior notes and $430 million bank debt facilities. H1-2016 Financial Results Conference Call The Company is scheduled to release its H1-2016 financial results on 15 August 2016. A conference call and webcast for investors and analysts will be held on the same day at 12.00 BST (07.00 EDT). Listen to the call live via the Company's website (www.ithacaenergy.com) or alternatively dial-in on one of the following telephone numbers and request access to the Ithaca Energy conference call: UK +44 203 059 8125; Canada +1 855 287 9927; US +1 866 796 1569. Glossary boepd Barrels of oil equivalent per day RBL Reserves Based Lending facility - ENDS - Enquiries: Ithaca Energy Les Thomas lthomas@ithacaenergy.com +44 (0)1224 650 261 Graham Forbes gforbes@ithacaenergy.com +44 (0)1224 652 151 Richard Smith rsmith@ithacaenergy.com +44 (0)1224 652 172 FTI Consulting Edward Westropp edward.westropp@fticonsulting.com +44 (0)203 727 1521 Tom Hufton tom.hufton@fticonsulting.com +44 (0)203 727 1625 Cenkos Securities Neil McDonald nmcdonald@cenkos.com +44 (0)207 397 1953 Nick Tulloch ntulloch@cenkos.com +44 (0)131 220 9772 Beth McKiernan bmckiernan@cenkos.com +44 (0)131 220 9778 RBC Capital Markets Daniel Conti daniel.conti@rbccm.com +44 (0)207 653 4000 Matthew Coakes matthew.coakes@rbccm.com +44 (0)207 653 4000 Notes In accordance with AIM Guidelines, John Horsburgh, BSc (Hons) Geophysics (Edinburgh), MSc Petroleum Geology (Aberdeen) and Subsurface Manager at Ithaca is the qualified person that has reviewed the technical information contained in this press release. Mr Horsburgh has over 15 years operating experience in the upstream oil and gas industry. References herein to barrels of oil equivalent ("boe") are derived by converting gas to oil in the ratio of six thousand cubic feet ("Mcf") of gas to one barrel ("bbl") of oil. Boe may be misleading, particularly if used in isolation. A boe conversion ratio of 6 Mcf: 1 bbl is based on an energy conversion method primarily applicable at the burner tip and does not represent a value equivalency at the wellhead. Given the value ratio based on the current price of crude oil as compared to natural gas is significantly different from the energy equivalency of 6 Mcf: 1 bbl, utilising a conversion ratio at 6 Mcf: 1 bbl may be misleading as an indication of value. About Ithaca Energy Ithaca Energy Inc. (TSX: IAE, LSE AIM: IAE) is a North Sea oil and gas operator focused on the delivery of lower risk growth through the appraisal and development of UK undeveloped discoveries and the exploitation of its existing UK producing asset portfolio. Ithaca's strategy is centred on generating sustainable long term shareholder value by building a highly profitable 25kboe/d North Sea oil and gas company. For further information please consult the Company's website www.ithacaenergy.com. Forward-looking Statements Some of the statements and information in this press release are forward-looking. Forward-looking statements and forward-looking information (collectively, "forward-looking statements") are based on the Company's internal expectations, estimates, projections, assumptions and beliefs as at the date of such statements or information, including, among other things, assumptions with respect to production, drilling, construction and maintenance times, well completion times, risks associated with operations, future capital expenditures, continued availability of financing for future capital expenditures, future acquisitions and dispositions and cash flow. The reader is cautioned that assumptions used in the preparation of such information may prove to be incorrect. When used in this press release, the words and phrases like "anticipate", "continue", "estimate", "expect", "may", "will", "project", "plan", "should", "believe", "could", "target", "in the process of", "on track","set to" and similar expressions, and the negatives thereof, whether used in connection with operational activities, timing of sail-away of the FPF-1 vessel, including remaining FPF-1 work activities prior to sail-away, remaining work activities prior to the FPF-1 being towed to the field, Stella first hydrocarbons, production forecasts, anticipated ramp-up of production following Stella first hydrocarbons, timing of future FPF-1 updates, duration and timing of planned maintenance shutdowns, timing of H1-2016 financial results release, or otherwise, are intended to identify forward-looking statements. Such statements are not promises or guarantees, and are subject to known and unknown risks, uncertainties and other factors that may cause actual results or events to differ materially from those anticipated in such forward-looking statements. The Company believes that the expectations reflected in those forward-looking statements are reasonable but no assurance can be given that these expectations, or the assumptions underlying these expectations, will prove to be correct and such forward-looking statements included in this press release should not be unduly relied upon. These forward-looking statements speak only as of the date of this press release. Ithaca Energy Inc. expressly disclaims any obligation or undertaking to release publicly any updates or revisions to any forward-looking statement contained herein to reflect any change in its expectations with regard thereto or any change in events, conditions or circumstances on which any forward-looking statement is based except as required by applicable securities laws. Additional information on these and other factors that could affect Ithaca's operations and financial results are included in the Company's Management Discussion and Analysis for the quarter ended 31 March 2016 and the Company's Annual Information Form for the year ended 31 December 2015 and in reports which are on file with the Canadian securities regulatory authorities and may be accessed through the SEDAR website (www.sedar.com). This information is provided by RNS The company news service from the London Stock Exchange END Contacts: RNS Customer Services 0044-207797-4400 rns@londonstockexchange.com http://www.rns.com WICHITA, Kansas, July 11, 2016 /PRNewswire/ --Since 2014, Spirit AeroSystems Inc. [NYSE: SPR] has invested in upgrades to its historic Plant 2 and its Wichita facilities to support growing demand for its products. Spirit builds 70 percent of the 737 structure in Plant 2, including the entire fuselage of the world's best-selling airplane. Logo - http://photos.prnewswire.com/prnh/20130515/CG13652LOGO "We are constantly looking at ways to modernize our factory and support our customer's production needs," said Shawn Campbell, Spirit vice president of the 737 program. "The factory of the future will look much different than it does today. Many investments the company is making will ensure even better quality than we deliver today." The upgrades include projects like installation of robotic drilling and fastening, expansion of the propulsion manufacturing facility, building additional rail spurs for increased transportation needs and a re-investment in the facilities across the Wichita campus. Since Spirit's formation in 2005, it has doubled its 737 output while using essentially the same footprint. Continued increased production rates will require additional modernization to the historic Plant 2 building and a pipeline of employees to support this record demand. Spirit is responsible for the Boeing 737 fuselage, nacelles, pylons and wing flaps and slats. Spirit's history with the program dates back to the 1960s, when the 737 Classic launched and the site was a Boeing facility. The company transitioned to work on the 737 Next Generation program beginning in 1996, and the evolution continues today, as Spirit enters production of the 737 MAX. Plant 2 was originally built to support production of World War II era aircraft like the B-29. The factory went on to support other historic aircraft like the B-47 and the B-52. Spirit AeroSystems is one of the largest manufacturers of aerostructures in the world with both design and build capabilities for a variety of applications. On the web: www.spiritaero.com On Twitter: @SpiritAero Regulatory News: NCC (STO:NCCA)(STO:NCCB) has been commissioned by Specialfastigheter to construct the new environmentally certified district court in Lund, Sweden. The order is worth SEK 326 million. The new district court, which will be built to the left of Lund Central Station and next to the "Kristallen" municipal building, will be a visible and welcome feature of the new cityscape that will meet visitors and the inhabitants of Lund. The building's architectural expression will be modern and stylish, while at the same time meeting the stringent security requirements of the district court's operations. The building will comprise 12,400 square meters, divided over seven floors, one of which will be underground. The facade will mainly be constructed in copper and glass and the base in limestone. The architect is FOJAB arkitekter. "The challenge of this project will be the logistics of the crowded city location adjacent to the central station, as well as the strict acoustic and security requirements. The design is based on an architectural competition, meaning that robust demands are placed on the finish and final quality of the building. Mockups of both the facade and interior details are being built which will help the project in achieving its goal of zero defects at the final inspection," says Henrik Landelius, Head of NCC Building in Sweden. "The Swedish courts are an important customer for us and we work hard to satisfy their high security requirements. We feel gratified and proud that our work on the new district court is now starting up and together with our business partners we are looking forward to completing the new expedient premises," says Asa Hedenberg, President of Specialfastigheter. The building will have the highest certification level, Gold, from the Sweden Green Building Council, meaning that energy consumption will be 38 percent lower than the construction regulations for southern Sweden. The indoor environment features carefully selected materials focusing on sustainability. All materials will be classified as Class A or B in the SundaHus Material Database. The new district court is scheduled for occupancy in late 2017. The transaction will be registered during the third quarter of 2016 in NCC Building. This is the type of information that NCC could be obligated to disclose pursuant to the EU Market Abuse Regulation. The information was issued for publication through the contact persons above, on July 11, 2016, at 8:00 a.m. CET. About NCC Our vision is to renew our industry and provide superior sustainable solutions. NCC is one of the leading companies in construction, property development and infrastructure in Northern Europe, with sales, excluding Bonava, of SEK 53 billion and 16,000 employees in 2015. This information was brought to you by Cision http://news.cision.com View source version on businesswire.com: http://www.businesswire.com/news/home/20160710005077/en/ Contacts: NCC Kristian Jansson Business Manager, NCC Building in Malmo/Lund +46 46 19 75 17 or Anna Trane Head of Corporate Media Relations +46 70 884 74 69 or NCC's media line +46 8 585 519 00 press@ncc.se VANCOUVER, BRITISH COLUMBIA -- (Marketwired) -- 07/11/16 -- AQM Copper Inc. (TSX VENTURE: AQM)(BVL: AQM) ("AQM Copper" or the "Company") is pleased to announce that it has filed a NI 43-101 compliant technical report on SEDAR on July 8, 2016 in connection with the Preliminary Feasibility Study ("PFS") of the Company's Zafranal Project ("Project") previously announced on May 24, 2016. The Zafranal Copper Project is owned by Compania Minera Zafranal S.A.C. ("CMZ"), a joint venture company that is beneficially owned by AQM, Teck Resources Limited, and Mitsubishi Materials Corporation. AQM, through Minera AQM Copper Peru S.A.C. ("MAQM"), has been the operator of the Project since 2009. The Zafranal PFS was independently prepared by Ausenco Peru S.A.C., ("Ausenco"), Amec Foster Wheeler PLC ("AmecFW") and NCL Ingenieria y Construccion SpA ("NCL"), with the support from other specialist consultants. The PFS report reflects the design of an open pit mine, conventional flotation concentrator processing facility and associated infrastructure. PFS Highlights: -- The reported mineral reserve totals 401 million tonnes ("Mt") grading 0.40 % total copper ("Cu") and 0.07 g/t gold ("Au"), and the mine- concentrator as designed is expected to produce 3.1 billion pounds of copper and 484,000 ounces of gold over the 19-year life of the operation. -- Initial capital cost is US$ 1.16 billion and the base case after-tax valuation yields an expected net cash flow of US$ 1.6 billion with a net present value ("NPV") of US$ 496 million at an 8 % discount rate. -- After-tax internal rate of return ("IRR") is 15.9 % and the Project has a 5.1-year payback period from the start of operations. -- Production averages 120,000 tonnes per annum ("tpa") of copper in concentrate over the first 5-year period and 75,000 tpa over the life of the operations. -- A total of 4.4 million dry metric tons ("dmt") of concentrate will be produced over a 19-year period with an average grade of 32.5 % copper and 3.4 grams per tonne gold. -- No deleterious elements in any significant concentration were found in the copper concentrate produced from the flotation testwork, and all the impurity elements were found to be below smelter penalty limits. -- A brackish-water aquifer located within 35 kilometres ("km") of the proposed process plant site has the potential to satisfy the project's process water requirements. Testwork has indicated this water is suitable for concentrator processing, -- Thickened tailings disposal will be in a natural basin approximately 1 km downhill from the plant site. On Behalf of the Board AQM COPPER INC. Bruce L. Turner, President and Chief Executive Officer About AQM Copper AQM Copper Inc. is a Canadian mineral exploration company exploring and developing copper deposits in South America. Through its Peruvian subsidiary, Minera AQM Copper Peru S.A.C. (MAQM), the Company is developing the Zafranal Copper-Gold Porphyry Project located in Southern Peru. MAQM is the operator of a 50/50 Joint Venture with Teck Resources Limited through a sole purpose Peruvian company, Compania Minera Zafranal. MAQM is owned 60 % by AQM Copper Inc. and 40 % by Mitsubishi Materials Corporation. The Company's management and directors have extensive experience working for the world's largest mining copper producers and investment banking backgrounds. Please refer to the Company's website at www.aqmcopper.com, for further information regarding the Company and the Zafranal Project. NEITHER THE TSX VENTURE EXCHANGE NOR ITS REGULATION SERVICES PROVIDER (AS THAT TERM IS DEFINED IN THE POLICIES OF THE TSX VENTURE EXCHANGE) ACCEPTS RESPONSIBILITY FOR THE ADEQUACY OR ACCURACY OF THIS RELEASE CAUTIONARY STATEMENT REGARDING FORWARD-LOOKING INFORMATION Except for statements of historical fact relating to AQM Copper Inc., certain information contained herein constitutes "forward-looking statements". Forward-looking statements include statements that are predictive in nature, depend upon or refer to future events or conditions, or include words such as "expects", "anticipates", "plans", "believes", "considers", "intends", "targets", or negative versions thereof and other similar expressions, or future or conditional verbs such as "may", "will", "should", "would" and "could". We provide forward-looking statements for the purpose of conveying information about our current expectations and plans relating to the future and readers are cautioned that such statements may not be appropriate for other purposes. By its nature, this information is subject to inherent risks and uncertainties that may be general or specific and which give rise to the possibility that expectations, forecasts, predictions, projections or conclusions will not prove to be accurate, that assumptions may not be correct and that objectives, strategic goals and priorities will not be achieved. These risks and uncertainties include but are not limited to those identified and reported in AQM Copper Inc's public filings, which may be accessed at www.sedar.com. Other than as specifically required by law, we undertake no obligation to update any forward-looking statement to reflect events or circumstances after the date on which such statement is made, or to reflect the occurrence of unanticipated events, whether as a result of new information, future events, results or otherwise. Contacts: Investor Relations Pinnacle Capital Markets LTD. Spyros P. Karellas (416) 433-5696 (416) 800-8921 spyros@pinnaclecapitalmarkets.ca PHILADELPHIA, July 11, 2016 /PRNewswire/ -- Deal Will Bring Access to the Latest Breakthroughs in Science and Medicine for Researchers and Clinicians in Panama's Health and Academic Institutions Elsevier, a world-leading provider of scientific, technical and medical information products and services, today announced that Access to Scientific Literature (ABC), the Scientific Library program sponsored by Panama's Ministry of Health and Ministry of Science and Technology, have selected Elsevier's ScienceDirect and ClinicalKey. With this two-year collaboration agreement, the country's researchers and health and academic institutions will have access to content and digital resources on scientific and clinical literature. This collaboration will also increase the number of entities with access to this information, on the different areas of knowledge. "ABC is a National Secretariat of Science Technology and Innovation (SENACYT) initiative that will be available for scientific professionals, clinicians, researchers, lecturers and students from different knowledge areas in the Republic of Panama," said Dr. Jorge Motta, National Secretary, Ministry of Science and Technology, Panama. According to SENACYT, "To progress in research, development and innovation it is vital to have access to the latest breakthroughs advancement in science and technology. However, SENACYT is aware of the challenges hospitals, research and academic bodies face in gaining access to world-class information solutions due to economic constraints." The ABC programme allows many institutions and entities in Panama to have access to ClinicalKey and ScienceDirect, impacting the number of research outputs and helping to increase the national research capacity while strengthen the quality of education and social services in Panama. Elsevier and SENACYT share a common goal to improve the development of scientific, clinical and technology area in Panama, thereby putting an end to inequality and promoting equitable research and R&D in healthcare. Panama appointed Elsevier as a key partner to achieve its goals, including providing access to the most updated and high-quality information solutions in health, technology and science. Gerrit Bos, Executive Vice President, EMEALA-APAC, Elsevier Health, said, "ClinicalKey is more than just a clinical reference platform. It is an information solution that addresses core needs of ABC as care providers. The agreement with ABC will provide health professionals, lecturers and students in Panama with the most complete and current collection of trusted content and multimedia scientific and medical information at anytime and anywhere, contributing to the scientific and healthcare development of Panama." Elsevier will continue incorporating new features for ABC to continue contributing the quality of higher education and health services in Panama, according to Bos. "We look forward to further delivering trusted and updated clinical content and support for Panama's mission to have high-quality patient care and health education," Bos said. "ClinicalKey is already providing medical professionals across numerous countries with a platform to answer questions posed within a clinical care context." ClinicalKey provides evidence-based clinical answers drawn from the single largest body of clinical content available, including 600+ journals, 1,100+ books, drug information, guidelines, patient education and Medline. The smart search enables ClinicalKey to understand clinical terms and thus discover the most relevant medical content and find related content often missed by other search engines. ClinicalKey is optimized for any mobile device, making it more convenient to search and validate on-the-go. www.clinicalkey.com/info/es ScienceDirect is Elsevier's leading information solution for researchers, teachers, students, healthcare professionals and information professionals. It combines authoritative, full-text scientific, technical and health publications with smart, intuitive functionality so that you can stay informed in your field, and can work more effectively and efficiently. www.sciencedirect.com About Elsevier Elsevier is a world-leading provider of information solutions that enhance the performance of science, health, and technology professionals, empowering them to make better decisions, deliver better care, and sometimes make groundbreaking discoveries that advance the boundaries of knowledge and human progress. Elsevier provides web-based, digital solutions - among them ScienceDirect, Scopus, Elsevier Research Intelligenceand ClinicalKey - and publishes over 2,500 journals, including The Lancet and Cell, and more than 35,000 book titles, including a number of iconic reference works. Elsevier is part of RELX Group, a world-leading provider of information and analytics for professional and business customers across industries.www.elsevier.com Media contact Christopher Capot Director, Corporate Relations, Elsevier +1-917-704-5174 c.capot@elsevier.com 11 July 2016 AIM: AAU COMPLETION OF SECOND LITHIUM TRANSACTION Ariana Resources plc ('Ariana' or 'the Company'), through its 100%-owned Australian subsidiary, Asgard Metals Pty. Ltd. ('Asgard'), has completed the sale of its interests in a package of tenements in the Northern Territory and Western Australia to Kingston Resources Limited (ASX:KSN) ('Kingston'), as announced on 17 May 2016. Highlights: * Completion of the transaction has occurred following the satisfaction of all conditions, including grant of the core tenement, Kingston shareholder approval for the transaction and a A$6.85 million capital raising by Kingston. * Completion of the initial cash payment to Asgard of A$20,000 and 6,600,000 fully paid ordinary shares in Kingston (currently valued at A$132,000); Ariana now owns approximately 1% of Kingston. * Asgard to benefit from up to 7,200,000 performance shares which are to be issued on the achievement of certain project milestones. * Asgard exploration model for lithium is being applied to identify new project opportunities across other geological provinces worldwide, including those in Turkey. Dr. Kerim Sener, Managing Director, commented: 'We are very pleased to report the completion of our transaction with Kingston. This is the second lithium project that we have commercialised within six months. Through our 100%-owned technology-metals subsidiary we remain committed to identifying and commercialising other projects of merit, with a special focus on lithium, both within and outside of Turkey.' Ariana's Strategy Asgard has been pursuing a strategy of commodity diversification on behalf of Ariana, with a special focus on technology-commodities, particularly those that may be used in renewable energy applications. Commodities of particular interest to Asgard currently include lithium and antimony, which are found in association with certain gold mineralisation systems in both Turkey and Western Australia, among other gold-provinces worldwide. Asgard has developed an exploration model specifically for lithium, which it is applying to other regions with the aim of identifying new exploration areas for future licence acquisition. Asgard is 100% owned by Ariana. Summary of Agreement Asgard sold its interests in twenty tenements in Western Australia and the Northern Territory to Kingston Resources Limited (ASX:KSN) via the sale of a partly-owned company, Slipstream WANT Pty. Ltd., of which Asgard owned 4%. In consideration for this, Asgard has received payment of A$20,000 and be issued with 6,600,000 in fully-paid ordinary shares, half of which will be subject to a voluntary escrow period of 12 months. Asgard will also benefit from the following performance share payments, as follows: * 3,600,000 shares in Kingston upon an Inferred Mineral Resource of 5 Mt at no less than 1% Li(2)O being identified on any or all of the tenements and announced to the ASX by Kingston in accordance with the requirements of the JORC Code; and * 3,600,000 shares in Kingston upon an Inferred Mineral Resource of a further 10 Mt at no less than 1% Li(2)O being identified on any or all of the tenements and announced to the ASX by Kingston in accordance with the requirements of the JORC Code. Kingston has completed a placement of A$6.85 million in two tranches underwritten by Bell Potter Securities Limited, acting as Lead Manager, to fund an aggressive exploration programme on the tenements. Tranche 1 for A$840,000 before expenses was raised without the requirement for shareholder approval. Tranche 2 required shareholder approval and raised A$6,010,000 before expenses. The funds raised from this placement will be applied in part to fund the acquisition of the tenements and their exploration. Contacts: Ariana Resources plc Tel: +44 (0) 20 7407 3616 Michael de Villiers, Chairman Kerim Sener, Managing Director Beaumont Cornish Limited Tel: +44 (0) 20 7628 3396 Roland Cornish / Felicity Geidt Beaufort Securities Limited Tel: +44 (0) 20 7382 8300 Jon Belliss Panmure Gordon (UK) Limited Tel: +44 (0) 20 7886 2500 Adam James / Tom Salvesen Editors' Notes: About Ariana Resources: Ariana is an exploration and development company focused on epithermal gold- silver and porphyry copper-gold deposits in Turkey. The Company is developing a portfolio of prospective licences selected on the basis of its in-house geological and remote-sensing database, on its own in western Turkey and in Joint Venture with Eldorado Gold Corporation in north-eastern Turkey. Eldorado owns 51% of this joint venture and are fully funding all exploration work on the JV properties, while Ariana owns 49%. The total resource inventory within this JV is 1.09 million ounces of gold. The Company's flagship assets are its Kiziltepe and Tavsan gold projects which form the Red Rabbit Gold Project. Both contain a series of prospects, within two prolific mineralised districts in the Western Anatolian Volcanic and Extensional (WAVE) Province in western Turkey. This Province hosts the largest operating gold mines in Turkey and remains highly prospective for new porphyry and epithermal deposits. These core projects, which are separated by a distance of 75km, are being assessed as to their economic merits and now form part of a Joint Venture with Proccea Construction Co. The Kiziltepe Sector of the Red Rabbit Project is fully-permitted and is currently in construction. The total resource inventory at the Red Rabbit Project and wider project area stands at c. 525,000 ounces of gold equivalent. Beaufort Securities Limited and Panmure Gordon (UK) Limited are joint brokers to the Company and Beaumont Cornish Limited is the Company's Nominated Adviser. For further information on Ariana you are invited to visit the Company's website at www.arianaresources.com. Ends This announcement is distributed by GlobeNewswire on behalf of GlobeNewswire clients. The owner of this announcement warrants that: (i) the releases contained herein are protected by copyright and other applicable laws; and (ii) they are solely responsible for the content, accuracy and originality of the information contained therein. Source: Ariana Resources plc via GlobeNewswire [HUG#2027650] B085SD5R7 Copyright RTT News/dpa-AFX Kostenloser Wertpapierhandel auf Smartbroker.de Thomson Reuters Announces Definitive Agreement to Sell its Intellectual Property & Science Business to Onex and Baring Asia for $3.55 billion NEW YORK, July 11, 2016 -- Thomson Reuters (TSX/NYSE: TRI) today announced that it has entered into a definitive agreement to sell its Intellectual Property & Science business to private equity funds affiliated with Onex Corporation ("Onex") and Baring Private Equity Asia ("Baring Asia") for $3.55 billion in cash. Logo - http://photos.prnewswire.com/prnh/20130208/MM57185LOGO The sale is subject to regulatory approval and customary closing conditions, including the expiration or termination of applicable waiting periods under the Hart-Scott-Rodino Antitrust Improvements Act, and is expected to close in the next few months. The sale is not subject to any financing condition. Onex and Baring Asia have obtained debt and equity commitments for the transaction. The Intellectual Property & Science business provides comprehensive intellectual property and scientific information, decision support tools and services that enable the lifecycle of innovation for governments, academia, publishers and corporations to discover, protect and commercialize new ideas and brands. Its portfolio includes Web of Science, Thomson CompuMark, Thomson Innovation, MarkMonitor, Thomson Reuters Cortellis and Thomson IP Manager. "We are pleased to announce the agreement today to sell our Intellectual Property & Science business to Onex and Baring Asia," said Jim Smith, president and chief executive officer of Thomson Reuters. "With the completion of this divestiture, Thomson Reuters will be even more focused on operating at the intersection of global commerce and regulation." "Intellectual Property & Science is a diversified portfolio of high-quality, well-positioned businesses providing proprietary, curated content through products and services that are entrenched in their customers' day-to-day activities," said Kosty Gilis, a Managing Director with Onex. "We are delighted to have the opportunity to acquire the company and partner with management and Baring Asia to enhance Intellectual Property & Science's operations and support its growth in the years to come." "We look forward to partnering with Intellectual Property & Science management and Onex to support the development of the company globally, particularly in Asia where we see a differentiated growth opportunity," said Jean Eric Salata, Founder and Chief Executive of Baring Asia. "Already an established leader in China and across the region, we believe the outlook for the business is underpinned by an increasing shift towards more knowledge driven economies and a continued emphasis on research and development." Thomson Reuters expects to use about $1 billion of the net proceeds to buy back shares and the balance to pay down debt (primarily commercial paper) and reinvest in the business. Any share buybacks will be part of the previously announced $1.5 billion share buyback program. Guggenheim Securities, LLC and J.P. Morgan Securities LLC are acting as financial advisors to Thomson Reuters for the proposed divestiture. Allen & Overy LLP is acting as legal counsel for Thomson Reuters. Thomson Reuters Thomson Reuters is the world's leading source of news and information for professional markets. Our customers rely on us to deliver the intelligence, technology and expertise they need to find trusted answers. The business has operated in more than 100 countries for more than 100 years. Thomson Reuters shares are listed on the Toronto and New York Stock Exchanges (symbol: TRI). For more information, visit http://www.thomsonreuters.com/. Onex Onex is one of the oldest and most successful private equity firms. Through its Onex Partners and ONCAP private equity funds, Onex acquires and builds high-quality businesses in partnership with talented management teams. At Onex Credit, Onex manages and invests in leveraged loans, collateralized loan obligations and other credit securities. The Company has approximately $23 billion of assets under management, including $6 billion of Onex proprietary capital, in private equity and credit securities. With offices in Toronto, New York, New Jersey and London, Onex invests its capital through its two investing platforms and is the largest limited partner in each of its private equity funds. Onex' businesses have assets of $36 billion, generate annual revenues of $23 billion and employ approximately 145,000 people worldwide. Onex shares trade on the Toronto Stock Exchange under the stock symbol OCX. For more information on Onex, visit its website at http://www.onex.com/. The Company's security filings can also be accessed at http://www.sedar.com/. Baring Private Equity Asia Baring Private Equity Asia is one of the largest and most established independent alternative asset management firms in Asia, with a total committed capital of over $10 billion. The firm runs a pan-Asian investment program, sponsoring management buyouts and providing growth capital to companies for expansion or acquisitions, as well as a pan-Asian real estate private equity investment program. The firm has been investing in Asia since its formation in 1997 and has over 125 employees located across seven Asian offices in Hong Kong, Shanghai, Beijing, Mumbai, Singapore, Jakarta, and Tokyo. Baring Asia currently has over 35 portfolio companies active across Asia with a total of 150,000 employees and sales of approximately $31 billion in 2015. For more information, please visit http://www.bpeasia.com/. SPECIAL NOTE REGARDING FORWARD-LOOKING STATEMENTS Certain statements in this news release are forward-looking, including the company's current expectations regarding the timing for closing of the transaction and its uses of proceeds. These forward-looking statements are based on certain assumptions and reflect our company's current expectations. As a result, forward-looking statements are subject to a number of risks and uncertainties that could cause actual results or events to differ materially from current expectations, including the parties' ability to receive regulatory approvals and satisfy conditions to closing as well as other factors discussed in materials that Thomson Reuters from time to time files with, or furnishes to, the Canadian securities regulatory authorities and the U.S. Securities and Exchange Commission. There is no assurance that a transaction involving all or part of the Intellectual Property & Science business will be completed or that other events described in any forward-looking statement will materialize. Except as may be required by applicable law, Thomson Reuters disclaims any obligation to update or revise any forward-looking statements. CONTACTS MEDIA David Crundwell Senior Vice President, Corporate Affairs +44 20 7542 8763 david.crundwell@thomsonreuters.com INVESTORS Frank J. Golden Senior Vice President, Investor Relations +1 646 223 5288 frank.golden@thomsonreuters.com SOURCE Thomson Reuters You are here: Home Flash Heavy exchanges of gunfire erupted early Sunday in South Sudan's capital Juba, barely two days after clashes between rival army factions killed more than 100 people. Salva Kiir (R) and South Sudanese first Vice-President Riek Machar (L) sing the national anthem in Juba, capital of South Sudan, April 26, 2016. [Photo/Xinhua] James Gatdet Dak, a spokesperson for First Vice President Riek Machar said Machar-led forces had been attacked by troops of President Salva Kiir. "Our forces have been attacked at Jebel base minutes ago. Sporadic shooting is going on now," he said. "President Salva Kiir's forces were bombing Jebel site with helicopter gunships and shelling it with heavy artilleries and using tanks. But our forces have defeated them on the ground and pushed them back from different directions," Dak said. He also confirmed there were heavy fighting at Juba International Airport. UN sources reported heavy gunfire near their camps in Jebel and a large UN Protection of Civilian (PoC) site nearby. Helicopter gunships were seen hovering over the city amid reports of artillery shells landing near the UN base in Jebel. There are also unconfirmed reports of casualties on UN staff and internally displaced people living in the UN PoC site. Hundreds of civilians were seen fleeing to the two UN bases located in Jebel and Tong Ping respectively, while others were running to the outskirts of Juba. The two leaders -- Kiir and Machar -- have not commented on the latest round of fighting, which came after clashes outside the presidential palace left more than 100 soldiers dead on Friday. Friday's gun battle was the most serious fighting since the reconciliation between President Kirr and former rebel leader Machar in April. The two men on Friday evening called for calm following the deadly clashes. They said they were holding a meeting at the presidential palace when the battle took place. The renewed violence has raised fears that the war-torn country could descend into conflict again as an August 2015 peace deal failed to ensure stability. Civil war broke out in South Sudan in December 2013 after fighting between forces loyal to President Kiir and his then sacked deputy Machar in Juba. Machar denied he was planning a coup but then mobilized a rebel force. Tens of thousands of people were killed and around 2.3 million displaced in the civil war. The deal signed by the two men last August under UN pressure led to the formation of a national unity government in April, with Machar returning to his old post. However despite the peace deal, conflict and instability persist in parts of the country and have spread to previously unaffected areas in the Greater Equatoria and Greater Bahr-El-Ghazal regions. Last month, deadly clashes in Wau in the northwest killed more than 40 people and displaced about 35,000. Cubic Mission Solutions continues to provide enhanced networked capabilities for UAV Tactical Systems Ltd. Cubic Mission Solutions (CMS), a newly formed business division of Cubic Corporation (NYSE: CUB), today announced a three-year extension award of $1.4 million from UAV Tactical Systems Ltd. (U-TacS) to provide additional Tactical Common Data Links (TCDL) for U.K. Ministry of Defence's (MOD) Watchkeeper Unmanned Aircraft System (UAS) program. The Watchkeeper program, which is recognized as Europe's largest and most extensive UAS program, provides U.K. armed forces with essential Intelligence, Surveillance, Target acquisition and Reconnaissance (ISTR). Cubic's high-speed and secure TCDL serves as the wireless connection for transferring data and images from UAS to ground control stations. The TCDL leverages digital signal processing to accept and process high-volume sensor data generated on the air vehicle for transmission to its ground stations. A simultaneous uplink transmits control commands to the air vehicles and its payload. "Cubic's TCDL is an integral component to the Watchkeeper system as it delivers reliable, real-time data feeds to key decision makers for greater situational awareness and operational flexibility," said Mike Twyman, president of Cubic Mission Solutions. "We look forward to continuing our strong working relationship with U-TacS in providing extensive networked capabilities in support of U.K. MOD's Watchkeeper program." The Watchkeeper system provides continuous surveillance in all weather conditions and eliminates the need to deploy aircrews into sensitive or harmful environments. U-TacS is responsible for development, integration, test flights and manufacture of the UAS' subsystems, including unmanned aircraft, ground control station and other systems. About Cubic Corporation Cubic Corporation designs, integrates and operates systems, products and services focused in the transportation, defense training and secure communications markets. Cubic Transportation Systems is a leading integrator of payment and information technology and services to create intelligent travel solutions for transportation authorities and operators. Cubic Global Defense is a leading provider of live, virtual, constructive and game-based training solutions, special operations and intelligence for the U.S. and allied forces. Cubic Mission Solutions provides networked Command, Control, Communications, Computers, Intelligence, Surveillance and Reconnaissance (C4ISR) capabilities for defense, intelligence, security and commercial missions. For more information about Cubic, please visit the company's website at www.cubic.com or on Twitter @CubicCorp. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711005127/en/ Contacts: Cubic Corporation Laura Chon, +1 858-505-2181 Corporate Communications laura.chon@cubic.com TORONTO, CANADA and MUNICH, GERMANY -- (Marketwired) -- 07/11/16 -- TANTALEX Resources Corporation (CSE: TTX)(FRANKFURT: 1T0) ("TANTALEX" or the "Corporation"), is pleased to announce that its wholly owned subsidiary, United Materials Congo SARL ("UNITED MATERIALS" or "UMC"), located in the Katanga province of Democratic Republic of Congo ("DRC"), has signed a definitive agreement with a third local mining cooperative (refer to June 8 and June 30, 2016 press releases), namely La COMIPAK, whereby COMIPAK will become a sub-contractor to UMC, and as such, will supply UMC exclusively to the entirety of the Tantalum concentrate it produces. Dave GAGNON, Chief Executive Officer of TANTALEX, explains: "The team is very excited to have signed a third agreement for Tantalum. This is a direct reflection of our Company's business strategy coming to fruition. We are very quickly consolidating significant and reliable sources of Tantalum Pentoxide (Ta205) within the region of Katanga, a region that is rich in this valuable resource and that has a friendly mining jurisdiction, as well as a safe and equitable work environment. With each new agreement that we sign, Tantalex is gaining an important foothold in terms of market share in the sector of high-tech minerals, where only a few players currently exist. Furthermore, with the recent closing of a considerable private placement, we are getting the support and confidence from the market to continue on with our strategy." About TANTALEX Resources Corporation TANTALEX is a mining company engaged in the acquisition, exploration, development and distribution of Tantalum and other high-tech mineral properties in Africa. The Company is listed on the Canadian Stock Exchange (symbol: TTX) and the Frankfurt Stock Exchange (symbol: 1T0). Cautionary Note Regarding Forward Looking Statements The information in this news release includes certain information and statements about management's view of future events, expectations, plans and prospects that constitute forward looking statements. These statements are based upon assumptions that are subject to significant risks and uncertainties. Because of these risks and uncertainties and as a result of a variety of factors, the actual results, expectations, achievements or performance may differ materially from those anticipated and indicated by these forward looking statements. Although TANTALEX believes that the expectations reflected in forward looking statements are reasonable, it can give no assurances that the expectations of any forward looking statements will prove to be correct. Except as required by law, TANTALEX disclaims any intention and assumes no obligation to update or revise any forward looking statements to reflect actual results, whether as a result of new information, future events, changes in assumptions, changes in factors affecting such forward looking statements or otherwise. The Canadian Securities Exchange (CSE) has not reviewed this news release and does not accept responsibility for its adequacy or accuracy. For further information, please contacts Investor relations: ir@tantalex.ca Or visit us at: WWW.TANTALEX.CA Contacts: TANTALEX Resources Corporation Dave Gagnon Chief Executive Officer +16479637476 dg@tantalex.ca TANTALEX Resources Corporation Kyle Appleby Chief Financial Officer +14164179176 ka@tantalex.ca SEATTLE, WA -- (Marketwired) -- 07/11/16 -- Avalara, Inc., a leading provider of tax compliance automation for business, today announced that BillingPlatform, a comprehensive order-to-cash solution hosted in the cloud, has fulfilled Avalara's rigorous certification requirements and joined its community of certified solution partners. Avalara's solution partners are software publishers that integrate Avalara's software as a service (SaaS) for tax compliance, including communications taxes, directly into their own applications. As a result of this partnership, communications service providers (CSPs) of BillingPlatform can now benefit from Avalara, which automatically calculates applicable taxes, fees, and surcharges for every billing line item, in real-time. Avalara helps reduce the tedious work and complexity of calculating taxes for millions of products and services across the U.S., Canada, Europe, and dozens of other international jurisdictions, giving CSPs more time to focus on driving their own business success. In addition, BillingPlatform customers can add Avalara's tax return preparation, filing, and remittance services. "We're pleased to partner with Avalara to provide BillingPlatform customers with a streamlined and accurate way to calculate communications tax," said Nathan Shinn, CEO of BillingPlatform. "In today's rapidly changing and expanding communications industry, Avalara's leading expertise stands alone, providing our CSPs with the specialized automation they need to effectively manage tax compliance." Matt Tormollen, Avalara's EVP/GM of Excise, CertCapture, and Communications said, "Avalara's AvaTax for Communications gives BillingPlatform customers fast, easy, and cost-effective access to 'always-on' tax compliance. We're excited to welcome BillingPlatform into our community." For more than a decade, Avalara has pioneered innovations in tax compliance for businesses of all sizes. Today, Avalara integrates with hundreds of ERP systems, billing platforms, accounting packages, ecommerce shopping carts, Point of Sale systems, and mobile payment platforms. About Avalara Avalara helps businesses of all sizes achieve compliance with transactional taxes, including VAT, sales and use, excise, communications, and other tax types. We deliver comprehensive, automated, cloud-based solutions that are fast, accurate, and easy to use. Our Compliance Cloud platform helps customers manage complicated and burdensome tax compliance obligations imposed by state, local, and other taxing authorities throughout the world. Avalara offers more than 500 hundred pre-built connectors into leading accounting, ERP, ecommerce and other business applications. Each year, the company processes billions of indirect tax transactions for customers and users, files hundreds of thousands of tax compliance documents and tax returns, and manages millions of exemption certificates and other compliance related documents. A privately held company, Avalara' s venture capital investors include Sageview Capital, Battery Ventures, Warburg Pincus, Technology Crossover Ventures, Arthur Ventures, and other institutional and individual investors. Avalara's headquarters are in Seattle, WA and it has offices across the U.S. and in London and Brighton, UK; Brussels, Belgium; and Pune, India. More information at: www.avalara.com Embedded Video Available Embedded Video Available: http://www2.marketwire.com/mw/frame_mw?attachid=3031488 Sheri Renner Director of Corporate Communications sheri.renner@avalara.com VANCOUVER, BRITISH COLUMBIA -- (Marketwired) -- 07/11/16 -- Golden Arrow Resources Corporation (TSX VENTURE: GRG)(FRANKFURT: GAC)(WKN: A0B6XQ), ("Golden Arrow" or the "Company") has structured a plan to capture the positives of the market changes using the Company's value achievements to date as a base for growth. The top priority and value will be to continue working with Silver Standard who is working diligently toward the feasibility of integrating the two projects, Chinchillas and Pirquitas Mine. Silver Standard's financial commitment has considerably advanced the Chinchillas Silver project's value. The resurgence of the price of silver which is encouraging new production economies, plus the significant tax reduction by the Government of Argentina, is re-opening the country as a leading destination for new investment. The Company wishes to announce that it has entered into an option agreement to acquire a 100% interest in the Antofalla project, a silver and base metals project located in Catamarca Province, Argentina. Antofalla hosts similar styles of geology and mineralization to the Company's Chinchillas Silver Project. The terms of the option agreement include staged payments over five years totaling $1.56M for a 100% interest in the property. The vendor would retain a 1% net smelter royalty. In addition, Golden Arrow is a 100% paid-up owner of 214,000 hectares (528,000 acres) of an extremely well-located property portfolio which could well advance another world-class discovery in addition to the previous three already found by the Grosso Group. Golden Arrow's plan during this mining industry market turnaround is to maximize value on all fronts by: continuing the search and review of exciting new projects for acquisition or merger; continuing the development of well-located properties already held by the Company in Argentina; and, the advancement of its Chinchillas project to production. ON BEHALF OF THE BOARD Mr. Joseph Grosso, Executive Chairman, President, CEO and Director Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. FORWARD-LOOKING STATEMENTS This news release contains "forward-looking statements" within the meaning of Canadian securities legislation. Such forward-looking statements concern the Company's anticipated results and developments in the Company's operations in future periods, planned exploration and development of the Chinchillas project, plans related to its business and other matters that may occur in the future. Statements concerning mineral resource estimates and the interpretation of drill results may also constitute forward-looking statements to the extent that they involve estimates of the mineralization that will be encountered if the Chinchillas project is developed. These statements are based on a number of assumptions which may prove to be incorrect, including, but not limited to, assumptions about the following: assumptions made in the Chinchillas Mineral Resource Estimate, including geological interpretation, grade, recovery rates, silver, zinc and lead price assumptions and operating costs; the availability of financing for exploration and development activities, including Silver Standard Resources Inc. ("SSRI") meeting certain milestones and exercising its election to proceed with the transactions contemplated under the Business Combination Agreement dated September 30, 2015 among the Company, SSRI and certain other parties; the Company's ability to attract and retain skilled staff; the Chinchillas project development schedule; the exchange rates of the Canadian dollar and United States dollar to the Argentina peso; market competition; ongoing relations with impacted communities; and general business and economic conditions. Forward-looking statements are subject to a variety of known and unknown risks, uncertainties and other factors which could cause actual events or results to differ from those expressed or implied by the forward-looking statements, including, without limitation: risks related to precious and base metal price fluctuations; risks related to the transactions contemplated by the Business Combination Agreement; risks related to fluctuations in the currency markets (particularly the Argentinean peso, Canadian dollar and United States dollar); risks related to the inherently dangerous activity of mining, including conditions or events beyond our control, and operating or technical difficulties in mineral exploration, development and mining activities; uncertainty in the Company's ability to raise financing and fund the development of the Chinchillas project, including as recommended in the Chinchillas Mineral Resource Estimate; uncertainty as to actual capital costs, operating costs, production and economic returns, and uncertainty that development activities will result in a profitable mining operation at Chinchillas; risks related to mineral resource figures being estimates based on interpretations and assumptions which may result in less mineral production under actual conditions than is currently estimated and to diminishing quantities or grades of mineral resources as properties are mined; risks related to governmental regulations and obtaining necessary licenses and permits; risks related to the business being subject to environmental laws and regulations which may increase costs of doing business and restrict our operations; risks related to the Chinchillas project being subject to prior unregistered agreements, transfers, or claims and other defects in title; risks relating to inadequate insurance or inability to obtain insurance; risks related to potential litigation; risks related to the global economy; and risks related to the Chinchillas project being located in Argentina, including political, economic, social and regulatory instability. Should one or more of these risks and uncertainties materialize, or should underlying assumptions prove incorrect, actual results may vary materially from those described in the forward-looking statements. The Company's forward-looking statements are based on beliefs, expectations and opinions of management on the date the statements are made. For the reasons set forth above, investors should not place undue reliance on forward-looking statements. The information provided in this news release addresses the drill results from the Chinchillas project and is not intended to be a comprehensive review of all matters and developments concerning the Company. It should be read in conjunction with all other disclosure documents of the Company. The information contained herein is not a substitute for detailed investigation or analysis. No securities commission or regulatory authority has reviewed the accuracy or adequacy of the information presented. The Company undertakes no obligation to publicly update or revise any forward-looking statements other than as required under applicable law. We advise U.S. investors that the SEC's mining guidelines strictly prohibit information of this type in documents filed with the SEC. U.S. investors are cautioned that mineral deposits on adjacent properties are not indicative of mineral deposits on our properties. Contacts: Corporate Communications: 1-604-687-1828 Toll-Free: 1-800-901-0058 604-687-1858 (FAX) info@goldenarrowresources.com OAKVILLE, ONTARIO -- (Marketwired) -- 07/11/16 -- Saint Jean Carbon Inc. ("Saint Jean" or the "Company") (TSX VENTURE: SJL), a carbon science company engaged in the exploration of natural graphite properties and related carbon products, is pleased to announce the Company has completed its Electromagnetic Surveys on the Bell Mine Graphite Property in Quebec. Preliminary results from the helicopter-borne magnetic and TDEM surveys were received from Prospectair Geoservices from Gatineau, Quebec. The survey covered 129 linear kilometres of lines, which were flown at 100 m line spacing with orthogonal tie lines at 1000 m spacing. Lines were oriented East- West and were perpendicular to the stratigraphy. The heli-borne magnetometer Geometrics G-822A was used. Both the ground and heliborne systems use a non-oriented (strap-down) optically-pumped Cesium split-beam sensor. These magnetometers have a sensitivity of 0.005 nT and a range of 15,000 to 100,000 nT with a sensor noise of less than 0.02 nT. The heliborne sensor is mounted in a bird made of non-magnetic material located 25 m below the helicopter when flying. Total magnetic field measurements are recorded at 10 Hz in the aircraft. The ground system is recording magnetic data at 1 sample every second. A GEM GSM-19 Overhauser magnetometer, a computer workstation and a complement of spare parts and test equipment serve as the base station. PROSPECTAIR established the base station in a secure location with low magnetic noise. The GSM-19 magnetometer has resolution of 0.01 nT, and 0.2 nT accuracy over its operating range of 20,000 to 100,000 nT. Its data output rate is 1 Hz. Prospectair Geosurveys developed the ProspecTEM. It is a powerful lightweight system adapted for small size helicopters and easy manoeuvrability enabling the system to be flown as close to the ground as safely possible and ensuring maximum data resolution. Advanced signal processing technique and a full processing package was developed in house to optimize the ProspecTEM data. ProspecTEM system employs a transient or time-domain electromagnetic transmitter that drives an alternating current through an insulated electrical coil system. The towing bridle is constructed from a Kevlar rope and multi-paired shielded cables which are attached to the helicopter by a weak link assembly. An onboard harness with outboard connectors mounted on a plate allows for quick disconnection or connection of the exterior elements. The system uses a 4 KW generator and a large condenser to transmit alternating 2.75-ms half sine pulses with intervening off-times of 13.916-ms electric pulse, 60 pulses per second. Christian Derosier, PGeo, PhD, commented: "In the northern part of the claims block, the survey recorded a ESE-WNW very strong conductive band lying parallel to the McNaughton Creek and north of an important vertical fault with an important vertical throw. This conductor is interpreted as a highly conductive clay accumulation (Leda Clays) deposited by the Champlain Sea and which may represent large volumes. Those clays are frequent in the Ottawa valley and along the Lievre river valley, which flow through Buckingham. The McNaughton Fault cuts the northern extension of the Bell mine mineralization." Several narrow and good conductors, with a N-S orientation, running parallel to the rock formation were picked-up by the TDEM and the magnetic survey in the eastern part of the property. More particularly, one excellent conductor is located near the old New Quebec Graphite Co' workings." All the electromagnetic conductors will be verified on the ground with geophysical instruments, prospecting and trenching during this field season. Paul Ogilvie, CEO, commented: "We are very encouraged by the results obtained. The Bell Mine property presents a good potential for flake and lump graphite mineralization that we are anxious to uncover. The survey has also been completed on the Walker Mine Property. The Company is waiting for the preliminary results which will be released later this week." Christian Derosier, P.Geo., PhD., is the qualified person (QP) as defined in National Instrument 43-101 and, acting on behalf of Saint Jean Carbon, has reviewed and approved the technical content of this news release. About Saint Jean Carbon Saint Jean is a publicly traded carbon science company, with interest in graphite mining claims in the province of Quebec in Canada. For the latest information on Saint Jean's properties and news please refer to the website: http://www.saintjeancarbon.com/ On behalf of the Board of Directors Saint Jean Carbon Inc. Paul Ogilvie, CEO and Director Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. FORWARD LOOKING STATEMENTS: This news release contains forward-looking statements, within the meaning of applicable securities legislation, concerning Saint Jean's business and affairs. In certain cases, forward-looking statements can be identified by the use of words such as "plans", "expects" or "does not expect", "intends" "budget", "scheduled", "estimates", "forecasts", "intends", "anticipates" or variations of such words and phrases or state that certain actions, events or results "may", "could", "would", "might" or "will be taken", "occur" or "be achieved". These forward-looking statements are based on current expectations, and are naturally subject to uncertainty and changes in circumstances that may cause actual results to differ materially. The forward-looking statements in this news release assume, inter alia, that the conditions for completion of the Transaction, including regulatory and shareholder approvals, if necessary, will be met. Although Saint Jean believes that the expectations represented in such forward-looking statements are reasonable, there can be no assurance that these expectations will prove to be correct. Statements of past performance should not be construed as an indication of future performance. Forward-looking statements involve significant risks and uncertainties, should not be read as guarantees of future performance or results, and will not necessarily be accurate indications of whether or not such results will be achieved. A number of factors, including those discussed above, could cause actual results to differ materially from the results discussed in the forward-looking statements. Any such forward-looking statements are expressly qualified in their entirety by this cautionary statement. All of the forward-looking statements made in this press release are qualified by these cautionary statements. Readers are cautioned not to place undue reliance on such forward-looking statements. Forward-looking information is provided as of the date of this press release, and Saint Jean assumes no obligation to update or revise them to reflect new events or circumstances, except as may be required under applicable securities laws. Contacts: Saint Jean Carbon Inc. (905) 844-1200 info@saintjeancarbon.com VANCOUVER, BRITISH COLUMBIA -- (Marketwired) -- 07/11/16 -- IDM Mining Ltd. (TSX VENTURE: IDM) ("IDM" or the "Company") is pleased to announce that ongoing rehabilitation of the underground equipment and workings at the Red Mountain gold project and the timely receipt of provincial permits has enabled the commencement of drilling. This work is another step in the steady advancement of the Red Mountain Project towards a Bankable Feasibility Study (BFS) and ultimate Final Investment Decision (FID) planned for 2017. "We are fortunate to have access to the Red Mountain deposit with an existing production-sized underground decline, plus a fleet of mining and support equipment," said Rob McLeod, President and CEO of IDM Mining. "The excellent ground conditions have enabled a straightforward dewatering and rehabilitation program to provide access for drilling." The Phase I drilling program utilizing two underground rigs includes 36 planned core holes for a minimum 5,000 meters targeting gold and silver mineralization in the Marc, AV and JW zones. This drilling will target inferred resources, primarily within the JW zone with the objective of upgrading to measured and indicated categories. Step out holes are also planned along strike and down-dip from the current resource. Additionally, multiple metallurgical holes are planned throughout the mineralizing system for detailed metal recovery, geotechnical and hydrological studies. "The geologic, engineering and environmental baseline information collected during the 2016 program will be used for IDM's planned feasibility study, to be completed in early 2017," said Michael McPhie, Executive Chairman. "Additionally, our exploration work will further demonstrate the significant upside at Red Mountain." IDM has also initiated a comprehensive surface program of mapping and sampling, including targeting new areas of the 17,000 hectare property that have recently been exposed by rapid glacial melt. Assays from initial surface work on the Property are currently pending. The objective of this surface exploration work is to identify new drill targets within the widespread alteration and mineralizing system at Red Mountain. Additionally, the Company is continuing with ongoing surface engineering work and environmental baseline studies in the project study area. This includes detailed surveys for the tailings management facility, mill, and ore stockpile at the proposed Bromley Humps location as well as the access road and proposed power line right-of-way, and planning for surface facilities. Ongoing hydrological and environmental studies are being performed in support of the environmental assessment process currently underway for the project by BC and Canada. About Red Mountain The 17,125 hectare Red Mountain Gold Project is located in northwestern BC, 15km northeast of the town of Stewart. Discovered in 1989, the property was explored extensively until 1996 by Lac Minerals Ltd. and Royal Oak Mines Inc., with 466 diamond drill holes and over 2,000 meters of underground development completed, along with extensive engineering and environmental baseline work. Additional studies were completed over the past 12 years by Seabridge Gold Inc., North American Metals Corp. and Banks Island Gold Ltd. On April 4, 2016, the Company announced an updated mineral resource estimate reported at 3.0 g/t Au cut-off for the Red Mountain Project. ---------------------------------------------------------------------------- Classification Tonnage Au Ag Oz Au Oz Ag (g/t) (g/t) ---------------------------------------------------------------------------- Measured 847,200 9.38 34 255,400 920,700 Indicated 794,600 7.29 18 186,100 459,100 Measured + Indicated 1,641,800 8.36 26 441,500 1,379,800 Inferred 548,100 6.10 9 107,500 153,700 ---------------------------------------------------------------------------- Red Mountain is a porphyry-related hydrothermal gold system, located in the Stikine terrain. Gold mineralization is associated with, and partially hosted within an early to mid-Jurassic multi-phase intrusive complex, with associated volcanic and volcaniclastic rocks and sediments. Many gold mineralized zones occur on the Property, including five mineralized zones with established resource estimates. The five mineralized zones (Marc, AV, JW, 141 and 132) have been folded, and are often separated by dip-slip fault zones. The mineralized zones vary in orientation from shallow to steeply dipping and are generally tabular. The Marc, AV and JW zones vary in widths from one to forty meters, averaging about fifteen meters in thickness. Gold and silver mineralization is associated with stockworks, disseminations and patches of coarse grained pyrite, surrounded by a pyrrhotite/sphalerite halo. Alteration facies includes strong quartz-sericite alteration. Additional information, including the Company's NI 43-101 Technical Reports for the Red Mountain Project is available at www.idmmining.com and at www.sedar.com. QA/QC AND QUALIFIED PERSON Samples for the 2016 program are collected by experienced geologists and technicians, placed in sealed bags and shipped to ALS Labs Ltd in Terrace, BC for sample preparation, with pulps subsequently shipped to Vancouver, BC for gold and multi-element ICP analysis. A Quality Control/Quality Assurance program including the insertion of Standards and Blanks has been implemented. The 2016 Exploration Program is performed under the supervision of Rob McLeod, P.Geo, President and CEO of IDM Mining Ltd and a 'Qualified Person' under NI 43-10. Mr. McLeod has reviewed and approved the technical content of this release. ABOUT IDM MINING LTD. IDM Mining Ltd. is a mineral exploration and development company based in Vancouver, BC, Canada. The Company's current exploration and development activities are focused on precious metals in British Columbia and Yukon with a primary focus on the high grade underground Red Mountain Project which has entered the BC and Canadian environmental assessment process. Further information can be found on the Company's website at www.IDMmining.com. ON BEHALF OF THE BOARD of IDM Mining Ltd. Robert McLeod, President, CEO and Director "Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release." Forward-Looking Statements: Some statements in this news release contain forward-looking information or forward-looking statements for the purposes of applicable securities laws. These statements include, among others, statements with respect to the proposed exploration and development activities and their timing, resource estimates and potential mineralization, the Company's plans to complete an Environmental Assessment Application, a feasibility study and ultimate investment decision. These statements address future events and conditions and, as such, involve known and unknown risks, uncertainties and other factors, which may cause the actual results, performance or achievements to be materially different from any future results, performance or achievements expressed or implied by the statements. Such factors include, among others and in addition to those described elsewhere in this release, timing and success of future exploration and development activities, exploration and development risks, delays in obtaining or inability to obtain required government or other regulatory approvals, permits or financing, the risk of unexpected variations in mineral resources, grade or recovery rates, of failure of plant, equipment or processes to operate as anticipated, of accidents, labor disputes, and unanticipated delays in completing other development activities, the risk that estimated costs will be higher than anticipated and the risk that the proposed mine plan and recoveries will not be achieved, equipment breakdowns and bad weather, the timing and success of future exploration and development activities, exploration and development risks, mineral resources are not as estimated, title matters, third party consents, operating hazards, metal prices, political and economic factors, competitive factors and general economic conditions. In making the forward-looking statements, the Company has applied several material assumptions including, but not limited to, the assumptions that: required regulatory approval, permits and financing will be obtained; the proposed exploration and development will proceed as planned; with respect to mineral resource estimates, the key assumptions and parameters on which such estimates are based; that the proposed mine plan and recoveries will be achieved, that capital costs and sustaining costs will be as estimated, and that no unforeseen accident, fire, ground instability, flooding, labor disruption, equipment failure, metallurgical, environmental or other events that could delay or increase the cost of development will occur, and market fundamentals will result in sustained metals and minerals prices. The Company expressly disclaims any intention or obligation to update or revise any forward-looking statements whether as a result of new information, future events or otherwise except as otherwise required by applicable securities legislation. Contacts: IDM Mining Ltd. Robert McLeod -681-5672 direct 604-617-0616 cell rm@idmmining.com www.IDMmining.com Regulatory News: TxCell SA (FR0010127662 TXCL) (Paris:TXCL), a biotechnology company developing innovative, personalized cellular immunotherapies using regulatory T cells (Treg) to treat severe chronic inflammatory and autoimmune diseases, announces today that some of TxCell's teams will present at and attend a number of leading scientific conferences during the third quarter of 2016. These conferences include: International Society for Cellular Therapy (ISCT) North America 2016 Regional Meeting , September 30 October 2, Memphis, United States Miguel Forte, Chief Operating Officer of TxCell and Chief Commercialization Officer of the ISCT, will chair a special Commercialization Committee Signature Series day on September 29 (9am-6pm) that will cover a wide range of topics critical to the ongoing development of the cell therapy industry. These topics include product characterization, clinical development and market access. World leaders in the cellular therapy field will participate in this meeting, including Bruce Levine, Director, Clinical Cell and Vaccine Production at the University of Pennsylvania (Philadelphia), Qizhi Tang, Associate Professor at the UCSF School of Medicine (San Francisco) and Arnaud Foussat, Chief Scientific Officer of TxCell. , September 30 October 2, Memphis, United States Phacilitate Leaders Forum (including both Cell Gene Therapy Europe and Immunotherapy Europe ), September 21-22, Berlin, Germany Miguel Forte will contribute to a panel discussion entitled 'Addressing remaining logistical bottlenecks in clinical and commercial European ATMP supply chains' on September 22 at 12.05pm local time. Miguel Forte will also contribute to the closing panel discussion on September 22 at 3.40pm local time, which will address the impact of T cell and NK cell immunotherapies in the autoimmune area. For more information on the Phacilitate Leaders Forum: www.cgteurope.com. (including both and ), September 21-22, Berlin, Germany International Congress of Immunology 2016 (ICI 2016) , August 21-26, Melbourne, Australia An oral presentation entitled 'High-content cytotoxic assays reveal the biological activity of pathological and therapeutical cytotoxic T lymphocytes' will be presented by Delphine Guipouy, a PhD student affiliated to TxCell's research department, on August 22 at 11.50am local time. This presentation will further explore the mechanism of action of TxCell's drug-candidates. For more information on the ICI 2016 conference: www.ici2016.org. , August 21-26, Melbourne, Australia About TxCell - www.txcell.com TxCell is a biotechnology company that develops platforms for innovative, personalized T cell immunotherapies for the treatment of severe chronic inflammatory and autoimmune diseases with high unmet medical need. TxCell is the only clinical stage cellular therapy company dedicated to the science of regulatory T lymphocytes (Tregs). Tregs are a recently discovered T cell population for which anti-inflammatory properties have been demonstrated. TxCell is developing two proprietary technology platforms, ASTrIA and ENTrIA. ASTrIA is composed of autologous antigen-specific Type 1 Tregs. Ovasave, TxCell's lead drug-candidate originating from the ASTrIA platform, is currently in a phase IIb clinical trial in refractory Crohn's disease patients. ENTrIA is composed of Chimeric Antigen Receptor engineered FoxP3+ regulatory T cells (CAR-Treg). TxCell launched two CAR-Treg development programs in Q2 2016, a first one targeting Lupus Nephritis with Ospedale San Raffaele (OSR) and a second one targeting Bullous Pemphigoid with the Lubeck Institute of Experimental Dermatology (LIED). Based in Sophia-Antipolis, France, TxCell is listed on Euronext Paris and currently has 50 employees. Forward-Looking Statements TxCell This press release contains certain forward-looking statements relating to the business of TxCell, which shall not be considered per se as historical facts, including TxCell's ability to develop, market, commercialize and achieve market acceptance for specific products, estimates for future performance and estimates regarding anticipated operating losses, future revenues, capital requirements, needs for additional financing. In addition, even if the actual results or development of TxCell are consistent with the forward-looking statements contained in this press release, those results or developments of TxCell may not be indicative of their in the future. In some cases, you can identify forward-looking statements by words such as "could," "should," "may," "expects," "anticipates," "believes," "intends," "estimates," "aims," "targets," or similar words. Although the management of TxCell believes that these forward-looking statements are reasonably made, they are based largely on the current expectations of TxCell as of the date of this press release and are subject to a number of known and unknown risks and uncertainties and other factors that may cause actual results, performance or achievements to be materially different from any future results, performance or achievement expressed or implied by these forward-looking statements. In particular, the expectations of TxCell could be affected by, among other things, uncertainties involved in the development of the Company's products, which may not succeed, or in the delivery of TxCell's products marketing authorizations by the relevant regulatory authorities and, in general, any factor that could affects TxCell capacity to commercialize the products it develops, as well as, any other risk and uncertainties developed or identified in any public documents filed by TxCell with the AMF, included those listed in chapter 4 "Risk factors" of the 2015 document de reference approved by the AMF on May 24, 2016 under number R.16-048. In light of these risks and uncertainties, there can be no assurance that the forward-looking statements made in this press release will in fact be realized. Notwithstanding the compliance with article 223-1 of the General Regulation of the AMF (the information disclosed must be "accurate, precise and fairly presented"), TxCell is providing the information in these materials as of this press release, and disclaims any intention or obligation to publicly update or revise any forward-looking statements, whether as a result of new information, future events, or otherwise. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711006003/en/ Contacts: TxCell Caroline Courme IR Communication Director Tel: +33(0) 4 97 21 83 00 caroline.courme@txcell.com or Image Box Press relations Neil Hunter Michelle Boxall Tel: +44(0) 20 8943 4685 neil.hunter@imageboxpr.co.uk michelle.boxall@imageboxpr.co.uk or NewCap - Investor relations Julien Perez Pierre Laurent Tel: +33 (0)1 44 71 98 52 txcell@newcap.eu TORONTO, ONTARIO -- (Marketwired) -- 07/11/16 -- Nutritional High International Inc. (the "Company" or "Nutritional High") (CSE: EAT)(OTCQB: SPLIF)(FRANKFURT: 2NU) wishes to provide a shareholder update to summarize the Company's business development over the last few months and the milestones that we have achieved at the project and the corporate level. In the last few months the Company has augmented its board and management team by adding a new COO, Jim Frazier, who has been instrumental in reducing construction costs in Colorado, advancing our Pueblo Project and instituting other operational changes; a new CFO, Amy Stephenson, the former CFO of Bedrocan; and new director, Robert Keeler who has significant operational experience in the food manufacturing industry. We have made significant progress regarding Phase I construction at the main facility on our Pueblo property, and have re-configured the equipment plan to allow Palo Verde to manufacture products with the attributes that are highly sought after in the Colorado market. Phase I is predominantly focused on developing a portion of the building to allow Palo Verde to launch its oil extraction business and to ensure that the mechanical, structural and security features provide an environment conducive for equipment installation and safe food manufacturing. We're also evaluating the possibility of launching the artisanal line, upon completion of Phase I. The space dedicated for Phase I is expected to occupy 20% of the total space at Pueblo facility and provides sufficient space to expand the extraction operation to include other methods (other hydrocarbon extraction, CO2 and lipids based methods) and manufacturing of edible products on semi-automated basis. With the build out firmly underway the Company expects the completion of Phase I build-out by the end of summer 2016 with the equipment installation and inspections to follow. All equipment has been ordered and the timing of Palo Verde's production launch will depend on the timing of local inspections, expected to occur shortly after completion of construction. We will continue to post to our social media pages key points of information along with other critical developments of the ongoing construction as we move closer to full scale operations. Palo Verde will employ a short-path distillation technology to purify its cannabis oil extracts and remove deleterious compounds such as pesticides and residual solvents; separate chlorophyll from the final product and isolate terpenes. The product has been dubbed as "Clear" in the industry due to its transparency and quality. The processing method uses ethyl alcohol as a solvent to manufacture extracts, which is less volatile and safer for human consumption, compared to other solvents. The process selected by Nutritional High also provides for significantly higher processing capacity as the mechanical separation portion of the process enables pre-extraction of cannabinoids, which in turn increases the overall throughput. The equipment sourced by Nutritional High will also have custom modifications to fit our specifications. With Nutritional High's support, Palo Verde continues to take steps to establish operations, which includes sourcing key personnel to operate the Pueblo facility, securing potential sources of trim and shake to be used for manufacturing extracts, and developing a market roll-out strategy. Palo Verde's production economics are estimated as follows: -- The processing technology is able to process up to 50 lbs of trim/shake per day, which in Colorado is estimated to cost approx. $400/lb to purchase from growers; -- The extraction yield is expected to be 8%; -- Good quality oil extract sells for approx. $25/g on the wholesale market ("Clear" typically goes for higher); -- Overhead cost to operate the facility is estimated at $30,000 per month; -- There is potential to increase margins by infusing the oil extract into gelatin caps, vape pens and tinctures, which are higher value add products and where the "effective" value per gram is significantly higher than $25/g. For example, a disposable vape pen with 250mg of THC content is sold for $10-15/piece on the wholesale market; -- The margins for edibles are even higher, as the average price for a package with 100mg, sells for $10-15/piece on the wholesale market. Nutritional High will secure revenue from Palo Verde through multiple streams including rent, interest payments, and through the sale of branded packaging. Nutritional High has also made strides financially, taking the steps to secure the necessary financing to execute the projects and provide sufficient working capital for operations. The Company has completed a re-financing of its Pueblo property, which has provided over USD $600,000 for capital expenditures and working capital. We are also continuing towards clearing the F-1 prospectus with the SEC, which would provide the Company access to an additional USD $1 million in equity financing from Kodiak Capital. We also continue to seek out other non-dilutive sources of financing such as equipment loans, which would minimize external equity capital requirements to execute our work plans. Lastly, as a part of our Illinois joint-venture, our partners have agreed to contribute USD $300,000 to advance our dispensary project, which provides the capital necessary to complete the build-out and commence operations. We also continue to be encouraged by the market conditions in Illinois, where Amendment 3 to Senate Bill 10, was recently passed. This measure extends the state's medical cannabis pilot program by an additional 2 1/2 years, to July 1, 2020, and expands the list of qualifying conditions, to include post-traumatic stress disorder ("PTSD"). PTSD is the only medical condition that was approved for a research study by the US Drug Enforcement Administration ("DEA") in context of medical cannabis. Also as part of the legislative change, in order for a patient to receive their medical marijuana card, their doctor must no longer "recommend" the use of cannabis. Instead, their doctor must simply certify that a legitimate doctor-patient relationship exists, and that the patient in question has a qualifying condition. This change is expected to accelerate growth of the patient count in the State. These changes provide for improved access to medical cannabis in the State of Illinois and in the Company's view expands the potential patient base, further improving the fundamentals of the Company's business prospects in the State. We've made a decision to move the location of the dispensary to Effingham, IL, which is located in a high traffic regional business and retail district with several major big box retailers within minutes of the major highway exit. Lastly, we continue to monitor regulatory environment in different jurisdictions in an effort to identify the opportunities to continue expanding the Company's operations in a manner accretive to the shareholders. We are keeping a close eye on Oregon, Maryland, Arizona, Nevada, Washington, California, as well as Canada and a few other counties for potential developments where Nutritional High is in a position to capitalize on the regulatory shifts in a cost effective manner. We continue to pursue expansion strategies according to the mandate set out in the past, and will provide updates as the significant developments are available. We hope that we have answered some of the questions posed by investors in the last few months and clarified the corporate direction of Nutritional High. The management is excited about the prospect of finishing construction at Pueblo and allowing Palo Verde to commence commercial production of oils extracts and MIPs. About Nutritional High International Inc. Nutritional High is focused on developing, manufacturing and distributing products and nationally recognized brands in the hemp and marijuana-infused products industries, including edibles and oil extracts for nutritional, medical and adult recreational use. The Company works exclusively through licensed facilities in jurisdictions where such activity is permitted and regulated by state law. For updates on the Company's activities and highlights of the Company's press releases and other media coverage, please follow Nutritional High on Facebook, Twitter, Instagram and Google+. NEITHER THE CANADIAN SECURITIES EXCHANGE NOR OTC MARKETS GROUP INC, NOR ITS REGULATIONS SERVICES PROVIDER HAVE REVIEWED OR ACCEPT RESPONSIBILITY FOR THE ADEQUACY OR ACCURACY OF THIS RELEASE. This news release may contain forward-looking statements and information based on current expectations. These statements should not be read as guarantees of future performance or results. Such statements involve known and unknown risks, uncertainties and other factors that may cause actual results, performance or achievements to be materially different from those implied by such statements. Such statements include submission of the relevant documentation within the required timeframe and to the satisfaction of the relevant regulators, completing the acquisition of the applicable real estate and raising sufficient financing to complete the Company's business strategy. There is no certainty that any of these events will occur. Although such statements are based on management's reasonable assumptions, there can be no assurance that such assumptions will prove to be correct. We assume no responsibility to update or revise them to reflect new events or circumstances. Company's securities have not been registered under the U.S. Securities Act of 1933, as amended (the "U.S. Securities Act"), or applicable state securities laws, and may not be offered or sold to, or for the account or benefit of, persons in the United States or "U.S. Persons", as such term is defined in Regulation S under the U.S. Securities Act, absent registration or an applicable exemption from such registration requirements. This press release shall not constitute an offer to sell or the solicitation of an offer to buy nor shall there be any sale of the securities in the United States or any jurisdiction in which such offer, solicitation or sale would be unlawful. Additionally, there are known and unknown risk factors which could cause the Company's actual results, performance or achievements to be materially different from any future results, performance or achievements expressed or implied by the forward-looking information contained herein. All forward-looking information herein is qualified in its entirety by this cautionary statement, and the Company disclaims any obligation to revise or update any such forward-looking information or to publicly announce the result of any revisions to any of the forward-looking information contained herein to reflect future results, events or developments, except as required by law. Contacts: Etienne Moshevich Transcend Capital Inc., Investor Relations 604-681-0084 et@transcendcapitalinc.com David Posner, CEO Nutritional High International Inc. 647-985-6727 dposner@nutritionalhigh.com EDMONTON, ALBERTA -- (Marketwired) -- 07/11/16 -- During a luncheon today hosted by the Alberta Enterprise Group, the Honourable Bardish Chagger, Minister of Small Business and Tourism, spoke to the Edmonton business and tourism community about the importance of small businesses and tourism as key drivers in the Canadian economy. The Minister touched on various government initiatives, such as the Innovation Agenda, support for tourism-related businesses, and the government's role in encouraging innovation and entrepreneurship. SMEs are drivers of the economy, employing 90 percent of the private sector workforce and accounting for about 40 percent of GDP. The vast majority of Canada's tourism businesses are small and medium-sized -- such as lodges, spas, wineries and small tour operators. The government remains committed to supporting these entrepreneurs through initiatives like the Millennial Travel Program, the new Connecting America or the marketing campaign led by Destination Canada in the United States. Quotes "Tourism is a powerful engine of growth and has a wide dynamic effect on small and medium-sized enterprises. The Government of Canada remains committed to helping entrepreneurs become more productive, innovative and export-oriented." - Bardish Chagger, Minister of Small Business and Tourism Quick facts -- The AEG is a member-based, non-profit business advocacy organization based in Edmonton. This organization hones on the collective experience of its members to effectively communicate the benefits of doing business in the province. Its objective is to inform the public, as well as policy makers, on complex and challenging issues facing the province and the country to create real change at community level. -- The EEDC is a not-for-profit company owned by the City of Edmonton and is strategically focused on Edmonton's economic future. EEDC's purpose is to increase prosperity and the quality of life for people of the Edmonton region by promoting economic development, marketing Edmonton as a tourist destination, and by managing the Shaw Conference Centre and Edmonton Research Park. EEDC is also part of a joint venture with the University of Alberta called TEC Edmonton, which opens doors for local entrepreneurs, investors and the U of A research community. Associated links - Alberta Enterprise Group - Innovation Agenda Follow Minister Chagger on social media. Twitter: @MinofSBT Instagram: minofsbt Contacts: James Fitz-Morris Director of Communications Office of the Minister of Small Business and Tourism 343-291-2700 Media Relations Innovation, Science and Economic Development Canada 343-291-1777 ic.mediarelations-mediasrelations.ic@canada.ca The largest river ship on the Danube River marks newest chapter in epic brand expansion, becomes 'World's Only Luxury River Cruise Line' Today, in another milestone for the expansion of Crystal, the Most Luxurious Travel and Lifestyle Brand Portfolio, Crystal Mozart was officially welcomed to the Crystal River Cruises fleet in Vienna, Austria. During an elegant ceremony attended by local dignitaries, media and distinguished executives from Crystal, the "Queen of Europe's Rivers" was christened by Godmother Ms. Elisabeth Gurtler, who is the managing director of both the Hotel Sacher, Vienna's most famous hotel, and the city's historic Spanish Riding School. This Smart News Release features multimedia. View the full release here: http://www.businesswire.com/news/home/20160711006346/en/ Crystal Mozart Christening Ceremony (Photo: Business Wire) Crystal Mozart's maiden voyage on July 13, will mark the official launch of Crystal River Cruises, which will see four additional river yachts launched by the end of next summer. Crystal's CEO and president, Edie Rodriguez, will personally welcome each guest of the inaugural sailing aboard the newly reimagined vessel, where they will experience the hallmarks of the all-inclusive, all-exclusive Crystal Experience spacious suites, personal butlers, six-star service featuring the highest guest to crew ratio in river cruising at 1.74, luxurious amenities, world-class cuisine and the only river ship with a full wrap around promenade. "This is an extraordinary time for our company, as our expansion from the world's oceans to its rivers and air allows for numerous new opportunities and ways for travelers to explore the world with us," says Crystal CEO and President Edie Rodriguez. "Each new vessel and adventure is another step for Crystal toward our goal of providing savvy global travelers with virtually every luxury travel experience they can possibly imagine." The traditional ceremony welcomed 175 VIP guests to a cocktail reception on the Vista Deck Four of Crystal Mozart, featuring a traditional Viennese Brass Orchestra dressed in historic uniforms and playing traditional Austrian Marches. Master of Ceremonies and Crystal Mozart's lead entertainer, musician Mark Farris, then ushered guests shoreside for the naming of the ship by the godmother and breaking of the magnum of Szigeti's 2011 Sonderedition "Adele" champagne. Rodriguez, along with Crystal COO Thomas Mazloum and Crystal River Cruises Vice President and Managing Director Walter Littlejohn officially welcomed Crystal Mozart to the fleet and kicked off further festivities, which included a lavish dinner in the ship's Waterside and Blue restaurants. "Crystal's presence on the rivers of Europe absolutely raises the bar of excellence for the luxury river cruising industry, a standard the company set long ago when it entered the luxury ocean cruising market," says Littlejohn, a veteran of the river cruising industry. "We are thrilled to introduce a new way to explore the world with the highly-acclaimed Crystal Experience." Following dinner, guests were transported to the Belvedere Palace for an exclusive evening of Mozart and Strauss performances, an event that all Crystal Mozart guests will experience complimentary when sailing on Crystal River Cruises' Danube river itineraries. Measuring 395 feet long (120.4 meters) and 75 feet wide (22.9 meters), the all-suite Crystal Mozart is the largest of all European river vessels and boasts numerous public areas for guests to enjoy. On Crystal Deck Three, guests will find an array of elegant and casual dining options, lounges and bars that will foster camaraderie among guests as they share stories and cocktails. On the uppermost deck, Vista Deck 4, a pop-up bar sets the scenes for celebrations under the stars while during the day guests can attend a yoga session or relax in expansive sun loungers as they sail along the Danube River. Fitness and wellness enthusiasts can indulge in the largest spa in river cruising at the Crystal Life Spa, which features an indoor pool and fully-equipped fitness, spa and saloon facilities. The 154-guest capacity vessel will sail itineraries along the Danube River, calling in some of the region's most stunning locales throughout Austria, Germany, Hungary, Slovakia, Croatia, and Serbia. And for the first time on a Crystal voyage, most excursions are complimentary. Guests can reserve electronic bicycles on the ship for independent exploration, while VIP Champagne boat tours will also be available for private cruising and sight-seeing along Europe's narrower waterways. Crystal Mozart also bears the distinction as the most technologically advanced river ship in the world. Each suite offers Apple iPad devices that serve as Digital Directories for virtually all of guests' on-board needs, from dry cleaning and butler service to room service and dining reservations, as well as concierge and Crystal Adventures inquiries and more. Suites also boast 40-inch flat-screen HD televisions, along with backlit bathroom mirrors with integrated televisions. Bathrooms also feature highly advanced TOTO Washlet personal cleaning systems integrated with the toilets, which also offer heated seats, automatic open/close, aerated water and warm air dryer. Throughout the ship, digital signage puts available services and port information at guests' fingertips, including weather forecasts for the day, available spa appointments, dining reservations and profiles of Crystal crew members. For more information and Crystal reservations, contact a travel agent, call 888.799.2437, or visit www.crystalrivercruises.com. Join the hundreds of thousands who follow the Crystal Cruises' Facebook page and @crystalcruises on Twitter and Instagram, and engage in the conversation with crystalcruises. Crystal Cruises is the World's Most Awarded Luxury Cruise Line, having earned more "World's Best" accolades than any other cruise line, hotel, or resort in history. Crystal Cruises has won "World's Best Cruise Ship" in Conde Nast Traveler's Reader Choice Awards for 22 years; been voted "World's Best Large Ship Cruise Line" by Travel Leisure readers for 20 years; and the "Best Luxury Cruise Line" by Virtuoso for two-consecutive years (2014 2015). In summer 2015, Crystal embarked on the most significant brand expansion in the history of luxury travel and hospitality, introducing three new classes of cruising the recently launched Crystal Yacht Cruises (December 2015), Crystal River Cruises (July 2016), Crystal Exclusive Class Ocean Cruises (Fall 2019), Crystal Luxury Air (April 2016) and Crystal AirCruises (2017). PHOTO: Crystal Mozart Christening Photos View source version on businesswire.com: http://www.businesswire.com/news/home/20160711006346/en/ Contacts: Crystal Cruises Paul M. Garcia Director, Global Public Relations or Molly Morgan Publicist, Public Relations (310) 203-4305 mediarelations@crystalcruises.com Flash Major Chinese newspapers have slammed the Philippines' so-called South China Sea arbitration, saying it impairs the impartiality and authority of the international legal system. The People's Daily, the Communist Party of China's official newspaper, carried a commentary on Monday, saying the arbitration is "a ruse against China which was instigated and manipulated by the United States, initiated by the Philippines, and with cooperation from the arbitral tribunal." Nearly 70 countries and regional organizations have voiced support for China's stance of not accepting or participating in the arbitration, and not recognizing the so-called "award," it said. They did this because this stance is with full legal basis, while the arbitral tribunal's illegal expansion and abuse of jurisdiction, on the other hand, is sabotage of international law, the article read. "By abusing the compulsory arbitration procedures, the Philippines and arbitral tribunal have eroded the authority of the United Nations Convention on the Law of the Sea (UNCLOS). Its damage to the UNCLOS and impact on the international legal order should not be underestimated," it read. The article quoted Bruce Fein, a veteran U.S. constitutional lawyer, as saying that there is a dangerous "psychology of the empire" in the U.S. policy on the South China Sea. Despite its reluctance to accede to the UNCLOS over past decades, the United States has kept preaching UNCLOS and used it as an excuse to point fingers at other countries, it said, "The U.S. only considers international law applicable when it serves its own interests and would abandon it when it does not," it read. The contradictory and double-standard attitude exposes the hypocritic nature and deep-rooted "psychology of the empire" of the United States, which, in essence, is hegemonism, according to the article. In an article carried by Sunday's Guangming Daily, Wang Hanling and Peng Sixiang, researchers with the Chinese Academy of Social Sciences, described an arbitrator and an expert witness connected to the arbitration as "chameleons" who reversed their previous position as stated in published papers. Citing Arbitrator Alfred H.A. Soons from the Netherlands as an example, the article said Soons has expressed his opinion publicly at least twice over the years that when two countries have overlapping claims over waters, issues about th legal status and maritime rights of islands and reefs cannot be separated from the maritime delimitation disputes between the two countries, and they form an integral part of maritime delimitation. However, when the tribunal ruled on jurisdiction and admissibility, Soons reversed his position and joined with four other arbitrators in saying that the tribunal has the right to decide on the Philippines' submissions concerning legal status and maritime entitlement of certain islands, according to the article. The disregard of facts and self-contradiction of some arbitrators and expert witnesses is sure to cast doubts on the impartiality of the tribunal's "award", the article said. In another article in Sunday's People's Daily, Yi Xianhe, an expert on international law from China's Wuhan University, said the arbitral tribunal has damaged the impartiality of the international law system and people will realize in the end that the only solution to the South China Sea dispute is negotiation between the disputing parties. VANCOUVER, BRITISH COLUMBIA -- (Marketwired) -- 07/11/16 -- Anfield Gold Corp. (TSX VENTURE: ANF) ("Anfield") announces it has amended the terms of the agreement with Cunico Resources N.V. ("Cunico") in relation to the payments owing by Cunico for the acquisition of the Mayaniquel Project. Under the terms of a Waiver and Amendment Agreement, the payment of the USD$3 million due on June 16, 2016 is now payable in equal installments of USD $1 million on each of July 31, 2016, August 31, 2016, and September 30, 2016 and the payments of USD $3 million owing on each of June 16, 2017 and June 16, 2018 are now payable in twenty-four monthly payments of USD $250,000 on the first day of each month commencing on January 1, 2017 and ending on December 1, 2018. All other terms of the agreement with Cunico remain the same. ANFIELD GOLD CORP. Marshall Koval, Chairman, President & CEO Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this news release. Contacts: Anfield Gold Corp. Lyle Braaten + 604 646-1889 + 604 687-7041 (FAX) Montreal, Quebec--(Newsfile Corp. - July 11, 2016) - Aurvista Gold Corporation (TSXV: AVA) (OTC: ARVSF) ("Aurvista" or the "Company") is proceeding with a non-brokered private placement on the basis of a price reservation filed with the TSX Venture Exchange on June 8, 2016. The Private Placement will consist of up to 5,000,000 units at a price of C$ 0.10 per unit for gross proceeds of up to C$ 500,000. Share prices have increased sharply since the price reservation. The financing is expected to close on or about July 22, 2016. Each unit will consist of one common share and one half warrant. Each whole warrant will entitle the holder to purchase one additional common share of the Company at an exercise price of C$ 0.13 per share during the 36 months from the closing date. All the securities will be subject to a four-month hold period from the date of closing. A finder's fee may be payable in accordance with the policies of the TSX Venture Exchange (the "Exchange"). The private placement is subject to the approval of the TSXV. The Company intends on using the net proceeds from the private placement to continue advancing the Douay Gold Project and for general corporate purposes. About Aurvista Gold Corp. Aurvista Gold Corporation is a junior gold exploration and development company with 85,689,121 shares outstanding trading on the TSX Venture Exchange in Canada and OTC Pink Sheets in the U.S. Aurvista's only asset is the Douay Gold Project totaling 287 claims for 145.3 km2. Of the total, 32 claims for 11.9 km2 form the North West Zone and are in a joint venture with SOQUEM (75% Aurvista, 25% SOQUEM). The project is located along the gold-bearing Casa Berardi Deformation Zone in northern Quebec. Details can be viewed on the Company's website at www.aurvistagold.com. Forward-Looking Statements This news release may contain forward-looking statements based on assumptions, uncertainties and management's best estimate of future events. Actual events or results could differ materially from the Company's expectations and projections. Investors are cautioned that forward-looking statements involve risks and uncertainties. Accordingly, readers should not place undue reliance on forward-looking statements. When used herein, words such as "anticipate", "will", "intend" and similar expressions are intended to identify forward-looking statements. For a more detailed discussion of such risks and other factors that could cause actual results to differ materially from those expressed or implied by such forward-looking statements, refer to Aurvista Gold Corporation's filings with Canadian securities regulators available on www.sedar.com or the Company's website at www.aurvistagold.com. For further information please contact: Mr. Jean Lafleur, P. Geo. President and CEO, Director Cell +1 514 927 3633 Facsimile +1 416 504 4129 Mr. Bryan Keeler Chief Financial Officer +1 416 504 4126 NEITHER THE TSX VENTURE EXCHANGE NOR ITS REGULATION SERVICES PROVIDER (AS THAT TERM IS DEFINED IN THE POLICIES OF THE TSX VENTURE EXCHANGE) ACCEPTS RESPONSIBILITY FOR THE ADEQUACY OR ACCURACY OF THIS PRESS RELEASE. LAS VEGAS, NV -- (Marketwired) -- 07/11/16 -- Today at Cisco Live, Cisco's annual IT and communications conference, Nectar Services Corp., a leader in the development of advanced network monitoring, management and diagnostic software for the Unified Communications (UC) industry, introduced a unique solution designed to optimize the communications experience in Cisco and Microsoft collaboration environments that run on a Cisco-based networks. An industry first, Nectar Evolution integrates with the Cisco Digital Network Architecture (DNA) to automate quality of service (QoS) and verify best practices that were previously manually-implemented. The integration with Cisco DNA enables Nectar to optimize voice, video and collaboration experiences across the two most popular collaboration solutions in the industry, Cisco Unified Communications Manager and Microsoft Skype for Business. "One of the fundamental challenges we consistently see in UC environments is the inconsistent deployment of QoS across the enterprise network that can negatively impact the end user experience. The internal cost to manage and change complex wired and wireless network QoS policy configurations contribute to this inconsistency," said Joseph Fuccillo, chief technology officer at Nectar. "Nectar Evolution brings simplicity, automation and repeatability that can ensure consistent end-to-end QoS in Cisco and Microsoft UC environments. Nectar continues to promote innovative UC technology adoption for enterprises and Evolution will become compatible with even more UC platform providers and transparent UC networks will become the industry norm." "With DNA, Cisco is reinventing the network for the digital era. One of our goals in moving towards an open, programmable, flexible network was to unleash the power of the network via a new generation of network-aware applications," said Jeff Reed, senior vice president, Enterprise Infrastructure and Solutions at Cisco. "Nectar is using our DNA controller to deliver superior quality of service to collaboration customers, allowing them to experience a hassle-free collaboration experience while dramatically reducing costs for the IT team." The Problem The process of identifying, implementing and maintaining QoS across the enterprise's UC network is complex and resource intensive. Eventually, due to an oversight or inconvenience of manually-implemented network policy changes, the needs for UC QoS is often put on the backburner by network administrators because of the sheer inefficiency. The primary challenge has been the inability to give proper QoS settings to multi-vendor wired and wireless UC clients. Nectar Evolution pairs its unparalleled multi-vendor UC network visibility and diagnostics with a quality automated QoS service that takes a significant burden off of the UC network administrators. The Solution Nectar Evolution helps to ensure Cisco and Microsoft collaboration customers receive optimized QoS for a premium optimum collaboration experience by: Providing UC Device Agnostic Configuration - Nectar Evolution, leveraging the Cisco APIC-EM (the Cisco DNA controller), helps ensure the user receives the proper QoS regardless of the UC device they are registering with or using. Reducing Total Cost of Ownership (TCO) - Automated QoS as a user registers to their UC environment eliminates the need for on-going QoS changes throughout the network infrastructure. Improving Overall UC & End-User Experience - By designating and assigning the proper QoS to the registered UC user the overall experience will be improved and the UC environment will operate at the highest performance standards. How It Works Nectar Evolution improves the end-user experience by providing a registered user with pre-determined QoS using Nectar's rules-based policy engine. Once the user registers, Nectar's policy engine sends specific UC QoS data to the Cisco APIC-EM API. The Cisco DNA controller then sets the QoS policy within seconds, specific for the corresponding device type and IP to all the required Cisco routers, switches, and wireless controllers/access points that reside in the client's enterprise networking infrastructure. Nectar can then utilize its passive and active real-time UC performance monitoring and diagnostics technology to close the loop and ensure QoS compliance across the UC network. "As the world evolves to software-defined networking, having the right tools to support this approach is imperative. Nectar's customer-centric development with Cisco helps bring organizations closer to making SDN a reality by simplifying the management of large, complex, and multi-platform networks," said Rich Montefusco, Vice President of Managed Services at Carousel Industries. "Nectar Evolution helps ease the complex management of QoS and ensure a positive user experience. With our expertise in both Cisco and Nectar technologies, Carousel Industries is excited to bring this solution to our customers." Nectar has a strong pedigree with both Cisco and Microsoft. The company is a Cisco Solution Partner and Nectar Evolution is a certified Cisco Compatible Solution. Additionally, Nectar is a qualified Microsoft IT Pro Tools Partner under the Skype for Business Program, a Microsoft depth partner under the Microsoft Software Defined Networking (SDN) API program and a Microsoft Gold Communications Partner. Availability Nectar Evolution will be available to enterprise customers in the second half of 2016 via Cisco's channel of authorized resellers. Nectar will be demonstrating its Evolution solution at Cisco Live, Las Vegas, July 10-14, 2016 in Booth #1144. There's never been a better time to leverage Nectar Evolution in your Cisco Digital Network Architecture. About Nectar Services Corp. Nectar, a global market leader in providing the most comprehensive monitoring and diagnostics software solution for Unified Communication services, enables IT and operation organizations to proactively ensure the end-user experience. Our flagship offering, the Unified Communications Management Platform (UCMP), improves visibility and service delivery across integrated voice, video and data application solutions by providing unique and critical performance information. Nectar provides monitoring and diagnostics for millions of enterprise endpoints to over 1,200 enterprises in over 86 countries -- including some of the largest global banking, search engine, service provider, healthcare, and manufacturing organizations in the world. Follow Nectar Services Corp: Twitter, LinkedIn and Facebook About Carousel Industries Carousel Industries is a recognized leader in helping organizations evolve the way they communicate and orchestrate the flow of information throughout their networks. Carousel enables clients to connect and collaborate the way modern IT users demand and advance from their current network infrastructure to meet tomorrow's standards. With deep expertise across a vast portfolio of communication, network, and security technologies, Carousel is able to design, implement, and support solutions tailored to meet the unique needs of each customer. By offering professional and managed services with flexible deployments in the cloud, Carousel ensures clients achieve agility and utilize technologies in the way most effective for their business. Since 1992 Carousel has grown an average of 30% per year and has over 6,000 customers, including 35 of the Fortune 100. Carousel has been recognized by multiple publications and industry consortiums as a top technology integrator, managed service provider, and cloud solution provider -- including seven listings in the Inc. 500/5000. Headquartered in Exeter, RI, Carousel has over 1,000 employees working in 30 locations across the U.S. Nectar Media Contact: Jas McDonald Connect2 Communications 919-435-9115 jas@connect2comm.com WINNIPEG, MANITOBA -- (Marketwired) -- 07/11/16 -- Kane Biotech Inc. (TSX VENTURE: KNE) (the "Corporation" or "Kane Biotech") today announced that the global animal health company with which it had a Joint Development Agreement (the "JDA"), previously announced by Kane Biotech on May 13, 2015, has made a business decision to decline its option to commercialize Kane Biotech's companion pet oral care technology. Pursuant to the terms of the JDA and the successful completion of its second milestone trial, Kane Biotech will be paid $50,000 USD which will be included in the Corporation's second quarter financial statements. "Kane Biotech remains committed to achieving market success with its companion pet oral care technology and products," said Mark Ahrens-Townsend, President & CEO. "The results of the JDA validate yet again that our technology works. Sales of our product brands, bluestem and StrixNB, are increasing in the Canadian and US markets. Second quarter product revenue grew significantly and on its own achieved approximately 70% of our total product revenue in 2015. Our team is working hard to market our brands to companion pet owners, expand our base of retail pet stores and vet clinics, and develop new products for the market. Kane Biotech will have a significant presence at SuperZoo 2016, the National Show for Pet Retailers in Las Vegas from August 2 to 4, and we are excited about the opportunities to expand our business with national and regional distributors, independent pet store retailers and major pet store chains." In addition, Kane Biotech is excited to announce Mr. Grant Humphrey is joining the Corporation as Vice-President Sales, effective July 11, 2016. "Grant is an entrepreneur who brings high energy and an excellent track record of sales performance and revenue growth. He expands our team and I'm very pleased to have him on board," said Mark Ahrens-Townsend, President & CEO. About Kane Biotech Inc. Kane Biotech is a biotechnology company engaged in the research, development and commercialization of technologies and products that prevent and remove microbial biofilms. Biofilms develop when bacteria and other microorganisms form a protective matrix that acts as a shield against attack. When in a biofilm, bacteria become highly resistant to antibiotics, antimicrobials, biocides, disinfectants, high temperatures and host immune responses. This resiliency contributes to numerous human and animal health related problems such as wound care infections, recurrent urinary tract infections, tooth decay, medical device associated and hospital-acquired infections, and foodborne bacterial infections. According to the United States National Institutes of Health biofilms are estimated to be responsible for 80% of all human bacterial infections and cost individuals, industry, governments and hospitals billions of dollars each year. As such, there is significant interest in safe and effective products that can combat the biofilm problem. Kane Biotech uses patent protected technologies based on molecular mechanisms of biofilm formation and methods for finding compounds that inhibit or disrupt biofilms. The Corporation has evidence that these technologies have the potential to significantly improve the ability to prevent and/or destroy biofilms in several medical and industrial applications. Kane Biotech has a portfolio of biotechnologies, intellectual property (patents, patents pending and trademarks) and products developed by the Corporation's own biofilm research expertise and acquired from leading research institutions. StrixNB, DispersinB, Aledex, bluestem, AloSera, coactiv+ and Kane are trademarks of Kane Biotech Inc. The Corporation is listed on the TSX Venture Exchange under the symbol "KNE". The TSX Venture Exchange does not accept responsibility for the adequacy or accuracy of this release. Caution Regarding Forward-Looking Information Certain statements contained in this press release constitute forward-looking information within the meaning of applicable Canadian provincial securities legislation (collectively, "forward-looking statements"). These forward-looking statements relate to, among other things, our objectives, goals, targets, strategies, intentions, plans, beliefs, estimates and outlook, including, without limitation, our anticipated future operating results, and can, in some cases, be identified by the use of words such as "believe," "anticipate," "expect," "intend," "plan," "will," "may" and other similar expressions. In addition, any statements that refer to expectations, projections or other characterizations of future events or circumstances are forward-looking statements. These statements reflect management's current beliefs and are based on information currently available to management. Certain material factors or assumptions are applied in making forward-looking statements, and actual results may differ materially from those expressed or implied in such statements. Important factors that could cause actual results to differ materially from these expectations include, among other things: the Corporation's early stage of development, lack of product revenues and history of operating losses, uncertainties related to clinical trials and product development, rapid technological change, uncertainties related to forecasts, competition, potential product liability, additional financing requirements and access to capital, unproven markets, supply of raw materials, income tax matters, management of growth, partnerships for development and commercialization of technology, effects of insurers' willingness to pay for products, system failures, dependence on key personnel, foreign currency risk, risks related to regulatory matters and risks related to intellectual property and other risks detailed from time to time in the Corporation's filings with Canadian securities regulatory authorities, as well as the Corporation's ability to anticipate and manage the risks associated with the foregoing. The Corporation cautions that the foregoing list of important factors that may affect future results is not exhaustive. When relying on the Corporation's forward-looking statements to make decisions with respect to the Corporation's, investors and others should carefully consider the foregoing factors and other uncertainties and potential events. These risks and uncertainties should be considered carefully and prospective investors should not place undue reliance on the forward-looking statements. Although the forward-looking statements contained in this press release are based upon what management believes to be reasonable assumptions, the Corporation cannot provide assurance that actual results will be consistent with these forward-looking statements. The Corporation undertakes no obligation to update or revise any forward-looking statement. Contacts: Kane Biotech Inc. Mark Ahrens-Townsend President & CEO 204-477-7592 204-474-7552 (FAX) ir@kanebiotech.com www.kanebiotech.com OTTAWA, ONTARIO -- (Marketwired) -- 07/11/16 -- Product photos are available at: http://bit.ly/29tLnOH General Mills Canada is recalling Betty Crocker Super Moist brand Cake Mix Rainbow Bit from the marketplace due to possible E. coli O121 contamination. Consumers should not consume the recalled product described below. The following product has been sold nationally. Recalled product ---------------------------------------------------------------------------- Brand Product Size UPC Codes ---------------------------------------------------------------------------- Betty Crocker Cake Mix 432 g 0 65633 46589 3 27AL2017 PV Super Moist Rainbow Bit 08JN2017 PV 09JN2017 PV ---------------------------------------------------------------------------- What you should do If you think you became sick from consuming a recalled product, call your doctor. Check to see if you have recalled products in your home. Recalled products should be thrown out or returned to the store where they were purchased. Food contaminated with E. coli O121 may not look or smell spoiled but can still make you sick. Symptoms can include nausea, vomiting, mild to severe abdominal cramps and watery to bloody diarrhea. In severe cases of illness, some people may have seizures or strokes, need blood transfusions and kidney dialysis or live with permanent kidney damage. In severe cases of illness, people may die. - Learn more about the health risks - Sign up for recall notifications by email, follow us on Twitter, or join the CFIA community on Facebook - View our detailed explanation of the food safety investigation and recall process Background This recall was triggered by the company. The Canadian Food Inspection Agency (CFIA) is conducting a food safety investigation, which may lead to the recall of other products. If other high-risk products are recalled, the CFIA will notify the public through updated Food Recall Warnings. The CFIA is verifying that industry is removing recalled product from the marketplace. Illnesses There have been no reported illnesses in Canada associated with the consumption of this product. More information - General Mills Canada: 1-800-526-4579 - CFIA: www.inspection.gc.ca/contactus Contacts: Media enquiries: CFIA Media Relations 613-773-6600 Fitch Ratings has downgraded First Bank of Nigeria Ltd's (FBN) and United Bank for Africa's (UBA) the Long-Term Foreign Currency Issuer Default Ratings (IDRs) to 'B' from 'B+'. The Outlooks are Stable. The agency has also downgraded the National Long-Term Rating of FBN Holdings Plc (FBNH), the parent holding company of FBN, to 'BBB+(nga)' from 'A(nga)'. Fitch has at the same time affirmed the IDRs of eight other Nigerian commercial banks and affirmed the Viability Ratings (VR) of all the banks. The Outlook on the Long-Term Foreign Currency IDR of one of the banks, Guaranty Trust Bank (GTB), has been revised to Stable from Negative due to continuing strong earnings and stronger-than-expected liquidity. Our rating actions follow the downgrade of Nigeria's sovereign ratings on 23 June 2016 (see "Fitch Downgrades Nigeria to 'B+'; Outlook Stable" on www.fitchratings.com). A full list of rating actions is at the end of this rating action commentary. KEY RATING DRIVERS IDRS, SUPPORT RATINGS AND SUPPORT RATING FLOORS (SRFs) The IDRs of UBA, Access Bank (Access) and Wema Bank (Wema) are driven by both their standalone strengths, reflected in their VRs, and by the likelihood of sovereign support, reflected in their SRFs. Their VRs and SRFs are at the same level. The IDRs of FBN, Diamond Bank (Diamond), Fidelity Bank (Fidelity), Union Bank (Union) and First City Monument Bank (FCMB) are driven by their SRFs. Fitch has revised the SRFs to 'B' from 'B+' for the systemically important banks, FBN, UBA, Zenith and GTB following the downgrade of Nigeria's sovereign ratings. As a result, both FBN's and UBA's IDRs have been downgraded to 'B' from 'B+'. The IDRs of both Zenith and GTB are affirmed at 'B+' and are now driven by their respective VRs of 'b+'. The systemically important banks' SRFs remain a notch below the sovereign rating, reflecting the sovereign's weak foreign currency position. Fitch believes that the willingness of the Nigerian authorities to support domestic banks continues to be high (as demonstrated in the past). However, the state's ability to provide support, particularly in foreign currency, is weaker due to falling oil prices eroding Nigeria's foreign exchange reserves and foreign currency revenues. All other banks', apart from Wema's, SRFs have been affirmed at 'B'. Wema's SRF is affirmed at 'B-', reflecting Fitch's view of the bank's lower systemic importance. Fitch has affirmed the IDRs of all of these banks.. All banks apart from Wema have Support Ratings (SR) of '4' indicating a limited probability of external support. Wema's SR of '5' reflects Fitch's view that external support is possible but cannot be relied upon. FBNH is the holding company of FBN. Its SR of '5' and SRF of 'No Floor' reflect Fitch's view that while the Nigerian authorities' propensity to support local banks is high, we would not expect the same level of support to apply to holding companies. FBNH's IDR of 'B' is driven by the holding company's 'b' VR. The latter is aligned with the VR of FBNH's main operating subsidiary, FBN. OUTLOOKS The Long-Term IDRs of Zenith, UBA, Access, GTB and Wema are on Stable Outlooks as Fitch expects their VRs to remain unchanged (see below). All other banks' Long-Term IDRs are also on Stable Outlooks, reflecting the Stable Outlook on the sovereign rating as they are driven by the likelihood of state support. The Negative Outlook on FBNH's Long-Term IDR reflects pressure on its subsidiary FBN's VR. VRs (ALL BANKS APART FROM SIBTC AND SIBTCH) The challenging and volatile operating environment in Nigeria and other key rating factors, particularly the banks' financial profiles, constrain the VRs in the highly speculative 'b' range. Since the last review in February 2016, bank asset quality has continued to weaken with average impaired loans (NPL) ratios of about 6.2% at end-March 2016, although this is skewed by FBN's high NPL ratio of 21.5%. Impairments in banks are increasing in the commercial, trading and manufacturing segments, mainly due to foreign currency depreciation and scarcity. NPLs in the oil sector are also rising, but most of the larger problem loans are being restructured. FBN's high NPL ratio is mainly due to the bank's exposure to the downstream oil sector. Sustained low oil prices and continuing production disruptions in the Niger Delta could cause industry NPL ratios to rise more dramatically. We also expect loan impairments to rise in the wake of the naira devaluation. Devaluation will primarily affect those Nigerian companies that are not adequately hedged by foreign currency income streams, and which will find it more difficult to service their foreign currency loans at the current exchange rate. The devaluation could also affect customer demand in the domestic economy. Despite slower asset growth and higher loan impairment charges, Fitch expects banks to remain profitable in 2016 due to still strong earnings generation. Strong regulatory capital ratios have helped offset the one-off impact from the devaluation arising from Nigeria's new FX regime. Nevertheless, the buffer between banks' capital ratios and the regulatory minimum is reducing. We expect higher retained earnings to ease some of this pressure. Further erosion of capital ratios could be credit-negative. Despite the new FX regime, Fitch expects foreign currency liquidity to remain tight in 2016, particularly as supply has not increased dramatically. Some banks have accumulated sufficient foreign currency liquidity to meet 2016 maturities and we believe that they are managing their liquidity risks commensurately with their VR levels, but refinancing risk on the banks' foreign currency obligations remains high. Naira liquidity is satisfactory. NATIONAL RATINGS The Nigerian National Ratings reflect Fitch's opinion of each bank's creditworthiness relative to the best credit in the country. FBNH's National Long-Term Rating is downgraded to 'BBB+(nga)' from 'A(nga)' and National Short-Term rating to 'F2' from 'F1' to reflect the negative pressure on the standalone credit profile of the main subsidiary FBN. SIBTC's and SIBTCH's National Ratings have been affirmed and are based on the probability of support from their parent, Standard Bank Group Limited (SBG; BBB-/Stable). SBG has a majority 53.2% stake in SIBTCH, which in turn owns 100% of SIBTC. The ratings consider SBG's written commitment in the group's annual report to support certain banking subsidiaries (except in the case of political risk) and SBG's commitment to a pan-African strategy, of which Nigeria is a market of considerable importance. Fitch believes that SBG's support would extend equally to both the bank and the holding company. All other banks' National ratings have been affirmed given their unchanged respective creditworthiness relative to each other. SENIOR DEBT AND SUBORDINATED DEBT SECURITIES The senior debt ratings of Zenith, Access (issued via Access Finance BV), GTB (issued via GTB Finance BV), Diamond and Fidelity are affirmed in line with their respective Long-Term IDRs. The subordinated debt ratings of FBN (issued via FBN Finance BV) and Access are rated one notch below their respective VRs to reflect higher-than-average loss severity for subordinated relative to senior debt. No additional notches for non-performance risk have been applied. The subordinated debt ratings are affirmed, in line with the affirmation of the banks' respective VRs. RATING SENSITIVITIES IDRS, NATIONAL RATINGS AND SENIOR DEBT The IDRs, National Ratings and senior debt ratings are sensitive to a prolonged and severe recession that would affect the ability or willingness of the Nigerian authorities to provide support, particularly in foreign currency. Zenith and GTB would only be downgraded if their VRs are downgraded. FBN's, UBA's, Access's and Wema's IDRs would only be downgraded if both their VRs and their SRFs are simultaneously downgraded and revised lower (the banks' VRs and SRFs are currently at the same level). The IDRs of Diamond, Fidelity, Union and FCMB are sensitive to a revision of their SRFs reflecting a change in the probability of the sovereign to provide support. FBNH's Long-Term IDR is sensitive to a change in the holding company's VR, which would be triggered by a change in FBN's VR. VRs (ALL BANKS APART FROM SIBTC AND SIBTCH) Upside potential is currently limited for most banks' VRs as these are constrained by the difficult operating environment. A prolonged economic downturn and depressed low oil prices are a threat to all banks' VRs. The VRs are therefore sensitive to materially weaker asset quality, a sharp fall in capital ratios or deteriorating foreign currency liquidity preventing banks from meeting their short- and long-term foreign currency obligations. UBA's VR would benefit from a demonstration of strong and stable financial metrics, in particular stronger profitability and higher capital ratios but also a track record of conservative risk appetite. FBN's and FBNH's VRs would be downgraded if FBN's asset quality continues to deteriorate to a point where it impacts its currently adequate capital position or if its liquidity position weakens. GTB's and Zenith's VRs, which at 'B+' are the highest in Nigeria, are sensitive to deterioration in their financial profiles, particularly asset quality and foreign currency liquidity. NATIONAL RATINGS The banks' National Ratings are sensitive to changes in their creditworthiness relative to other Nigerian entities. The National Ratings of SIBTC and SIBTCH are sensitive to a change in potential support (relating to both ability and propensity) from their ultimate parent, SBG. The National Ratings of SIBTCH and SIBTC could withstand a three-notch downgrade of SBG's Long-Term IDR. SENIOR DEBT AND SUBORDINATED DEBT SECURITIES The senior debt ratings of Zenith, Access (issued via Access Finance BV), GTB (issued via GTB Finance BV), Diamond and Fidelity are sensitive to a change in their respective Long-Term IDRs. The subordinated debt ratings of FBN (issued via FBN Finance BV) and Access are sensitive to a change in their respective VRs. The rating actions are as follows: First Bank of Nigeria Ltd Long-Term Foreign Currency IDR: downgraded to 'B' from 'B+'; Outlook Stable Short-Term Foreign currency IDR: affirmed at 'B' National Long-Term Rating: affirmed at 'A+(nga)' National Short-Term Rating: affirmed at 'F1(nga)' Viability Rating: affirmed at 'b' Support Rating: affirmed at '4' Support Rating Floor: revised to 'B' from 'B+' FBN Finance Company BV's subordinated notes: affirmed at 'B-'; Recovery Rating of 'RR5' FBN Holdings Plc Long-Term Foreign Currency IDR: affirmed at 'B'; Outlook Negative Short-Term Foreign Currency IDR: affirmed at 'B' National Long-Term Rating: downgraded to 'BBB+(nga)' from 'A(nga)' National Short-Term Rating: downgraded to 'F2(nga)' from 'F1(nga)' Viability Rating: affirmed at 'b' Support Rating: affirmed at '5' Support Rating Floor: affirmed at 'No Floor' Zenith Bank Plc Long-Term Foreign Currency IDR: affirmed at 'B+'; Stable Outlook Short-Term Foreign Currency IDR: affirmed at 'B' National Long-Term Rating: affirmed at 'AA-(nga)' National Short-Term Rating: affirmed at 'F1+(nga)' Viability Rating: affirmed at 'b+' Support Rating: affirmed at '4' Support Rating Floor: revised to 'B' from 'B+' Global medium-term note programme affirmed at 'B+' /'RR4'/'B' Senior unsecured notes: affirmed at 'B+'/'RR4' United Bank for Africa Long-Term Foreign Currency IDR: downgraded to 'B' from 'B+'; Outlook Stable Short-Term Foreign Currency IDR: affirmed at 'B' National Long-Term Rating: affirmed at 'A+(nga)' National Short-Term Rating: affirmed at 'F1(nga)' Viability Rating: affirmed at 'b' Support Rating: affirmed at '4' Support Rating Floor: revised to 'B' from 'B+' Access Bank Plc Long-Term Foreign Currency IDR: affirmed at 'B'; Stable Outlook Short-Term Foreign Currency IDR: affirmed at 'B' National Long-Term Rating: affirmed at 'A(nga)' National Short-Term Rating: affirmed at 'F1(nga)' Viability Rating: affirmed at 'b' Support Rating: affirmed at '4' Support Rating Floor: affirmed at 'B' Access Finance BV's senior notes, guaranteed by Access Bank: affirmed at 'B'/'RR4' Subordinated notes: affirmed at 'B-'; Recovery Rating affirmed at 'RR5' Guaranty Trust Bank PLC Long-Term Foreign-Currency IDR: affirmed at 'B+'; Outlook revised to Stable from Negative Short-Term Foreign Currency IDR: affirmed at 'B' National Long-Term Rating: affirmed at 'AA-(nga)' National Short-Term Rating: affirmed at 'F1+(nga)' Viability Rating: affirmed at 'b+' Support Rating: affirmed at '4' Support Rating Floor: revised to 'B' from 'B+' GTB Finance BV's senior notes, guaranteed by Guaranty Trust Bank: affirmed at 'B+'/'RR4' GTB Finance BV's global medium-term note programme, guaranteed by Guaranty Trust Bank: affirmed at 'B+'/'RR4'/'B' Diamond Bank Plc Long-Term Foreign-Currency IDR: affirmed at 'B'; Stable Outlook Short-Term Foreign Currency IDR: affirmed at 'B' National Long-Term Rating: affirmed at 'BBB+(nga)' National Short-Term Rating: affirmed at 'F2(nga)' Viability Rating: affirmed at 'b-' Support Rating: affirmed at '4' Support Rating Floor: affirmed at 'B' Senior unsecured notes: affirmed at 'B'/'RR4' Union Bank Long-Term Foreign-Currency IDR: affirmed at 'B'; Stable Outlook Short-Term Foreign Currency IDR: affirmed at 'B' National Long-Term Rating: affirmed at 'BBB+(nga)' National Short-Term Rating: affirmed at 'F2(nga)' Viability Rating: affirmed at 'b-' Support Rating: affirmed at '4' Support Rating Floor: affirmed at 'B' Fidelity Bank PLC Long-Term Foreign-Currency IDR: affirmed at 'B'; Stable Outlook Short-Term Foreign Currency IDR: affirmed at 'B' National Long-Term Rating: affirmed at 'BBB+(nga)' National Short-Term Rating: affirmed at 'F2(nga)' Viability Rating: affirmed at 'b-' Support Rating: affirmed at '4' Support Rating Floor: affirmed at 'B' Senior unsecured notes: affirmed at 'B'/'RR4' First City Monument Bank Ltd. Long-Term Foreign-Currency IDR: affirmed at 'B'; Stable Outlook Short-Term Foreign Currency IDR: affirmed at 'B' National Long-Term Rating: affirmed at 'BBB+(nga)' National Short-Term Rating: affirmed at 'F2(nga)' Viability Rating: affirmed at 'b-' Support Rating: affirmed at '4' Support Rating Floor: affirmed at 'B' Stanbic IBTC Bank PLC National Long-Term Rating: affirmed at 'AAA(nga)' National Short-Term Rating: affirmed at 'F1+(nga)' Stanbic IBTC Holdings PLC National Long-Term Rating: affirmed at 'AAA(nga)' National Short-Term Rating: affirmed at 'F1+(nga)' Wema Bank Plc Long-Term Foreign-Currency IDR affirmed at 'B-'; Outlook Stable Short-Term Foreign Currency IDR affirmed at 'B' Viability Rating affirmed at 'b-' Support Rating affirmed at '5' Support Rating Floor affirmed at 'B-' National Long-term Rating affirmed at 'BBB-(nga)' National Short-term Rating affirmed at 'F3(nga)' Additional information is available on www.fitchratings.com Applicable Criteria Global Bank Rating Criteria (pub. 20 Mar 2015) https://www.fitchratings.com/creditdesk/reports/report_frame.cfm?rpt_id=863501 Additional Disclosures Dodd-Frank Rating Information Disclosure Form https://www.fitchratings.com/creditdesk/press_releases/content/ridf_frame.cfm?pr_id=1008727 Solicitation Status https://www.fitchratings.com/gws/en/disclosure/solicitation?pr_id=1008727 Endorsement Policy https://www.fitchratings.com/jsp/creditdesk/PolicyRegulation.faces?context=2&detail=31 ALL FITCH CREDIT RATINGS ARE SUBJECT TO CERTAIN LIMITATIONS AND DISCLAIMERS. PLEASE READ THESE LIMITATIONS AND DISCLAIMERS BY FOLLOWING THIS LINK: HTTP://FITCHRATINGS.COM/UNDERSTANDINGCREDITRATINGS. IN ADDITION, RATING DEFINITIONS AND THE TERMS OF USE OF SUCH RATINGS ARE AVAILABLE ON THE AGENCY'S PUBLIC WEBSITE 'WWW.FITCHRATINGS.COM'. PUBLISHED RATINGS, CRITERIA AND METHODOLOGIES ARE AVAILABLE FROM THIS SITE AT ALL TIMES. FITCH'S CODE OF CONDUCT, CONFIDENTIALITY, CONFLICTS OF INTEREST, AFFILIATE FIREWALL, COMPLIANCE AND OTHER RELEVANT POLICIES AND PROCEDURES ARE ALSO AVAILABLE FROM THE 'CODE OF CONDUCT' SECTION OF THIS SITE. FITCH MAY HAVE PROVIDED ANOTHER PERMISSIBLE SERVICE TO THE RATED ENTITY OR ITS RELATED THIRD PARTIES. DETAILS OF THIS SERVICE FOR RATINGS FOR WHICH THE LEAD ANALYST IS BASED IN AN EU-REGISTERED ENTITY CAN BE FOUND ON THE ENTITY SUMMARY PAGE FOR THIS ISSUER ON THE FITCH WEBSITE. View source version on businesswire.com: http://www.businesswire.com/news/home/20160711006431/en/ Contacts: Fitch Ratings Primary Analysts Mahin Dissanayake (Access, Access Finance, GTB, GTB Finance, Union, Fidelity and Wema) Director +44 20 3530 1618 Fitch Ratings Limited 30 North Colonnade London, E14 5GN or Solena Gloaguen (FBNH, FBN, FBN Finance Company, Zenith and UBA) Director +44 20 3530 1126 or Andrew Parkinson (Diamond, FCMB, SIBTC and SIBTCH) Director +44 20 3530 1420 or Secondary Analysts Solena Gloaguen (Diamond, FCMB, SIBTC and SIBTCH) Director +44 20 3530 1126 or Andrew Parkinson (FBNH, FBN, FBN Finance Company, Zenith and UBA) Associate Director +44 20 3530 1420 or Joao Correia De Matos (Access, Access Finance, GTB, GTB Finance, Union, Fidelity and Wema) Analyst +44 20 3530 1723 or Committee Chairperson Eric Dupont Senior Director +33 1 4429 91 31 or Media Relations Elaine Bailey, +44 203 530 1153 elaine.bailey@fitchratings.com Acticor Biotech, a Paris, France-based biotechnology company, completed a 1.4m equity funding. The funds were raised from investors via the Anaxago crowdfunding platform (740k), from research association ARMESA (500k) and from business angels (170k). The capital increase will enable the company to continue pre-clinical development and produce initial batches of ACT-017. Led by Gilles Avenard, CEO, Acticor is developing an anti-thrombotic agent without bleeding risk for the emergency treatment of ischemic strokes. Its ACT-017 inhibits a new target protein, the glycoprotein VI, which is specifically responsible for the growth of blood clots during a stroke. It is intended to be used alone or in combination with Alteplase, an emergency treatment which is given to fewer than 10% of patients in the four and a half hours after the first symptoms appearing. FinSMEs 11/07/2016 Peak, a Manchester, UK-based business intelligence startup, raised 1m in funding. The round was led by Praetura Capital with participation from a group of private investors from London. The company intends to use the funds to expand its teams and its sales and marketing efforts. Led by Richard Potter, Peak provides companies in the retail, tech, pharma and banking industries with a SaaS-based data analytics and business intelligence platform to collect and analyze internal, customer and financial data to generate better business decisions. According to the companys website, clients include Astra Zeneca, Morrisons, Evermix, University of Bath, and The Economist. FinSMEs 11/07/2016 drupe, a Tel Aviv, Israel-based app for people to communicate on smartphones, closed a $3M funding round. Backers included Canaan Partners Israel and Sweet Capital. Launched by CEO Barak Witkowski and CTO Assaf Ziv, and also led by CMO Oded Lilos, drupe mobile lets users intuitively interact with any of their contacts with a swipe. The Android app allows people to interact with friends via various apps by working as an overlay layer focused on the users contacts, rather than apps. Users can drag their contact to the phone and navigation app, WhatsApp, text messages, calendar invites, social networks, video calls and more. It also analyzes usage and predicts with whom users would like to communicate in order to build and continuously keep their contact list relevant. The company previously raised $1M seed round from Yigal Jacoby, Curios Minds, TMT investments and private investors. FinSMEs 11/07/2016 3Scan, a San Francisco, CA-based computational pathology services platform, raised $14m in Series B financing. The round was led by existing investors Lux Capital and Data Collective, with participation from the investment arm of one of the top U.S. research hospitals, prior backer Dolby Family Ventures, and new investors OS Fund, Comet Labs and Breakout Ventures. The company intends to use the funds to expand its position in the pre-clinical drug discovery market, as well as to continue to build out its image processing and image analysis software stack. Founded in 2010 by Todd Huffman (CEO), Megan Klimen (COO), Matthew Goodman (CTO), and Cody Daniel (Chief Engineer), 3Scan allows pharma and university researchers to examine tissue by leveraging high precision robotics that sections entire blocks of tissue. Customers send their tissue samples to 3Scans lab, where the tissue is stained to make the areas of interest visible, embedded in a resin or wax, and placed onto the companys patented Knife Edge Scanning Microscopes (KESM) to generate thousands of sections, and corresponding images, from a single block of tissue. These thousands of sections, each of which is equivalent to a traditional slide, can be used individually, or stacked together to examine the tissue in 3D. Once imaging is complete, 3Scan uses their custom software to allow customers to scan through these sections looking for cells or other regions of interest and also analyzes the full 3D structure of the sample. FinSMEs 11/07/2016 CornerJob, a Barcelona, Spain-based jobs marketplace, raised $25m in a Series B funding round. The round was led by Northzone, with participation from e.ventures, and earlier investors ($20M). An additional $5M media for equity portion was provided by TV Aztecas fund (managed by Antai Venture Builder and Variv) in order to grow CornerJob in Mexico. The company will use the funds to continue to expand operations. Co-founded by Miguel Vicente, Gerard Olive, David Rodriguez and Mauro Maltagliati, CornerJob provides an Android and iOS app that allows users to create a profile, search and apply to any job offer they want including part time, full time, student job. etc. The app, which also gives users the opportunity to chat with companies and help them understand their profile, is currently active in Spain, France, UK, Mexico and UK. FinSMEs 11/07/2016 Autolina.ch, a Swiss startup that has developed an automated B2B, B2C and C2B technology platform dedicated to car dealers, raised funding from AXA Strategic Ventures (ASV). The amount of the deal was not disclosed. The investment will allow the company to develop new products and services as part of a mobility ecosystem and accelerate its commercial development in Switzerland and outside of its domestic market. There are approximately 1 million cars advertised, bought and sold in Switzerland every year. 70% to 80% of them are sold or procured online, making Internet the most used sales channel. AXA Winterthur wants to position itself on this market by creating a major mobility ecosystem. Founded in 2015 and led by Ibrahim Yildiz, CEO, Autolina.ch provides car dealers with an online platform for lead generator giving them the possibility to sell and buy vehicles. It offers four types of services: a B2C marketplace on which car dealers can sell the exact type of cars desired by the customer; a C2B business through which individual customers can sell their car to car dealers on an auction basis; a B2B exchange market to ease the exchanges between car dealers; and a job marketplace on which car dealers can post their job offers. It currently features a total of 115k ads. FinSMEs 11/07/2016 International private equity group Finstar Financial Group announced senior appointments to its management team to expand its fintech and financial services investment program.In details, Eugene Timko will join as Investment Director, Michele Tucci as Head of Mobile Products and Business Development, and Alexander Ivanov as developer of venture capital investments. Eugene Timko will be responsible for evaluating venture capital investment opportunities in the fintech and services industry with a particular focus on disruptive business models and technology. Timko has been focused on the fintech industry since 2012, when he completed the acquisition of a mobile banking business by Inventure Partners. His most recent transactions include the acquisition of a 50% stake in Hearst Independent Media Publishing B.V., a former subsidiary of Sanoma Oyj, publisher of luxury magazines and an investment in car service Gett and the Busfor budget bus company. Prior to joining Inventure Partners, Timko served as the IBD Associate of Morgan Stanley and participated in various M&A and ECM transactions in technology, media and telecom industry. Michele Tucci will grow mobile products and partnerships that will contribute to the Groups FinTech global expansion plans. Tucci has more than 16 years of international industry and consulting experience across Asia Pacific, USA, Europe, Middle East and Africa. He has held senior management positions including Director of International Business Development at Mint Payments, a listed mobile and online payment and transaction processing company, and Vice President and Senior Managing Consultant of the professional services arm of MasterCard Worldwide. Alexander Ivanov will be responsible for developing venture capital activities in fintech. He has experience in venture capital, financial services, and technology. Previously, Ivanov was a co-founder of Life.SREDA, a venture capital firm focusing on investments in fintech mobile and Internet projects in the U.S., Western and Eastern Europe. He has held senior positions in international financial groups, including Head of Balanced Scorecard division at BCS Financial Group, a financial service group that provides innovative and customizable high and low touch trading solutions for global and emerging markets. Founded in 1996 and led by Nicholas Jordan, CEO, Finstar operates in financial markets, the IT and telecoms field, perfumery-cosmetic retailing and in commercial and trade real estate. With more than $2 billion, the group most recently formed strategic partnerships with Euroloan, a consumer lender in Northern Europe, Spotcap, an SME loan provider, and Prestamos Prima, a Spanish loan company along with its P2P platform, Viventor. FinSMEs 11/07/2016 Trivest Partners, a Miami based growth investment firm which has just closed its latest fund at $225m, promoted Steve Reynolds to Principal. Reynolds, who joined Trivest as an Associate in 2011, was previously promoted to Vice President in 2013. At Trivest, he has been actively involved in identifying acquisition targets, analyzing investment opportunities, monitoring portfolio companies and leading successful realizations. Reynolds has worked closely with several Trivest investments including North Star Seafood, Take 5 Oil Change and Wise Company. He currently serves as a Director of both Advanced Discovery and PeopleShare. Trivest Partners is a private investment firm that focuses on partnering with founder/family owned businesses in the United States and Canada. Since its founding in 1981, it has completed more than 225 transactions, totaling in excess of $5.5 billion in value. FinSMEs 11/07/2016 Mumbai: Aditya Puri, the chief executive and managing director of the second largest private sector lender HDFC Bank, has been ranked as the best banking CEO in Asia by the investor community. The bank's chief financial officer Sashi Jagdishan has been ranked as the best CFO, apart from getting ranked as the best IR company as well, thereby making it the most honoured one in the Institutional Investor magazine. Called the All-Asia Executive Team rankings 2016, the survey had 1,394 investment professionals from 582 financial institutions participating in it. They picked HDFC Bank in the Best CEO, Best CFO, and the Best IR company categories. The survey encompassed 1,541 companies from 18 sectors across Asia, excluding Japan, the bank said in a statement. The research reflects feedback from both buy and sell-side analysts, with an unparallelled level of detail on the IR efforts of companies across the region. Respondents from the buy-side work at firms that collectively manage an estimated USD 963 billion in Asia ex-Japan equities. Citing HDFC Bank as an example, the publication highlighted its use of technology as a key trend this year, particularly in semi-urban areas. NEW DELHI Monsoon rains will cover the whole of India in the next 48 hours, the country's weather office said on Monday, boosting hopes of a rise in farm output and incomes after two straight years of drought. The monsoon has yet to cover parts of Rajasthan and Gujarat and rains will be 107 percent of long period average in July, B.P. Yadav, head of the national weather forecasting centre of the India Meteorological Department told Reuters. Monsoon rains, the lifeblood of India's agriculture-dependent economy, arrived a week later than usual this year and are crucial for the planting of summer-sown crops such as cotton, rice, soybean and sugarcane. (Reporting by Sankalp Phartiyal; Editing by Biju Dwarakanath) This story has not been edited by Firstpost staff and is generated by auto-feed. In January this year, when former Chief Minister Mufti Mohammad Sayeed died after a brief illness, the meagre gathering at his funeral shocked his party. When his janazah passed through Bijbehera, Mufti's hometown, on the way to Badshahi Bagh, the family's ancestral graveyard, some of the bazaars in the south Kashmir town were open. A few days later, at his chahurram (memorial), the response was even more insipid and the turnout low. Many believe that Mufti's daughter saw, in these events, the declining popularity of her father, a factor that reportedly made her vacillate for two months before becoming the state's CM. Compare the subdued mourning after the demise of the 79-year-old chief minister, once considered the Valley's most popular leader after the Abdullahs, with the drama that has followed militant Burhan Muzaffar Wani's death in an encounter. Dozens of funeral services, thousands of mourners, hundreds of violent protesters, relentless clashes, stone-pelting, arrests and a string of curfews across the Valley have marked the death of a boy whose few years of life, a third of which was spent hiding in forests of Tral, pales in comparison with the late Mufti's 50 years in politics. Yet, it gives troubling insights into the psyche of Kashmiris. For those outside the Valley, especially social media warriors who have never had the courage or the inclination to understand Kashmir or Kashmiris in person, the reaction to Wani's death looks disproportionate, overblown and even seditious. But the unfortunate fact is that the tumult in the Valley is real. And the spiral of protests, where youngsters have defied curfews, pellets and teargas shells, shows Wani's popularity among Kashmiris. Pakistani dictator Pervez Musharraf once said, somebody's terrorist is another man's freedom fighter. Wani is a perfect fit for the definition. The easiest response to the burning embers in Kashmir is to ignore them, remain in denial, continue stressing India's claim over the Valley and live in the Scarlett O' Hara world of tomorrow being another day where everything would settle down and Kashmiris would go back to their lives as if nothing had happened. But, can Kashmir can see peace in our times if we do not face a question that stares us in the face: How could a 22-year-old militant inspire more people than the democratically elected CM of the state? What made Mufti's death pale in comparison with Wani's end? The answer is simple: We are witnessing an upsurge of Kashmiri nationalism and its idea is at loggerheads with Indian nationalism. More than six decades after the Valley became an "integral part of India", the integration of Kashmiris into the Indian mainstream remains an incomplete project. In May this year, AG Noorani chronicled the troubled history of Kashmir and traced its roots in detail. Writing for the Frontline, he argued: "To all outward appearances, India riveted its control over the State after the Sheikhs (Sheikh Abdullah) ouster. But today, more than ever before, grim realities have surfaced, to the shock of many, to demonstrate that Kashmiri nationalism is very much alive and kicking despite New Delhis repressive policies and the Armys sustained record of outrages. Indias government, much of its media, especially television, and academia, and its stooges in Kashmir, who have feasted on the crumbs that New Delhi throws at them from the high table prefer to envelop themselves cosily in a state of denial. The reality is unbearable to witness India governs Kashmir against the wishes of its people. They reject the very legitimacy of its rule." Kashmir's problem doesn't have an easy solution. Soon after Independence, when rulers of princely states were signing their instruments of accession with India and Pakistan, there was a chance that if Junagarh and Hyderabad had not complicated the process, Kashmir may have found its rightful and peaceful place on the globe. But that possibility disappeared forever after Mohammad Ali Jinnah tried to fast track history by sending his armies to annexe Kashmir. With his avarice and haste, Jinnah started off a chain of events whose repercussions India, Pakistan and Kashmir are still witnessing. It should be clear to Pakistan that in the current geo-political environment, ever escalating threat of terrorism, jihad, radicalisation and Salafism, India will never brook any claim from its neighbour on the Valley. If the 1965 war and the Shimla Accord that followed its humiliation in 1971 did not strip Pakistan of its notions of owning Kashmir, it is living in a fool's paradise. Any lasting solution to the Kashmir problem will essentially have to be worked out by the Indian state and the people of the Valley. And it can be found only if it meets two basic conditions: That India devises a way to let Kashmiris the space they desire to express and enjoy their nationalism and freedom; and if Kashmiris let India address its legitimate geopolitical concerns even while ceding the rights and freedoms they want. No other solution looks viable or pragmatic. There is of course the third option: That of fighting a long war, combining the might of the Indian Army and patience and hoping that Kashmiris will realise the futility of their resistance and move on. But, the path of Kashmir's history doesn't point in that direction. Perhaps the closest we had come to pulling back Kashmir was around the time Atal Behari Vajpayee was prime minister of India. At the turn of the millennium, militancy was at its lowest in Kashmir, Pakistan had been chained into submission because of the US war on terror and we had in Vajpayee a PM who was willing to look beyond traditional politics for a solution. In April 2003, after his two-day visit to the Valley, Vajpayee told the Parliament: "I assured the people of Jammu and Kashmir that we wish to resolve all issues both domestic and external through talks. I stressed that the gun can solve no problem; brotherhood can. Issues can be resolved if we move forward guided by the three principles of Insaaniyat (humanism), Jamhooriyat (democracy) and Kashmiriyat (Kashmir's age old legacy of amity)." But, that moment, for a variety of reasons, was lost. Since then, as Sushil Aaron points out in Hindustan Times, India has reverted to the rulebook that inevitably allows unrest to grow. "Insist that Kashmir is an integral part of India, but treat it like you treat no other state. Trigger unrest when even none exists. Maintain an incentive structure in the armed forces where cash rewards exist for killing militants, creating the context for fake encounters like at Machil in April 2010. When civilian protests erupt, react with gratuitous violence. Let paramilitary forces do crowd control, which they are not trained for. Dont invest in adequate riot control gear. Induct non-lethal crowd control equipment after using live ammunition against protesters three summers in a row. Buy some non-lethal gear but dont train security forces to use them. Institute judicial probes to buy time. Let the media do the job of forgetting them for you," Aaron argues. In the aforementioned article on Kashmir, Noorani narrates an incident that underlines India's belief that pushing enough money into Kashmiri hands will buy their loyalty. On August 25, 1952, Nehru sent him (Sheikh Abdullah) a note that he had written in Sonamarg finalise the accession through Kashmirs Constituent Assembly. Both the UN and Pakistan were impotent. Kashmiris would submit. It must be remembered that the people of the Kashmir Valley and round about, though highly gifted in many waysin intelligence, in artisanship, etc.are not what are called a virile people. They are soft and addicted to easy living. The common people are primarily interested in a few thingsan honest administration and cheap and honest food. " "This is the development thesis of today: Kashmiris have no soul. Feed them; they will submit," Noorani argues. In 2015, during his visit to Kashmir, when PM announced a flood relief package incidentally after a delay of almost a year it was erroneously presumed that Kashmiris would be indebted to the new government for its financial favours. But, it only proved that the Nehruvian model of dealing with Kashmir still persists. A few days later, its inherent flaws were exposed by the reaction to the Mufti's death. And today, the failures of the Indian state are being amplified by the ubiquitous and unfortunate cries of ''tum kitne Burhan maroge....'' Till some 20 years ago, the burqa was not a Muslim womans default costume in Kerala. Muslim women wore kachathuni (a mundu or dhoti), pennu kuppayam (a full-sleeved loose blouse) and thattom (long scarf). This outfit differed only slightly from what the Christian and Hindu women wore. Or like the others, some Muslim women wore sari and blouse or modern attires, Indian or western. But all this changed by the year 2000. Surveys conducted around that time reported that the number of burqa-wearers in the Muslim-dominated northern districts of Kerala had gone up from less than 10 percent to over 30 percent. Burqa-clad girls and women gradually became a familiar sight in Keralas offices, colleges and other institutions like never before. In many other parts of India as well, the burqa found increasing favour with Muslim women, prompting some writers to call it a burqa revolution. But nowhere was the trend more noticeable than in Kerala and the reasons for the veils new popularity too were different in the southern state. In Kerala, the burqa revolution was one of the first visible signs that the states Muslim community was radicalising itself. If 15 young men and women have vanished from the state and apparently joined the Islamic State (IS), its not the result of a dramatic overnight phenomenon. Its the result of a process that began a long time ago. And the process continues. And its not a coincidence that the rise of the burqa as a high-fashion costume closely followed the boom in Gulf jobs. It was first the Muslim men working in the Middle East, who began to insist that their women back home must don the hijab. Many migrants believed that the Islam they found in the Gulf was the real Islam and the Arabs who practised it were the real Muslims. Many Muslim men of Kerala began to ape not only the customs of Arabs but also their costumes. The women accepted it and even made it a piece of haute couture. Many shops have sprouted to sell a wide range of designer burqas. Amazon and Snapdeal, among others, flaunt a stunning variety of burqas, some of which beat the best party gowns in look and design. At the same time, clean-shaven Muslim men began to grow beards. Those who had beards grew them longer. Yet, it is preposterous and grossly unfair to Islam to say that every woman who switched to the burqa and every man who sported a beard turned traitor and terrorist. Radicalisation was a loose word used to describe a process which, for most Muslims, simply meant assertion of their religious identity. I know many Muslims who have become more religious, but who continue to be as fiercely patriotic and remain as fervently opposed to terrorism as before. For many, burqas and beards were also just a harmless reaction to what they perceived to be Western propaganda against Islamic symbols. And they had a right to assert their identity. At the same time, this also threw up a major warning. For a very tiny fraction of misguided and vulnerable Muslims, the process of radicalisation meant more than identity assertion. It meant militancy. But nobody neither the fiercely nationalistic Muslim scholars, nor the police or political parties took note of the warning. And there was always the subtle and soft propaganda by ostensibly respectable outfits such as the Jamaat-e-Islami Hind (JIH) that tossed around words like Islamic movement and old order of Islam. This was long before terrorism preachers such as Zakir Naik came on the scene. Jamaat-e-Islami had been founded in Lahore in 1941 and, after Indias partition, split into Jamaat-e-Islam Pakistan and Jamaat-e-Islam Hind. Look at this gem that JIH posted on its website on Sunday. It called Zakir Naik a renowned Indian personality of international repute. It condemned the negative propaganda against Naik as sad and against the Constitutional freedom of practising ones faith and freedom of speech. After the burqa revolution, there have been many other warnings. Jacob Punnoose, who was the first head of Keralas Anti-Terrorist Squad, told The New Indian Express on Sunday that everyone accepted the ground reality (of the states terror link) when four men from the state were killed in an encounter at a Lashkar-e-Tayyaba camp in Jammu and Kashmir in October 2008. But Punnnoose must remember that everyone should have accepted this ground reality much earlier. He should remember the man called Thadiyantavide Nazeer from Keralas Kannur, who had in the first pace recruited the men killed in the Kashmir encounter. Punnoose should be aware that Nazeer had disappeared after an alleged attempt to kill then Chief Minister EK Nayanar in 1999 and, even as he was on the run, was suspected to be responsible for many terror attacks, including the 2008 Bangalore blasts. After his arrest in 2009, and trial by a special court of the National Investigation Agency (NIA) in the LeT recruitment case, Nazeer and 12 others were sentenced to life in October 2013. Nazeer was one of the early kingpins of Keralas terror network. He probably still is. Shanahas, an associate of Nazeer who was arrested in November 2015, told the police that Nazeer had been sending WhatsApp messages from jail and plotting terror attacks. This happened despite the order by NIAs special court judge that Nazeer and the others, whom he had sentenced for life, were a big threat to the nation and they should be monitored closely in prison. Yet, Nazeer managed to lay his hands on a mobile phone to send WhatsApp messages. The alarm bell rang again. And once again, the snooze button was pressed. There were plenty of other pointers to the immensity of Keralas link to terror. Just a few months before the 2008 Kashmir encounter, the police found that the Students Islamic Movement of India (SIMI) had conducted a training camp at Wagamon in Kerala. Participants in the camp were being trained in the use of arms and the making of bombs. At the root of the problem is the fact that there has been no political will to heed those warnings. The Congress has been wary of the Indian Union Muslim League (IUML), the second-largest partner in the United Democratic Front (UDF). The IUML calls itself a secular party, but its concept and practice of secularism often flummoxes both the Congress and political analysts. To counter IUMLs and the UDFs influence, the CPM, which leads the Left Democratic Front (LDF), has been flirting with fringe Muslim extreme groups. And the people of Kerala can only hope that the new LDF Chief Minister Pinarayi Vijayan will be different. Is the government an efficient middleman? Are the prices at the end of the chain, especially the food supply chain, reasonable? It seems that the answer is no, going by the latest prices of an essential commodity, the tur dal a primary source of protein for most people. When the prices of tur dal in stores are just a tad below Rs 100 a kg, why has the government asked that the price be pegged at Rs 120, including for sale in the public distribution system, which is supposed to meet the needs of the poor at affordable rates? According to calculations made by Mumbais Grahak Panchayat, as reported in the Maharashtra Times on Monday, it ought not be higher than Rs 90 per kg in Maharashtra, as the procurement support price is Rs 48 per kg. This means that, without profit, by reckoning the cost of the pulse its milling, transport, and distribution it comes out to almost treble the price, by the time it reaches the consumer. That is, without any profiteering by the middlemen, who are normally and justifiably accused of doing so. They work out contracts at the time of sowing and depending on the output and the demand, play with the prices. Then there are the commission agents in the agricultural produce market committees (APMCs), who corner a slice of the profits. Can the government not do any better, when it can help ease the market by its supplies? Everything the government does in any sector can be suspect of being priced at a cost plus arithmetic which often includes the gigantic cost of 'bureaucracy' and bribery. No one is going to pay a bribe without whittling down the quality or cutting corners in one way or the other. However, when it comes to fruits and vegetables, the Maharashtra government wants to cut out the middlemen. Of course it should cut them out. For they are the major cause of high costs to the common man. The government has therefore decided now to fall in line with the Centre, and deregulate the market for fruits and vegetables so the producer can directly sell to the consumer. The APMC act is to be amended, and the rules under which the deregulated market should function are to be prepared. The Devendra Fadnavis cabinet has decided on this. APMCs are the lone platforms from which the farmers were allowed to sell so far. Notwithstanding the various tricks played to keep their profit margins as high as possible but with always a facile explanation about the crop being bad, or of the arrivals for the day being poor. This sector had been crying out for drastic changes so the farmer is spared the atrocious low prices and the consumer the astronomical prices. Over two years ago, while holding the portfolio of Agriculture in the Manmohan Singh government, Maharashtras own Sharad Pawar did a great disservice to the consumers. He had spoken of how high prices the consumer paid meant higher prices for the farmers, this at that time in the context of onion, as important to an Indian kitchen as the tur dal is to the dining table. That had absolved the APMC commission agents of the sins of profiteering and the message went across the country that it was alright to do business in the manner they did all along, and because the APMCs were the only route through which the groceries could reach the kitchens. That he could have avoided, but it only strengthened the impression that in politics vested interests matter. The move to make changes in the law now, however, comes at a time when the Bharatiya Janata Party in the state is trying to strengthen itself in every possible sector. Weakening the APMCs, which are ruled mostly by Congress or the NCP men, together or severally, helps it, not by capturing them but by weakening them. It is seen as an attempt to deny them their supremacy, if it is seen from a political perspective. But that does not detract from the merits of the free flow of fruits and vegetables, without the hindrance of the APMCs in the state. That said, how prepared is the state to shift from one mode to the other without substantial conceptual formats at least? How would the farmers move their produce and where would they sell them in space-scarce cities? They wouldnt even find a patch on the sidewalks that wasn't already overcrowded. What the government, with its gargantuan machinery, cannot do with regard to tur dal even in a period of crisis, the farmers should now do with a scattered market for vegetables and fruits. One question remains unanswered: what about the produce like potatoes from Madhya Pradesh, for instance, that come by the trunk-full when Maharashtras farmers cannot meet the states demand? After a day's token shutdown of their businesses on 4 July, which sent the prices of vegetables and fruits higher than the existing normal high for several months on one pretext or the other, Maharashtra's APMCs have gone on an indefinite strike. Since the farmers are not equipped yet to do business on their own, the APMCs want to show how indispensable they are. Let me end this with an irony few may have noticed. When two well-connected families in Vashi, where the biggest APMC is located, decided to trim costs and donate the saved money to help distressed farmers, the attending commission agents decided to add, on the spot, Rs 10 lakh to it, to save the farmers. There are other ways of paying them better, I thought. Gaurav Tiwari, the 32-year-old founder and CEO of Indian Paranormal Society was found dead in his Dwaraka home, under mysterious circumstances on Thursday, reported Hindustan Times. According to a Zee News report, the family had heard a loud thud resonate from the bathroom at around 11 am in the morning. Once they forced the door open, the family found Gaurav lying unconscious on the floor, with a thin black line across his neck. He was then rushed to the hospital. However, the doctors could not revive him. As per media reports, the cause of his death was declared to be asphyxia, a condition that arises when the body is deprived of oxygen, causing unconsciousness, suffocation or death, the Zee News report added. As the police conducted its investigation, suicide too was speculated as a probable reason. According to the Hindustan Times report, Gaurav's family maintained that he was perfectly normal and there was no reason to kill himself. Things took a peculiar turn when Gaurav Tiwari's wife revealed a few days ago that he had confided in her that he feared the presence of an uncontrollable negative force which was pulling him towards itself, DNA reported. His wife also said that she had not taken him seriously, thinking that it was work stress that was making him feel uneasy. Gaurav Tiwari was amongst India's niche lot of paranormal investigators. Known for shows such as Haunted Weekends with Sunny Leone and MTVs Girls Night Out with VJ Rannvijay, Bhoot Aaya and Fear Files, Gaurav's initiation into the paranormal world was as incidental as his death. Gaurav Tiwari in an interview with Hindustan Times said that he had gone to the US to get trained as a commercial pilot in 2007. When he shifted into his new apartment in Florida with his friends, there were certain unexplained instances that lead him to believe that the house was haunted. Brought up in a family of non-believers, he had tried to shake his concerns off in the beginning, he told Hindustan Times. The frequent instances though had confirmed his fears, and determined to make sense of it all, he got himself enrolled in an institute where he studied metaphysics. By the end of his education, he had conducted 80 field investigations and was a certified paranormal investigator. According to the Hindustan Times interview, he returned to India in 2009 and founded the Indian Paranormal Society, India's first association of 'dedicated paranormal investigators'. The official website, which claims to 'chase what you fear', is strangely temporarily unavailable. All the 41 accused in the Puttingal temple fireworks mishap, one of the worst tragedies to hit the south Indian state of Kerala, have walked out of prison on Monday, with no eyebrows raised. As many as 114 people were killed and 383 injured in the devastating fire that engulfed the 100-year-old temple complex at Paravur in Kollam district during the unauthorised display of fireworks, in connection with the annual festival of the temple on 10 April. The Kerala High Court granted bail to all the accused, including 15 members of the managing committee of the temple, the fireworks contractor and his workers, after the police failed to file the chargesheet in the case within the mandatory period of 90 days. With this the number of people who have been granted bail by the court in the case has gone up to 43. The court had granted bail to two accused earlier stating that they had no active role in causing the explosion. They had only sold some substance to contractors one or two months back, the court observed. Accepting the bail application of 41 accused, on Monday, Justice P Ubaid noted that the police had not filed the chargesheet in the case, even after 91 days had passed after the incident. The court granted them bail on the condition that they will surrender their passports and will not leave the state. Kollam-based lawyer Benoy Balakrishnan said that the delay will be construed deliberate as the police and district administration were blamed by many for their failure to avert the tragedy. A commission appointed by the central government to probe the mishap blamed both equally responsible for the calamity. The commission felt that the tragedy could have been averted if they had taken effective steps to prevent the temple committee from carrying out the fireworks on a competitive scale, after the additional district magistrate denied permission for the event. Benoy told Firstpost that the investigating team had dilly-dallied the investigation even after the high court had taken a serious view of the incident. At one point, the high court had even considered handing over the investigation to the Central Bureau of Investigation (CBI). The court had also asked the police to explore the possibility of invoking the Unlawful Activities (Prevention) Act (UAPA) against the accused, as storing large quantity of explosives without authorisation had caused terror in the minds of the people. Justice Ubaid observed that causing terror in the minds of the public using explosives could be construed as an offence that falls under UAPA. The counsels for the accused had opposed application of the anti-terror law in the case by arguing that UAPA could not be invoked, as the case did not involve any act of terror or other similar offences. Though the prosecution had agreed to convey the suggestion to the chief of the investigation team, the police stuck to Section 302 (attempt to murder) that they imposed on the accused earlier. Benoy said that the police might have taken the case easy after media and the political parties went after the Jisha murder case. The opposition parties pursued the brutal rape and murder of the Dalit law student at Perumbavoor in Erankulam district as it was more volatile and electorally rewarding. The police had taken the case seriously after Prime Minister Narendra Modi, Congress Vice-President Rahul Gandhi and a host of union ministers and central leaders of various made a beeline to Paravur. The victims were completely forgotten after the election. Most of them are now struggling with serious after effects of the huge explosion without any help from any quarters, Benoy said. Though the Communist Party of India (Marxist)-led Left Democratic Front government that assumed office after the polls attributed police callousness in the investigation, for the removal of the then Director General of Police TP Senkumar, the government apparently did not make any effort either to put the investigation back in its track or to provide relief to the hundreds of victims. The government had even accused Senkumar of protecting the delinquent officers. The former DGP has denied the charge. In his petition before the Central Administrative Tribunal, the senior IPS officer alleged that the government was trying to cook up reasons for removing him in violation of the Supreme Court directives. A senior police officer involved in the investigation said the delay in filing the chargesheet was not deliberate. He said that filing the chargesheeet within the minimum period was tough in this case as there were 43 accused, and more than 100 witnesses. The statements of the accused and witnesses run into at least 2,000 pages. As each of the accused has to be given a copy of the final report, the investigating team needed to take at least one lakh copies. This, he said, was laborious and time consuming. He claimed that the delay in filing the chargesheet was natural. The mishap had created outrage in Kerala because the fireworks display was conducted in blatant violation of all the safety norms. Though the additional district magistrate had rejected permission for the display, the police turned a blind eye to the direction apparently under pressure from politicians. It is said that the Kollam Police Commissioner had given silent permission to temple authorities to go ahead with the event after an MP belonging to the Congress batted for the temple committee. However, what prevented him from ensuring that the temple committee followed the safety norms is still not clear. The mishap had evoked a strong demand for a ban on such fireworks during festivals and other ceremonies. Though the high court initiated a move to discourage the practice of conducting fireworks displays in connection with festivals and public ceremonies by banning the use of high-decibel crackers, the political parties defended them saying they were part of the states tradition and culture. An all-party meeting convened by the then United Democratic Front (UDF) government to consider a total ban on fireworks displays felt it would offend the religious and cultural sentiments of the people. The parties emphasised that fireworks were an integral part of festivals for all types of people. Lalit Modi, the former chairman and commissioner for Indian Premier League (IPL), has applied for a citizenship in Saint Lucia, a Caribbean island considered to be a tax haven, reported India Today on Monday. NEWS FLASH | Former IPL chairman #LalitModi applies for citizenship in the Caribbean India Today (@IndiaToday) July 11, 2016 In March 2016, the tainted former IPL chief had applied for a citizenship in Malta, a small island country in the Mediterranean Sea. In May 2010, the Enforcement Directorate (ED) had registered a money-laundering case against Lalit Modi for alleged financial regularities in the IPL which led to him fleeing the country. In March 2016, a special court had approved ED's request of starting the extradition process against Lalit Modi. The court nod to ED was approved by Judge P R Bhavake of the sessions court. In fact, in August 2015, it was Justice Bhavake who had issued a non-bailable warrant against Modi in connection with the money laundering case. An ED source had commented that they had forwarded a request to the Ministry of External Affairs who would be taking the case the respective authorities in UK. Why Saint Lucia? Saint Lucia is considered to be one of the tax havens in the world were banking secrecy is of the highest standards. From reports it has been suggested that Lalit Modi has applied citizenship for his entire family but the Caribbean Island is strict when it comes to issuing passports, according to India Today. Saint Lucia has asked for a background check of Lalit Modi through the Interpol so it seems highly unlikely if he and his family would be granted citizenship. Last year, the central government announced a "historic" breakthrough with the signing of the draft treaty of the Naga peace accord with the National Socialist Council of Nagaland (NSCN-IM). It was projected as one of the biggest initiatives of the new NDA government for peace in the North East. The draft accord was signed on 3 August by Thuingleng Muivah, the general secretary of the NSCN-IM and RN Ravi, the government's interlocutor in the presence of Prime Minister Narendra Modi, Home Minister Rajnath Singh and National Security Advisor Ajit Doval. But after the initial brouhaha, the accord was quickly forgotten only to find passing mention on 28 June, with the death of Isak Chisi Swu, the 87-year-old chairman of NSCN-IM. Upon his death, the prime minister tweeted his condolences: "My heartfelt condolences to the family and supporters of Mr Isak Chisi Swu on his demise. May his soul rest in peace... Mr Swu will be remembered for his historical role in bringing out the Framework Agreement for Naga peace." Ten days later, it was remembered again when The Hindu published an interview with Thuingleng Muivah. Muivah, unlike the prime minister, recalled the accord only to claim that the NSCN-IM had not given up the demand for sovereignty. Muivah, of course, couched it in niceties, praising the Union government for understanding the Naga problem in the right perspective, and for realigning its position in accordance with the Naga insurgents. That's a blatant lie, said an officer of the home ministry, involved in dealing with the Naga insurgency for years. The sovereignty issue is long dead and gone, he pointed out, referring to the peace accord of 2011, which clearly stipulated that the issue of sovereignty for each state is taken care of in the federal structure of India. It might appear like the NSCN-IM's insistence on sovereignty/autonomy explains the complete lack of progress on converting the "historic" draft accord into a full-fledged one. But Muivah's sovereignty claim is not the real impediment. The biggest sticky point in going ahead with the "framework" accord it seems; that the government's interlocutor, Ravi, clearly over-promised the NSCN-IM on a crucial aspect of the accord: the rehabilitation of the NSCN insurgent cadres. In his eagerness to bring the NSCN-IM to the negotiating table, it is believed that the government interlocutor, Ravi, assured Muivah that about 5,000 cadres of the NSCN-IM would be drafted into the Border Security Force (BSF) as part of their rehabilitation process. The practical difficulties in implementing this plan and the consequent deep differences within the various wings of the central government are what have held up the nearly-orphaned accord. These pre-conditions for clinching the framework have become a major sore point for various arms of the government the Home Ministry, the Department of Personnel Administration and Training (DoPT), and the governments of Nagaland, Manipur, Mizoram and Assam the concept of greater Nagaland (Nagalim), as espoused by the NSCN-IM, is intended to be carved out of these very states. Home Ministry sources say that the demand was quite absurd, and should have been dismissed right away, if not for anything else but for the fact that the NSCNs cadre strength was hardly above 1,000. I do not know why the interlocutor had to make such a promise, said the above mentioned officer. Two problems have cropped up because of this assurance. One, Muivah is insisting that the recruitment/qualification criterion for BSF be diluted to appoint his cadres and two, he has had to suddenly bump up his cadre strength to 5,000! As of now, the DoPT has rejected the proposal to recruit 5,000 cadres of NSCN-IM because most of them are not fit to be inducted into a central paramilitary force (CPMF). This refusal of the DoPT is bound to upset Muivah who has mobilised over 4,000 additional cadres and is training them in various camps around Dimapur. The second problem has the makings of a tragicomedy. Muivah may have quickly scrambled together 4,000 additional "cadres" because there is no dearth of unemployed youth, but he's having a bit of a problem training them enough to qualify for recruitment into BSF. Muivah has raised nearly five battalions of "cadre" currently undergoing training in various camps around Dimapur. But he does not have the equipment to train such a large force that has emerged out of nowhere. As a result, he is procuring plastic replica of the American M16 assault rifles in large numbers from a toy maker in Noida, near New Delhi, to familiarise his just-born cadres with the weapon. This is a comical situation, but nobody is laughing. Because Muivah's statement about making Naga sovereignty a non-negotiable issue carries an underlying tone of threat that if his cadres are not absorbed into the BSF, he will open old demands. A bigger concern for the central government arising out of this outlandish BSF job mela is this: The Naga secessionist group, which had become a dysfunctional, discredited and a moribund entity, has got a fresh lease of life since the "historic" accord last year. It's ranks have suddenly swelled with the promise of thousands of jobs in the air and if those jobs do not come through, who knows what spurned unemployed youth can do. Even if they have only toy guns. Thats why you dont hear much about the Nagaland framework accord in New Delhi these days. Kashmir has erupted in protests to the killing of the 22-year-old Hizbul Mujahideen commander, Burhan Wani, in the gunfight with security forces in the Kokernag area of South Kashmir. Twenty-five people have died and there is no let up in the anger, and the youth have hit the streets taking out pro-freedom marches, attacking government property and even setting fire to a police station. But as situation remains grim, the government has failed to reach out to people and even some of the pockets have been completely taken over by the youth. In all major parts of Kashmir, the streets have been blocked and containing the protests has become a major challenge for the PDP-BJP government. The areas that have remained the PDP bastions of South Kashmir have seen men indulge in attacking government properties and even burning police stations. On Sunday, protesters pushed a police vehicle into the Jhelum River and the driver drowned with three police personnel still missing and the death toll increasing, as those who have been critically injured are succumbing to the injuries. Kashmir Valley remains shut for the fourth day on Monday and the government machinery has entirely broken down with protesters taking over major parts of Srinagar city including Bemina, Tengpora and outskirts of Wathoora. The protesters are taking out marches in the absence of police presence in major parts. As tensions continue, both the NC and Congress have tried to cash in on the rage and are seeing this outpouring as dissatisfaction with the PDP-BJP coalition government. The former CM Omar Abdullah, earlier lost no time in terming the slain militant commander as a new youth icon for the Kashmirs dissatisfied. Congress state president GA Mir has urged upon the forces to exercise maximum restraint, while dealing with the protesters. But more than the political slugfest that it has triggered, the unprecedented protests are also largely seen as a matter of concern by security officials, while the political analysts see this as an erosion of the credibility of New Delhi. They have been fuelling the protests and are defiant to not only attack the policemen and ransack the property, but these protests are marked out as a high-decibel pitch for freedom. Never before have the protests over the deaths of militant commanders been matched with the rage of this scale. The fear remains that it could further trigger the cycle of violence and the spiral the Kashmir Valley into long spells of curfews and blockades as fresh reports of violence continue to pour in. The situation has turned so grave that in some of the pockets, the police and the government forces have given up to contain the protesters and are allowing road blockades and stone pelting. Slogans of "Hum Kya Chahtay Azadi (We want freedom) are being clearly heard on the streets. "Never before was New Delhi seen with such hatred, as it is now, said Professor Gul Wani of Political Sciences department, Kashmir University. He said that the protests are in reaction to the disenchantment with the current PDP-BJP dispensation. "India is being largely seen now as a muscular power, which is exercising its authority on the people of Kashmir. Never before had there been such a huge credibility deficit as it is now. Even in early nineties there was no such hatred for the Indian government but it has been due to the communal regime at the Centre that the protests have become shriller. There is certainly a wall that has been created between New Delhi and the people of the state and efforts at garnering peace through the Track-II activities have been lost. Former Kashmir interlocutor, MM Ansari said that the protests only reiterate the need for resolving the problem of Kashmir. Unless Kashmir imbroglio is politically resolved, killing one militant will produce 10 more of them. Eight lakh army is pitched against 60 lakh alienated youth which is unfair. Army is not meant for eliminating its own children, how so ever misguided they may be. We must engage every one in search of solution through democratic means." Gul Wani said that large-scale protests have also got to do with the "niche" that the militant commander Burhan created for himself. A senior security official said that Burhan Wanis active presence on social networking sites led to "disgruntled youth taking out marches in his support". But it is the subsequent civil killings that makes situation uncertain here. Given the protests, state government has cautioned the security forces to exercise restraint. An emergency Cabinet meet was convened by Chief Minister Mehbooba Mufti on Sunday, which expressed concern over the killing. Government Spokesman and Education Minister Nayeem Akhtar said that the forces should ensure that no collateral damage is caused. Earlier CM Mehbooba said, "The disproportionate use of force for crowd control results in loss of precious lives and grave injuries which should be avoided at all costs. The police and the paramilitary forces should use the standard operational procedure (SOP) while dealing with protesters to avoid loss of precious human lives or injuries." New Delhi: Top Information and Broadcasting Ministry officials were in for a surprise on Monday as Union Minister M Venkaiah Naidu, who took charge last week, made an unannounced punctuality and cleanliness check. Explanations were sought from officials who were found missing as to why they were not in their workplace on time after Naidu, along with Minister of State Rajyavardhan Rathore made the surprise check at 9.30 AM. "The Minister personally checked the rooms of several officers and their supporting staff. He looked into the cleanliness of washrooms in the offices. He also inspected the condition of electrical fittings in the various rooms and corridors in the building," a senior I&B ministry official told PTI. Naidu tweeted- The minister also added that "explanation sought from those who were not present at the time of inspection." Officials said that Naidu also emphasised that open cables should be avoided as it did not reflect a presentable and efficient working environment besides being a fire hazard. A fire had destroyed several flies in a I&B ministry office in Shastri Bhawan in 2014. Now that India and Tanzania have agreed to fight the war against terrorism, we can all sleep more peacefully. That was what was needed. Tanzania was missing. But with that settled, what is now worrying is the racism card that is rife in the US at present with India a close second. Have you heard of Monika Khangembam? Founder of Women and Youth for Peace and Development (WYPD), and vice-curator of Global Shapers Imphal Hub, an initiative by World Economic Forum? Of course you haven't. Why would you? She isnt a muscle-bound star or some religious fanatic spouting drivel. She is a highly accomplished lady. Borrringggg. So she is invited to Seoul to give a talk to the Global Empowerment Programme as Indias representative. So she arrives in New Delhi from the northeastern state and checks in at Indira Gandhi International. At immigration, it all begins to unravel. The officer she approaches starts leering at her and being racially offensive. Giving her a hard time is putting it mildly. Tells her she doesnt look Indian. Checks each page of her passport. Keeps her waiting. Minutes pass and he is having his fun. Keep in mind this is our official rep. Finally, fed up and tired of the insults, she pulls out her laptop and sends this message on her FB page while waiting there: The bloody racist immigration desk is at it again! Looks at my Passport and says, Indian toh nahi lagti ho. I get that all the time so dont react much to it but then he goes on, Pakka Indian ho? with a smirk. I still dont react. What really got me was when he said, See You yourself need to know your Indianness. How many states are there in India? The lady at the next counter is giggling. I tell him I am really running late. He goes, nahi nahi bolo bolo. I helplessly answer him as he is not proceeding with the process. And then he carries on kaha se ho. I said Manipur and he is like, so tell me how many states Manipur shares borders with. Name them. I totally lose it and I look away and roll my eyes and dont answer. And he is still insisting me to answer. This moron has all the time to ask all this. I said I was really getting late and he goes like, aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do. What a bully and never felt so humiliated. It was like it was his mission to make me realise my Indianness and he thought he was being funny. I was running late and felt I was giving in to the bully. Spoiled my night. I fully empathise. I have my sister in Delhi who has Multiple Sclerosis and we have two nurses who come from that region of India and they are part of the family but I have to hire security to go with them in south Delhi even if it is just to shop or get milk. If I take them on an outing wheelchair and all, I cannot even risk letting them out of my site. The men, in majority, stare openly, ogle, make gestures and send out suggestive mumbled comments. It is like walking an ugly audio-visual gauntlet. Why are we doing this? Dont we have enough alienation in Kashmir that we have to create another front and give the Chinese a whole segment of our nation on a plate? This wont be the weak underbelly of a country, this will be the carotid artery. And it will make the Kashmir insurgency look like Sunday gambol in the park. The sheer stupidity of it is breathtaking. Manipur students are hassled in Bengaluru. Landlords in Delhi wont rent them a room. Nido Taniam was shopping in Lajpat Nagar and was beaten to death for looking different'. How people feel is vital. Make them feel small or belittled and the bitterness builds. Burhan Wani held a grudge because he and his brother were beaten up while on a picnic. Not a quantum leap. Thing is that while more of us are overt and covert racist streak even as we preach piously to the US what is done about it in real terms. There is a Northeastern Forum Against Racism, can you believe it. Take this guy behind the counter. His conduct is on record. Will any action be taken against him or will he and his buddies amble for chai and chat and he will regale them with this chinky jisko seeda kiya. That is the problem. We do not resolve the problem. There will always be a bureaucratic cop out. Oh, she did not make an official complaint. Immigration has to be careful. Her papers were not in order. Her passport picture did not resemble her. Suspend this guy. Ask him for an explanation. Send out a message. We dont address this now, it wont be long before it is too late. This description tells us how tenuous it is: The seven northeastern states troubled by separatist conflict, military presence and chronic underdevelopment are close to China but connected to India by a sliver of land arched north over Bangladesh. New Delhi: Hitting back, BJP on Monday said AAP chief Arvind Kejriwal has the habit of levelling baseless allegations and then running away after he took potshots at its top brass over reports that Amit Shah and Asaduddin Owaisi had allegedly struck a deal during the Bihar polls. A former BJP MLA from Gujarat has alleged that the BJP chief and AIMIM president had met to clinch a deal to polarise voters in parts of Bihar during the state assembly polls, prompting Kejriwal to tweet that if true, it "revealed real face of BJP-Modi-Shah". "BJP condemns Kejriwal for his remarks. He is notorious for practising petty politics based on lies and unfounded charges. It is his habit to level baseless allegations and run away when confronted with facts. He is spreading false and factually incorrect claims," BJP national secretary Shrikant Sharma said, attacking him and his associates. Sharma cited the Delhi Chief Minister's strong defence of the then Delhi Law Minister Jitender Singh Tomar, arrested in a fake degree case, and his then Principal Secretary Rajendra Kumar, arrested in a corruption case, to make his point. "He has been unmasked. From fighting against corruption, he has come to protect it," he said. New Delhi: With Kashmir on the boil over terrorist Burhan Wani's death, VHP on Monday demanded immediate dismissal of Mehbooba Mufti government in Jammu and Kashmir and imposition of President's Rule, besides arresting her for her alleged "pro-militant" stance. Terming BJP's "experiment" of forming a government with PDP as having "failed", VHP joint general secretary Surendra Jain accused chief minister Mehbooba Mufti of "acting as a spokesperson of terrorists and separatists" and said both she and former chief minister Omar Adbullah be arrested for their pro-terrorists speeches. "BJP's experiment of forming a government with PDP has totally failed as they could not change Mehbooba Mufti. "That is why this goverment should be dismissed immediately and President's Rule imposed. Both Mehbooba and Omar Abdullah should also be arrested for thei pro-terrorist speeches," he said. Comparing the situation to that in 1990s when militancy in Kashmir was at its peak, the VHP leader said there should be shoot-at-sight orders against terrorists. Alleging attacks on Amarnath pilgrims, Vishva Hindu Parishad (VHP) said it was "unacceptable" and that targeting Hindus will have "serious repercussions". The VHP leader also hit out at JNU student Umar Khalid for comparing Wani to a revolutionary, saying that "this is so unfortunate that a terrorist who was wanted for years is being compared to a revolutionary." Zakir Naik, the controversial Islamic preacher, has called off his return to India. Naik was supposed to reach Mumbai from Saudi Arabia on Monday morning and address a press conference, reported NDTV. The Islamic Research Foundation too confirmed that Zakir Naik wouldn't be arriving in Mumbai, according to a DNA report. Zakir Naik's spokesperson informed the media that the press conference that was to take place on Tuesday in Mumbai, has been cancelled and that a new date would be set soon, the DNA report added. The reason for the cancellation of his return to India has been attributed to his 'ever-changing' schedule, an IRF trustee told DNA. Meanwhile, the Shiv Sena has demanded his immediate arrest upon his arrival to India from Saudi Arabia, reported Times Now. Also, a report in Hindustan Times had speculated police interrogation involving detailed questioning for Naik, following his arrival in Mumbai, as a special team had been set up to investigate the preacher and his activities. Zakir Naik had come under the scanner when reports of one of the young militants of the Dhaka attack having been inspired by the Islamic scholar surfaced. Following the reports, Home Minister Rajnath Singh said that the CDs of Zakir Naik's speeches were being examined for necessary action and asserted that the government will not compromise on the issue of terrorism. Bangladesh government on Sunday banned the broadcasting of controversial preacher Zakir Naik's Peace TV channel. However, despite all allegations, Naik has maintained that he does not support or propagate killing of innocent people. "My speeches on Islam and terror are being taken out of context", Naik had said in an interview with CNN-News18. LONDON Interior minister Theresa May will become Britain's prime minister on Wednesday, with the task of steering its withdrawal from the European Union, after rival Andrea Leadsom abruptly terminated her disastrous leadership campaign. May, 59, will succeed David Cameron, who announced he was stepping down after Britons unexpectedly voted last month to quit the EU. Britain's planned withdrawal has weakened the 28-nation bloc, created huge uncertainty over trade and investment, and shaken financial markets. May and Leadsom had been due to contest a ballot of grassroots Conservative party members, with the result to be declared by Sept. 9. But Leadsom unexpectedly quit on Monday after a campaign dogged by ill-judged comments about her rival's lack of children and questions about whether she had exaggerated her CV. "I am honoured and humbled to have been chosen by the Conservative Party to become its leader," said May, who favoured remaining in the EU but has made clear there is no going back on the result of the June 23 referendum. "Brexit means Brexit, and we're going to make a success of it." Earlier, Cameron told reporters in front of his 10 Downing Street residence that he expected to chair his last cabinet meeting on Tuesday and take questions in parliament on Wednesday before tendering his resignation to Queen Elizabeth. "So we will have a new prime minister in that building behind me by Wednesday evening," he said. May will become Britain's second female prime minister, after Margaret Thatcher. Her victory means that the complex process of extricating Britain from the EU will be led by someone from the losing side of the acrimonious referendum campaign. She has said Britain needs time to work out its negotiating strategy and should not initiate formal divorce proceedings before the end of the year. In a speech earlier on Monday in the city of Birmingham, May said there could be no second referendum and no attempt to rejoin the EU by the back door. "As prime minister, I will make sure that we leave the European Union," she said. The White House said U.S. President Barack Obama was confident that the "special relationship" between the United States and Britain would continue after May becomes prime minister. RELATIVE UNKNOWN Leadsom, 53, is a junior energy minister who has never served in cabinet and was barely known to the British public until she emerged as a prominent voice in the successful Leave campaign. She had been strongly criticised over a newspaper interview in which she appeared to suggest that being a mother meant she had more of a stake in the country's future than May, who has no children. Some Conservatives said they were disgusted by the remarks, for which Leadsom later apologised, while others said they showed naivety and a lack of judgment. Leadsom told reporters she was pulling out of the race to avoid nine weeks of campaign uncertainty at a time when strong leadership was needed. She acknowledged that May had secured much greater backing in a vote of Conservative members of parliament last week. "I have ... concluded that the interests of our country are best served by the immediate appointment of a strong and well supported prime minister," she said. "I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success." Graham Brady, head of the Conservative party committee in charge of the leadership contest, confirmed that May had been elected with immediate effect. The pound, which has hit 31-year lows since the referendum on concern about potential damage to the British economy, bounced slightly on the news that the Conservative leadership question would be resolved much sooner than expected. It was trading around $1.2970, up 0.1 percent on the day but still down around 13 percent since the day of the vote. The FTSE 250 index of mid-sized companies rose 3.27 percent. "Welcome news we have 1 candidate with overwhelming support to be next PM. Theresa May has strength, integrity & determination to do the job," finance minister George Osborne tweeted. FORGING NEW ROLE The 52-to-48 percent vote to quit the EU after 43 years of membership was a stunning rebuke to Britain's political leaders and especially Cameron, who had argued that breaking away would bring economic disaster. Britons ignored his warnings, swayed by the arguments of the Leave campaign that 'Brexit' would enable them to regain 'independence' from Brussels and clamp down on high immigration, something hard to achieve under EU rules allowing people to live and work anywhere in the bloc. May's leadership hopes had appeared at risk of being damaged by her failure, in six years as interior minister, to bring immigration down, and the fact she found herself on the losing side of the referendum campaign. But her two best-known rivals on the Leave side were felled by political back-stabbing when Justice Secretary Michael Gove brought down former London mayor Boris Johnson and was then punished for his perceived treachery by being eliminated from a ballot of Conservative MPs. In her speech in Birmingham on Monday, May set out her vision for the economy, calling for "a country that works for everyone, not just the privileged few". In a pitch for the political centre, she said she would prioritise more house-building, a crackdown on tax evasion by individuals and companies, lower energy costs and a narrowing of the 'unhealthy' gap between the pay of employees and corporate bosses. "Under my leadership, the Conservative Party will put itself completely, absolutely, unequivocally, at the service of ordinary working people ... we will make Britain a country that works for everyone," she said. LABOUR DISARRAY May's biggest challenge will be to map out the course of Britain's withdrawal, a process still clouded in uncertainty, and to sort out new terms of trade with the other 27 EU nations. "We will have difficult negotiations with Britain, it will not be easy," said German Chancellor Angela Merkel who insisted that Britain would not be able to get free access to the EU's single market without accepting free movement of people. European Economics Commissioner Pierre Moscovici said: "We should open the negotiations as quickly as possible in order to limit uncertainty." More than 1,000 British lawyers said in a letter to Cameron that members of parliament should decide whether Britain leaves the EU because the referendum was not legally binding. Opposition members of parliament, responding to the impending appointment of May, demanded a general election. "It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected prime minister," Labour party election co-ordinator Jon Trickett said. Labour too has been thrown into upheaval by the referendum, with leader Jeremy Corbyn widely criticised for failing to make a sufficiently passionate case in favour of staying in the EU. Minutes before Leadsom's announcement, Labour lawmaker Angela Eagle launched a leadership challenge to Corbyn. "Jeremy Corbyn is unable to provide the leadership that this party needs -- I believe I can," Eagle said. Corbyn was elected last year with overwhelming support from grassroots Labour activists. He has ignored a vote of no confidence from the party's lawmakers, saying he has a responsibility to carry out that mandate. (Editing by Anna Willard and Robin Pomeroy) This story has not been edited by Firstpost staff and is generated by auto-feed. LONDON Interior minister Theresa May will become Britain's prime minister on Wednesday, with the task of steering its withdrawal from the European Union, after rival Andrea Leadsom abruptly terminated her short-lived and disastrous leadership campaign. May, 59, will succeed David Cameron, who announced he was stepping down after Britons unexpectedly voted last month to quit the EU. Britain's planned withdrawal has weakened the 28-nation bloc, created huge uncertainty over trade and investment, and shaken financial markets. May and Leadsom had been due to contest a ballot of grassroots Conservative party members, with the result to be declared by Sept. 9. But Leadsom unexpectedly quit on Monday after a campaign dogged by ill-judged comments about her rival's lack of children and questions about whether she had exaggerated her CV. "I am honoured and humbled to have been chosen by the Conservative Party to become its leader," said May, who favoured remaining in the EU but has made clear there is no going back on the result of the June 23 referendum. "Brexit means Brexit, and we're going to make a success of it." Earlier, Cameron told reporters in front of his 10 Downing Street residence that he expected to chair his last cabinet meeting on Tuesday and take questions in parliament on Wednesday before tendering his resignation to Queen Elizabeth. "So we will have a new prime minister in that building behind me by Wednesday evening," he said. May will become Britain's second female prime minister after Margaret Thatcher. Her victory means that the complex process of extricating Britain from the EU will be led by someone from the losing side of the acrimonious referendum campaign. She has said Britain needs time to work out its negotiating strategy and should not initiate formal divorce proceedings before the end of the year. In a speech earlier on Monday in the central city of Birmingham, May said there could be no second referendum and no attempt to rejoin the EU by the back door. "As prime minister, I will make sure that we leave the European Union," she said. RELATIVE UNKNOWN Leadsom, 53, is a junior energy minister who has never served in cabinet and was barely known to the British public until she emerged as a prominent voice in the successful Leave campaign. She had been strongly criticised over a newspaper interview in which she appeared to suggest that being a mother meant she had more of a stake in the country's future than May, who has no children. Some Conservatives said they were disgusted by the remarks, for which Leadsom later apologised, while others said they showed naivety and a lack of judgment. Leadsom told reporters she was pulling out of the race to avoid nine weeks of campaign uncertainty at a time when strong leadership was needed. She acknowledged that May had secured much greater backing in a vote of Conservative members of parliament last week. "I have ... concluded that the interests of our country are best served by the immediate appointment of a strong and well supported prime minister," she said. "I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success." Graham Brady, head of the Conservative party committee in charge of the leadership contest, confirmed that May had been elected with immediate effect. The pound, which has hit 31-year lows since the referendum on concern about potential damage to the British economy, bounced slightly on the news that the Conservative leadership question would be resolved much sooner than expected. It was trading around $1.2970, up 0.1 percent on the day but still down around 13 percent since the day of the vote. The FTSE 250 index of mid-sized companies rose 3.27 percent. "Welcome news we have 1 candidate with overwhelming support to be next PM. Theresa May has strength, integrity & determination to do the job," finance minister George Osborne tweeted. FORGING NEW ROLE The 52-to-48 percent vote to quit the EU after 43 years of membership was a stunning rebuke to Britain's political leaders and especially Cameron, who had argued that breaking away would bring economic disaster. Britons ignored his warnings, swayed by the arguments of the Leave campaign that 'Brexit' would enable them to regain 'independence' from Brussels and clamp down on high immigration, something hard to achieve under EU rules allowing people to live and work anywhere in the bloc. May's leadership hopes had appeared at risk of being damaged by her failure, in six years as interior minister, to bring immigration down, and the fact she found herself on the losing side of the referendum campaign. But her two best-known rivals on the Leave side were felled by political back-stabbing when Justice Secretary Michael Gove brought down former London mayor Boris Johnson and was then punished for his perceived treachery by being eliminated from a ballot of Conservative MPs. In her speech in Birmingham on Monday, May set out her vision for the economy, calling for "a country that works for everyone, not just the privileged few". In a pitch for the political centre, she said she would prioritise more house-building, a crackdown on tax evasion by individuals and companies, lower energy costs and a narrowing of the 'unhealthy' gap between the pay of employees and corporate bosses. "Under my leadership, the Conservative Party will put itself completely, absolutely, unequivocally, at the service of ordinary working people ... we will make Britain a country that works for everyone," she said. LABOUR DISARRAY May's biggest challenge will be to map out the course of Britain's withdrawal, a process still clouded in uncertainty, and to sort out new terms of trade with the other 27 EU nations. "We will have difficult negotiations with Britain, it will not be easy," Germany Chancellor Angela Merkel said on Monday. European Economics Commissioner Pierre Moscovici said: "We should open the negotiations as quickly as possible in order to limit uncertainty." More than 1,000 British lawyers said in a letter to Cameron that members of parliament, not lawyers, should decide whether Britain leaves the EU because the referendum was not legally binding. Opposition members of parliament, responding to the impending appointment of May, demanded a general election. "It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected prime minister," Labour party election co-ordinator Jon Trickett said. Labour too has been thrown into upheaval by the referendum, with leader Jeremy Corbyn widely criticised for failing to make a sufficiently passionate case in favour of staying in the EU. Minutes before Leadsom's announcement, Labour lawmaker Angela Eagle launched a leadership challenge to Corbyn. "Jeremy Corbyn is unable to provide the leadership that this party needs -- I believe I can," Eagle said. Corbyn was elected last year with overwhelming support from grassroots Labour activists. He has ignored a vote of no confidence from the party's lawmakers, saying he has a responsibility to carry out that mandate. (Editing by Sonya Hepinstall and Anna Willard) This story has not been edited by Firstpost staff and is generated by auto-feed. Jerusalem: Israel this week marks a decade since its 2006 war with its "main enemy" Hezbollah, but the Lebanese militia's involvement in Syria has made another conflict less likely for now. The war sparked by Hezbollah's capture of two Israeli soldiers on 12 July, 2006 is viewed negatively in Israel, with analysts saying there was a lack of a clear strategy. Chief of Staff Gadi Eisenkot, who was head of operations at the time, posted an open letter on Sunday paying tribute to the troops involved, and also saying lessons had been learned. "The threat from Lebanon still exists and contains many challenges, and necessitates the (Israeli military) to be prepared for any scenario," Eisenkot wrote. Earlier this year, he described the Iran-backed Hezbollah as Israel's main enemy, and deputy chief of staff Yair Golan said in April that the Shiite militia's improved capabilities were worrying. Golan also warned that in any future crisis "it's going to be full-scale war". He said that because of the presence of Hezbollah fighters in civilian areas, "there is no other way to take out this threat without really creating large damage to the Lebanese infrastructure, to Lebanese houses and other civilian facilities". Israel's military believes Hezbollah has between 100,000 and 120,000 short- and medium-range missiles and rockets, as well as several hundred long-range missiles, with the medium-range missiles capable of reaching Tel Aviv. Objectives not met But now the group's focus is on the war in Syria where it is fighting in support of President Bashar al-Assad. It has sent thousands of fighters to help regime forces battle rebels and jihadists. "For now, Hezbollah's attention is on Syria," said Phillip Smyth, an adjunct fellow at the Washington Institute for Near East Policy. "It's hard for them to open another front against a markedly superior foe like the Israelis, especially as they have to deal with fighting a multitude of foes across Syria." Amid the chaos in Syria, Israel has also acknowledged attacking dozens of convoys there that were transporting weapons destined for Hezbollah. Israel occupied parts of Lebanon for 22 years until 2000, with Hezbollah claiming credit for its withdrawal following persistent guerrilla attacks, and the two countries are still technically at war. The 34-day conflict in 2006 led to the deaths of 1,200 people in Lebanon, mainly civilians, and 160 Israelis, mostly soldiers. It began when Israel retaliated over a cross-border raid in which Hezbollah captured two Israeli soldiers and killed three, and quickly spiralled into a fully fledged war. Hezbollah fired thousands of rockets into northern Israel, which carried out devastating strikes across Lebanon. Many people in Israel considered the massive ground and air war on Lebanon to be a failure because it did not halt Hezbollah rocket fire or recover the two captured soldiers. 'Serious missed opportunity' Their bodies were returned two years later in exchange for the release of five Lebanese prisoners. The then Prime Minister Ehud Olmert and military leaders at the time faced heavy criticism. A key government report on the war called it a "serious missed opportunity" for Israel, saying there was a lack of planning and no clear exit strategy. The so-called Winograd report highlighted the controversial ground offensive launched in the final days of the war, while the United Nations was brokering a ceasefire agreement, saying it did not achieve its objectives. "I think it's still viewed as a blemish on the IDF for not being prepared for it," said Efraim Inbar, director of Israel's Begin-Sadat Centre for Strategic Studies, referring to the Israeli military. "A lot of confusion at the highest echelons in the military. And also the political strategic leadership failed," he told AFP. But as the years have passed, some in Israel have adopted a more forgiving view, noting the relative quiet along the Lebanese border even before the Syrian war began in 2011 and drew in Hezbollah, said Jonathan Spyer, director of Israel's Rubin Centre for international affairs research. There are concerns, however, over whether Hezbollah could benefit from its fighting experience in Syria, becoming more battle-hardened and knowledgeable after having fought on the same side as Russia, which also backs Assad. Spyer said the likelihood of another conflict with Hezbollah was low until the Syrian war ends. But he also noted that "Hezbollah remains committed to the destruction of Israel" and that the militia is "much stronger now than it was back in 2006". Nairobi: Prime Minister Narendra Modi on Monday held talks with Kenyan President Uhuru Kenyatta on a wide range of issues aimed at boosting ties in the African continent, particularly in the economic sphere. Modi, who arrived here yesterday on the final leg of his four-nation Africa tour, was accorded ceremonial guard of honour at the State House. "The fourth welcome in 5 days, PM @narendramodi receives ceremonial honours at the State House in Nairobi," External Affairs Ministry Spokesperson Vikas Swarup tweeted. He earlier paid tributes to Mzee Jomo Kenyatta, the first president of Kenya and a towering political figure of Africa. "Homage to a great son of Africa, a friend of India. PM lays wreath at Mausoleum of Jomo Kenyatta, 1st Prez of Kenya," Swarup said. Ahead of the visit, the Prime Minister had said, "India-Kenya ties have stood the test of time. Both our nations have had very strong people-to-people ties and both nations have successfully fought colonialism in the previous century." He visited Mozambique, South Africa and Tanzania before arriving here. The focus of the African tour is on deepening cooperation in areas of hydrocarbons, maritime security, trade and investment, agriculture and food. JUBA South Sudan's president and vice president ordered their loyalists to cease hostilities on Monday after days of fighting threatened to plunge the country back into civil war and bring further instability to an impoverished region of Africa. Fighting erupted four days ago in the capital Juba between followers of President Salva Kiir and Riek Machar, the former rebel leader who became vice president under a deal to end a two-year civil war. The violence, which has killed hundreds of people, broke out as the world's newest nation prepared to mark five years of independence from Sudan on July 9. Presidential spokesman Ateny Wek Ateny said Kiir and Machar had spoken by phone on Monday, a day which saw tanks and helicopters involved in some of the fiercest clashes yet. "All the commanders of (Kiir's) forces are directed to cease any hostility and abide by the order and control their forces," Ateny told Reuters. "President Salva Kiir is determined to carry on his partnership with Riek Machar." Machar responded by ordering his troops to stand down. "The president has declared a unilateral ceasefire, I want to reciprocate the declaration of unilateral ceasefire," he told the independent Eye Radio. Much is unclear, however, about the latest violence in Juba, including what the objective of either side has been and how much control Kiir and Machar have over their forces. The U.S. State Department said it was carrying out an "ordered departure" of its staff from South Sudan. The fighting has raised fears of a return to the civil war that erupted in late 2013 and broadly ran along ethnic lines, pitting Kiir, an ethnic Dinka, against Machar, a Nuer. The conflict killed thousands of people, forced more than 2.5 million people from their homes and left almost half the population of 11 million people struggling to find food. Oil production, by far the biggest source of government revenue, has plummeted. A new flare-up risks driving yet more people to refugee camps in neighbouring countries in the central African region, which is already plagued by myriad woes. Central African Republic is riven by conflict, the eastern region of the Democratic Republic of Congo is contending with a patchwork of militias and rebels groups, and Burundi is embroiled in a violent political crisis. The U.N. Security Council on Sunday demanded Kiir and Machar rein in their forces and end the fighting. The U.N. mission in South Sudan, UNMISS, expressed its "outrage" after its bases in Juba were caught in the crossfire between the two sides and two Chinese peacekeepers were killed. WRANGLING Kiir and Machar have long been rivals, both in politics and on the battlefield. Civil war broke out in 2013 a few months after Kiir sacked Machar as his deputy. Fighting has often erupted outside Juba since the two men signed a peace deal in August last year. But this was the first time it had flared in Juba since Machar finally returned in April after months of wrangling about terms of the pact. Clemence Pinaud, an assistant professor at Indiana University and an expert on South Sudan, said that tensions increased in Juba during the past month. "We most likely witnessed an acceleration ... into a full-on war in Juba between the two parties," Pinaud said. Experts say the failure to swiftly implement important elements of the deal, such as integrating and demobilising their forces, has allowed tension to fester and risked igniting a new conflict. U.N. Secretary-General Ban Ki-moon on Monday urged the Security Council to impose an arms embargo on South Sudan, sanction leaders and commanders who blocked the implementation of a peace deal and fortify the UNMISS mission. There has been no official death toll from the recent flare up but at least five soldiers died on Thursday and a Health Ministry source said 272 people, including 33 civilians, were killed on Friday. Sunday and Monday's fighting was more fierce. UNMISS said gunfire had erupted on Monday around the U.N. headquarters in the Jebel area of Juba and also around a base near the airport. It said U.N. sites had been hit in exchanges of fire, killing eight and injuring 67. Highlighting lawlessness on Juba's streets, one resident said he saw police officers trying to loot a shop in his neighbourhood by shooting off the padlocks and firing in the air to scare away people, echoing similar witness reports on Sunday. An army spokesman said that any soldier found stealing civilian property or looting would be arrested, and shot at if they resisted. The African regional grouping IGAD echoed the U.N. Secretary-General's call to beef up UNMISS's mandate, calling for it be given an enforcement role similar to a U.N.-backed intervention brigade working in eastern Congo. Through the civil war, however, world powers and regional states struggled to find leverage over the warring factions, despite U.S. and European sanctions on some military leaders and African threats of punitive actions. (Additional reporting by Ben Blanchard in Beijing, Michelle Nichols in New York, George Obulutsa in Nairobi and Isma'il Kushkush and Mohammad Zargham in Washington; Writing by Edmund Blair; Editing by Pravin Char and Grant McCool) This story has not been edited by Firstpost staff and is generated by auto-feed. Canberra: Australia's Prime Minister Malcolm Turnbull on Monday returned to the capital, Canberra to decide on his cabinet ministers for the 45th Parliament, after he secured victory in the 2016 federal election. Turnbull proclaimed victory on Sunday, Xinhua news agency reported. However, before Turnbull can be sworn into Parliament, he must decide on which ministers will take up cabinet positions, after three cabinet members were not re-elected at the election. Turnbull said there would likely be "many new and younger faces" in the new-look coalition government which is to be decided over the next week. "Regrettably, several ministers have not been returned and so there will be some changes," Turnbull said on Monday. Also, prior to the swearing in ceremony, Turnbull must negotiate a new coalition deal with Nationals leader Barnaby Joyce. The Nationals are expected to be in a better bargaining position for cabinet positions after they secured a higher than expected percentage of seats in the lower house at the election. It is expected that Liberal and National MPs will meet in Canberra on 18 July, and will be sworn in once Governer-General Peter Cosgrove returns from an official visit to France next week. While debate has continued to rage over the future prospect of implementing an online or electronic voting system for future election counting continues in five seats which are determined too close to call. Prime Minister Turnbull said he has been "an advocate of electronic voting for a long time", while Labour Leader Bill Shorten said it is unacceptable to not have a clear result in the election eight days after voters went to the polls. "We're a grown up democracy - it shouldn't be taking eight days to find out who won and who lost," Shorten said over the weekend, "We can't afford to let Australia drift for eight days after an election." The elections took place on 2 July. Washington: The United States demanded an immediate end to renewed fighting in the capital of South Sudan, ordering all non-essential personnel out of the troubled country. "The United States strongly condemns the latest outbreak of fighting in Juba between forces aligned with President Salva Kiir Mayardit and those aligned with First Vice President Riek Machar Teny, including reports we have that civilian sites may have been attacked," State Department spokesman John Kirby said yesterday in a statement. "In response to ongoing violence in South Sudan, the Department of State ordered today the departure of non-emergency personnel from the US Embassy in Juba." Urging Americans in the country to take security precautions, he warned that "the embassy's ability to provide emergency services to US citizens in Juba is extremely limited." The battles are the first between the army and ex-rebels in Juba since rebel leader Machar returned to take up the post of vice president in a unity government in April, under an accord to end a bloody civil war. Washington is pressing "both leaders and their political allies and commanders to immediately restrain their forces from further fighting, return them to barracks and prevent additional violence and bloodshed," Kirby said. "The United States is determined to ensure appropriate measures are taken to hold accountable those responsible for continuing fighting and violations of international humanitarian law, including attacks on the UN Mission in South Sudan (UNMISS) and targeting of civilians." Kirby said the United States was in touch with senior African Union and regional leaders. digital and print publisher. digital and print publisher. We are Americas largest We are Americas largest The brands you love. The experiences you want. After hiring executives from Qualcomm and Myntra for beefing up its team in India, LeEco has once again hired a Qualcomm executive. The company has appointed Jaiteerth Patwari as head of Development Centre at LeMall India, its online store to boost its e-commerce presence in the country. Hed joins LeEco after 14 years of working at Qualcomm. At LeEco, he will innovate, develop and support the fast-paced e-commerce business. The company aims to the replicate the success story of LeMall in China and the US. LeEco said that LeMall R&D is looking to hire 50 more engineers with the talent of building highly scalable distributed e-commerce platform. Headquartered in Bangalore, LeEco India has operated for more than 6 months with more than 200 employees and aims to become over 1000 people-strong by the end of 2016. Commenting on the new appointment, Atul Jain, Chief Operating Officer of Smart Electronics Business at LeEco India, said: We are very delighted to bring Jaiteerth on board. He is a well-known and respected name in the industry and will bring with him a wealth of experience, which were sure will be fruitful for us in our India journey. Were very rapidly adding top-notch talent to our leadership team to fortify our presence in India and are committed to doing so in the future as well. Jaiteerth Patwari, new R&D Head, LeEcos Lemall India, said: I am thrilled to join the LeEco family at such a critical junction of its growth journey in India. With the launch of LeMall in India, LeEco has already carved a niche for itself in the industry by building a platform for all their products and services. In the next couple of months, we will look at aggressively scaling up operations for LeMall in India. We know some visitors come to the website because a domain name leads them to here. If you are interested in buying In the UK, manufacturers are wary about the risk of passing on the cost increases of rising inflation to consumers. According to the Food & Drink Federation (FDF)... Read More John Donovan is a co-founder, former board member, and former chief operating officer at the online lending marketplace Lending Club (LC -8.49%). Today, Donovan is the chief strategy officer at CircleBack Lending, another marketplace lender. I recently sat down with Donovan to discuss the state of the marketplace lending sector, as well as to get a better understanding of the challenges facing Lending Club specifically. The following excerpt of that interview has been edited lightly for clarity and length. You can listen to the full interview by clicking here. Jenkins: 2016 has been a very tough year for marketplace lenders. Lending Club, On Deck Capital (ONDK), and other players are all down sharply. What started the decline in Lending Club's stock that we've seen since May? Donovan: The trigger was a $3 million security that didn't meet investor's requirements, but not for underwriting, not for pricing, none of those things. It was basically a disclosure error, and it's worth noting that it was part of one of the company's first securitizations. As Lending Club was putting together the loans to sell into that securitization, they were asked by Jefferies Group (JEF) to put a disclosure on the front of these loans. What ended up happening, as best as we can tell, is they sold some of those loans without the disclosure. Someone internally went and changed some dates on those loans. That was uncovered internally, the company did an investigation into it, bought back the loans from Jefferies at par, and then sold them to someone else. There was another conflict that they found with Renaud [Laplanche, former Lending Club CEO], who owned a piece of a company that Lending Club had subsequently made an investment in, and whether that ownership was properly disclosed. The board took all that together and forced Renaud's resignation Jenkins: It sounds like your view is that this very much appears as an isolated incident, and not representative of a culture that could create deeper, longer-term repercussions for the stock? Should shareholders be concerned? Donovan: What I look at from the outside is that this could point to some internal control issues. Do the products people talk to the technology people? Where are those Chinese walls? Was this an isolated incident perpetrated by a few individuals, or part of something larger? I think the company has answered those concerns at this point, and I don't have concerns that this is a larger, culture problem. After the issues were found, Lending Club had an accounting firm go do a deep dive into all the different loans. They didn't find any other issues. So, this is something that happened with literally their first securitization and the first pool of loans selling into that securitization. Jenkins: How should a marketplace lender handle credit underwriting and loan review? Investors seem confused as to exactly how this process happens and what the risks are compared to a traditional bank. Donovan: Sure, let's go into that review process. The person comes to the website and applies for a loan. The credit bureau and a bunch of other third-party data is pulled and analyzed, and the applicant is approved or denied. Compared to a traditional bank, this can be done much more efficiently, because the bank application may come in based on a written application, it may come in online, or it may come in through the telephone. Because [an online marketplace] is mono-channel, it tends to be more efficiently managed. There's also a lot more data, so when a person's coming in and applying on their computer, from an anti-fraud standpoint, marketplace lenders can go in and say, "Okay, hey, John's applying for a loan. His credit passes, the IP address on his computer says Chicago, but we can see on his LinkedIn profile that he works in San Francisco. Hmm, might this be fraud? Do we need to do some additional verification?" From the perspective of safety and security, I think that marketplace lenders are actually safer from the standpoint of anti-fraud that credit card issuers. Jenkins: And on the credit underwriting side? Donovan: Underwriting is not a process of, "Hey, we're going to go issue these loans and believe that the climate is going to stay exactly the same." Things change over time, so you're always going in and looking at your book. There are a bunch of things that may drive losses slightly higher for a certain loan portfolio, and there are a bunch of ways that you go through and address that. You can change underwriting guidelines. You [can] isolate risks between different channels if the data shows one with carry greater risk. You can address that through changing your pricing. All of this happens in real-time, constantly adjusting for supply and demand, as well as changing economic conditions. It's a live, vibrant process, and that's a huge advantage. The platform can start pushing applicants more toward three year loans rather than five year loans. You start to look at different geographies, and respond precisely to where the problems are the worst. It tends to be, in consumer credit at least, are you talking about prime, near-prime, or sub-prime? Tough economic times tend to hit sub-prime first and foremost. By monitoring these trends in real time, as we talked about before, the marketplace lenders can react proactively. Jenkins: Some critics have pointed to the lack of a human touch in the process. Where do humans, versus algorithms, come into the picture, and is this appropriate, in your view? Donovan: Absolutely. If you look at the process, let's say 85% of applicants are declined automatically, and there is no human intervention. At that point, you end up with, let's say, 15%, and there you're going in and saying, "Hey, do we need to do additional income verification? Do we need to do employment verification, do we want to get this person on the phone and make a phone call? What are the other types of verification that are necessary?" It's interesting, as I read a lot of things, people say, "You should verify 100%." Guess what, the banks don't do much income verification at all from the standpoint of credit card applications. Even from a bank issuing a credit card with a $10,000 balance, it's doubtful they're going to go through and do income verification. From the standpoint of marketplace lenders, when you start to look at who you should verify and who you shouldn't verify, there was data that Lending Club put out a few years ago, which said that losses on loans where income is verified are actually higher than the losses on loans where income is not verified. If you think about that, it actually makes perfect sense, because the company is only verifying income when there is an additional level of risk that warrants the verification of data. Jenkins: While at Lending Club, one of your responsibilities was managing the relationships with the institutional investors purchasing loans on the platform. Based on your experience, do you think these funding partners are reacting the same way the stock market has to all this turmoil -- the internal control concerns, the CEO's firing, etc.? Donovan: It depends on the type of entity that you are, right? If you're a bank or an insurance company, then you're looking and you're saying, "There's a control issue, I need to understand that before I put additional funds on it." I think that was the effort recently with the review and audit. It was the company showing how it was addressing the concerns. I think once they get that stamp of approval for bank and insurance money, that capital will come back in. From the standpoint of hedge funds, other funds, other institutional equity, I don't think they have the same constraints. From everything I've read, it seems like that money is still available. Jenkins: You're no longer with Lending Club, but you remain in the marketplace lending industry, currently as the chief strategy officer at CircleBack Lending. What drew you to CircleBack, and how does it compare to your prior experiences? What lessons have you learned in your prior jobs at Lending Club, MasterCard and so on, that make CircleBack different and exciting to you? Donovan: CircleBack is a medium-sized platform that's done about $450 million in loans. The difference, really, there, is much more of a focus on partnerships, so being able to support regional banks, make it more efficient for them to be able to both fund the loans and monetize their customer base. If they've got a mortgage book that they could sell in installment loans, they can't afford to do it on their own, so how can you partner with entities such as regional banks, regional issuers, other types of businesses that have large customer groups that may need this type of responsible credit? It's still marketplace lending, it's just a slightly different angle. A year ago, slowing economic growth in China seemed like a major threat to U.S. auto giant General Motors (GM 0.33%). After all, China is the company's largest market in terms of sales volume -- it delivered 3.6 million vehicles there last year. China is also GM's second-most-important profit driver, regularly producing annual profits of about $2 billion for the company. From May to September of 2015, GM posted year-over-year sales declines in China for five consecutive months. However, it has seen a sales resurgence in China since last October, helped along by government incentives. As long as those incentives remain intact, GM should be able to continue delivering solid growth in this key market. Weathering a slowdown Through the first nine months of 2015, GM's sales in China increased a paltry 1.6% year over year. This was an inadequate level of growth, considering that GM announced plans in early 2014 to invest $12 billion in China to expand annual production capacity from 3.5 million to 5 million vehicles. However, it was part of a much broader slowdown in the Middle Kingdom. Ford Motor (F -0.31%) -- which has a smaller presence in China but has been one of the fastest-growing automakers there in recent years -- reported a year-over-year sales decline through the first nine months of 2015. General Motors managed to outperform Ford during the slowdown largely due to its move to sell more SUVs and crossovers in China. GM's low-cost Baojun 560 SUV was particularly successful, driving huge sales gains for the 5-year-old Baojun brand. Sales in China gaining steam (again) China halved its purchase tax for vehicles with engines of up to 1.6 liters beginning last October, driving a rebound in sales in late 2015. By the end of the year, Ford was back in positive territory. Its full-year 3% sales gain was almost entirely driven by a 49% jump in deliveries from its Changan Ford joint venture during December. Meanwhile, GM closed out the year in strong fashion, ending with a 5.2% full-year sales gain in China. GM's SUV sales there surged a whopping 144% in 2015. In the first quarter of 2016, GM's sales growth in China decelerated again, with deliveries rising a meager 0.2% year over year. By contrast, Ford's China sales jumped 14% year over year in Q1. The two automakers' sales trajectories flipped once more in the second quarter. Ford reported a year-over-year decline in deliveries in China for Q2, bringing its sales gain for the first half of the year to a more modest 6%. At the same time, GM's growth reaccelerated. Through the first half of 2016, GM's sales in China are up a solid 5.2% year over year. Can GM get to 5 million? General Motors' growth in China this year has come despite a sharp sales drop for its Chevrolet brand. The end of a government subsidy for the entry-level Chevy Sail car has been one key factor behind this decline. However, the new Malibu XL model launched earlier this year has posted strong sales out of the gate. It will be joined by an updated version of the Chevy Cruze later this quarter. Those models could help stabilize Chevy brand sales in China, leading to even stronger companywide growth there. Late last year, GM stated that it would consider postponing some of its growth investments in China due to the market slowdown. However, it still expects the overall market to grow 3% to 5% annually in the coming years, with larger vehicles continuing to drive a disproportionate share of the growth. GM is investing heavily to expand its portfolio of SUVs and luxury vehicles in China to capitalize on this opportunity. Changing government incentives represent a key risk for GM's drive to reach 5 million annual vehicle sales in China. The current purchase tax cut for smaller vehicles is set to expire at the end of 2016. This could lead to a rush of sales activity in the second half of 2016 followed by a deep slump next year. However, the China Passenger Car Association thinks that the Chinese government could actually increase its auto stimulus efforts next year to support growth. China certainly has enough slack in its budget to fund such a move. General Motors' sales growth in China could be somewhat volatile as government policy evolves in the coming years. Nevertheless, China represents an important long-term growth opportunity for the company. What: Shares of Cliffs Natural Resources (CLF -5.45%) are up 10.8% as of 3:15 p.m. EDT today after iron ore competitor Essar Steel filed for Chapter 11 bankruptcy protection for its Minnesota iron ore mine and Cliffs mentioned in a press release that it would be interested in acquiring the mine. It's also helping that Chinese demand for iron ore is on the rise. So what: Cliffs Natural's last acquisition was the $5 billion Consolidated Thompson disaster back in 2011. Since then, the company has been slowly unwinding those positions through divestments or writedowns. One of the reasons for the failure -- aside from the sky-high price at the absolute peak of the commodities market -- is that it didn't support Cliffs' core assets: its highly profitable iron ore mines in Minnesota and the Upper Peninsula of Michigan. Besides the news that Essar is filing for bankruptcy after Minnesota revoked its operating license for not completing the mine, it was also announced that Cliffs Natural CEO Lourenco Goncalves is interested in taking over the mine if the state grants the mining license. This comes after the company had signed a major supply contract recently that led to its restarting operations at one of its Upper Midwestern U.S. mines. Getting this mine up and running would be a way for it to grow its production where it knows how to operate effectively -- something it wasn't ready to do with its Consolidated Thompson buy. The other piece of news lifting Cliffs' stock is Chinese iron ore's more robust demand in recent months. Last month, export volumes at Australia's Port Hedland hit record levels. This is important to Cliffs because its Australian mining complex has been a thorn in the company's side for a couple of years now as sales margins have been razor-thin. Margins are improving a bit, and an increase in demand could help to bring prices up even further. Now what: There have been a lot of good signs from Cliffs lately. It has upped sales guidance and shed its most unprofitable assets that were dragging the rest of the company with it. The new anti-dumping tariffs on steel from several other imports should also help to give the domestic steel market the boost it's desperately needed over the last few years. Compared to the multiyear slide at Cliffs, things are certainly starting to look up again. To be clear, though, the company isn't out of the woods yet. An onerous debt load remains from the Consolidate Thompson blunder, and it will need to clean up the balance sheet to make it a better-prepared company when the industry cycle turns south once more. It has done enough that investors probably don't need to run for the hills, but there are still a few more steps before it's truly a buy again. Internet ads are hard to escape. But who's actually clicking on them? Far too many bots, according to two Democratic senators. Sens. Mark Warner of Virginia and Chuck Schumer of New York today penned a letter to Federal Trade Commission Chair Edith Ramirez asking that the agency provide them with details on what it's doing to crack down on ad-click fraud. "These programs allow hackers to seize control of multiple computers remotely, providing them access to personal information as well as the ability to remotely install malware to engage in advertising fraud, entirely unbeknownst to the computer's true owner," Schumer and Warner wrote. Internet advertising revenues in 2015 were estimated at $59.6 billion. But many of the purchased ads are not reaching their intended audience, the lawmakers argued. Instead, they are being intercepted by botnets. From basic bots that copy clicks to "humanoid" bots that mimic mouse movements with uncanny precision, these systems are often advanced enough to analyze consumer activity and target ads based on individual browsing preferences. "Bots plague the digital advertising space by creating fake consumer traffic, artificially driving up the cost of advertising in the same way human fraudsters can manipulate the price of a stock by creating artificial trading volume," the letter said. This market manipulation scheme already accounts for 30 percent of annual digital advertising revenue, and is expected to cost advertisers more than $7 billion in the next year. "The cost of pervasive fraud in the digital advertising space will ultimately be paid by the American consumer in the form of higher prices for goods and services," Warner and Schumer told Ramirez. Last month, the World Federation of Advertisers reported that by 2025, fake Internet traffic schemes will be second only to the cocaine and opiate markets as a form of organized crime. The senators asked the FTC to respond to six specific questions regarding ad-click fraud, including what the agency knows about the phenomenon and what it's doing to protect consumers. The FTC confirmed to PCMag that it received the letter, but declined to comment further. This article originally appeared on PCMag.com. Early in your career, growth is the most important characteristic for a stock. As you get closer to retirement, income becomes more of a factor in determining which investments you make. Dividend stocks can give you both growth and income, and you can even find some stocks that pay out 6% or more in dividend yields. Among the stocks that have 6% yields are Apple Hospitality REIT (NYSE: APLE), Cheniere Energy Partners (NYSEMKT: CQP), and Enterprise Products Partners (NYSE: EPD). Below, you'll learn more about these companies and how they can help provide the income you need. The REIT stuff for hotel investors Real estate investment trusts (REITs) can be a great way for investors to get exposure to real estate. REITs are required to pay out at least 90% of their income in order to obtain favorable pass-through tax status, and the resulting dividends make for extremely strong yields. Apple Hospitality currently pays out about 6.1%, and many have high hopes for the REIT's future prospects. Apple Hospitality focuses on select-service hotel properties, which offer simple accommodations without the amenities that full-service hotels provide, such as restaurants. Among its portfolio, you'll find well-known brands like Courtyard by Marriott, Hampton Inn, and Homewood Suites. With about 30,000 rooms in more than 200 properties across the nation, Apple Hospitality has been able to make smart partnerships with hotel-management companies. With a focus on mid-market properties, Apple Hospitality hopes to be able to weather cyclical downturns and still pay out lucrative income distributions to its REIT shareholders. Banking on gas Natural gas has been in a price slump in the U.S. for years, but overseas, the cleaner-burning fuel commands premium prices. That has motivated companies like Cheniere Energy Partners to look for ways to take advantage of arbitrage opportunities, and limited partnership parent Cheniere Energy (NYSEMKT: LNG) has focused on building terminals for exporting natural gas in liquefied form. In particular, Cheniere Energy Partners operates the Sabine Pass LNG export facility, as well as the Creole Trail pipeline that provides it with natural gas for export. Sabine Pass is mostly complete, with four of its five trains fully done and a fifth expected to be finished within the next year or two. Once LNG shipments began, Cheniere Energy Partners was able to start making distributions based on the contract revenue it brought in. The partnership hopes to see even stronger revenue as more capacity comes online, and that could boost distribution payments even higher in the foreseeable future than the current 6.2% yield it pays. An Enterprise-ing opportunity Finally, Enterprise Products Partners is a giant in the pipeline and infrastructure segment of the energy sector. The stock yields 6.3%, taking full advantage of the demand to transport energy products from production areas across the nation to established markets for refining. Enterprise didn't have the strongest 2017, with challenges like Hurricane Harvey in Texas and volatile oil prices having a negative impact on its product shipment volumes. Moreover, Enterprise disappointed dividend investors by deciding not to grow its distributions at as quick a pace as it had in the past. Nevertheless, by using capital to invest back into the business and find new opportunities for expansion, Enterprise Products Partners hopes eventually to produce even faster distribution growth that should satisfy long-term dividend investors for years to come. Be smart with high-yield dividend stocks There aren't that many stocks that yield more than 6%, but it's still essential to be picky about the ones you add to your portfolio. The energy sector is full of high-risk plays, but Enterprise and Cheniere have both done a good job of proving their stability in a tough market. Meanwhile, the real estate exposure that Apple Hospitality can provide could help you round out your income portfolio exposure in 2018. 10 stocks we like better than Enterprise Products PartnersWhen investing geniuses David and Tom Gardner have a stock tip, it can pay to listen. After all, the newsletter they have run for over a decade, Motley Fool Stock Advisor, has tripled the market.* David and Tom just revealed what they believe are the 10 best stocks for investors to buy right now... and Enterprise Products Partners wasn't one of them! That's right -- they think these 10 stocks are even better buys. Click here to learn about these picks! *Stock Advisor returns as of December 4, 2017 Dan Caplinger has no position in any of the stocks mentioned. The Motley Fool recommends Enterprise Products Partners and Marriott International. The Motley Fool has a disclosure policy. Big brands are important to successful businesses, and the worldwide awareness for both the Marlboro cigarette line sold internationally by Philip Morris International (NYSE: PM) and the namesake Coke brand from Coca-Cola (NYSE: KO) have created corporate empires and strong profits. Yet both companies have faced some criticism from consumer advocates because of potential health impacts, and both have had to take steps to look at ways of responding to that criticism and finding new paths to growth. But for those seeking to invest now, which one is the smarter pick? Below, we'll examine Philip Morris and Coca-Cola more closely to see which looks better on a variety of different key measures of investing success. Valuation and stock performance Between these two stocks, Philip Morris International is the only one that has gained ground over the past year. The tobacco giant has given shareholders about 17% in price appreciation and dividend payments, compared to a flat total return for Coca-Cola since this time last year. It would be reasonable to expect that with better stock performance, Philip Morris would have the higher valuation, leaving Coca-Cola to more closely resemble a value stock. However, that isn't the case, at least by simple conventional valuation methods. When you look at trailing earnings, Coca-Cola's current multiple exceeds 30, while Philip Morris has a somewhat cheaper valuation of 25 times trailing earnings. The disparity narrows when you look at forward earnings expectations, but Philip Morris retains a slight edge, with a forward multiple of 21 compared to Coca-Cola's valuation of 22 times forward earnings. Philip Morris has delivered more returns yet stayed less expensive, giving it an edge over Coca-Cola. Image source: Coca-Cola. Dividends Dividend investors often look to the consumer sector for good payouts, and Philip Morris and Coca-Cola are strong performers within the consumer space. Philip Morris has a slight edge over Coca-Cola in terms of dividend yield, with the cigarette giant's 3.75% yield outpacing the 3.4% figure from the beverage behemoth. Supporting those yields has been somewhat more difficult recently. Both companies have payout ratios that exceed 90%. Yet that hasn't stopped the companies from increasing their dividend payments to shareholders, albeit at a slower rate than investors have seen in the past. Coca-Cola boasts a 55-year streak of consecutive annual dividend increases. Philip Morris would rival that if you took into account the dividend history of its former parent company, but its limited history as an independent company holds it back by this measure. In terms of dividends, Philip Morris and Coca-Cola have very similar characteristics right now. Growth prospects and risks Both Philip Morris and Coca-Cola have hit some obstacles to growth and are working to figure out better ways to move forward. For Philip Morris, the answer appears to be reduced-risk products like its iQOS heated-tobacco system. In its most recent quarter, the tobacco giant saw cigarette shipment volumes plunge 11.5%, hurting net revenue. Philip Morris still managed to boost net income by 4%, but even big gains in iQOS sales weren't enough to keep the company's top line from contracting slightly. The question Philip Morris faces is whether reduced-risk efforts will be able to offset weakness in traditional cigarettes, and investors aren't counting on super-fast growth from Philip Morris overall in the near future. Coca-Cola has seen similar challenges. The company said after its first-quarter earnings report last month that it would cut 1,200 jobs, with broader efforts intended to cut costs more widely throughout the beverage company. New CEO James Quincey is taking steps to try to counter downward pressure from falling sales, which were down 11% from year-ago levels. With attempts to pursue opportunities in the water, milk, and alternative drink spaces, Coca-Cola is desperately trying to respond to consumer shifts away from sugary soft drinks. With rival drink makers having seen some success, it might be easier for Coca-Cola to follow suit than investors once thought. In the end, both of these stocks have pluses and minuses, and there isn't as clear-cut a winner between the two companies as we've seen in the past. Given the likelihood of major shifts at Coca-Cola with new management in place, investors who are optimistic about changing trends might prefer the beverage company's growth potential even with a more expensive valuation. 10 stocks we like better than Coca-ColaWhen investing geniuses David and Tom Gardner have a stock tip, it can pay to listen. After all, the newsletter they have run for over a decade, Motley Fool Stock Advisor, has tripled the market.* David and Tom just revealed what they believe are the 10 best stocks for investors to buy right now... and Coca-Cola wasn't one of them! That's right -- they think these 10 stocks are even better buys. Click here to learn about these picks! *Stock Advisor returns as of May 1, 2017 Dan Caplinger has no position in any stocks mentioned. The Motley Fool has no position in any of the stocks mentioned. The Motley Fool has a disclosure policy. Last year, Amazon attempted to bring Black Friday, or at least a day when people get equally excited about shopping, to July. Called Prime Day, the inaugural summer sale exclusively for Prime members was a mixed success for shoppers, but a financial home run for the company. Consumers were in some cases underwhelmed with the deal selection and lack of big-ticket, exciting items, but the bad buzz did nothing to stop people from buying. During the one-day event, Amazon sold more units than it did on its biggest Black Friday ever. The company sold 34.4 million items across Prime-eligible countries, with 398 items ordered per second, according to an Amazon press release. The company said it had its single biggest day ever for Prime membership trial signups, though the company gave no specific number. The results were so successful that Prime Vice President Greg Greeley acknowledged that the big sale would become a recurring promotion. "Going into this, we weren't sure whether Prime Day would be a one-time thing or if it would become an annual event. After yesterday's results, we'll definitely be doing this again," he said in July 2015. That day has come and the second annual Prime Day, which the company promised will be its "biggest Amazon event ever," takes place July 12. Amazon Prime Day takes place July 12, 2016. Image source: Amazon. How does Prime Day work? The first thing -- and it's the most important -- is that the sale is only open to Amazon Prime members. That does not mean you have to pay for the $99-a-year service (which can also be purchased on a month-to-month basis now). Eligible people can use a credit card to sign up for a 30-day trial of the service which converts to a paid membership after that period, but can be canceled before you have to pay. On Prime Day, members in the United States, United Kingdom,Spain, Japan, Italy, Germany, France, Canada, Belgium, and Austria, will find deals across nearly all departments and categories, according to an Amazon press release. Members in the U.S. can shop starting at midnight Pacific Time, with new deals as often as every five minutes throughout the day. Amazon has promised to have twice as many television sets on sale compared to Black Friday and Cyber Monday combined. In general, the company promises a better sale than last year, with Greeley quoted as saying: Some offers are available during the whole day while others are released at timed intervals. Why is this important for Amazon? Amazon has done the near-impossible and turned the doldrums of summer into a shopping holiday that only it celebrates. The company has created a massive shopping event where consumers can only get deals on its website or through its apps. In addition Prime Day produces more than just one day of sales; it also engages existing Prime members (which might entice them to stay members) and it drives new membership. That's very important for the company because Prime members spend more money on Amazon each year than non-members. Essentially Amazon has manufactured a faux holiday that pushes sales and sign-ups at a time when business would otherwise be slow. Consumers may feel a bit manipulated by that, but there are good deals to be had, and a lot of money to be saved (and, of course, a lot of dumb purchases to make if you're not careful). The article Everything You Need to Know About Amazon Prime Day (July 12) originally appeared on Fool.com. Daniel Kline has no position in any stocks mentioned. He buys something from Amazon almost every day. The Motley Fool owns shares of and recommends Amazon.com. Try any of our Foolish newsletter services free for 30 days. We Fools may not all hold the same opinions, but we all believe that considering a diverse range of insights makes us better investors. The Motley Fool has a disclosure policy. Copyright 1995 - 2016 The Motley Fool, LLC. All rights reserved. The Motley Fool has a disclosure policy. It's been 18 months since investment banker JPMorgan first told investors to sellConocoPhillipsstock. 18 months in which the stock has lost 31% of its value. But could it be that the time to buy is near at hand? The news Maybe. This morning, after 18 monthsof unrelenting pessimism (JP initially rated the stock an underweight in January 2015, and later made the effort to "reaffirm" this rating on ConocoPhillips stock in December), JPMorgan finally lifted its censure on the oil stock and removed its sell rating. According to a report onTheFly.comthis morning, JP upgraded ConocoPhillips stock from underweight to neutral, leaving its $44 price target in place. TheFly notes that the upgrade was made in connection to Conoco's "relative underperformance" among oil stocks. Here are three things you need to know about that. ConocoPhillips stock costs a lot less than its rivals, but percentagewise, its shares are actually rising faster this year.CVXdata byYCharts. 1. Underperformance is relative An investor might almost wish that JPMorgan had gone a step further, and upgraded ConocoPhillips stock to buy (at least for awhile) -- and done it sooner. Say, around about February 11, maybe? When the stock began a 34% run to its current share price of $42 and change? While JPMorgan says it's upgrading on "relative underperformance," in fact ConocoPhillips stock has outperformed rivalsChevronandExxonMobilduring that time frame. Since February 11, Chevron shares have risen 28% in value, while Exxon is up just 18%. So what exactly is this "underperformance" that JPMorgan is referring to? Probably, it's the year-long change in stock prices. The past year has seen both Chevron (up 11%) and Exxon (up 13%) move into positive territory, even as ConocoPhillips stock lags behind -- down 28% over the past 52 weeks. That was unpleasant to live through -- but as we've just seen, it helped to set up ConocoPhillips to outperform in 2016. 2. Outperformance is fleeting Chances are, Conoco's recent spurt of price strength caught JPMorgan's eye, which may explain why the analyst has become incrementally more positive on the stock. But is this newfound optimism justified? I'm not so sure it is. Consider: When JPMorgan originally rated Conoco underweight back in January 2015, the analyst cited such factors as "the oil market's oversupply" and worries about the stock's ability to maintain its dividend and manage its debt load, as reasons for favoring other oil names over Conoco. But has the situation really changed so much? Has it changed enough to justify JPMorgan's upgrade of ConocoPhillips stock? 3. The more ratings change, the more facts stay the same After making a quick run up to $50 and beyond, the price of oil appears to have bumped its head and fallen right back below $50 (it's actually trading south of $47 today). That doesn't suggest to me a market thirsty for oil, and willing to pay up for it. Rather, it suggests a market thatremainsoversupplied. If I'm right about that, it's not good news for Conoco's profits going forward. Conoco earned no profit at all last year, and its losses grew in the early months of 2016. According to data fromS&P Global Market Intelligence, Conoco is currently losing money at the rate of more than $6.1 billion annually, and burning cash at the rate of $2.6 billion per year. And S&P Global data suggests that the next five years could see Conoco's profits continue to shrink (or its losses to expand) -- perhaps by as much as 10% annually. Danger ahead That doesn't seem to me like a scenario likely to reward investors. Until Conoco starts generating cash and earning profits, it's hard to see how the company can maintain, much less grow its 4.5% dividend yield -- which is currently richer than the 3.2% payout at Exxon, or even the 4.1% divvy at Chevron. Given that both those two companies are currently profitable, while Conoco is not, you have to wonder if a dividend cut is in the cards at Conoco. Meanwhile, Conoco still carries a debt load of $24.3 billion net of cash -- nearly half the size of its own market capitalization. That, too, will become an increasingly large burden the longer it takes Conoco to return to profitability. JPMorgan was right to rate Conoco a sell 18 months ago. But it's wrong to relent on that rating today. The article Here's 1 Analyst's New View of ConocoPhillips Stock originally appeared on Fool.com. Fool contributorRich Smithdoes not own shares of, nor is he short, any company named above. You can find him onMotley Fool CAPS, publicly pontificating under the handleTMFDitty, where he's currently ranked No. 290 out of more than 75,000 rated members.The Motley Fool owns shares of ExxonMobil. The Motley Fool recommends Chevron. Try any of our Foolish newsletter services free for 30 days. We Fools may not all hold the same opinions, but we all believe that considering a diverse range of insights makes us better investors. The Motley Fool has a disclosure policy. Copyright 1995 - 2016 The Motley Fool, LLC. All rights reserved. The Motley Fool has a disclosure policy. Image source: Getty Images. What: Shares of Cliffs Natural Resources are up 10.8% as of 3:15 p.m. EDT today after iron ore competitor Essar Steel filed for Chapter 11 bankruptcy protection for its Minnesota iron ore mine and Cliffs mentioned in a press release that it would be interested in acquiring the mine. It's also helping that Chinese demand for iron ore is on the rise. So what:Cliffs Natural's last acquisition was the $5 billion Consolidated Thompson disaster back in 2011. Since then, the company has been slowly unwinding those positions through divestments or writedowns. One of the reasons for the failure -- aside from the sky-high price at the absolute peak of the commodities market -- is that it didn't support Cliffs' core assets: its highly profitable iron ore mines in Minnesota and the Upper Peninsula of Michigan. Besides the news that Essar is filing for bankruptcy after Minnesota revoked its operating license for not completing the mine, it was also announced that Cliffs Natural CEO Lourenco Goncalves is interested in taking over the mine if the state grants the mining license. This comes after the company had signed a major supply contract recently that led to its restarting operations at one of its Upper Midwestern U.S. mines. Getting this mine up and running would be a way for it to grow its production where it knows how to operate effectively -- something it wasn't ready to do with its Consolidated Thompson buy. The other piece of news lifting Cliffs' stock is Chinese iron ore's more robust demand in recent months. Last month, export volumes at Australia's Port Hedland hit record levels. This is important to Cliffs because its Australian mining complex has been a thorn in the company's side for a couple of years now as sales margins have been razor-thin. Margins are improving a bit, and an increase in demand could help to bring prices up even further. Now what: There have been a lot of good signs from Cliffs lately. It has upped sales guidance and shed its most unprofitable assets that were dragging the rest of the company with it. The new anti-dumping tariffs on steel from several other imports should also help to give the domestic steel market the boost it's desperately needed over the last few years. Compared to the multiyear slide at Cliffs, things are certainly starting to look up again. To be clear, though, the company isn't out of the woods yet. An onerous debt load remains from the Consolidate Thompson blunder, and it will need to clean up the balance sheet to make it a better-prepared company when the industry cycle turns south once more. It has done enough that investors probably don't need to run for the hills, but there are still a few more steps before it's truly a buy again. The article Shares of Cliffs Natural Resources Climb on Competitor's Bankruptcy, Chinese Iron Ore Demand originally appeared on Fool.com. Tyler Crowe owns shares of Cliffs Natural Resources.You can follow him at Fool.comor on Twitter@TylerCroweFool. The Motley Fool owns shares of Cliffs Natural Resources. Try any of our Foolish newsletter services free for 30 days. We Fools may not all hold the same opinions, but we all believe that considering a diverse range of insights makes us better investors. The Motley Fool has a disclosure policy. Copyright 1995 - 2016 The Motley Fool, LLC. All rights reserved. The Motley Fool has a disclosure policy. What happened Shares of Ballard Power Systems Inc. (NASDAQ: BLDP) jumped as much as 11.2% in trading Thursday after reporting third-quarter results. The company lost money, but surpassed revenue expectations by a wide margin. At 2:55 p.m. EDT, shares were still up 9.1% on the day. So what Revenue was up 54%, to $31.9 million, and easily surpassed the $28 million analysts expected. Adjusted net loss of $1.0 million, or $0.01 per share, was exactly in line with expectations. Management said $236.8 million of backlog, including $82.4 million over the next year, should allow the company to maintain growth through 2018. And with margins increasing along with sales, it's possible Ballard Power Systems will become profitable in 2018. Now what Ballard Power Systems is well positioned in the fuel-cell market, supplying a diverse set of customers around the world. The most attractive may be the heavy-duty fuel cell electric-vehicle market, which will serve either long haul, or trucks that need to be used for long hours of operation. These products are well positioned to win over electric-only technology and should provide growth long term. If you're looking for exposure to the fuel-cell market, this is a stock to buy, given its high growth and rapidly improving bottom line. 10 stocks we like better than Ballard Power SystemsWhen investing geniuses David and Tom Gardner have a stock tip, it can pay to listen. After all, the newsletter they have run for over a decade, Motley Fool Stock Advisor, has tripled the market.* David and Tom just revealed what they believe are the 10 best stocks for investors to buy right now... and Ballard Power Systems wasn't one of them! That's right -- they think these 10 stocks are even better buys. Click here to learn about these picks! *Stock Advisor returns as of October 9, 2017 Travis Hoium has no position in any of the stocks mentioned. The Motley Fool has no position in any of the stocks mentioned. The Motley Fool has a disclosure policy. Over the first six months of 2016, imported beers enjoyed stronger growth than craft brews, suggesting a change in consumer tastes. Image source: Getty. Imports may become the new king of beers. While the industry as a whole is on track to decline for the third time in four years, imported beer is showing the kind of strength that was once reserved for craft beers. While that segment's death has been greatly exaggerated, imported beer is now outselling craft over the first six months of 2016 and may be the new big beer trend. Constellation Brands recently reported its first quarter earnings that showed it enjoyed 15% growth in the period, driven almost wholly by its portfolio of Grupo Modelo beers, primarily Corona. Craft beer's watered down future According to the analysts at market research firm IRI, import beer grew 6.7% in the first two quarters of the year, surpassing the 6% increase achieved by craft brews. While that's down slightly from the 8.7% jump imports saw in the same period a year ago, it puts the category firmly ahead of craft beer, which had surged 17% in 2015. It's clear beer of all kinds are struggling to maintain their momentum against the rise of new trends and flavors, but the imported market may take up the slack caused by craft beers' decline. Constellation acquired the rights to distribute Modelo brands in the U.S. in 2013, when Anheuser-Busch InBev bought the Mexican brewer but faced challenges with antitrust concerns at the Justice Department. As a result, it offered to sell to Constellationfor $2.9 billion; theperpetual rights to Corona and other Grupo Modelo brands in the U.S., Modelo's Piedras Negras brewery in Mexico, and its 50% stake in the Crown Imports joint venture that Constellation didn't already own. In its earnings report, Constellation said net beer sales rose 19% for the quarter as the contribution from the Ballast Point Brewing craft beer it bought for $1 billion last year boosted the segment. But it also enjoyed 10% growth in organic net sales, on a constant currency basis, as each brand in its Mexican beer portfolio grew across most channels, and packages helped to lead volume gains among U.S. brewers. Beyond just the Corona beers, which were actually held back because of a recall that led to some shortages, Constellation says the new 24-ounce can of Grupo Modelo's Pacifico brand is the No. 1 new import this year. Additionally Modelo Especial and Modelo Especial Chelada (its Bloody Mary-style concoction of tomato, salt, and lime in a 3.5%beer) both enjoyed double-digit growth. Perhaps still the most interesting beer Heineken also reported 7% organic growth in the first quarter, which it said was positive across all regions.It has benefited in particular from its Dos Equis brand, which IRI notes saw the Dos Equis Lager Especial beer grow more than 10% in 2015 to $325.3 million. Between between 2007 and 2015, Heineken says Dos Equis case shipments jumped 35% and it is expecting one quarter of all its future growth to come from the brand. Of course, some brewers still try to play up the imported roots of their brews knowing the attraction they hold for beer drinkers, even though they're actually made in the U.S. Anheuser-Busch was famously sued for "tricking" U.S. consumers into believing its Beck's brand was an import when it is actually brewed in St. Louis; Diageo's Jamaican-style Red Stripe is also brewed here; as is the supposed Australian beer Foster's, which is made by MillerCoors, the joint venture of SABMiller and Molson Coors. Beer, generally, is facing headwinds from flavored spirits like Jack Daniel's Tennessee Honey, Fireball cinnamon whiskey, and everything including hard cider, hard soda, and most recently hard water. But the changing taste profile of drinkers seems to be hitting regular beer and craft beer harder than imports, and though imports may not see the same sort ofmeteoric rise craft beer enjoyed, it could mean there is still enough room for there to be heady growth on tap. The article Will Imports Be the New Craft Beer? originally appeared on Fool.com. Rich Duprey has no position in any stocks mentioned. The Motley Fool recommends Anheuser-Busch InBev NV and Diageo. Try any of our Foolish newsletter services free for 30 days. We Fools may not all hold the same opinions, but we all believe that considering a diverse range of insights makes us better investors. The Motley Fool has a disclosure policy. Copyright 1995 - 2016 The Motley Fool, LLC. All rights reserved. The Motley Fool has a disclosure policy. Tom Hiddleston is staying tight-lipped about his relationship with Taylor Swift. Hours before Hiddleswift hit the town for a romantic date night in Broadbeach, Queensland, on Australia's Gold Coast on Saturday, the Avengers star was asked about the blossoming relationship by an Australian journalist, and the handsome star made it clear he wasn't interesting in opening up. WATCH: Taylor Swift and Tom Hiddleston Walk Hand-in-Hand During Romantic Date Night in Australia Reporter Hayley Webb caught up with the 35-year-old actor as he left his hotel for a jog, and asked, "How is Taylor enjoying the Gold Coast?" After chuckling to himself, Hiddleston politely tried to shut the line of questing down, telling Webb, "I'm not going to answer that, if that's alright." Later, Webb approached the subject again, this time leaving out Swift's name, when she asked, "What are you and your girlfriend looking forward to doing on the Gold Coast?" "I don't know," Hiddleston answered, sounding somewhat exasperated. "It's just good to be back." Tom Hiddleston being interviewed in the Gold Coast and was asked about Taylor Swift. His answers: "I don't know". pic.twitter.com/l3vBPXv9Hf Pop Crave (@PopCrave) July 10, 2016 WATCH: Taylor Swift Sits on Tom Hiddleston's Lap in Cutest PDA Pic Yet Even with dozens of paparazzi swarming the hotel looking to get a shot of the famous couple, Hiddleston made time to speak with his young fans and sign autographs. The cute couple were all smiles when they touched down at the Gold Coast airport in Coolangatta, Queensland on Friday, and they couldn't have looked happier as they walked hand-in-hand to catch a bite at an Italian restaurant on Saturday. The devastating neurodegenerative condition Alzheimer's disease is incurable, but with early detection, patients can seek treatments to slow the disease's progression, before some major symptoms appear. Now, by applying artificial intelligence algorithms to MRI brain scans, researchers have developed a way to automatically distinguish between patients with Alzheimer's and two early forms of dementia that can be precursors to the memory-robbing disease. The researchers, from the VU University Medical Center in Amsterdam, suggest the approach could eventually allow automated screening and assisted diagnosis of various forms of dementia, particularly in centers that lack experienced neuroradiologists. Additionally, the results, published online July 6 in the journal Radiology, show that the new system was able to classify the form of dementia that patients were suffering from, using previously unseen scans, with up to 90 percent accuracy. [10 Things You Didn't Know About the Brain] "The potential is the possibility of screening with these techniques so people at risk can be intercepted before the disease becomes apparent," said Alle Meije Wink, a senior investigator in the center's radiology and nuclear medicine department. "I think very few patients at the moment will trust an outcome predicted by a machine," Wink told Live Science. "What I envisage is a doctor getting a new scan, and as it is loaded, software would be able to say with a certain amount of confidence [that] this is going to be an Alzheimer's patient or [someone with] another form of dementia." Detection methods Similar machine-learning techniques have already been used to detect Alzheimer's disease; in those implementations, the techniques were used on structural MRI scans of the brain that can show tissue loss associated with the disease. But scientists have long known that the brain undergoes functional changes before these structural changes kick in, Wink said. Positron emission tomography (PET) imaging has been a popular method for tracking functional changes, but it is invasive and expensive, he added. Instead, Wink and his colleagues used an MRI technique called arterial spin labeling (ASL), which measures perfusion the process of blood being absorbed into a tissue across the brain. The method is still experimental, but it is noninvasive and applicable on modern MRI scanners. Previous studies have shown that people with Alzheimer's typically display decreased perfusion (or hypoperfusion) in brain tissue, which results in insufficient supply of oxygen and nutrients to the brain. Training the system Using so-called perfusion maps from patients at the medical center, Wink's team trained its system to distinguish among patients who had Alzheimer's, mild cognitive impairment (MCI) and subjective cognitive decline (SCD). The brain scans of half of the 260 participants were used to train the system, and the other half were then used to test if the system could distinguish among different conditions when looking at previously unseen MRI scans. The researchers discovered that their approach could distinguish between Alzheimer's and SCD with 90 percent accuracy, and between Alzheimer's and MCI with 82 percent accuracy. However, the system was unexpectedly poor at distinguishing between MCI and SCD, achieving an accuracy of only 60 percent, the researchers found. [10 Ways to Keep Your Mind Sharp] Tantalizingly, preliminary results suggest the approach may be able to distinguish between cases of MCI that progress to Alzheimer's and those that don't, the researchers said. In the study, there were only 24 MCI cases with follow-up data to indicate whether each patient's condition progressed to Alzheimer's, with 12 in each category. Therefore, splitting them into two groups one to train the system and another to test its ability to classify the condition in unseen scans was not feasible, the researchers said. In a preliminary analysis the system was trained on all 24 cases leading to training accuracies of around 80 percent when classifying these groups and separating them from the other main groups. But without a separate prediction group, it was impossible to test the system on unseen scans, the researchers said. Combined with the small sample size in the study, Wink said, it is too early to draw any firm conclusions, though the preliminary results are encouraging. Real-world applications Ender Konukoglu, an assistant professor of biomedical image computing at ETH-Zurich, a science and engineering university in Switzerland, said combining machine learning and ASL is novel and could have significant clinical applications, but more needs to be done to validate the approach. The most valuable application is the ability to distinguish between MCI cases that progress to Alzheimers and those that dont, but the sample size in this study is too small to assess the reliability for such use, he said. "Larger cohorts might show that ASL imaging combined with machine learning is able to classify the MCI groups, but until then, it is difficult to talk about the clinical applicability of the methods presented here, Konukoglu told Live Science. Wink agreed that one way to improve accuracy would be to use bigger data sets. But the approach his group is working on is creating machine-learning techniques that can use a broad variety of data from different imaging devices, he said. Christian Salvatore, a researcher at the Institute of Molecular Bioimaging and Physiology of the Italian National Research Council, said the research is innovative but doesn't introduce any new techniques. It is simply an application of a well-known machine-learning toolbox for neuroimaging analysis to ASL, he said. But the classification performances are good, Salvatore said, and the approach also helps identify brain regions of interest to doctors when diagnosing these conditions. This is something many researchers using machine learning for neuroimage analysis neglect, he said. "Clinicians want to 'see' results they don't trust a black box that only returns the predicted label for a patient," he told Live Science. "So, maps of the most important voxels [3D pixels] for classification are quite necessary." Original article on Live Science. Editor's Recommendations Copyright 2016 LiveScience, a Purch company. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. El Salvador confirmed on Friday a second case of a baby born with microcephaly linked to the Zika virus, the mosquito borne disease that can cause the serious birth defect and other severe fetal brain defects. Elmer Mendoza, an epidemiologist at the Health Ministry's Research Unit, said a newborn girl in the state of Ahuachapan, 64 miles (103 km) west of the capital, had tested positive for microcephaly, but the baby was stable. He was speaking on the sidelines of an El Salvador Health Ministry event. "She is well ... We know that it is moderate microcephaly," Mendoza said. "Zika came out positive." According to officials in the poor Central American country, there are 308 pregnant women suspected of having been infected with Zika, of which 118 gave birth to babies without a birth defect. Authorities have said the number of cases might increase. Health authorities reported 6,848 suspected cases of infection nationwide. U.S. health officials have concluded that Zika infections in pregnant women can cause microcephaly, which is marked by small head size that can lead to severe developmental problems in babies. A single "super-spreader" patient in a busy hospital emergency department spread Middle East Respiratory Syndrome (MERS) to 82 people in just three days during a big outbreak of the virus in South Korea, scientists say. Those infected included patients, visitors and health workers, and the situation was made worse by overcrowding, according to researchers whose findings were published in The Lancet medical journal on Friday. The study shows the potential for outbreaks of MERS from a single spreader, the researchers said, and should serve as a warning that as long as the virus is circulating in the Middle East, governments and healthcare providers should be prepared. MERS first emerged in humans in 2012 and has been spreading in Saudi Arabia and neighboring countries since then. It is caused by a coronavirus from the same family as SARS (Severe Acute Respiratory Syndrome). The virus, in which patients develop acute respiratory illness with fever, coughing and breathing problems, has spread to 27 countries and killed up to 40 percent of those infected. "Emergency preparedness and vigilance in hospitals, laboratories and government agencies are crucial to the prevention of further large outbreaks not only of (MERS) infections, but also other emerging infectious diseases," said Doo Ryeon Chung and Yae-Jean Kim, professors in the infectious diseases department at Seoul's Samsung Medical Center. Their study analyzed in detail an outbreak of MERS in South Korea between May and July 2015, when 186 cases were confirmed within two months. The "index patient", or Patient 1, was a 68-year-old man who had been to Bahrain, the United Arab Emirates, Saudi Arabia and Qatar from April 18 to May 3 before returning to South Korea. He first visited the Samsung Medical Center, a large hospital with an emergency room that sees more than 200 patients a day, on May 17. He was isolated the following day with suspected MERS and finally diagnosed with MERS on May 20. But before arriving at the Center, Patient 1 had already transmitted the virus to several people in other hospitals, including a 35-year-old man - Patient 14 - with whom he had shared a ward. Patient 14 was then admitted to the Samsung Medical Center with no knowledge of possible exposure to MERS on May 27 - and it was this patient who led to the outbreak at Samsung. In a retrospective investigation, including a review of closed-circuit video and medical records, the researchers estimated 1,576 people were exposed to Patient 14 in the emergency room. Of these, 33 patients, eight staff and 41 visitors were infected from May 27 to 29. After every horrible event, the aftermath turns polarizing before the crime scene chalk hits the gravel. The only way to unite us, perhaps, would be a meteor strike. But then again, to rework an old observation, CNN might instantly ponder, Did the meteor target minorities more so than whites? Or Dr. Youseff, could that asteroid have been Islamophobic because a mosque was also hit? I wrote about this inability to engage after Orlando, and I will likely write about it again. Clearly the situation is not improving. Heres why: Facts are losing to feelings. Feelings feel better than facts. Facts are hard; feelings are soft. Thinking requires fuel, effort and time. Feelings spontaneously combust, no effort required. You can unleash them right away. Feelings lead people to make mistakes, especially early on, when theyre most enticing. Take Texas lieutenant governor, Dan Patrick, who called protesters in Dallas hypocrites for marching against police and then running from bullets. Hes wrong. Its not hypocritical to protest and run from bullets. The first happens to be a guaranteed right, the second an instinct. Feelings without facts contribute to the dominoes of doom by perpetuating a media narrative (an epidemic of rogue white racist cops killing blacks), which helps to validate rage (and action) against the evil blue machine. But I get his point, and with a little thoughtfulness it could have been restated thusly: Did you see who ran away? None were cops. Cops ran toward the bullets. Feelings without facts also allow you to extrapolate one incident into a phenomenon that may or may not exist. Even President Obama agrees: "I think the danger is that we somehow suggest that the act of a troubled individual speaks to some larger political statement across the country. It doesn't. Of course he was referring to the shooter, urging us not to use him as a symbol of all anti-police sentiment. Hes right. But equally, would he be willing to please repeat that point and replace a few words? Example: I think the danger is that we somehow suggest that the act of [one or two officers] speaks to some larger political statement across the country. It doesnt. Sadly, just days before Dallas, he said the opposite drawing a sweeping conclusion from two separate shootings involving police. How is it possible for him to know everything about those two cases so quickly? My gut tells me: He was riding on feelings, not facts. Facts are great! They take you to places that feelings dont. If you start looking at the situations that bring citizens and cops into precarious situations, its sometimes over absurd laws no one has any business enforcing. No one becomes a police officer with the lofty goal of wrestling a 300-pound man over selling a loose cigarette. But thats what an officer ends up doing when selling loosies is against the law. If that law had not existed, Eric Garner might be alive right now. Thats a fact. The struggle began over a cigarette. Heres another fact: you can buy single beers, single airplane bottles of scotch. I can buy a single Ben & Jerrys ice cream bar, which is also harmful to your health over the long term but I am not required to buy a carton of 20 (although there are times I would). The flow of information helps indulge an evil act and the turmoil that follows which might influence the likelihood of another act. Take one isolated shooting and watch how the media inflate it with layers of assorted content. If it fits their assumptions, they focus all their efforts: They scrutinize, pontificate, find emotional bystanders (which is inescapable and often necessary) and chase the energy of protest as it spreads across our nations streets. There is hope in the air that there may be even more drama. And there is, usually. As the coverage expands to a size that at times outweighs the events larger impact, it may nudge an already teetering deranged man toward unleashing a spectacular act of mayhem. A man who may be angry, bitter, seeking some infamy, simply sees his chance. And when he takes his shot, the media must rush to that, as well. (Its their job, after all.) And once again, hours and days are devoted to scrutiny, pontification, emotional interviews, protests and howls of rage. And that repeats the process, even more intense than before. I call this the dominos of doom, which you find in mass shootings as well as other events. If press coverage of a suicide can dramatically increase odds of more suicides (and also homicides), then youd expect that to happen with acts of terror against citizens and police. But what can you do? You must report but it must be done, in the context, again, of facts. You can report an awful incident without placing it against a backdrop of previous seemingly similar incidents which then creates the appearance of a massive, unfolding national crisis. Thats the pattern observed in other areas: an epidemic of pit bull attacks, an epidemic of bullying. Meanwhile, veteran suicides get lost in that shuffle. Feelings without facts contribute to the dominos of doom by perpetuating a media narrative (an epidemic of rogue white racist cops killing blacks), which helps to validate rage (and action) against the evil blue machine. Remember that, before Dallas, there was plenty of footage of protesters getting up close and personal with the police at events, taunting them with their own aggressive posturing. We all saw footage of activists, through their incendiary behavior, begging the stoic police just to do something for the cameras, to validate the media narrative. These activists knew what the media wanted, and they also knew that if they could incite such behavior, they could make it onto every channel. They used tactics of instigation, hoping to portray the police as brutal and racist. Its harder than ever to unbend minds. You have a better chance of discovering unicorns in Atlantis than coming to a mutual understanding over anything. Heres why: If you closely identify yourself to a political opinion, any attempt to prove your position is flawed will be felt as a personal blow to your self-esteem. If you tell someone you think Trump is unfit to run for president, and they happen to love Trump, you just told them they are stupid. Likewise, if you tell someone who dislikes Trump that Trump is the only choice for president, that someone might hear Youre telling me Im stupid, too. Its perceived as rude to correct people on their fallacies in public (although its perfectly fine to express such fallacies openly, if they fit certain assumptions). So you let things slide and those things become regular beliefs. Research is harder than opinion, yet both are often treated identically. So why go through all that extra effort? Would saying Cops shooting unarmed blacks just doesnt happen that often get a more dismissive response than Data show that police killed nearly twice as many whites as blacks in 2015, and black officers are more likely to shoot blacks than white officers? In all likelihood the emotional response from many will be identical: Yeah, but racism Why is this the case? Its simple. I am about to tell you the absolute cause of this divisive climate. Its about a fear we all share: If you admit you are wrong on any one issue, could it be that all your beliefs and opinions are up for review? Could you be wrong on everything? Or a few things? Yes, of course you could! But so what? If you may be wrong, isnt it good to know? Becoming a better person relies a lot on the desire to be proven wrong. When your opinion turns out to be flawed, it drives you to do more homework which either sharpens or invalidates a point. And the flaw in your argument wont reflect on your personal character, because adjusting your beliefs or strengthening them through research shows you to be an honest, thoughtful individual. Be wary of anyone who claims hes never wrong. But, I could be wrong. (Im not). Black Lives Matter protesters laid siege to a number of cities over the weekend including my hometown: Memphis, Tennessee. They shut down the Interstate 40 bridge over the Mississippi River -- stranding thousands of motorists for hours -- in sweltering heat. Click here to join Todds American Dispatch: a must-read for conservatives! Blocking a roadway is a crime under Tennessee law. Yet Memphis police officers were told to stand down and allow the agitators to block the Hernando-Desoto Bridge. They used to call that kind of behavior aiding and abetting. Not a single person was arrested. Police say it was a peaceful protest. But photographs taken from the bridge showed a very different situation. In one instance, young men climbed atop a tractor-trailer- raising their fists in defiance. I wonder if the driver of that tractor-trailer thought it was a peaceful demonstration? Television station WMC reported that protesters even blocked a car trying to escort a child to St. Jude Children's Research Hospital. Apparently that child's life did not matter to the protesters. The car was eventually allowed to pass -- but only after police intervened. Click here to get Todds best-selling book an in-depth primer on how to restore traditional American values! We have no idea how many emergency responses were hampered by the gridlock created by the BLM crowd. We have no idea how many people missed family events or missed work because they were trapped on the interstate. In St. Paul, Minnesota, at least 21 police officers were injured during a "full-scale riot" on Interstate 94, according to the Star-Tribune. Violent thugs hurled rocks, concrete and rebar at officers as they protested the killing of Philando Castile. One of those officers suffered a broken vertebra after someone dropped a concrete block on his head. Could someone explain to me how fracturing a police officer's spine and preventing a child from getting to the hospital advances the Black Lives Matter agenda? The police-involved shootings in Baton Rouge and Minnesota were terrible tragedies. If investigators determine the officers broke they law -- they should and must be brought to justice. But both shootings are still under investigation -- so to be honest -- no one knows for certain what happened. Yet, the mainstream media, the Obama administration and the professional race agitators have once again rushed to judgment -- just like they did in Ferguson, Missouri. They never let a crisis go to waste, do they? It feels like our nation has been sucker-punched. You can see it on people's faces. Sorrow. Frustration. Anger. Helplessness. I understand that frustration -- but it does not give us a license to disobey the law. Peaceful protesting is one thing. Domestic terrorism is another. Instead of turning a blind eye, the Memphis Police Department should've sealed off both ends of the bridge and arrested every single person not in a vehicle. But that's not what happened. The rule of law matters -- without it -- we've got anarchy. Every week, Fox News contributor Karl Rove wraps up the last week in politics and offers an inside look at the week ahead. Events, dear boy, events: When asked what he feared most as his nations leader more than half a century ago, British Prime Minister Harold MacMillan reputedly replied, Events, dear boy, events. Last week, Americas leaders and presidential candidates had to deal with important events. Two black men one in a Baton Rouge, La., convenience store parking lot and another in a car in a suburb of St. Paul, Minn. were shot dead by police officers. Then a black racist responded by ambushing 12 white police officers in Dallas, killing five. Events have intruded into the presidential campaign, and these issues cop shootings and violence against police will remain front and center for the foreseeable future. With more attacks on police over the weekend in Missouri, Tennessee, Georgia and Texas and additional protests against police shootings across the country, many Americans are deeply concerned that the country is coming apart. This sentiment will not go away easily. How Did They Do? Both candidates did well, especially Donald Trump, whose statement was posted on Facebook and picked up by the networks and cables. He strongly defended the police, while calling the shootings of Alton Sterling and Philando Castile senseless and saying racial divisions have gotten worse. Though Hillary Clinton appeared at a conference of black religious leaders to urge national guidelines for use of force by police, both candidates canceled campaign rallies on Friday, with Clinton postponing one with Vice President Joe Biden. As Judiciary Committee chairman, Biden steered through the 1994 crime bill that some black activists condemn as having caused too many young black men to be incarcerated. People are not looking for a politician or office-seeker in these terrible events. They are searching for their next president, a person who will help heal the country. These issues will remain part of Americas public dialogue, and both candidates have strengths in dealing with them. Trump is viewed as a strong leader and comes across as a true supporter of law enforcement. Clinton has adopted a more unifying tone in her campaign and, driven by a desire to maximize black turnout, strives to be more emphatic with the African-American community. Ironically, the candidate who deals with these issues in a more apolitical way projecting strength and unity, finding a positive voice to call the country together, defending the vital role of the police and the rule of law while demonstrating a concern with justice for those wrongly killed is the candidate who will most likely benefit. People are not looking for a politician or office-seeker in these terrible events. They are searching for their next president, a person who will help heal the country. Guilty, but unindicted: Last week started with FBI Director James Comey finding Clinton guilty of setting up an unauthorized private email server, being extremely reckless in handling classified material and making false statements to the American people about why she did it, whether she sent or received classified material and if she handed over all her official emails. Then he refused to indict. This issue is not going away. How did they handle the email controversy? Clinton and her surrogates said the fact that she escaped indictment meant this issue is now old news. But shes been found guilty in the court of public opinion as dishonest and untrustworthy; everything she said about her private server turned out to be a lie. And the chance meeting between Bill Clinton and Attorney General Loretta Lynch and the report in the New York Times that Clinton might keep Lynch as A.G. added to the perception that theres one set of rules for the Clintons and one for everyone else. Surprisingly, in his first rally after Comeys announcement, Trump spent most of his Cincinnati speech on Wednesday defending his campaigns use of a Star of David in a weekend tweet. Trumps failure to dwell on Clintons email scandal meant the headlines were all about the Star of David, not the case against Hillary. Hes got plenty of time to return to the issue, but Trump missed a big opportunity. Simply screaming Crooked Hillary at rallies wont make this controversy the important part of the decisions of swing voters it should be. Leftward, Ho! Hillary continued her long march to the democratic socialist fringe of the Democratic Party last week, seeking to appease Bernie Sanders followers by endorsing free college for anyone whose family income is less than $125,000 (as long as the kid goes to a public university). She then came out for the government option in health care, meaning a single-payer socialist system like in most of Europe, allowing people 55 or older to enroll in Medicare (whose hospital trust fund is already going bankrupt in 2030) and other platform planks backed by the Sandernistas. Her allies and President Obamas people rebuffed the Berniacs when they proposed a plank that explicitly opposed a vote on Obamas Trans-Pacific Trade Partnership in the lame duck congressional session after the election. Coming Attractions: Sanders will endorse Clinton this week, but will that mean the end to further challenges to the Democratic Partys rules or any additional platform fights? I doubt it. The Republican rules and platform committees meet this week in Cleveland. Are there likely to be fights? Maybe some scrambling about the rules, but with little consequence for this years convention. Watch the resolutions committee meetings, however. There could be significant struggles about the platform, some of it driven by principled disagreements, some of it jockeying for the future. Veep: This is the week Trump must decide on his running mate and maybe announce him or her, though he could hold the news until the convention. The running mate has little effect on the elections outcome (unless the pick is seen as a big mistake), but the choice and the decision-making process contribute to the impression voters develop about the nominees leadership abilities. Most insiders had Newt Gingrich at the front of Trumps list until recent days, when former Defense Intelligence Agency Director Gen. Michael Flynn emerged as a serious contender. Like Trump, Flynn is a political novice and outsider. Another possibility is Indiana Gov. Mike Pence, a former House Republican leader who is now a Midwest governor with a reputation as a conservative and outsider. It will be interesting to see what Trump decides insider or outsider? Congressional experience or none? Elected officeholder or military general? At various times, hes appeared to lean every which way. Donald Trump is winning the independent vote. Yes, you heard that right. Most polls now show that independents are breaking for Donald Trump. Thus, if he can get close to the same amount of Republican support as Hillary Clinton enjoys from Democrats, hes got a real shot to be the 45th president of the United States. Usually a vice presidential pick goes to someone who can help their party's nominee with the key swing states on the electoral map or perhaps, help with a particular demographic group. In Trumps case he is very competitive in most key swing states and again, he is winning with independent voters. What Trump needs is a VP running mate who can bring the GOP base home and increase his vote with the rank and file Republican electorate. Trump has also shared with us the key qualities he is looking for in a running mate. Former Republican presidential candidate Dr. Ben Carson, who now advises the Trump campaign, says the blue collar billionaire wants a running mate who understands terrorism and the economy, and who is also capable of getting things done on Capitol Hill. Combine all of this with the recent Department of Justice (DOJ) decision to not prosecute Hillary Clinton and we have a good idea of the specific qualities that a GOP VP nominee should possess in order to maximize Trumps chances for victory in the fall. Now, let's take a deeper look at all of this: 1. Donald Trump needs a VP running mate who can increase his percentage of support from Republican voters. In Trumps case, he doesnt need a VP running mate who can deliver a big state. He needs a running mate who can bring the Republican base home and increase his voter share in all swing states and throughout the country. 2. Trump needs a VP running mate who can prosecute the case against Hillary Clinton to the American people, a task which the government failed to do. Here, Trump needs a seasoned professional and articulate speaker who has command of the facts and a historical understanding of past similar cases. 3. The GOP VP nominee must have a thorough understanding of national security and foreign policy. Keeping America safe is the foremost responsibility of a commander-in-chief. A vice president who is experienced on national security and foreign policy will complement Trumps instincts to do whatever it takes to protect the American people. 4. The running mate should be a skilled debater who doesnt require a learn-up curve to get up to speed on critical issues, such as the economy. 5. As Trump himself has said, his VP pick has got to be someone who can get things done in Washington and work with Congress to make things happen. In short, he has got to be someone with the ability to make a dysfunctional government work. Now lets take a look at how each potential VP pick on Trump's list can help him win the presidency. (I should note that Senators Bob Corker and Joni Ernst have pretty much taken themselves out of consideration and Senator Tom Cotton says hes not being vetted.) The following are generally considered to be on Trumps short list: Chris Christie. The New Jersey Governor is probably more like Trump than any of the other potential VP options. His no nonsense style resonates with the silent majority who are fed up with Washington. As a former U.S. attorney, he would be very effective in prosecuting the case against Hillary Clinton to the American people. Jeff Sessions: The Alabama Senator has a strong command of national security issues, stemming from his years of service as a member of the Senate Armed Services Committee. No one in the Senate has a better understanding of how to deal with illegal immigration and secure U.S. borders, issues which resonate with the Republican base and helped to propel Trump to the nomination, than Sen. Sessions. As a former U.S. attorney and Alabama State Attorney General, he would also be effective in prosecuting the case against Hillary Clinton. General Mike Flynn: The retired Army Lieutenant General and former Director of the Defense Intelligence Agency (DIA) has a real command of national security and what it would take to defeat the global jihadist network. While he may be a dark horse VP candidate, Trump genuinely likes the retired general who has offered his strategic national security advice. Interestingly, Gen. Flynn has a keen understanding of data analytics and technology from his days at DIA. At the very least, Trump should turn Gen. Flynn lose on the RNC to make sure that the GOP has the very best get out the vote (GOTV) technology platform and data analytics available to ensure a maximum turnout of the GOP faithful. Mike Pence: The Indiana governor plays well in the Rust Belt, is a former member of the U.S. House of Representatives where he served as chairman of the House Republican Conference, and knows how to get things done in Congress. An evangelical Christian, he resonates with traditional conservatives and evangelicals who are crucial to a GOP victory in the fall. Newt Gingrich: There is a reason why those attending the recent Trump rally in Ohio erupted into cheers of Newt, Newt and the former Speaker of the House won the non-scientific Drudge Report VP poll. The grassroots and party activists love him. The man who engineered the Contract with America and helped produce Americas first balanced budget since man first walked on the moon, checks many boxes for Trump, the most important for victory being, he drives up the GOP base vote for the ticket. Many traditional Republicans who are not fans of Trumps either got their start through Gingrich or see him as a political mentor. Ohio Governor John Kasich was selected by Gingrich to be the House Budget Committee Chairman during the 1990s and former New York Governor George Pataki, like so many Republicans and conservative activists, started listening to Newt Gingrich GOPAC tapes in the early 1980s. An articulate debater, there would be no learn-up curve for the historian and the Trump campaign could learn much from him. With radical Islam now on American soil and a $19 trillion debt, 2016 is shaping up to be the most important presidential election of our lifetime. It will be close and there is little margin for error. Getting the right running mate this time may be the most important selection a Republican nominee has made in modern history. For once, calmer voices in the media seem to be prevailing. The angry and polarizing voices are still there, to be sure. But they are not as overpowering. In the wake of the awful fatal police shootings in Louisiana and Minnesota and the cold-blooded massacre of police officers in Dallas, a realization is dawning on many in the news business. That it is possible to be for the police and against police brutality. That it is possible to grieve just as strongly for innocent black men killed in traffic stops and in front of markets as for brave law-enforcement officers who risk their lives to protect our communities. The incentives in the journalism world, especially television, is to choose sides. To fight for the cops and denounce black activists or to fight for the black community and denounce police racism. That creates conflict, which drives clicks and ratings. Its like politics: Democrat vs. Republican, liberal vs. conservative. Except black vs. white is deeply unhealthy for our country. The New York Post may declare that we are in a CIVIL WAR. But some of us are trying to be more civil. The press, after Ferguson, has gotten a little better at not jumping to conclusions about guilt and innocence. Heres my theory: What happened in Dallas in fairly clear-cut. It wasn't a case of protestors getting out of control and rampaging against police and looting stores, so we're not having ideological debates about that. These were executions carried out by, at least in the case of the dead suspect, an angry black man who wanted to murder white police officers. Everyone can agree that this is heinous and reprehensible. It's not Ferguson or Baltimore. The protests were actually peaceful. The same goes for the police shootings of Alton Sterling in Baton Rouge and Philando Castile in suburban St. Paul. While we don't know all the facts and the videos don't tell us everything, it appears that two black men were killed who should still be alive. One was selling CDs outside a market and the other was involved in a routine traffic stop. Would they be dead if they were white? Matt Lewis, a conservative columnist for the Daily Caller, just wrote what he called A Confession: In the era of Facebook Live and smart phones, its hard to come to any conclusion other than the fact that police brutality toward African-Americans is a pervasive problem that has been going on for generations. Seriously, absent video proof, how many innocent African-Americans have been beaten or killed over the last hundred years by the policewith little or no media coverage or scrutiny? Lewis says he was raised to reflexively believe the police, but that decent Americans cannot turn a blind eye to police abuse; they just didnt really believe the it was happening. Or maybe they didnt want to believe. And heres Newt Gingrich, telling CNN: It took me a long time, and a number of people talking to me through the years to get a sense of this," Gingrich, a top option for Donald Trump's running mate, told CNN. "If you are a normal white American, the truth is you don't understand being black in America. But liberal commentators also need to look beyond their base, to express sympathy for the daily risks faced by police, to call out fry-em-like-bacon hate speech in elements of the black community. Here is how Foxs Juan Williams put it: Lets have an honest conversation about the combustible mix of race and police in this country. Talk now, because silence is assent to every cop-hater, every race-baiter. And that would include black racists as well. America is not engaged in a civil war, but the country is in pain, and most assuredly on edge. It would be nice if this time the media played a positive role. Virginia Gov. Terry McAuliffe reportedly included on-the-run sex offenders on his list of felons worthy of having their political rights restored, such as the right to vote and sit on a jury. The Richmond Times-Dispatch reported Saturday that two fugitive sex offenders with outstanding arrest warrants and active probation violation charges had their rights restored by the Democratic governor on April 22. The governor says his order ends a lifetime disenfranchisement policy that puts Virginia at odds with many other states where felons rights -- such as the right to vote, serve on a jury, hold public office and notarize documents -- are restored automatically after completing sentences. A spokesman for McAuliffe told the Times-Dispatch that fugitive data from the Virginia Department of Correction was not accounted for during the preparation of the felon restoration list, and the order was only supposed to apply to ex-offenders. It was just basically an oversight, spokesman Brian Coy told the paper. It just didnt factor into the initial pass. One of the fugitives reportedly was convicted in 2004 for aggravated sexual battery of a minor, as well as five convictions for failing to register as a violent sex offender. The second has a 2000 conviction in Kentucky for rape of a minor and violated his probation in late 2012 after he pleaded guilty to grand larceny, the Times-Dispatch reported. The McAuliffe spokesman said the administration may explore syncing systems so that people barred from voting by new felony convictions will not show up as approved in the database. He also noted that no government list will be free from errors. Click for more from The Richmond Times-Dispatch Indiana Republicans are anticipating that Donald Trump will pick GOP Gov. Mike Pence as his running mate and purportedly have plans in place if Pence drops his re-election bid to become the vice presidential nominee, Indiana Republican sources tell FoxNews.com The Trump campaign has suggested the VP announcement will be made at the national convention starting July 18 or in the coming days. However, Trump, the presumptive Republican presidential nominee, will perhaps have to decide on Pence by noon Friday, the deadline for the first-term governor to appear on the state November ballot as either a gubernatorial or vice presidential candidate. The Indiana Republican Committee is apparently behind state Lt. Gov. Eric Holcomb, Pences gubernatorial running-mate, to become the nominee if Trump selects Pence, said the sources, who are familiar with the situation. The speculation around Trump perhaps having already decided on Pence is largely based on the two expected to appear together Tuesday at a rally in Westfield, Indiana, followed by a private fundraiser in Indianapolis. Everyone here is acting as if it is happening and Pence is the pick, Indiana Republican strategist Pete Seat told FoxNews.com on Saturday. The speculation now, of course, is all about Tuesday. With the fundraiser here on Tuesday evening, one would think announcing it beforehand would bring in major dough. But then, 2016 has been one surprise after another. A GOP state committee member who asked for anonymity because he is not authorized to speak publicly on the issue said: "Every indication that has been given to members of the committee is that Pence getting picked is a very real possibility. Pence officials on Saturday again acknowledged last weekends meeting with Trump but referred additional questions about a VP selection to the Trump campaign. To be sure, Pence is not the only potential candidate being vetted by Trump, who in recent weeks has floated several names, held tryouts on the campaign trail and met privately with others, including Pence. Among those potentially on Trumps short list, in addition to Pence, are New Jersey GOP Gov. Chris Christie, Alabama GOP Sen. Jeff Sessions and former Republican House Speaker Newt Gingrich. And in the past few days, there have been published reports about retired Lt. Gen. Michael Flynn being considered. Tennessee GOP Sen. Bob Corker appeared at a rally last week with Trump but has since withdrawn his name. Iowa Republican Sen. Joni Ernst also met privately with Trump but has since suggested her focus is on helping her state through her work in Congress. Pence, who endorsed Texas GOP Sen. Ted Cruz for president, has tried to downplay the VP speculation by saying hes focused on his re-election bid, but Democrats aren't buying it. They point out that Pence previously had presidential ambitions of his own, though he ruled out a run last year after his approval rating slumped as a result of his handling of the state's religious objections law. Gov. Pence is wanting to move on, said Pence's November opponent, former Democratic state House Speaker John Gregg. He's created a mess, and he's not wanting to clean it up." Polls show Pence and Gregg essentially tied. Andrew Downs, director of the Mike Downs Center for Indiana Politics, said picking Pence makes sense considering hes a social conservative who would balance Trump and who has fundraising prowess and 12 years of experience in Congress. However, he also pointed out that Pence could get hammered in a White House race. His legislative record was not that strong, Downs said. His time as governor has not been smooth and is easy to criticize, in spite of a number of successes. Though Holcomb appears to be a favorite among the state GOP establishment, the field of potential replacements if Pence is selected include such well-respected lawmakers as Evansville Mayor Lloyd Winnecke, state House Speaker Brian Bosma and Reps. Susan Brooks and Todd Rokita -- all Republicans. The smart choice is the man who served one of the most beloved governors in the state's history somebody who could lead Indiana Republicans to historic gains across the state. That man is Eric Holcomb, said GOP strategist Rob Burgess.. Seat said the state GOP party is blessed and cursed with such a deep bench of talent, meaning we find ourselves picking from a group of individuals that many states would fight over.. However, he thinks that Holcomb, appointed to the lieutenant governor position a few months ago, has a leg up and acknowledges his deep relationships within the 22-member state committee, considering he served as state chairman alongside many of the members. The Associated Press contributed to this report. Bernie Sanders is set to join Hillary Clinton on the campaign trail Tuesday, apparently ready to end the hold-out and formally endorse the presumptive Democratic presidential nominee after having reshaped chunks of the party platform in his image. The Vermont senators campaign declared victory over the weekend in helping write the most progressive platform in party history, after the Platform Committee adopted a slew of changes on climate change, the minimum wage and more. This comes after Clinton herself rolled out health care and college proposals that align more closely with Sanders positions. He didnt get everything he wanted: Most notably, the Democratic National Convention's Platform Committee voted down amendments to explicitly oppose the Trans-Pacific Partnership trade deal. But, in sum, Sanders appears to have succeeded in pulling the party and potentially its standard-bearer -- to the left on key issues, as he moves to help Clinton unify after a fractious primary. At the same time, the liberal stances could help Donald Trump draw even more of a contrast with his presumptive presidential rival as the candidates look toward November. We have made enormous strides, Sanders said in a statement Sunday. Thanks to the millions of people across the country who got involved in the political process many for the first time we now have the most progressive platform in the history of the Democratic Party. Sanders announced that he will join Clinton Tuesday at a high school in Portsmouth, N.H. more than a month after the final primary. Sanders has not yet said he will endorse Clinton, but told reporters on Saturday that the two campaigns were coming together and to stay tuned. All along, Sanders had stayed in the race to pursue revisions in the party platform and other changes. As the policy meeting concluded after midnight on Sunday morning, aides to Clinton and Sanders both hailed the newly amended platform draft as the "most progressive" in party history. The draft includes many of Sanders' priorities, including a call for a $15 federal minimum wage over time, steps to break up large Wall Street banks and support to end the death penalty. On the issue of global warming, it includes support for pricing greenhouse gases, prioritizing renewable energy and limiting fracking. "I think if you read the platform right now, you will understand that the political revolution is alive and kicking," said Sanders policy adviser Warren Gunnels. Meanwhile, Clinton over the weekend rolled out an updated health care plan that, among other things, backed giving Americans the choice of a public-option insurance plan a move hailed by Sanders. "We have more work to do to finish our long fight to provide universal, quality, affordable health care to everyone in America," Clinton said in a statement. She also called earlier for ensuring that families with annual incomes up to $125,000 would pay no tuition at in-state public colleges and universities not quite the free college tuition Sanders supports, but a move his campaign touted as a step in the right direction. Clintons policy plans earned her scorn from the right, however. Jeremy Adler, communications director with the conservative AR Squared, decried what he described as the socialist takeover of the party. Desperate to win over the Warren-Sanders crew that deeply distrusts her, Secretary Clinton is willing to adopt even the most extreme left-wing views as her own. There is quite literally nothing she wont do or say to fit in todays modern Democratic socialist party, he said in a statement. The Associated Press contributed to this report. In the city of "Cheers," two young entrepreneurs are fighting to bring back happy hour. Former Boston-area students Sam Davidson and Brian Sachetta have launched a petition to overturn Massachusetts' longstanding ban on happy-hour discounts -- and have gathered over 8,500 signatures so far. The Boston Herald first reported on the effort. The petition, titled Bring Happy Hour Back To Boston, features 11 reasons why happy hour should be reinstated, arguing that after its prohibition in 1984, the hours after work stopped being happy and became just meh. Broadly speaking, the two are trying to boost the Boston nightlife and make the city more inviting for young people. But they're also trying to boost the social media app they created -- an app fittingly called Cheers. Its main function is to match up groups of friends with other groups going out in Boston at a common meeting place. Then, a reservation and round of complimentary drinks theoretically will be waiting at that location. According to Sachetta, the return of happy hour could expand opportunities for app users -- as it would allow them to offer new and earlier reservation times, in a more optimal environment. Right now, he told FoxNews.com, "Not enough people go to the bar during the normal happy hour window." Users are more likely to enjoy themselves when they are in a friendly environment, which, from my experience, can be more readily found during happy hour than during the prime-time late-night hours," he said. While Cheers users might benefit from happy hour, the states current ban is based on concerns about residents who will not. Massachusetts was the first state to ban happy hour in 1984 after a 20-year-old woman was killed by falling off her friends car and being dragged behind it for 50 feet after winning pitchers of free beer during happy hour. Seven other states -- including Vermont, Rhode Island, North Carolina and Utah -- still prohibit happy hour completely. Kansas and Illinois have recently lifted their bans. It's unclear whether any state or city leaders are getting behind the push and taking the petition seriously. Davidson told FoxNews.com he would "love to get" support from Boston Mayor Marty Walsh. Massachusetts Alcoholic Beverage Control Commission told The Herald on Sunday there is currently no statewide plan to reinstate happy hour. Republicans launched into a debate Monday over the principles and rules that will guide next week's national convention and beyond -- a harbinger of whether the Cleveland event will go smoothly or devolve into party infighting. The groundwork for the convention is being laid in meetings of the Platform Committee that kicked off Monday in Cleveland, to be followed by the Rules Committee. And one potential flashpoint already has emerged on the issue of international trade, something that has separated the GOP establishment and presumptive presidential nominee Donald Trump. Trump, since the start of his campaign, repeatedly has argued the Trans-Pacific Partnership recently brokered by President Obama and similar global trade deals are hurting American businesses. However, members of the so-called GOP Platform Committee, meeting to finalize the party's guiding principles, told Fox News that references to TPP in draft platform documents were removed Monday. Asked if the issue would re-emerge, Platform Committee Chairman Sen. John Barrasso, R-Wyo., told Fox Business Network, "Trade is going to be a component of it." But he predicted Trump would ultimately embrace the platform. "If you talk to Donald Trump and he will tell you, he is for trade, but he wants to make sure they are good trade deals," he said. Trumps hardline stance on trade has given Washington Republicans a headache, considering their long-held beliefs in free trade and open markets, though -- as Barrasso noted -- Trump has tempered his views by saying hes opposed only to bad trade deals. The revelation about TPP references being removed came just minutes after Barrasso said the Trump campaign has essentially been hands-off on drafting the platform -- except for wanting language that allows him, if elected, to reject any trade deal he thinks would hurt the U.S. economy. The 112-member committee divided Monday into small groups to focus on such issues as immigration, heath care and the economy. They will regroup later this week. The convention itself begins July 18. The biggest fireworks, though, could come later this week when the GOP Rules Committee meets to finalize the rulebook for convention delegates. This matters as it could become the last stand for Republicans trying to thwart Trump's nomination. Most of the delegates awarded to Trump from state primaries and caucuses essentially must vote for him in Cleveland, according to 2012 convention rules. Under those rules, Trump has more than enough to seal the nomination. However, if the committee overhauls the rules and gives delegates flexibility, the stop-Trump movement could have a last-ditch shot at pushing an alternative candidate. Still, few expect they can succeed at this point in upending the results of the primary elections. Another issue that has divided Trump and Washington Republicans -- and that could become an issue in the platform debate -- is immigration. Trumps vow, if elected, to build a wall along the southern U.S. border and deport millions of illegal Mexican immigrants has upset party leaders trying to appeal to Hispanics, whose votes are crucial toward winning the White House and congressional seats. Meanwhile, Trump doesnt appear eager to insert himself into a potential intra-party battle over same-sex marriage. Social conservatives seem to want states to decide on the issue, compared with the partys previous position of trying to get a constitutional amendment to define marriage as only between a man and a woman. Republican National Committee Chairman Reince Priebus and other party leaders say they have sought input for the platform from roughly 500,000 members over the past three years. And in June, the party launched a website to get additional input. While Democrats are letting party insiders write their platform behind closed doors, Platform.gop is proof of our Partys philosophy of listening to the voice of the people and honoring the democratic process, Priebus said. Fox Business Network's Blake Burman and Fox News' Peter Doocy contributed to this report. Solar Impulse 2 took off from Seville, Spain early Monday on the penultimate leg of its epic round-the-world journey, a two-day trek across the Mediterranean to Egypt. Piloted by Andre Borschberg, the solar-powered plane left Seville Airport at 12:20 a.m. EDT. Solar Impulse 2 is expected to land at Cairo International Airport, at around 3 a.m. EDT Wednesday after a flight of approximately 2,200 miles. Borschberg spoke to FoxNews.com from the cockpit of Solar Impulse 2 about 8 hours into the flight when the plane was flying over the Mediterranean north of Algeria. Its not at all the same challenges that we went through flying over the ocean, said the pilot, who is taking turns with Solar Impulse Chairman Bertrand Piccard flying the single-seater around the world. While Solar Impulse 2s historic flights across the Pacific and Atlantic were carefully planned around weather conditions, the biggest challenge for the Mediterranean leg is Europes busy summertime air traffic. We have to work through corridors we have to go around areas where the [air] traffic is intense, he told FoxNews.com. Solar Impulse 2, for example, has to avoid the many vacation-season flights over Spains Balearic Islands. The two-day, two-night flight to Cairo takes Solar Impulse 2 through the airspaces of Spain, Algeria, Tunisia, Malta, Greece, and Egypt. Shortly after leaving Seville, Solar Impulse 2 flew over the Gemasolar Thermasolar plant in Southern Spain, which is partly owned by mission partner Masdar. The memory of flying over [the solar power plant] was very strong, said Borschberg. What they do is what we do with this airplane produce energy from renewables. A larger version of a single-seat prototype that first flew six years ago, Solar Impulse 2 is made of carbon fiber and has 17,248 solar cells built into the wing that supply the plane with renewable energy, via four motors. The solar cells recharge four lithium polymer batteries, which provide power for night flying. Piloted by Piccard, Solar Impulse 2 became the first solar-powered plane to cross the Atlantic last month after flight of 71 hours and 8 minutes. The flight from Seville to Cairo is the 16th leg of the global journey, which began when the plane took off from Abu Dhabi in March 2015. Borschberg broke a number of records on the eighth leg of Solar Impulses 2 journey, landing in Hawaii on July 3 2015 after an incredible 4,480-mile, 118-hour flight from Japan. The journey shattered the record for longest solar-powered flight in terms of distance and duration. Borschberg also broke the record for longest non-stop solo flight without refueling, which previously stood at 76 hours and 45 minutes. The strongest experience was flying over the Pacific Ocean, he told FoxNews.com. That was the first time that we had the airplane fly for such a duration the intensity of emotion was extremely strong. However, shortly after takeoff the plane experienced technical difficulties and the Solar Impulse team had to decide whether to continue the risky flight across a vast expanse of ocean or turn back to Japan. You face moments where decisions are made based on sound analysis, but also, a bit of intuition, said Borschberg. These are incredible moments and that is one that I will remember all my life. The plane has travelled more than 22,743 miles since setting off on the first leg of the trip from Abu Dhabi to Oman, and has racked up over 460 hours of flight time. Prior to its flight from Seville to Cairo, Solar Impulse 2 made stops in India, Myanmar, China, Japan, Hawaii, California, Phoenix, Tulsa, Dayton, Lehigh Valley, and New York. Piccard will fly the final leg of the odyssey from Cairo to Abu Dhabi. Follow James Rogers on Twitter @jamesjrogers The Associated Press contributed to this report. Two police officers for a Texas community college were injured in the deadly attack on police on Thursday, college officials said. El Centro College said in a statement posted on its website Sunday night that Cpl. Bryan Shaw and Officer John Abbott were hurt in Thursday's attack. Shaw was struck by a bullet as he guarded an entrance to the college, the statement said. Shaw was treated on scene and returned to protect other officers and civilians. Abbott was also guarding the entrance. He sustained injuries to both legs from flying glass after it was struck by bullets, the statement said. Abbott tended to his wounds at the scene and then returned to assist others. Both men were resting at home. Dallas police previously said five officers were killed and seven officers and two civilians were hurt in the attack. Its number of wounded did not include any El Centro College officers. Its fairly challenging to create a fictional holiday and make it stick. Retailers have done this with Black Friday and Amazon is attempting to do the same in the ecommerce space. The massive online retailer has officially announced its second annual Amazon Prime Day. While last years event had a lot of participation, there was widespread and verbal discontentment over the deals, structure, and products available. Amazon promises this year will be bigger and better. What is Prime Day 2016? For those who are unfamiliar with Prime Day, Amazon is calling it the biggest Amazon event ever, with over 100,000 deals exclusively for Prime members worldwide on July 12. This one-day global shopping event will feature new deals throughout the day -- starting as often as every five minutes -- and will include an array of surprise products and flash sales. Related: 5 Things Digital Marketers Can Learn from Amazon Prime's 35 Percent Growth As noted, Prime day is for Amazon Prime members only -- so youll either have to become a member or start a free trial in order to be eligible. Other than that, all of the deals are first come, first served. Revisiting 2015 -- profits and disappointment. Whether you feel Amazons first ever Prime Day a success depends on who you are. From Amazons perspective, it was a smashing achievement. Amazon claims daily sales numbers were up more than 80 percent at noon eastern time in the United States and up roughly 40 percent across most of Europe. They also claim that members ordered 398 items per second, which exceeded Black Friday records at the time. However, customers immediately went to message boards, forums, and social media to voice their disappointments. Ninety-one percent of Kindles -- one of the biggest discounted items of the day -- were gone by noon eastern time. Approximately 40 percent of all lightning deals were gone by 2 p.m. Furthermore, the lightning deals themselves werent reported to be all that great. The 2016 goal -- bigger and better. Last year was clearly a financial success, but it wasnt exactly a major PR success. Amazons claim that Prime Day 2015 would have better deals than Black Friday proved to be an exaggeration at best. So, whats the goal for 2016? Two words: bigger and better. Related: What Prime Day Means for Amazon and Other Ecommerce Brands I believe Amazon can do better, and I want to believe that Amazon wants to do better, tech reporter Lauren Hockenson wrote shortly after Prime Day 2015 concluded. Well, in the days leading up to Prime Day 2016, were seeing a lot of positive signs that Amazon is in fact going to do better. Here are some things consumers can expect out of this years event: 1. Better advertising. Amazon is being much more selective this year with the products theyll promote on Prime Day so that they can work on providing a better customer experience this time around, says marketing expert Jeff Coleman. However, despite Amazons best efforts, there are going to be plenty of stock outs on promoted items. Better advertising efforts and more selective marketing will prevent some of the disappointment that was present last year. You can also bet that Amazon has some backup plans ready to go, should unforeseen stock outs occur. Overall, itll be a much smoother process for buyers and sellers alike. 2. Prime Day notifications. As Hockenson suggested last summer, Amazon will be implementing a notification system for Prime Day deals. Notifications can be turned on via the mobile app so that consumers know when items available to buy. This is clearly a very important tool and will allow people to track products and purchases without having to constantly refresh pages or stay on the site. The process of turning notifications on and off is pretty simple. Just hit the notifications tab in the app menu and enable Your Watched & Waitlisted Deals. When you see a product you want -- either currently or upcoming -- tap Watch this Deal. Once the deal is available, youll get a notification that your deal is ready. 3. Prime Day contests. This years Prime Day will be exponentially more engaging, thanks to a handful of contests that allow members to win money and experiences. The goal of these contests is to increase the familiarity with Prime Photos and Prime Music. Related: Amazon Prime Day Highlighted by Disappointment Users who upload a photo to Amazon Prime Photos will get a chance to win a $5,000 grand prize. Those who use Amazon Prime Music to listen to 12 playlists can win a chance to meet 12 artists and go to a concert. The 12 artists are Norah Jones, Carrie Underwood, Blink-182, The Piano Guys, Casting Crowns, The Lumineers, Cole Swindell, Flo Rida, The Head and The Heart, Mana, The Strumbellas and Pentatonix. 4. More everything. It appears that the unofficial mantra of this years Prime Day is more everything. Members can search for deals across all devices and there will be twice the number of small businesses participating. Specifically, the TV inventory will be massive. There will be nearly two-times more TVs available than Black Friday and Cyber Monday combined. There will also be much more to watch. Members will be able to watch some of todays top TV series and movies via Amazon Video. They will be available for both rent and purchase. 5. Better search and sort features. Members have spoken and Amazon has listened. They report there will be a newly enhanced deal shopping feature that allows customers to sift through categories much faster and more intuitively. And for those who own the Amazon Echo, there will be special, Alexa-only, deals throughout the day. Walmart responds to Amazon. As we approach July 12, look for other major ecommerce players to begin offering deals and discounts, too. Walmart -- Amazons biggest online competitor has already announced that it will be running a parallel sale. Specifically, the company will offer a free 30-day trial of their ShippingPass membership. Walmart will also offer special deals, starting July 1 that will last for 90 days -- an obvious stab at Amazons 24-hour only deals. Importance of Prime Day 2016 for Amazon. The importance of this years Prime Day cannot be overstated for Amazon. While last year was a massive corporate success in terms of revenue, the perception surrounding this years event is notably quieter, thanks to disappointment from 2015. If Prime Day is ever going to rival Black Friday and become the smashing success that Amazon intends for it to be, it must thrive this year. Thankfully for customers, it appears that Amazon is doing everything it possibly can to hit a homerun. At least 48 people were arrested in Louisiana Sunday after an unplanned peaceful protest against last weeks police killing of a 37-year-old Alton Sterling ended up with some tense moments. According to WAFB-TV, a street in downtown Baton Rouge was closed after a group held an unlawful protest following a peace march at the state capitol. Police said they believe the second protest was executed by an out-of-state group. "Protesters were attempting to get onto and block the interstate," Baton Rouge police Cpl. Don Coppola told the station. "We were able to stop them before they were able to achieve that." The secondary protest started at around 6 p.m. and lasted until about 8:30 p.m. As they were trying to get onto the highway, police blocked the ramps to Interstate 110 north and south. The protesters were told to leave the area. The protest leaders urged demonstrators to remain peaceful, but as the march wore on some protesters started to throw rocks toward officers. Police said no one was injured. Coppola blamed some violence and the large number of arrests which could top over 150 for the weekend on outside agitators. One officer lost teeth to a projectile thrown outside police headquarters, and police also confiscated three rifles, three shotguns and two pistols during that protest, he wrote in an email to the Associated Press. Most of those detained faced a simple charged of obstructing a highway, sheriffs spokeswoman Casey Rayborn Hicks said. Earlier, some police officers were seen in riot gear and officials said they were prepared to use a chemical agent on protesters if needed. They began to move the crowd out of the area at around 7:30 p.m. Gov. John Bel Edwards said he's "very proud" of the Louisiana law enforcement response to protests over the fatal shooting of a black man by white police officers in the city. Flanked by law enforcement leaders, Edwards said he doesn't believe officers have been overly aggressive by using riot gear to push protesters off a highway. "The police tactics in response have been very moderate. I'm very proud of that," said the Democratic governor, who comes from a family of sheriffs. Tensions between black citizens and police have risen palpably over the past week or so amid police shootings of African-American men in Minnesota and Louisiana and the gunning down of five white police officers by a black suspect in Dallas in apparent retaliation. The tumult reached well beyond Louisiana. In Minnesota, authorities said 21 law enforcement officers were hurt and about 100 people were arrested late Saturday and early Sunday during clashes in the state capital over the police killing of Philando Castile. There was very little violence by comparison in Baton Rouge. "I can assure everyone we are hearing the protesters," the governor said. "We are listening to their voices. But I'm especially gratified that our citizens here in Louisiana, to a very large degree, have decided to protest in a constructive and peaceful manner." Edwards said hundreds have marched around the city, with only one injury to an officer and mostly misdemeanor arrests. Protesting peacefully is the best way to honor those killed, he said, adding that authorities won't allow people "to incite hate and violence." "I want to be clear that will not be tolerated. We don't operate like that in Louisiana," he said. The list of those arrested released by the sheriff's office included two homeless people, and 18 are from out of state, including prominent Black Lives Matter activist Deray Mckesson. The vast majority of the Louisiana residents were from the Baton Rouge and New Orleans areas. The Associated Press contributed to this report. Click for more from WAFB-TV. The co-founder of a black militia whose events the Dallas police shooter had attended wrote in a since-deleted Facebook post that killer Micah Johnson shall be celebrated one day, The Dallas Morning News reported. Soon after Thursday nights attack in downtown Dallas that killed five police officers and wounded nine others, the co-founder of the Huey P. Newton Gun Club, Yafeuh Balogun, tweeted: I have no remorse for the Dallas Police Officers shot downtown, its about time.. at the protest etc. The gun club was named after the radical founder of the Black Panther Party and was formed to institute armed patrols of communities in Dallas, The Dallas Morning News reported. Babu Omowale, another co-founder of the group, told Reuters he recognized Johnson from gun club events and the shooter wasnt a stranger to us. Theres many people that this particular individual represents, Balogun told Reuters. He, in a sense, spoke on their behalf and unfortunately it made a lot of officers lose their lives. The murdered police officers were protecting demonstrators protesting the police killings of two black men in Louisiana and Minnesota last week. A robot-delivered bomb ultimately killed Johnson after a standoff. A top elected official in Dallas said Thursday's attack looked like a "crime of opportunity." Dallas County Judge Clay Jenkins said authorities believed Johnson had been practicing and training for a long time, learned of the protest and knew there would be a lot of police to protect protesters. Jenkins said Johnson had material for explosives in his home and talked of using IEDs during the police standoff. He said that indicated the killer could have done more damage with more time but used the protest in Dallas to strike in a more limited, albeit deadly, fashion. Dallas police chief David Brown said Johnson scrawled letters in his own blood on the walls of the parking garage where officers cornered and later killed him. Brown said the 25-year-old Army veteran wrote the letters "RB," and that investigators were looking through things found in his suburban Dallas home to try to figure out what he may have meant by that. He also told CNN that during the roughly two-hour standoff in the garage, Johnson lied to and taunted the police negotiators. The Associated Press contributed to this report. Friends and supporters raised tens of thousands of dollars online for a Colorado firefighter and his wife, a nurse, who chose to return to work after a fast-moving fire burned their home to the ground. Charlie Schmidtmann has been a firefighter with the Nederland Fire Department for 17 years. His wife, Bretlyn, is a volunteer paramedic at the fire department and an emergency room nurse at Boulder Community Hospital. They are known in the community as the people who always help everyone else. They have lived in their home in Nederland for five years, but now it is a pile of ashes. Theres not much left. Its foundation and burning ashes, Bretlyn Schmidtmann said. Charlie Schmidtmann was one of the first three firefighters on scene when the Cold Springs Fire began Saturday afternoon. Initially, it looked like a half-acre fire, he said. It didnt look very big at first and we kind of kept walking until we tried to get to the edge of the fire and we never got there. As of 8 p.m. Sunday, the fire has consumed 536 acres with zero percent containment. According to the Boulder County Sheriffs Office, it started when three campers at an ad hoc campsite on private land failed to put out their campfire properly. The fire started one mile away from the Schmidtmanns' home. Bretlyn Schmidtmann was at a class when the home was engulfed. She learned the news on a phone call. The very first thing she blurted out was You lost your home. And I was like, what? she said. All the couple has left are their cars, the clothes they were wearing, a donkey, a horse and one of their dogs. They lost everything, but somehow are still able to give the one thing they have left. When I first realized our house was gone, I was with my chief and he was like, We gotta go now to move on to other houses and I was like kind of quickly grieving and then time to move on, Charlie Schmidtmann said. In what most people would consider to be one of the darkest moments of their lives, like a true hero, Charlie Schmidtmann found a silver lining. It was nice to be able to help other people not lose their homes, he said. Their friends have started a GoFundMe page to help them get back on their feet after the fire. I really feel kind of uncomfortable with the focus being on us when theres a whole community that is struggling right now, Bretlyn Schmidtmann said. Click for more from Fox 31. Engaged employees who become natural brand ambassadors are essential for hiring a successful workforce. When employees are engaged, theyre more committed to their employer and more likely to tell their friends about whats going on at the company, according to a March survey by Sprout Social of 1,000 people. Related: Employee Engagement Is More Important Than the Customer Employers can better engage and encourage employees to advocate on their behalf. Here are some ideas: 1. Keep employees informed. Nearly three in four employees want their company to keep them updated about whats happening with the brand, according to the aforementioned study. Employees who are kept informed will be more likely to share exciting news with others. Its important to establish a consistent way to keep employees informed about company and industry news. For example, develop a company newsletter to be emailed out monthly, or launch a blog for employees to follow, which publishes the most recent news as it happens. Another option is to implement an internal social platform like Yammer or Slack to allow employees to keep one other up to date. 2. Encourage employees to get social. Workers who use social media are a powerful recruitment tool. In fact, 44.5 percent of people say they are more likely to apply for a job if they have seen a friend post about the position on social media rather than through other means, according to the Sprout Social study. The study also found that 77.3 percent of people surveyed said they didn't feel encouraged to share company news on social media -- and that's a problem: Clearly, there is a disconnect in motivating employees to get social. One way to encourage social advocacy is to collect employee stories and promote them on the companys social platforms. This can be achieved using an engagement and social recruitment tool like WeVue, which facilitates the collection of these stories. Related: Poor Employee Engagement Is the Biggest Retail Fail of 2015 3. Recognize employees. Recognition makes 86 percent of employees prouder and happier at work, according to a November 2015 study by Globoforce of 828 employees. When employees feel appreciated, they are more likely to refer friends to their employer. Its essential to recognize employees frequently, and in a variety of ways. Simply asking employees to be advocates can be a great way to show them they are important. Identify employees who go above and beyond and interview them for the company website or social media. Employees will feel valued because they were chosen to be featured, and the website will gain first-hand insights to highlight company culture. Employees who feel valuable will be proud to work for your company, and then be more likely to refer other people to work for you. 4. Improve relationships. In addition to recognizing employees for their work, it is also essential to value them as people. When employees think their employer cares about their health and well-being, theyre more likely to recommend their workplace to others. Build stronger relationships with employees by spending more time with them one-on-one. Learn about their personal interests and goals, and help them identify new ways to succeed in their work. Taking the time to get to know employees will boost morale, and therefore increase engagement. 5. Reward employees for their advocacy. Once employees start to refer others to the company, a great way to maintain momentum is to reward advocates. Rewards can be financial, like bonuses, but they dont have to be. Related: For True Employee Engagement, Follow These 6 Steps Often its more impactful to award experiences to winners of referral contests, such as extra vacation days, an exciting trip or an outing or lunch with an executive. Google, for example, switched from offering cash rewards to experiences. The company found the program was 28 percent more fun, 28 percent more memorable and 15 percent more thoughtful than their previous cash reward program, according to a July 2015 article on LinkedIn. Three Wisconsin boys who vanished late Sunday and prompted a massive overnight search of bat-infested, abandoned mine tunnels have been found safe, officials said. Tate Rose and Zachary Heron, both 16, and Samuel Lein, who is 15, spent a harrowing night down in the old iron ore mine, but were none the worse for wear after they were discovered Monday afternoon, according to local reports. "All three teens were found safe and uninjured, inside the mine," Dodge County Sheriff Dale Schmidt told Fox 11. "They have been released to [their] parents." The boys were reported missing by their parents around 9:45 p.m. Sunday, touching off an all night search involving nearly 100 firefighters, first responders and canine units from surrounding communities, Schmidt said. Details about the rescue were not immediately available, but Fox 11 reported the area in which they vanished includes the Iron Ridge Mine, in the Neda Iron District. It is near the town of Hubbard, about 50 miles northwest of Milwaukee. The abandoned iron mine is not open to the public. Schmidt said the iron ore mines in the area date back more than a century, and their lure of adventure may have pulled in the boys. "It's very heavily wooded," Schmidt told the radio station. "There are a number of mines from the mid-1800s." The Neda Mine is owned by the University of Wisconsin-Milwaukee because of its large bat population. UWM says an estimated 100,000 bats live in the mine, which is among the Midwest's largest winter shelters for hibernating bats. The Navy revised the medal count of American Sniper Chris Kyle, removing two valor awards from the murdered ex-SEALs total, including one of his two Silver Stars. Kyle, who became well-known after his memoir American Sniper was turned into a critically acclaimed film, originally wrote that he earned two Silver Stars, a statement consistent with a Navy separation form also called a DD214 from 2009, The Washington Post reported. But last month the Navy updated the form with the new medal count, removing one Silver Star and two Bronze Stars, an alteration first noted by USA Today on Friday. After thoroughly reviewing all available records, the Navy determined an error was made in the issuance of Chief Petty Officer Chris Kyles form DD214, Navy spokesman Ensign Marc Rockwellpate said in a statement. Specifically, the DD214 did not accurately reflect the decorations and awards to which Kyle was officially entitled. After notifying his family of the error, the Navy issued a corrected copy of the DD214, which accurately reflects Kyles years of honorable and extraordinary Navy service. Kyle was also not given credit for a Navy expert rifle medal on the original form, USA Today reported. Its not uncommon for a DD214 to be revised. A Navy spokesman told The Navy Times more than 3,800 forms were corrected in 2015 alone. The prolific sniper served 10 years in the Navy before leaving the service in 2009. He was murdered, along with a friend, in 2013 by a man that Kyle was trying to help cope with post-traumatic stress disorder. That man was sentenced to life in prison without the possibility of parole. Even after a militant anti-cop shooter stopped firing a barrage of shots at Dallas police officers in the streets on Thursday, Police Chief David Brown was forced to confront a virtual war on his force and other officers nationwide, as hate-filled posters took aim at cops online. Brown said Monday that he was the subject of death threats almost as soon as Micah Johnsons deadly rampage which killed five officers and wounded nine others had ended. The threat against Brown was posted by a private Facebook account to the Dallas police Facebook page, and Brown said he was taking the menacing social media message seriously. Dallas police have so far been unable to identify the source of the threat. There is a heightened sense of awareness over threats weve seen all around the country, Brown said at a news conference. Were all on edge, we are, and were being very careful. The concern Brown is facing, even days after Johnsons assault ended with the killer being blown up by a police robot, is mirrored by other officers and other police departments around the country. Detroit Police Chief James Craig said Sunday that four men in that city were arrested for making Facebook threats against white police officers. One of the men is accused of posting All lives cant matter until black lives matter. Kill all white cops, The Detroit News reported. Craig said while his department must consider the free speech rights of Internet posters, direct threats to law enforcement officers wont be tolerated. Social media is new territory, and while its been established that hate speech is protected by the First Amendment, were talking about people specifically saying on Facebook they want to kill white police officers, Craig said. While the rhetoric of protesters marching in anti-cop demonstrations in recent years including a What do we want? Dead cops chant in 2014 has often been heated, online threats in the days following the Dallas shooting are taking on a new significance. The co-founder of a black militia whose events the Dallas police shooter had attended wrote after the attack in a since-deleted Facebook post that the killer shall be celebrated one day, The Dallas Morning News reported. Huey P. Newton Gun Club co-founder Yafeuh Balogun also tweeted: I have no remorse for the Dallas Police Officers shot downtown, its about time.. at the protest etc. The gun club was named after the radical founder of the Black Panther Party and was formed to institute armed patrols of communities in Dallas, The Dallas Morning News reported. The FBIs New Orleans Division on Friday also sent out a bulletin to police departments in the Baton Rouge and Shreveport areas warning of multiple groups on social media calling for a purge. The FBI memo was obtained by Judicial Watch. Baton Rouge purge starts July 9th 12am ends 5am July 10thRule 1 must kill every police!!! one post cited by the FBI said. Though nothing materialized from the threat, police officials are eyeing the warnings with increasing alarm. If someone threatens to kill the president, that person would be arrested and prosecuted, Craig said. How is it any different when someone threatens to kill white cops? Fox News Matthew Dean contributed to this report. A horrifying image of a cop having his throat slit by a figure in black has gone viral on Facebook and Instagram in the wake of last week's murders of five Dallas police officers, despite complaints from law enforcement groups and other social media users. The image went up on Wednesday, more than 24 hours before the sniper attack in Dallas that also left seven other officers injured, renewing calls on social media platforms to better monitor content posted. "This kind of post is disgusting and dehumanizing to law enforcement and it is encouraging violence," said Jonathan Thompson, executive director and CEO of the National Sheriffs' Association, which represents more than 3,000 sheriffs across the country. "Whether it is depicting a journalist, teacher or cop being beheaded, this has no place in our society." Cleveland Browns running back Isaiah Crowell posted the image on Instagram last week, before the sniper attack, and then took it down and issued an apology through the team on Monday. The incident has prompted some critics to call for his release. Law enforcement advocates say the image goes well beyond free expression, and actually glorifies the murder of cops. We find these images appalling because they promote nothing more than hate, violence, and terrorism, said Sara Slone, spokesperson for the advocacy group, Concerns of Police Survivors C.O.P.S., which promotes hope and healing for the loved ones of police officers killed in the line of duty. C.O.P.S. remains focused on the surviving families of the officers that have been murdered in recent days, along with the other 37,000 survivors across the nation. Experts do not yet know who is behind the horrifying image, but say it could draw a link between terrorists and domestic protesters because it appears to photoshopped from video of an ISIS execution. The cartoon image is a clear rendering of the Islamic States execution by Jihad John of journalist James Foley in 2014 - even down to the gun strap Jihadi John wore, which is recreated in the image of the US flag, said Veryan Khan, editorial director of the U.S.-based Terrorism Research & Analysis Consortium. Ironically, the Islamic State has picked up on the propaganda material and is now using the same image on its social media pages, including on the media site Telegram. According to posts received by FoxNews.com from Facebook users, the image has been up since at least July 6 and was shared some 8,000 times. When Facebook users reported them to Facebook management for violence and inappropriateness, users claimed the social media giant said the images were not a violation of its posting policy. It was reported by numerous sources to Facebook, but Facebook would not remove it and said it did not violate their terms and conditions, said a spokesperson for GIPEC, a software company that monitors illegal activity on the Internet. Posts promoting, celebrating or glorifying violence will be removed, including the recent images of an image of a policeman being beheaded, a spokesperson for Facebook told FoxNews.com on Monday. However, violent photos and graphics would be allowed if they condemn the violence. Facebook gets millions of reports each week that its analysts must examine, the spokesperson said of the initial refusal to take down the posts and the length of time Facebook took to respond. Instagram, which is owned by Facebook, didnt respond to media inquiries from Foxnews.com, but the images have gone viral on that medium, according to a spokesperson for GIPEC. An Instagram user, Rambizzy, who has 5,822 followers, promotes the slaughter of the policeman as well as anarchy. Another Instagram user that reposted the image of the police beheading used the hashtag #blacklivesmatter this is war in addition to #killpigs. This is a great example of social media that goes viral very quickly. Once the information is posted to these platforms, anyone can randomly copy it and post it especially when the original was up for at least three days, said the spokesperson for GIPEC. Users add a hashtag to unite and promote their message to other people on that social media with the same hashtags. The way social media works these days, people do not really follow or friend others, but instead read hashtags and look for further information on that hash tag, Khan said. Islamic State learned this social media trick early. The technique now appears to be used by people and groups promoting violence against police. MADISON American politics has changed immensely in the last generation or two. It used to be more of a hobby, something done on the side by people with lives outside of politics. Now its been taken over by professionals and most who are serious about it consider it a career. There has always been lobbying in the halls of government, but the primary currency of lobbyists used to be information. That was before lobbying was married to election fundraising. Petitioning government and supplying campaign cash have now become inseparable. When I got my first taste of the inner workings of Wisconsins State Capitol back in the early 1980s, being a lawmaker was a part-time job. Now its full-time. Not because there are so many more laws that need making, but rather largely owing to the fact that soliciting political donations has become a daily chore. Abortion was a touchy subject back in the 80s and it remains a touchy subject today, but back then there were Democrats and Republicans on both sides of the issue. Republicans who favor legal abortion are no longer welcome in the partys ranks, and Democrats who have qualms about abortion arent tolerated by their party either. Two species of politicians have gone extinct in the last couple of generations. There used to be rural Democrats. Not anymore. The legislature used to be filled with small-town Democrats like Tom Harnisch of Neillsville, Harvey Stower of Amery, Dale Bolle of New Holstein, Gervase Hephner of Chilton, Bill Rogers of Kaukauna and Bob Dueholm of Luck, who followed in the footsteps of his father Harvey. In more recent years, there were still a few rural Democrats like Phil Garthwaite of Platteville, but they were few and far between. Now theyre long gone. The Democratic Party used to appeal to rural voters, but no longer does. It has become an urban party. The Republican Party has become the political equivalent of a donut. No middle. There was an abundance of centrist Republicans in Wisconsins legislature in the early 1980s, many of them women like Barb Lorman, Sheehan Donoghue, Peggy Rosenzweig, Mary Panzer, Sue Engeleiter, Pat Goodrich, June Jaronitzky and Betty Jo Nelsen. Men too, like Dave Paulson, Bob Larson, Francis Brownie Byers, Brian Rude, Mike Ellis and Dale Schultz. Slowly but surely some like Panzer, Lorman and Rosenzweig were driven out by far more conservative Republicans who challenged them in party primary elections, while others like Schultz were replaced by right-wingers once they saw the handwriting on the wall and decided to leave the legislature. Republican moderates became a vanishing breed. The elements Republican leaders invited into their party to replace the moderates have given rise to extremism that invites comparisons to fascism. The fact that there are not modern counterparts for the rural Democrats or middle-of-the-road Republicans of yesteryear is a symptom of illness in our political system. The disappearance of these species is a warning signal that we ignore at our peril. Abortion was a touchy subject back in the 80s and it remains a touchy subject today, but back then there were Democrats and Republicans on both sides of the issue. The federal trial of two women accused of raising money for the Somali terrorist group al-Shabab has begun with one of the women admitting she supported the group. But her lawyer says the government can't prove she ever gave money to them. Thirty-six-year-old Muna Osman Jama of Reston and 46-year-old Hinda Osman Dhirane of Kent, Washington, were charged back in 2014 with providing material support to terrorists by funneling small amounts of money less than $5,000 to al-Shabab, an al-Qaida affiliate. Prosecutors say the women used coded communications to hide their intent, referring to al-Shabab as "the family" and truckloads of supplies as "camels." In opening statements at Monday's bench trial, Dhirane's attorney said her client's vocal support of al-Shabab is not a crime. Two women accused of raising money for the Somali terrorist group al-Shabab are set to go on federal trial. Thirty-six-year-old Muna Osman Jama of Reston, Virginia, and 46-year-old Hinda Osman Dhirane of Kent, Washington, were arrested and charged in 2014 with providing material support to terrorists by funneling small amounts of money to al-Shabab, an al-Qaida affiliate. Prosecutors say the women used coded communications to hide their intent, referring to al-Shabab as "the family" and truckloads of supplies as "camels." The bench trial scheduled to open Monday in federal court in Alexandria, near Washington, D.C., is expected to take up to two weeks. Defense lawyers say the women are Somali expats who were sending money back home for innocuous purposes. Court records indicate the amount in question is less than $5,000 When Joseph Ledinhs grandfather passed away, in 1998, he and his father went casket shopping. Every funeral home in New Jersey had the same product, he says. Hillenbrand, Matthews International and Aurora controlled the market. It was frustrating, but there was nothing we could do -- we had to buy that day. Ledinh figured there was a business in making modern caskets, but the timing was wrong: He was focused on becoming an automotive designer. He soon got a job at Honda, which was less glamorous than he expected. In the beginning, you think youre going to be designing the coolest car, but I got put on the Honda Accord, he says with a laugh. Working on that project, however, I understood its their bread and butter. You cant design a product so outrageous that only five people buy it. You need 5,000 -- or 500,000. After 10 years, he arrived at Peugeot and got to make the cool stuff -- the cars of the future, those conceptual designs that draw crowds at trade shows. It was, he felt, the pinnacle of his career. After that, I thought, Where next? he says. Then he remembered the caskets. He googled the phrase modern casket, and nothing came up. Bingo. This wasnt Ledinhs first crack at the risk-averse funerary industry. Back in the early 1990s, as a graduate student at the ArtCenter College of Design in Pasadena, Calif., he had pitched his thesis on tombstones. (Hed envisioned an embedded, solar-powered screen that played a memorial video). Now he imagined sleek, beautiful caskets -- a vision that didnt impress his friends and family. More From Entrepreneur.com Related: Its as if I took the most undesirable career choice in existence, he says. The Ledinhs immigrated to the United States in 1980 as refugees of the Vietnam War. My parents were in shock when I told them. Even yesterday, my mom said, Isnt there a better profession you could choose than this? But he found a cheerleader in his wife, fashion designer Emma Lee, who encouraged him to work nights and weekends on his caskets while he was still at Peugeot. When he was ready to launch, she took over as the sole breadwinner. Ledinh chose the name Able Caskets because trade shows list companies alphabetically -- a trick he learned from Steve Jobs. He wanted to manufacture with a furniture company, but as soon as I said I was working on caskets, they wouldnt return my calls, he says. Eventually he found a Los Angeles company that makes pool tables and other gaming tables; their market had shrunk with the recession, and they were looking for new revenue streams. He then spent two years on prototypes and preproduction runs. He created a coffin with streamlined curves, an echo from his car-designing days. He chose plywood over hardwood for its relative malleability and quicker burn time (for eco-friendlier cremations). He made the lid open from the top like a canopy, instead of from the sidelike how Tesla had an SUV with a falcon door, he muses. And manufacturing domestically had its perks: it allowed him to tweak the design as issues arose. Able Caskets debuted in 2013. He thought about how the auto industry would market his product; thered be no uninspired words like memorial and remembrance. So he created the slogan Love, beauty, soul. Where competitors catalogs showed caskets silhouetted against white backdrops, he set his against memory-triggering travel photographs. His cherrywood-finish coffin, for instance, foregrounds a nighttime shot of the Louvre. The marketing is not geared to the deceased, says Ledinh. We sell to the livingto the families. His Instagram account, @ablecaskets, mirrors this thinking, alternating somewhat incongruously between casket porn and images of the Golden Gate Bridge and Leaning Tower of Pisa. The fact that Able Caskets even has an Instagram account is a revolutionary move in and of itself. Year one was painfully slow for Ledinhs casket startup. He barely eked by in year two. But now, after three years of travel, networking and trade shows, hes breaking through. Orders are strong in Europe, and hes making inroads in Japan. A Hollywood prop company just placed an order. Ledinh likes bucking the industry, but hes learned its limits: Yes, he could sell directly to consumers, but its not worth upsetting the middlemenfuneral directorswho control the bulk of the market. And anyway, hes found a shared spirit in thema younger, savvier generation now taking over their family businesses. Theyre hungry for newer product, he says. Caskets havent changed in 112 years. They say, Its about time! When you hear the words "penguin" or "panda," you likely think of the adorable animals you saw during your last trip to the zoo. If you are familiar with Googles constant algorithm updates, however, these terms mean far more than adorable black-and-white animals. Google algorithm updates could have had a detrimental impact on your website. If you ever notice that your websites search engine ranking position has suddenly plummeted, Penguin or Panda could be the culprit. And chances are, you probably haven't even received a warning or notification from Google. I was brought onboard to help a $1 billion dollar company recover from a major Google penalty -- and I have helped many companies since. Once their site was dinged by Google, the company suddenly saw their rankings plummet, which directly impacted their bottom line. Related: The Evolution of Important Google Search Algorithm Updates (Infographic) My goal in this article is to teach you, much like I taught that company, how to identify whether or not your website has been negatively impacted from a Google penalty and what steps you can take to recover. I'll talk about best practices for proactive measures during this tough time so you dont dwell on the negatives -- but actually go on to grow your business. What exactly are Penguin and Panda? Jennifer Slegg of thesempost.com wrote a great article on Understanding Google Panda. Slegg explains Google Panda as "one of the search engine's ranking filters that seeks to downrank pages that are considered low quality. Sites with higher quality and valuable content rise in the search results. But it is easily one of the most misunderstood algos. Search Metrics provided insight on the different ways you could have been hit by a Panda algorithm update and how to prevent this. Penguin, according to Search Engine Land, launched in April 2012 to "better catch sites deemed to be spamming its search results, in particular those doing so by buying links or obtaining them through link networks designed primarily to boost Google rankings." Barry Schwartz reported reported in January of 2016 that Panda is now baked in as one of Google's core ranking algorithm. There will be a similar tone for Penguin, according to Schwartz. We know the next Penguin algorithm should be the real time version and with real time algorithms, they don't get pushed out on occasion, instead, they run all the time. So new Penguin penalties and recoveries will happen all of the time. My issue with Googles algorithm updates. Google has to make sure webmasters dont manipulate its core search algorithm. Otherwise, undeserving websites would populate towards the top of the search engines. If there were no penalties for insider trading, would there be people who would reap the benefits from secretive information about publicly traded companies? Absolutely. This isnt to say that the SEC catches everyone, but traders who try and manipulate the system know there is a penalty and risk if they get caught. The same is true for Google regarding how they monitor their search results. If they see a website trying to take advantage of their algorithm, they need to take action. My big issue is the lack of transparency. There have been many instances when a small business owner has approached me about his inability to rank anywhere on Google for keywords related to his or her local business. Related: Is Google Trying to Kill SEO? After doing research, I would discover the reason their business was unable to rank was due to terrible links built to their site or duplicative content that was created. The worst part is that the business owner would have no idea this even took place. Thats right, Google sometimes informs webmasters via its search console whether their site has had a manual action. There are many instances when there will be no warning about a penalty and it takes someone with SEO knowledge and expertise to discover this. Going back to the insider trading scenario, imagine if your financial advisor picked a stock and had inside information on the trade. His buddy worked for a publicly traded company and he knew that they were going to crush it on their upcoming earnings. He made this trade without your knowledge. Now imagine if the SEC came knocking on your door with a subpoena for insider trading. Would this be fair? I dont think so! Google must become more transparent and inform everyone whether or not their site is being held back by a previous action that has been done incorrectly. Business owners might have signed up for a backlink package from an offshore account for $50 when they heard the pitch of guaranteed first page results. If you are on a shoestring budget and dont have the time or expertise with SEO, this might sound like a good option, right? *Note: Dont ever sign up for an SEO package that offers guaranteed first page results. This is an unrealistic promise that will have more of a negative impact on your site. These business owners often times dont have the slightest clue that these actions will negatively impact their search rankings. An attorney in Phoenix might have thought it was a good idea to create numerous local pages throughout the entire state of Arizona to get more exposure in other cities, even though he didnt have an office in those other locations. Little did he know he would get dinged by Panda since the content was duplicative. If Google would just inform business owners and webmasters of an action which they deem to be detrimental, webmasters would learn their lesson and fix the issue. On the contrary, with the lack of transparency, business owners have no clue how to fix the issue and this can literally kill their business. How to recover when Google has dinged your site. Below are some best practices to see whether or not your site has been hit by a Google penalty. Each website and each scenario is different, so I always advise consulting with an expert. 1. Check search console. Within your Google search console dashboard, you will see a Search Traffic tab. Within this tab, select Manual Actions. This will give you insight on whether or not Google has directly informed if your site was hit with a web spam action. 2. Check your backlink profile (Penguin related). If your website is connected with Google Search Console (formerly Webmasters) you can Download your latest link report. This will show you all of the backlinks that have been built to your site. If you notice a lot of low quality links on spammy websites, this is a clear cut sign that you could be suffering from a link-based algorithm update. My recommendation is to go through each and every one of the links and add any link you deem as low quality into an Excel file. Run this list you accumulated by an SEO expert. If you confirm that your links need to be disavowed, youll need to create a .txt file and upload your links through this process on Googles search console. Marie Haynes, who writes for Moz, provided a great guide to using Googles disavow tool. Related: How to Find, Repair and Prevent 'Link Rot' 3. Analyze the content on your website (Panda related). Is there duplicative content on your website? Could Google be seeing some of the pages you created as low quality and manipulative? It can be very tricky to detect whether or not your site has been dinged with a content based algorithm, often times referred to as Panda. I would recommend sharing your site with someone knowledgeable in search engine optimization and digital marketing so they can provide an analysis on whether or not the content may seem manipulative or duplicative. If you have a ton of pages on your website, you can use a software such as Screaming Frog to run a scan on your site and easily organize the content structure on each one of your website pages. 4. Look at the change history. Each year, Google changes its search algorithm between 500 and 600 times. While most of these changes are minor, Google occasionally rolls out a major algorithmic update -- such as Google Panda and Google Penguin -- that affects search results in significant ways. Moz listed the major algorithmic changes that have had the biggest impact on search. If you noticed your site drop in ranking right around one of the particular dates outlined in Mozs change history updates, it will be easier to identify the penalty that took place. Focus on growing your business. Too often, I will see business owners obsessing over a Google penalty. And they are right to do so -- it could be dramatically impacting to their bottom line. Make sure you take the appropriate actions to clean up your website so the next time Google runs a major algorithm change -- or if the update is in more real time -- your site has a strong likelihood to recover. I also recommend trying to strengthen your business during this difficult time. Attend more networking events if your organic leads have dropped. Get more involved in public speaking and seminars related to your industry. Write more informative articles where you share your industry expertise to drive high quality referral traffic back to your site. Invest in social media marketing and Google Adwords to try and discover what message will generate you more leads at a profitable price point. By taking all of the aforementioned proactive steps, by the time your site recovers from one of Googles core algorithm updates, your business will have strengthened. At the end of the day, that is what Google wants to see. Natural traffic coming to and linking to your site based off of the different marketing and business initiatives taking place. A Tajik and a Yemeni national each held for roughly 14 years at Guantanamo Bay have been freed and sent to the Balkan nation of Serbia, the U.S. Department of Defense announced Monday. The Pentagon said the two men were released from the U.S. base in Cuba after comprehensive security reviews. It identified the Yemeni as Mansur Ahmad Saad al-Dayfi and the Tajik as Muhammadi Davlatov. The latest detainee releases come amid a renewed push by the Obama administration to whittle down the number of men held at the U.S. base in Cuba. On Sunday, another Yemeni prisoner was released and sent to Italy after more than 14 years in custody. The Monday transfers leave 76 prisoners at Guantanamo's detention center, which was opened in January 2002 to hold foreign fighters suspected of links to the Taliban or the al-Qaida terrorist organization. Some two dozen low-level prisoners are expected to be sent home or resettled in other countries in coming weeks. President Barack Obama has been seeking to close the detention center amid opposition from Congress, which has prohibited transferring detainees to the U.S. for any reason. The administration has been working with other countries to resettle detainees who have been cleared for transfer. Lee Wolosky, the U.S. State Department's special envoy for Guantanamo's closure, said Washington is grateful to Serbia for accepting the two men. These are the first detainee transfers to that country. "Serbia now joins other friends and allies in Europe in accepting multiple detainees for resettlement, bringing us closer to our shared goal of closing the facility," Wolosky said in an email. Officials say that before any detainee is transferred, the State Department obtains security assurances from the receiving country. Defense officials, with the intelligence community, also review the receiving nation's ability to mitigate any possible threat. The two men's Pentagon profiles released years ago both asserted they were of high intelligence value and were "likely to pose a threat to the U.S., its interests, and allies." But they were never charged with a crime, and authorities ultimately decided they did not pose a security threat and could be freed. The State Department said Monday that both detainees were unanimously approved for transfer by six U.S. government departments and agencies. The New York-based Center for Constitutional Rights said Davlatov had filed a habeas corpus petition a decade ago challenging the legality of his capture and detention. He been approved for release and was slated to be released to Tajikstan in 2008. However, he obtained a preliminary court injunction against his transfer there due to his arguments that he faced a serious risk of torture or unjust imprisonment there. In a Monday statement, the Center blasted the Obama administration for making "no meaningful efforts to transfer him" for years. He "never should have been brought to Guantanamo, and by the government's own admission he should have been released six years ago," said attorney J. Wells Dixon. ___ David McFadden on Twitter: www.twitter.com/dmcfadd The father of a U.S. airman and Afghanistan veteran who disappeared days ago in northern Italy says he doesn't buy a police report suggesting his son fell into a canal after getting drunk at a cookout. Staff Sgt. Halex Hale, assigned to the 31st Fighter Wing at Aviano Air Base, vanished after leaving a friend's house late at night on July 2. "The most plausible theory for now is that he was inebriated with alcohol, left the house and fell into one of the nearby canals, which were swollen due to particularly stormy weather," lead investigator Grigoletto Michele said Sunday. The 24-year-old airman's father, Lance Hale, said he walked the route from the friend's home to a nearby public square, and it led him to believe the police report was inaccurate. "There are hard shoulders, trees, houses all along the way. One can't just slip into the water you really have to climb into something and jump into it, even if the canal was swollen, as they say," he told NBC News. "It just doesn't make sense." Lance Hale said he'd also learned from another airman that his son left the party without his wallet, phone or keys. The father described it as "very strange." Italian law enforcement authorities called off the search late Saturday, U.S. Air Force spokesman Capt. Michael Hertzog said. Investigators used helicopters and search dogs in their hunt for Hale. "They found no evidence of any kind, physical or otherwise," Hertzog added. The friend's house is roughly nine miles away from Aviano Air Base, north of Venice. The airman, originally from Indiana, had served in the Air Force for six years and at Aviano since February 2015, his mother, Amy Hale, told The Star Press in Muncie, Indiana. He also served in Afghanistan. His mother said her son left the cookout to walk to another friend's home about 15 minutes away but never arrived. Were just devastated, she told the newspaper. Hertzog couldn't comment on the airman's phone, credit card or automobile use, saying they were part of the ongoing investigation. He said there were no indications that Hale had any difficulties on base or off. Italian police circulated a missing person's bulletin on national media and to law enforcement in neighboring countries. The Associated Press contributed to this report. The following editorial appeared in The Baltimore Sun on Friday, July 8: When police shot and killed Alton Sterling in Baton Rouge, La., this week, law enforcement officials there immediately called for the Department of Justice to take over the investigation. When police shot and killed Philando Castile during a traffic stop near St. Paul, Minn., Wednesday night, immediate calls came for the federal government to take over that case, too. As Baltimore watches the beginning of yet another trial of a police officer indicted in connection with the death of Freddie Gray, we can see the appeal. The objectivity, thoroughness and independence of the investigations into his death have been questioned from the start and from all sides most recently blowing up in a courtroom confrontation between prosecutors and police. But the notion that the federal government can secure criminal convictions where local officials cannot (or dont even try) is belied by the record. The Justice Department made no indictments in the killings of Trayvon Martin in Sanford, Fla., or Michael Brown in Ferguson, Mo., and regardless of the gruesome evidence provided by the videos in the deaths of Sterling and Castile, it may have difficulty mounting a prosecution in either of those cases either. The federal government does not prosecute murder or manslaughter cases. Rather, it can bring charges of civil rights violations in cases like these, but doing so requires that prosecutors prove the defendants willfully harmed the victim because of his race an extraordinarily high burden of proof, particularly given the wide latitude police are afforded to use force when they believe they are threatened. Its not enough that the police in both of these cases are white and that the victims are black. But we dont need to prove that the killing of Sterling and Castile were motivated by intentional acts of racism to conclude that race was a crucial factor in what took place. The story by now is simply too familiar. Sterling was sitting outside a convenience store selling CDs (with the store owners permission) when someone called police to claim that a person matching the 37-year-olds description had threatened him with a gun. Police arrived at about 12:35 a.m. on Tuesday, and according to the stores owner, they were aggressive from the start. Video shows one officer tackling Sterling, banging him against the hood of a car and taking him to the ground. Another officer pins his shoulders and arm. One yells Hes got a gun, though no gun is visible in either of two videos that have been made public. An officer draws his gun and points it at Sterlings chest, firing an instant later. One of the videos shows an officer pulling something from Sterlings pocket after the fact. In Castiles case, we do not have video of the shooting itself but the aftermath, which was live streamed by a passenger in the car. While an officer points a gun through the window, she explains with an eerie calm that a policeman had shot her boyfriend. When pulled over for a broken tail light, she says, Castile told the officer that he had a gun and was licensed to carry it. When he reached for his wallet to get his license at the officers request, she says he was shot. When Cleveland police saw Tamir Rice playing with a toy gun, they assumed it was real and opened fire. When Baltimore police saw Freddie Gray run, they assumed he was breaking the law, chased him, brought him to the ground and eventually placed him, handcuffed, shackled and face-down, in the back of a police van. When Samuel DuBose started his car during a traffic stop in Cincinnati, a university police officer assumed he was about to be run over, pulled his gun and fired. Of all the recent killings of black men at the hands of police that have been investigated by the justice department, only one, the fatal choking of Eric Garner in Staten Island, appears to have gotten so far as a federal grand jury. But whether or not any of them amount to prosecutable hate crimes, they clearly fall into a pattern in which police are quick to perceive black men as threats and to escalate confrontations with them to tragic ends. Until we can remove that stain of prejudice, we will continue to see deaths like these, no matter who investigates after the fact. German authorities reportedly believe that more than 1,200 women were sexually assaulted across the country on New Years Eve and that more than 2,000 men were allegedly involved. The German newspaper Sueddeutsche Zeitung and other German broadcasters published a leaked police document Sunday that shed some light on the details surrounding the mass sex crimes, according to The Washington Post. Authorities believe that at least 600 of the sex assaults occurred in Cologne and 400 occurred in Hamburg. Only 120 suspects, most of them foreign nationals, have been identified in the mass sex crimes. The Post reported that a 21-year-old Iraqi man and a 26-year-old Algerian man were given suspended one-year sentences. A court spokesman said both men arrived in Germany within the last two years. One official told the German paper that the mass sex crimes were a result of the refugee crisis. "There is a connection between the emergence of this phenomenon and the rapid migration in 2015," Holger Munch, president of the German Federal Crime Police Office, said. He also said he doesnt think most of the suspected attackers will ever be convicted. The Post noted that Germany doesnt have a lot of CCTV cameras installed in its major cities. "We have to presume that many of those crimes will never be fully investigated, Munch added. Last week, German lawmakers passed a bill that will make it easier for victims of sex crimes to file criminal complaints if they rejected their attackers advances with a clear no. German law previously required victims to show that they physically resisted attack before charges for rape and other sexual assaults could be brought. Women's rights campaigners argued that Germany's failure to recognize the principle of "no means no" was one of the main reasons for low reporting and conviction rates for rape in the country. According to figures cited by Heiko Maas, the country's justice minister, only one in 10 rapes in Germany is reported and just 8 percent of rape trials result in convictions. The Associated Press contributed to this report. Click for more from The Washington Post. North Korea vowed Monday to make a physical response after the U.S. and South Korea agreed to deploy the THAAD missile defense system to cope with Pyongyangs constant threats. The U.S. and South Korea said Friday that the Terminal High Altitude Area Defense (THAAD) anti-missile system will be used to counter North Korea growing nuclear and ballistic missile capabilities that pose a big threat to the region. "There will be physical response measures from us as soon as the location and time that the invasionary tool for U.S. world supremacy, THAAD, will be brought into South Korea are confirmed," the North Korean military said in a statement. The deployment decision for THAAD was announced hours after North Korea angrily reacted to new U.S. sanctions on leader Kim Jong Un and other top officials for human rights abuses, with Pyongyangs Foreign Ministry saying such measures were tantamount to declaring war. Despite outcry from China, South Korean President Park Geun-hye said Monday that the advanced system wasnt intended to target any other country except the North. "I'm certain the international community knows full well that we have no intention whatsoever to target any other country or threaten them," Park said in a meeting with other South Korea officials. China's Foreign Ministry swiftly criticized the move Friday. "China expresses strong dissatisfaction and resolute objection to this," the ministry said in a statement posted on its website. "Refrain from taking actions that complicate the region's situation and do not do things that harm China's strategic security interests," the statement said. China said the missile defense system's deployment would not help bring about the denuclearization of the Korean Peninsula and isn't conducive to peace in the region. The ministry said the move would "seriously damage" the security interests and strategic balance of the region. A South Korean Defense Ministry official told Reuters that the location for deployment has yet to be determined, but could come within weeks. THAAD could be fully operational by 2017. The two countries insisted last week that the defense system is solely focused on North Korean threats. The Associated Press contributed to this report. Click for more from Reuters. Smashburger Touches down in Toronto Through New Franchise Agreement Better Burger Concept Continues Non-Traditional Growth with New Airport and University Franchise Deals DENVER - July 11, 2016 // PRNewswire // - Smashburger, the rapidly expanding better burger restaurant known for its fresh, smashed to order burgers, celebrates its expansion into the Toronto market through a new agreement with franchise operator HMS Host, which opened its first restaurant in Toronto Pearson International Airport (YYZ) on July 9. The brand also continues its non-traditional expansion in the United States, with the announcement of a new agreement with franchise operator University of Notre DameDuLac, which will bring a restaurant to Notre Dame University in Fall 2016. These openings represent the brand's continuous growth in non-traditional locations to deliver a burger experience that exceeds expectations to burger-lovers around the world. The Toronto Pearson restaurant, Smashburger's fourth international airport location, occupies 881 square feet in the new terminal three food court and signifies the brand's priority to expand its footprint inCanada. The menu will feature full breakfast and lunch options as well as local food staple poutine. With over 40 million annual travelers, the new restaurant will serve Canada's busiest airport and Air Canada's largest hub. "Smashburger's fresh and made to order burgers will be a fantastic new addition to Toronto Pearson's food and beverage options," says Michael Ross, Director of Commercial Development at Toronto Pearson Airport. "Our objective is to offer passengers more choice, fast service and a high-quality experience. We're very proud to attract innovative brands like Smashburger and we're certain that passengers will be delighted with their tasty burgers!" "We are excited to expand Smashburger's footprint in Canada to Toronto Pearson International Airport," says Smashburger President & CEO Mike Nolan. "We continuously evaluate our restaurants and operations to determine new places to provide our well-known better burger concept to consumers. We felt that this non-traditional location is well-suited to deliver the highest quality, future-forward burger experience." The brand is also actively seeking experienced franchise operators to expand Smashburger in the Torontomarket and throughout Canada. Interested parties can learn more at www.smashburgerfranchise.ca. Additionally, Smashburger has signed a new agreement with franchise operator University of Notre DameDuLac, to bring a restaurant to the Notre Dame University LaFortune Student Center, consistently ranked as one of the top U.S. universities for campus dining, in Fall 2016. The new restaurant will be housed in a previous Burger King location on the main level of LaFortune Student Center. The space will undergo a full remodel to almost double the available square footage for a larger kitchen. "At Notre Dame University, we look for brands who have a proven track record of providing the highest quality food and services," says Jim Labella, LaFortune Student Center Operations Manager. "We believe Smashburger is the perfect better burger concept to fulfill this priority and expectation for great tasting food. We are looking forward to bringing their popular, upscale burger option to our students and faculty." Smashburger currently operates in 3 casinos, 11 airport locations and 1 college campus with several additional locations coming soon. For more information about franchising opportunities in Canada, please visit www.smashburgerfranchise.ca. For United States franchising opportunities, visitwww.smashburger.com/franchising. For more information on Smashburger, please visit www.smashburger.com or check us out on Facebook,Twitter, or Instagram. About Smashburger Smashburger is a leading fast casual "better burger" restaurant known for its fresh never frozen, 100% Certified Angus Beef burgers that are smashed on the grill to sear in the juices, creating an upscale quality burger packed with flavor and served at a great value. In addition to burgers, Smashburger offers grilled or crispy chicken sandwiches, fresh salads, signature side items such as Haystack onions and Veggie Frites, and hand-spun Haagen-Dazs shakes. On each market menu, Smashburger offers locally inspired items like the regional burger, as well as regional sides and local craft beer. Smashburger began in 2007 with the vision of Rick Schaden and funding by Consumer Capital Partners - the private equity firm that Rick and his father Richard own. There are currently over 365 corporate and franchise restaurants operating in 35 states and eight countries. To learn more, visit www.smashburger.com. SOURCE Smashburger ### Comments: Please enable JavaScript to view the comments powered by Disqus. Disqus Coptic Solidarity Urgently Calls on Egyptian Authorities to End Climate of Violence on Christians and Demands Equal Rights WASHINGTON, July 11, 2016 / Christian Newswire / -- Coptic Solidarity expresses its grave concerns with the increasing incidences of attacks on the Coptic community, and demands that Egyptian authorities exercise their responsibilities to protect all citizens, hold accountable culprits to the full force of the law and to take concrete and decisive steps to ending the climate of hatred and institutionalized discrimination against Christians. In the span of just the last two months, Copts have been brutally targeted and murdered, and many Coptic homes and businesses have been looted and set on fire in livid mob violence. Among the tragic incidences have been: Stripping naked and dragging through the streets of a town near Minya of a seventy-year old Christian woman, Soad Thabet, following trumped-up rumors of an affair of her son with a Muslim woman. Most of the arrested mobsters have since been released and Ms. Thabet has been pressured to an informal reconciliation with the culprits in lieu of pursuing her legal rights. In a village of Amereya, near Alexandria, mobs attacked a house rumored for being used by Copts as a place for prayer, and police arrested several Copts and accused them of 'praying without permit.' Here too, victims have been pressured by the authorities to hold a "reconciliation meeting," a tribal process meant to blackmail them into accepting minimal often humiliating settlements and forgo real justice. Mina Thabet, a Coptic researcher and rights activist was arrested in the middle of the night from his home without a warrant. Mr. Thabet was charged with joining a terrorist organization, inciting protests, attacking police stations, and possessing publications of calling for the overthrow of the government, due to his efforts to document and draw attention to the increasing human rights abuses committed by the Egyptian government. Though later released on bail, Mr. Thabet suffered severe physical abuse in prison at the hands of the police that left him unable to walk without the support of crutches. Thirteen-year-old Loisa Mourad, daughter of a Coptic priest, was stabbed in a market in Souhag. The culprit has avoided incarceration under the all-too-common pretense of "suffering from mental illness." Coptic pharmacist Magdy Attia was stabbed to death and then decapitated by a group of fanatical Muslims at an apartment complex. Although security cameras in the area captured clear images of the perpetrators who were covered in blood, no one has been arrested for the heinous crime. A car transporting three nuns was attacked on a main road north of Cairo, killing Sister Athanasea and injuring the others. Authorities again deflected attention to the targeting of Christians by claiming the car was "caught in a cross-fire." Coptic priest Raphael Moussa was gunned down by jihadists in El-Arish, continuing a long series of terrorist attacks against Copts in northern Sinai. These episodes are not isolated events, but rather symptoms of the systematic discrimination against Copts in Egypt. As Coptic Solidarity's recent 7th Annual Conference highlighted, Copts are second class citizens. Discrimination is evidenced in every aspect of life, from indifference to attacks targeting Christians, to state-backed cultural and educational marginalization, to the use of "anti-blasphemy" laws to placate religious bigotry, to the lack of Copts in positions of prominence in government, the armed forces, the judiciary or in academia, to the inability to obtain permits to build or repair churches and the closure of churches due to Islamist threats. Coptic Solidarity's president, Dr. George Gurguis, states, "We urgently call on Egyptian authorities, led by President Sisi, to translate into action the government's positive rhetoric of an equitable and tolerant Egypt. The growing climate of impunity of violence against Copts is detrimental to the lives and well-being not only of Copts, but to all Egyptians." Coptic Solidarity specifically calls on Egyptian authorities to: 1) Protect the sanctity of the life, safety and properties of Copts; 2) Bring to account and apply the full force of justice against perpetrators of violence, including all local police and government officials whose indifference and complacency have allowed these mob actions and attacks against Copts; 3) Abolish the so called "reconciliation meetings" which should not replace bringing perpetrators to justice in the judicial system. 4) Pass and implement legislation to guarantee the freedom of building of new churches and repair of existing ones, and fulfill the promise to rebuild churches and Coptic institutions that were destroyed by Islamists in August 2015. 5) Pass legislation to combat discrimination, and create an impartial body to monitor its implementation; 6) Shut down avenues of religious hate, including from within state-backed religious, media and educational bodies; 7) Annul the abusive "anti-blasphemy" code in Article 98(f) of the Penal Code; 8) Annul religious identification from official identity cards. Copts stand firmly behind Egypt and the world's existential battle against Islamist terrorism. Coptic Solidarity strongly believes that an Egypt that is free and just for all will overcome regressive forces, and urges Egyptian authorities and the global community to support the creation of a modern, equitable, just and prosperous Egypt. Seaman Recruit Aaron N. Redd, United States Coast Guard, son of Franklin and Eileen (Gavagan) Redd of Stafford, Va., lived Jan. 19, 1995, to July 6, 2016. Aaron graduated from Colonial Forge High School in 2014 and attended a semester at West Virginia University before realizing a call to serve a purpose greater than himself. Aaron fulfilled his dream of becoming a member of the Coast Guard. He wanted to save lives, and now, his incredibly strong, beautiful heart continues to beat on through the Gift of Life Foundation. Aaron is survived by his parents, Franklin and Eileen Redd; and his brothers, Evan and Khristiane. He leaves behind his maternal grandmother, Alberta Gavagan (Emah) of Wayne, N.J.; his dearest cousins, aunts, uncles, great-aunts and great-uncles. In addition to his family tree, Aaron was loved by his Hubbard Court family, Augustine family and the United States Coast Guard family. To the United States Coast Guard, we are eternally grateful that Aaron is your brother. Thank you for standing guard over him. His mission is complete. Know that he stands guard. Semper Paratus. The family will receive friends from 5 p.m. to 8 p.m. on Tuesday, July 12 and from 1:30 p.m. to 2:45 p.m. on Wednesday, July 13 at Covenant Funeral Service, Stafford Chapel. A memorial service will be held at 3 p.m. on Wednesday, July 13 at the funeral home. In lieu of flowers, please make a donation to the Coast Guard Enlisted Memorial Foundation, Inc. in Honor of SR Aaron N. Redd of Delta 193 at CGEMF.org, or send a check to CGEMF, P.O. Box 476, Cape May, NJ 08204. Online guestbook is available at covenantfuneralservice.com. I am so excited to be a part of an organization that can bring hope to patients, loved ones, family and friends and know that, yes, we are going to find a cure for cancer. Its right around the corner for us, she said during an interview at her Seattle office. Dr. Gary Gilliland, Fred Hutchs president and director, said hes looking forward to Gregoires new leadership role. Chris will be a fantastic leader. Shes incredibly bright, she gets things done, she understands the science and she knows how to operate in a complex environment, he said. Paula Reynolds, outgoing board chair, said Gregoires unique experience and perspective make her ideal for the role. We couldn't have a more distinguished board chair than Governor Gregoire, Reynolds said. Her counsel as a trustee has reflected wisdom borne of experience running our state and its many and varied agencies. She is also a survivor who shares our single-minded dedication to curing cancer. Gregoire will lead a board comprised of 14 other current members, including Vice Chair Matt McIlwain, plus five incoming board members: Satya Nadella, chief executive officer of Microsoft; Mike Clayville, vice president of worldwide commercial sales and business development at Amazon Web Services; Mark Fleischauer, chair of JH Kelly Holdings; Carl Behnke, president of REB Enterprises; and Bryan White, founder of Sahsen Ventures. Our board is stellar as it represents expertise invaluable to our commitment to find the cure to cancer, Gregoire said. The board members are passionate about the Hutch, its mission and its people. Gregoire joined the Fred Hutch board of trustees in July 2013, only six months after her second term as governor ended. When she originally decided not to seek a third term in office, those around her advised that she take a long break without commitments to have time to decide what her next focus would be. The break didnt last long. When she was asked to join Fred Hutchs board, she immediately agreed. "It was the one thing where I said that yes, I want to get involved, she said. I knew right away I wanted to be a part of an amazing organization that could find a cure for cancer and save peoples lives. 'I am alive. And thank you. Cancer has touched Gregoires life in other ways beyond her own diagnosis. Her husband, Mike, was diagnosed with colon cancer in 2012, detected during a routine colonoscopy. He underwent treatment and is healthy now, but both she and her husbands experiences underscore the importance of screening. During her time in office Gregoire chose to be public about her diagnosis and treatment. She remembers being at a cancer walk not long after her mastectomy where a woman approached her to tell her that after hearing about Gregoires cancer, she decided to schedule a long-overdue routine mammogram and learned she had stage 3 cancer, which was being treated. She said, I am alive. And thank you, Gregoire remembers. Theres an urgency about Gregoire when she talks about the importance of cancer research. Asked if she thinks cancer will be eradicated during her grandchildrens lifetimes, she jumps in. During my daughters lifetimes, she said. Gregoire has two adult daughters, Michelle Gregoire Garrison, deputy prosecuting attorney at the King County Prosecutors Office, and Courtney Gregoire, a senior attorney in Microsofts Digital Crimes Unit and a Port of Seattle commissioner. She also has two granddaughters and soon will be welcoming a grandson. Garrison said that while others see her mom as driven and powerful, her family sees a different side of her the side that revels in celebrating all holidays in a big way, even Valentines Day, and religiously devotes Fridays to caring for her grandchildren. I think a lot of people would say commonly shes very driven, motivated and tenacious, she said. But the side of her a lot of people dont see from a personal level is that she is the most caring, loyal, loving and selfless person. She is constantly thinking of how to make things better for others. Garrison jokes that growing up with Gregoire as her mother was a little different than some of her friends experiences. In elementary school, she went with her mother when she argued before the U.S. Supreme Court for the first time. She traces the roots of her own civic awakening to a trip her mother took her on to see a rescue effort for birds covered in oil after an oil spill off the coast. Take Your Daughter to Work Day was certainly a little different for me, she laughed. Watching how her mom coped with cancer shaped Garrisons own resolve to make a difference in the world, she said. I think about my moms experience and how quickly after her (mastectomy) she said, Hey cancer, youre not going to stop me. Im going to be the person I want to be. Im going to do what I want to do. We must give people hope Gregoire knows there is no time to waste when it comes to finding cures for cancer. More than 14 million people around the world are diagnosed with cancer each year and 8 million die, according to the World Health Organization. And that number is expected to increase 70 percent in the next two decades if something doesnt change. Behind each of those numbers is a beloved friend or family member and a constellation of those who love them. The urgency of preventing those deaths is what drives the mission of Fred Hutch to find cures. Last year Gilliland, the Fred Hutch president, predicted that there would be curative therapies for most, if not all cancers, within 10 years. Its a bold claim that Gregoire emphatically supports. Our [president] has made a very, very profound statement, she said. It has brought amazing hope to patients and family members and loved ones. We must give people real hope its not false hope. Its real. The hope and drive to create a better world has motivated Gregoire her entire life, say those who know her. As the only child of a single mom who didnt graduate from high school and who worked two jobs as a short-order cook to make ends meet, Gregoire grew up with what she calls humble beginnings. But, she said, her mother gave her a bounty of unconditional love and had an unwavering belief her child would go on to do great things. It wasnt just that she showed me love and affection she was probably the proudest person in the world. That did a lot for me because I was never, ever, ever going to let her down, Gregoire remembers. My mom said three things were important in life: Education. Education. Education. Her mom, Sybil Jacobs, died of heart failure at the age of 84 in 2000. But she lived to see Gregoire become the states first female attorney general. And Jacobs influence on Gregoire had a direct impact on many in the state of Washington. Jacobs had been a lifelong smoker who picked up the habit well before the true health risks were known. As attorney general, Gregoire took on big tobacco in the 90s, the era of Joe Camel, partly due to evidence the companies were marketing their products to children. Gregoire remembers that when she was leading negotiations on behalf of 46 states with the tobacco companies and flying to New York regularly, nearly every taxi she saw seemed to have an advertisement for a tobacco product. As tired as I got, Id look at that and it would be a reminder that it was wrong. Gregoire won the largest settlement ever: $200 billion to be paid to states for smoking-related medical costs, to prevent kinds from starting smoking and for other projects. Some of the money was used to set up Washington states Life Sciences Discovery Fund to support innovative research. The woman who has been a fearless crusader throughout her adult life said theres not much these days that makes her nervous, except when she gets her annual exam and shes reminded that life can change instantly. Cancer is always in the back of your mind once youve been diagnosed with it, she said, growing quiet. Every year when I get my exam, you should see how discomforting it is. I dont have sweaty palms; I never have my entire life. But on that occasion once a year, I do. Its a very difficult time, facing (the possibility) that you could hear that same thing again a second time. Having cancer has shaped her perspective on life, she said. She is clear on whats important to her and how she wants to spend her time. For me (my diagnosis) brought home mortality. I never thought in terms of mortality. But (cancer) makes you understand how blessed you are to have every single day, she said. Its always in the back of your mind: I want today to be a good day because you know that life is precious and for some it doesnt last as long as it should. Every day needs to be not taken for granted. Also joining the board of trustees are: Carl Behnke , president of the investment firm REB Enterprises and immediate past chair of the Seattle Cancer Care Alliance board of directors. , president of the investment firm REB Enterprises and immediate past chair of the Seattle Cancer Care Alliance board of directors. Mike Clayville , vice president of worldwide commercial sales and business development at Amazon Web Services, a cloud-computing vanguard. , vice president of worldwide commercial sales and business development at Amazon Web Services, a cloud-computing vanguard. Mark Fleischauer , chairman of JH Kelly Holdings, parent of commercial construction firm JH Kelly. , chairman of JH Kelly Holdings, parent of commercial construction firm JH Kelly. Bryan White, founder of Sahsen Ventures, former managing director at BlackRock Alternative Advisors and co-founder of the investment firm Quellos Group. For Seattle, the additions mark a milestone in history and geography, a congruence of health, life sciences, and technology, said Dr. Gary Gilliland, president and director of Fred Hutch. He thanked the incoming members for donating their energies as they guide us in harnessing this momentum toward achieving the most ambitious goals in cancer research. The Hutch is uniquely positioned at the interface between the best research in cancer biology and treatment, the best in tech including Microsoft, Amazon Web Services and Google (a soon-to-be Hutch neighbor with the companys planned move for its Seattle offices) and the highest-quality talent in venture capital and biotech, and we can enable clinical translation to develop cures for cancer, Gilliland said. The board which oversees the Hutchs values, long-range strategies, and core mission is also gaining new leadership. Former Washington governor and breast cancer survivor Christine Gregoire is the newly appointed chair. She will head a board comprised of 14 other current members, including new Vice Chair Matt McIlwain, plus the five incoming members. Our board is stellar as it represents expertise invaluable to our commitment to find the cure to cancer, Gregoire said. While chair, I know our first-rate board will bring the necessary support and leadership to our CEO and all the people involved with the Hutch so they can do what they do best ... give real hope to patients, their family, and friends as they win battle after battle and ultimately win the war against cancer. Satya Nadella will bring a very thoughtful commitment to using cutting-edge technology to find new treatments and cures to cancer, she said. Nadella, who joined Microsoft in 1992, has spearheaded the companys evolution to the cloud infrastructure and services business. On the last day of 2015, he tweeted a link summarizing his favorite stories of the year. Atop his list: accelerating cancer research through cloud computing. Fundamentally, its going to be the science and the scientists and their work that are clearly going to be the key to curing cancer. But what is now possible are new tools beyond their progress with chemistry and molecules and immunology, Nadella said. Just imagine dreaming about a world where you can have the greatest immunologist, cell biologist working hand in hand with cloud computing infrastructure so that, in fact, scientists can better collaborate, be more productive in their discoveries, share. Thats what the dream is. At the Hutch, Chief Information Officer Matthew Trunnell is leading a foray to leverage big data to accelerate cures. Combining clinical data with molecular and genomic data will reveal insights into the mechanisms of disease and inform individual therapeutic decisions for particular patients, Trunnell has said. He also is co-leading the new Hutch Data Commonwealth, a hub for data science designed to enhance translational research and expand the scope of Hutch science. Nadella, who has called data the new electricity, pledged as a board member to be available to the people inside of Fred Hutch who are guiding the work, doing the work, leading the work. I will be of course bringing my perspective of how important the work theyre doing (on big data) is to Fred Hutchs future, and be a great supporter of that work, he said. But ultimately, I am a believer that great institutions are built by people in the organization who are leading the work and they deserve to have boards that can actually support them doing that great work. Originally from Hyderabad, India, Nadella lives in Bellevue with his wife and their three children. He earned a bachelors degree in electrical engineering from Mangalore University, a masters degree in computer science from the University of Wisconsin, Milwaukee and a masters degree in business administration from the University of Chicago. Friends have described Nadella as an astute listener who is quick to decide what information to absorb and is quick to act. In talking about Microsofts future, he has name-checked poet Oscar Wilde: We need to believe in the impossible and remove the improbable. And he has described himself as a man defined by my curiosity, who buys more books than he can finish, and who believes if you are not learning new things, you stop doing great and useful things. To help prepare for his board role, Nadella said he read the book "The Emperor of All Maladies: A Biography of Cancer" and he also read cover to cover the latest issue of Hutch Magazine, which explored collaborations between Hutch cancer researchers and their counterparts across the country and across the world. Nadella also lauded the public pledge made by Hutch president Gilliland that, within 10 years, it is plausible to expect that scientists will have developed curative therapies for most, if not all, human cancers. Im putting my bet with Gary. If hes optimistic, more power to him. I want to make sure that I can do everything to keep supporting, bolstering that optimism. Because thats the type of leadership we need, Nadella said. I do believe that whats happening with cancer research is similar to what is happening in technology, where there have been exponential improvements for the last 30 years. We can now marry some of that digital technology with the fundamental science breakthroughs and accelerate it. So this 10-year horizon seems to be the right horizon to me, Nadella said. We should think about that 10-year horizon what Gary has been talking about as a great, galvanizing force, not only for Fred Hutch but for the entire community of cancer research. In addition to Nadella, the new class of incoming board members will help shape the 2016-17 Hutch board with their unique talents, passions, additional skills in investing, venture capital and still more cloud computing prowess. Auckland Firm Named Top VDSL Provider In New Zealand Digital Island Telecommunications was launched in 2004 to provide high quality business telecommunications services and expert advice. Digital Island is a 100% Kiwi owned firm. -- Digital Island has more than a dozen years of providing effective business telecommunications. Launched in 2004, the mobile business solutions and internet company is noted as the leading VDSL provider in all of New Zealand. The firm specialises in providing a complete range of high-quality voice, data, cloud and mobile products for business, as well as competitive pricing and fanatical service. The business is 100 percent Kiwi-owned. Whether the company is large or small, the technicians recommend the best-fit solution. The company technicians are skilled in tailoring premium data. Effective telecommunications are crucial to businesses and organizations and DI offers the right products to meet the needs of the customer. The best products are sourced from New Zealand's largest telecommunication operations to tailor the right solutions for each customer. The firm works with a range of excellent IT and PBX partners to ensure that delivery of telecommunications solution is seamless. In a recent interview, a VDSL provider spokesperson explained, "We specialize in tailoring premium data solutions involving high bandwidth internet connectivity so you can benefit from Wide Area Networks, SIP Trunking, IP Voice, and access cloud computing. Around these, we wrap the full complement of landline, Cloud PBX and mobile products, all delivered with fanatical Digital Island service." Data products include premium internet connectivity and ultra-fast fibre, WANs, SIP trunking as well as ADSL broadband. Voice products include business VoIP, lines and tolls, toll-free services, and call conferencing. The mobile product line consists of smartphones, mobile plans, mobile data, group calling, and roaming. Cloud products include Cloud PBX, Cloud PBX Lite, spam and virus filtering, Google Apps, and FaxBox. The VDSL provider teams are familiar with business telecommunications requirements and are knowledgeable about today's technology solutions. They will tailor the optimum solution for business needs. Each customer receives full satisfaction guaranteed. For more information, please visit http://www.digitalisland.co.nz Contact Info: Name: Digital Island Organization: Digital Island Address: Level 3, 8 Nugent Street, Grafton, Auckland 1023 Phone: 0800 999 010 Source: http://marketersmedia.com/auckland-firm-named-top-vdsl-provider-in-new-zealand/122815 Release ID: 122815 For more information visit r Recent Press Releases By The Same User Agarwood Essential Oil Market Expected to Grow at CAGR 4.2% During 2016 to 2022"> (Fri 2nd Jun 17) Cyber Weapon Market by Type, Product, Application, Region, Outlook and Forecast to 2022 (Fri 2nd Jun 17) Landscaping and Gardening Expert Trevor McClintock Launches New Locally Optimized Website (Fri 2nd Jun 17) Sleep apnea devices Market is Evolving At A CAGR of 7.5% by 2022 (Fri 2nd Jun 17) Agriculture Technology Market 2017 Global Analysis, Opportunities and Forecast to 2022 (Fri 2nd Jun 17) Global VR Helmet Market by Manufacturers, Technology, Type and Application, Forecast to 2022 (Fri 2nd Jun 17) Custom Lanyards Website Launch Announced The Lanyard Center offers information and options for both standard and custom lanyards. The site is helpful as a reference point for those seeking guidance and visual representation of the options. -- The Lanyard Center and Founder, Lesly Goombard are pleased to announce the launch of their new informational website dedicated to providing accurate information concerning lanyards. The purpose of the site is to assist consumers in purchasing the custom lanyards which will best meet their needs. With a focus on consumers wants and needs, the site was built to inform accurately and succinctly. The surplus of information helps customers to determine the range of options, colors and styles. Historically, lanyards have had a number of purposes. They are a type of strap which can be worn around the neck, the wrist, or even on the shoulder. It is able to carry a range of different items which include knives and identification cards. Custom lanyards are suitable for advertising, safety, or other purposes. They can be decorated to serve as an advertising medium for an organization or a company. Some of the common styles include tube imprinted lanyards, nylon imprinted or polyester imprinted lanyards, as well as dye-sublimated lanyards. Customizable features on the basic lanyards are linked to the variables which can be selected. The length of the cord and its width are designed to fit the purpose of the strap. A strap that is intended to wear around the neck will usually be more lengthy than one intended for the wrist. The type of fabric is important when deciding on the durability which is necessary. For decisions about aesthetic appeal, the customer can review the design and the print, as well as the color. The purpose of the lanyard will affect the types of clips or hooks which are attached. The customer may want different buckle attachments and badge holders. Browsing the selection of items which are categorized into a few major groups can be done online. The website also contains interesting information about the history of the lanyard. For more information, please visit http://www.lanyardcenter.com/ Contact Info: Name: Lesly Goombard Organization: Lanyard Center Address: 10643 N Kendall Dr, Miami FL 33176 Source: http://www.lanyardcenter.com/custom-lanyards-center/ Release ID: 122822 For more information visit r Recent Press Releases By The Same User Agarwood Essential Oil Market Expected to Grow at CAGR 4.2% During 2016 to 2022"> (Fri 2nd Jun 17) Cyber Weapon Market by Type, Product, Application, Region, Outlook and Forecast to 2022 (Fri 2nd Jun 17) Landscaping and Gardening Expert Trevor McClintock Launches New Locally Optimized Website (Fri 2nd Jun 17) Sleep apnea devices Market is Evolving At A CAGR of 7.5% by 2022 (Fri 2nd Jun 17) Agriculture Technology Market 2017 Global Analysis, Opportunities and Forecast to 2022 (Fri 2nd Jun 17) Global VR Helmet Market by Manufacturers, Technology, Type and Application, Forecast to 2022 (Fri 2nd Jun 17) Ellsen Overhead Cranes Launch Website To Promote Products Worldwide Ellsen Overhead Cranes has created a brand new website to promote their Chinese manufactured overhead cranes to worldwide industrial markets for the first time. -- Overhead cranes are an essential part of all heavy industry, responsible for lifting and transporting the heaviest, most large-scale components across factory floors and aligning them so they can be brought together to create some of the most impressive creations on earth. They are also essential in logistics, moving, stacking and ordering crates. Ellsen Overhead Crane are one of the world's best manufacturers of these cranes, based in China. They have just launched a new website to promote their products worldwide to new audiences. The website has been created to put the products first, and showcase them in the best possible way. High quality imagery of the cranes together with a comprehensive list of the various types of Overhead Cranes from Ellsen Machinery, together with their functions and abilities. This website has been created in English to appeal to the widest possible international audience, aimed squarely at industries with a global reach. The site allows people to browse their products by type, by tonnage, or simply by single or double girder construction. The site also includes case studies of how bespoke solutions have been possible to meet novel challenges within specific industries. There is even information on health and safety and best practices to help people see how to use the machinery most effectively. A spokesperson for Ellsen Overhead Cranes explained, "We are thrilled to be launching this new website, which enables us for the first time to promote our products to a worldwide audience of clients we may never otherwise have come into contact with. Thanks to globalisation we have been able to achieve worldwide distribution, which we have used for many years to the benefit of many partners who discovered us through a base in China. We can now extend our products to companies who are not in the Chinese market, but could benefit from the advantages Chinese manufacturing providers, including unsurpassed quality and unbeatable price." About Ellsen Overhead Cranes: Ellsen Overhead Cranes are the premier brand of overhead cranes used in China, and are about to become incredibly popular throughout the rest of the world. They specialize in producing and exporting lifting machinery and equipment, utilizing technology to improve business. They have been successfully leading the industry in China for 55 years. For more information, please visit http://ellsenoverheadcrane.com/ Contact Info: Name: Helen Email: Sales@ellsenoverheadcrane.com Organization: Zhengzhou Ellsen Machinery Equipment Co., Ltd Phone: 0086-371-55036316 Source: http://marketersmedia.com/ellsen-overhead-cranes-launch-website-to-promote-products-worldwide/122841 Release ID: 122841 For more information visit r Recent Press Releases By The Same User Agarwood Essential Oil Market Expected to Grow at CAGR 4.2% During 2016 to 2022"> (Fri 2nd Jun 17) Cyber Weapon Market by Type, Product, Application, Region, Outlook and Forecast to 2022 (Fri 2nd Jun 17) Landscaping and Gardening Expert Trevor McClintock Launches New Locally Optimized Website (Fri 2nd Jun 17) Sleep apnea devices Market is Evolving At A CAGR of 7.5% by 2022 (Fri 2nd Jun 17) Agriculture Technology Market 2017 Global Analysis, Opportunities and Forecast to 2022 (Fri 2nd Jun 17) Global VR Helmet Market by Manufacturers, Technology, Type and Application, Forecast to 2022 (Fri 2nd Jun 17) China's Vinyl Chloride Monomer (VCM) Market Growth And Forecast Report : Radiant Insights,Inc RadiantInsights.com has announced the addition of "China's Vinyl Chloride Monomer (VCM) Market Trends, Growth And Forecast Report : Radiant Insights, Inc" Market Research Report to their Database. -- Vinyl chloride monomer (VCM) is one of the most vital and largest commodity chemicals. The product is primarily used to produce polyvinyl chloride homopolymer & copolymer resins. In the past, it was utilized as a refrigerant. Vinyl chloride is a gas but is stored as a liquid. It has a sweet odor and is highly flammable, carcinogenic, and toxic. Vinyl chloride that is created using other chlorinated chemicals' breakdown or which is released by various industries can enter the drinking water provisions and air. It is also a common pollutant found near landfills. Browse Full Research Report With TOC on http://www.radiantinsights.com/research/vinyl-chloride-monomer-vcm-markets-in-china The product also has serious side-effects on health such as acute ocular & dermal effects. Dermal exposure causes edema, thickening of the skin & decreased elasticity, blistering, irritation, and local frostbites. A chronic exposure can result in common types of respiratory failure (e.g., pulmonary fibrosis & emphysema) and focused hepatotoxicity (e.g., hepatic fibrosis & hepatomegaly). Constant exposure can cause CNS (Central Nervous System) depression including disorientation & euphoria. The Transportation of VCM has the same safety threats as transporting several other flammable gasses like butane (LPG) or natural gas. The equipment utilized for the transportation of VCM is specifically designed to be corrosion-resistant and impact-resistant. Request A Sample Copy Of This Report at: www.radiantinsights.com/research/vinyl-chloride-monomer-vcm-markets-in-china/request-sample The China's vinyl chloride monomer (VCM) market is expected to be the key industry growth contributor in the APAC (Asia-Pacific) region. Over the coming years, huge demands are expected from the APAC region as a result of the presence of developing countries, such as China, along with the rising end-use industries. The United States was the major VCM market followed by the China's vinyl chloride monomer (VCM) market; mainly due to the availability of low-cost raw materials and technological advancements. The demands for VCM in China have grown rapidly over the last few years and both demand & production are expected to continue to grow over the next five years. This market research report is a detailed study of the current conditions, investment environment, economic trends, marketing channels, market development, market structure, demand & supply, market capacity, and the key participants of the China's Vinyl chloride monomer (VCM) market. Browse All Reports of This Category at: http://www.radiantinsights.com/catalog/chemicals About Radiant Insights,Inc Radiant Insights is a platform for companies looking to meet their market research and business intelligence requirements. We assist and facilitate organizations and individuals procure market research reports, helping them in the decision making process. We have a comprehensive collection of reports, covering over 40 key industries and a host of micro markets. In addition to over extensive database of reports, our experienced research coordinators also offer a host of ancillary services such as, research partnerships/ tie-ups and customized research solutions. For more information, please visit http://www.radiantinsights.com/research/vinyl-chloride-monomer-vcm-markets-in-china Contact Info: Name: Michelle Thoras Email: sales@radiantinsights.com Organization: Radiant Insights, Inc. Address: 28 2nd Street, Suite 3036 San Francisco Phone: 4153490054 Source: http://marketersmedia.com/chinas-vinyl-chloride-monomer-vcm-market-growth-and-forecast-report-radiant-insightsinc/122865 Release ID: 122865 For more information visit r Recent Press Releases By The Same User Agarwood Essential Oil Market Expected to Grow at CAGR 4.2% During 2016 to 2022"> (Fri 2nd Jun 17) Cyber Weapon Market by Type, Product, Application, Region, Outlook and Forecast to 2022 (Fri 2nd Jun 17) Landscaping and Gardening Expert Trevor McClintock Launches New Locally Optimized Website (Fri 2nd Jun 17) Sleep apnea devices Market is Evolving At A CAGR of 7.5% by 2022 (Fri 2nd Jun 17) Agriculture Technology Market 2017 Global Analysis, Opportunities and Forecast to 2022 (Fri 2nd Jun 17) Global VR Helmet Market by Manufacturers, Technology, Type and Application, Forecast to 2022 (Fri 2nd Jun 17) Ice Maker Industry 2016 : Market Growth And Forecast Report By Radiant Insights,Inc RadiantInsights.com has announced the addition of "Global Ice Maker Industry Trends, Growth And Forecast Report Up To 2016 : Radiant Insights, Inc" Market Research Report to their Database. -- Ice makers are devices used to generate ice in large quantities. The size of the machine varies according to requirement. An ice maker consists of thermostat, control module, blades, valves, heater terminal, and a motor. It is used in households to treat medical sprains or for cooling beverages. Browse Full Research Report With TOC on https://www.radiantinsights.com/research/global-ice-maker-industry-2016 The ice maker market is projected to grow at a 7.9% CAGR during the forecast period (2015-2019). It is poised to attain USD 5 billion by the end of the forecast period. A sedentary lifestyle and high disposable earnings have encouraged the growth of fast food chains. Fast food chains are primarily driving the market growth. The geriatric populace as well as the need for ice dispensaries in the medical industry is expected to spur market demand over the forecast period. Products encompass ice nugget, ice flake, and ice cube making machines. Ice cube making machines had around 39% market shares in 2014. The number of restaurants has given an impetus to ice cube machine growth. It is expected to register an 8% CAGR over the next 4 years. Residential users, food and services, retail outlets/grocery stores, and healthcare comprise as major end-users of the market. Retail outlets/grocery stores and residential users are expected to gain major dividends for the ice maker market. Ice making machines will find an increasing demand from the food & services and medical industries. Food & services are estimated to usher in USD 2 billion by 2019. Request A Sample Copy Of This Report at: https://www.radiantinsights.com/research/global-ice-maker-industry-2016/request-sample Regions include Americas, APAC (Asia Pacific), and EMEA (Europe, Middle East & Africa). Americas region lead the current market and expected to continue its reign over the next four years. Presence of major retail chains and supermarkets are anticipated to aid market demand. APAC expected to give competition to the American market on account of lax regulations and low labor costs. Some of the renowned players of the ice maker market include Ice-O-Matic, Hoshizaki America, Inc., The Manitowoc Company, Inc., and Cornelius, Inc. Market players are increasing R&D expenditure to develop plates to increase the machine life. For instance, Hoshizaki has introduced stainless steel plates in their ice makers to increase their durability. Browse All Reports of This Category at: https://www.radiantinsights.com/catalog/equipment About Radiant Insights,Inc Radiant Insights is a platform for companies looking to meet their market research and business intelligence requirements. We assist and facilitate organizations and individuals procure market research reports, helping them in the decision making process. We have a comprehensive collection of reports, covering over 40 key industries and a host of micro markets. In addition to over extensive database of reports, our experienced research coordinators also offer a host of ancillary services such as, research partnerships/ tie-ups and customized research solutions. For more information, please visit https://www.radiantinsights.com/research/global-ice-maker-industry-2016 Contact Info: Name: Michelle Thoras Email: sales@radiantinsights.com Organization: Radiant Insights, Inc. Address: 28 2nd Street, Suite 3036 San Francisco Phone: 4153490054 Source: http://marketersmedia.com/ice-maker-industry-2016-market-growth-and-forecast-report-by-radiant-insightsinc/122861 Release ID: 122861 For more information visit r Recent Press Releases By The Same User Agarwood Essential Oil Market Expected to Grow at CAGR 4.2% During 2016 to 2022"> (Fri 2nd Jun 17) Cyber Weapon Market by Type, Product, Application, Region, Outlook and Forecast to 2022 (Fri 2nd Jun 17) Landscaping and Gardening Expert Trevor McClintock Launches New Locally Optimized Website (Fri 2nd Jun 17) Sleep apnea devices Market is Evolving At A CAGR of 7.5% by 2022 (Fri 2nd Jun 17) Agriculture Technology Market 2017 Global Analysis, Opportunities and Forecast to 2022 (Fri 2nd Jun 17) Global VR Helmet Market by Manufacturers, Technology, Type and Application, Forecast to 2022 (Fri 2nd Jun 17) home Entertainment 'Days of Our Lives' spoilers, plot news: Chad learns Abby is dead; Chloe is pregnant? A devastating news is going to hit Chad this week on "Days of Our Lives" and it's going to bring him to rock bottom. The last few weeks have been particularly difficult for Chad because of Abby's disappearance. He just has no idea what to do and where to find her. Things will get worse for him as he will receive some bad news from Andre. According to Soap Shows, Andre will tell Chad that Abby died in a plane crash. Fans know, though, that such thing never happened and that Abby will be back soon in the form of Marci Miller. But Andre just wants to make Chad's life very difficult and he knows that he has hit his weakest spot. Chad will not believe him at first but Andre has already prepared a faux proof for him. Chad will believe that Abby is really dead, which means he will have to raise their son Thomas all alone. He will be very devastated with the news and it will lead him to temporarily losing custody over his son. As detailed in Celeb Dirty Laundry, Jennifer will be very concerned about Chad and Thomas. She knows that with the situation that is going on, Thomas will not be getting the proper care that he needs. She will attempt to take custody of the child, which will lead into a legal battle. Spoilers suggest that Chad will initially fight for Thomas. However, he will not have enough strength anymore to fight the battle. He is too heartbroken to go through the trouble that he will decide to give up and accept that he does need the help with Thomas. Meanwhile, a baby is said to be on the way. Spoilers revealed that Chloe could be pregnant with Deimos' child. Their one-night stand was a steamy one but it ended abruptly after Nicole appeared to get Deimos from Chloe's house. Deimos is trying to start fresh with Nicole but if it turns out that Chloe is really pregnant, a love triangle is a potential. "Days of Our Lives" airs weekdays on NBC. Free Freightnet Membership List your company in the Freightnet directory. It's Free, it's Easy and your company can be displayed in front of potential freight buyers within 24 hours. Olly Russ has now moved to Liontrust to manage the companys European income team after leaving Argonaut. The fund manager, who worked at Neptune Investment Management before founding Argonaut in 2005, will run Liontrusts European Income funds, which have assets amounting to 272m. Liontrust announced it had snagged Mr Russ in April, after it agreed to buy his Argonaut European Income plus and Argonaut European Enhanced Income funds. The two funds will maintain their track records and there will be no change to the investment process. John Ions, chief executive of Liontrust, said: Olly will extend our equity income capability at a time when demand for income investing is only going to rise through increased longevity and pension freedoms. The move means Liontrust now has four fund management teams investing for income, including the UK, Asia, Continental Europe and globally. Mr Ions said European income means UK-based investors can access a broader spread of companies with a yield above 3 per cent. Liontrust currently has six fund management teams. Mr Russ said: I believe it is a good time to make the move to Liontrust because of the opportunities Continental Europe offers income investors. The economy is improving and it is possible to find companies generating strong cash flows that are minded to return these to shareholders. katherine.denham@ft.com The Personal Finance Society will expand the MoneyPlan initiative run with Citizens Advice as a growing number of financial advisers sign up to take part in the programme. Initially rolled out to 50 local Citizens Advice bureaux in 2014 , the service is now offered at more than 100 branches in the network across England and Wales. The service is now set to expand, with close to 170 advisers having registered an interest in taking part in the programme. The PFS has said it is also investigating the potential to expand a similar pro bono advice initiative into Scotland and Northern Ireland. PFS chief executive Keith Richards said UK consumers are often confused and baffled by the complexities of financial planning. He said: The government and regulator, through the Financial Advice Market Review, are currently considering ways to increase access to financial advice in recognition that people need guidance more than ever before, he said. Our members have shown they are keen to give something back to the community, and a growing number of volunteers are improving access for consumers across the country. The expansion will be rolled out over a period of time as the advisers are matched with Citizens Advice branches. Gillian Guy, chief executive of Citizens Advice, said: Getting the right guidance can pave the way to financial security. With the MoneyPlan service set to expand, more people will be able make the most of the link between the money advice we offer and the generic financial advice of qualified MoneyPlan volunteers. Partnership provides financial support to Citizens Advices operational management of the MoneyPlan initiative. MoneyPlan was originally piloted between May 2007 and March 2009 when PFS members helped 1,130 people on a pro-bono basis. Andrea Leadsom has made a statement at 12pm today (11 July) confirming she is quitting the Tory leadership race. She said the best interests of our country led to her making a bid to be prime minister. Ms Leadsom said strong leadership is needed urgently to lead the UKs exit from the European Union and a unified government must move quickly to set out where business stands. She said her rival in the leadership contest, Theresa May, was best placed to lead a strong and stable government and withdrew her bid to become prime minister. It is now expected that Theresa May could be installed as prime minister by the end of the day or Michael Gove, who came third in the ballot for the leadership race, could be allowed to stand against Ms May. Ms Leadsoms exit from the leadership race came after the junior energy minister, who entered parliament in 2010, came under fire over the weekend for comments she made that being a mother meant she had a stake in the countrys future. Ms Leadsom had won support from the grass roots of the Conservative party, having campaigned for the Leave camp ahead of the European Union referendum. Ms May was the frontrunner to become the next prime minister and today (11 July) pledged to tackle vested interests in the corporate world with plans to put worker representatives on all main British company boards and impose tighter control on executive pay. Launching her leadership campaign, the home secretary called for a bold positive vision for a country that works for not just the privileged few. emma.hughes@ft.com Investor sentiment deteriorated in response to the UK construction outlook survey results in June, which were the weakest since 2009. This was followed by a number of open-ended UK real estate funds suspending trading, in an attempt to halt withdrawals and protect the interests of remaining investors in their respective funds. During periods of uncertainty or market stress, open-ended funds have suspended redemptions to protect investors and avoid asset firesales. Property funds are generally better capitalized today with healthier balance sheets. Charu Lahiri However, actions taken over the last week do have negative connotations associated with the lead up to the last property crash in 2007. The problem, as always, is the liquidity mismatch between liquid investment vehicles and the illiquid bricks and mortar assets into which they invest. The market was already on edge prior to this announcement, and it seems that this event has reaffirmed investors fears around a slowdown in UK property. What is our view looking ahead? Since the end of last year, we have been consistent in our view that the UK property market was entering the later stage of its post-2008 recovery cycle, especially in highly valued areas such as London and the south east. Arguably, Brexit has accelerated the cycle further towards the tipping point of a slowdown. Indeed, most commentators are expecting a slowdown in capital values over coming months. Moreover, an uncertain economic environment is likely to lead to businesses holding off investment decisions, which raises questions for the strength of the occupational market and rental demand going forward. It is possible that we could see a double whammy of both capital values and rental growth being hurt. While we are facing a more uncertain environment in the near term, we do not believe this means that UK property is entering a protracted and deep slowdown such as we saw in 2007. It is important to highlight some key differences between the current market and conditions in 2007. Open-ended property funds have greater levels of cash in portfolios today than in 2007 (15 to 20 per cent in some cases), partly due to regulatory pressure post the financial crisis. In 2007, on the other hand, cash levels were very tight and a lot of open-ended funds were holding what they deemed to be cash proxies, such as investment trusts and shares in developers which compounded selling pressure in the sector as a whole. Average leverage levels across much of the marketplace are far lower than 2007. One high profile example is the London-based developer Land Securities. Its loan-to-value ratio (a measurement of leverage versus portfolio value) was around 60 per cent in 2007, but is now down at 19 per cent. UK banks are also better capitalised which will reduce fears of contagion into the broader market. Overall, therefore, property funds are generally better capitalized today, with healthier balance sheets, and should be in a stronger position to withstand todays selling pressure compared with eight years ago. Rural mobile phone coverage is so bad that in many areas it is often worse than broadband. The Country Land and Business Association (CLA) issued the warning after the governments Digital Economy Bill, received its first reading in the House of Commons. See also: Ofcom decision must speed up broadband The Bill includes the introduction of a universal service obligation giving everyone the right to demand broadband speeds of at least 10mpbs by 2020. CLA East regional director Ben Underwood said the CLA had campaigned for many years to secure a universal service obligation but there was still much to be done. Discrimination It is time to end the discrimination felt in rural areas and we will continue working to ensure this law delivers for rural communities, he said. However, progress on improving mobile coverage in rural areas has been slow going. Government and the telecoms industry made a deal 18 months ago based on legally guaranteeing mobile coverage for 90% of the geographic landmass of the UK by end of 2017. But Mr Underwood said: Ever since, the industry has lined up excuse after excuse and there is scant evidence of progress towards this commitment. The most recent figures showed mobile coverage for only 55% of the country, said Mr Underwood. The government had yielded too much to telecom companies by removing the rights of individuals to negotiate open-market commercial agreements for mobile masts on their land. This has been valued by the governments own economic analysis as a 1bn benefit for the mobile operators, said Mr Underwood. The pendulum has swung too far in favour of the mobile companies. Lobbying hard We will be lobbying hard, as the Bill progresses, for ministers to reconsider their decision and give back to landowners their right to negotiate a fair value for access to their own property. The CLA would also be working to ensure the delivery of much-needed improvements to mobile coverage that were desperately needed by rural businesses and communities. Rural communities have had to put up with a second-class service for far too long there are surely now no excuses left for the mobile operators to use, said Mr Underwood. He added the CLA was continuing to lobby ministers to include legislation to ensure mobile phone companies honour existing land access contracts. Companies must not be allowed to terminate existing contracts in order to take advantage of more favourable terms under the new code, he said. The government said measures within the Digital Economy Bill will ensure Britain remains at the forefront of the global 21st century economy. It said the Bill will empower consumers and provide better connectivity so that everyone has access to broadband wherever they live. Concerns But the NFU has also voiced concerns about the governments ability to deliver on its promises. Only 4% farmers have access to superfast broadband, according to the NFUs Farm Broadband & Mobile Networks report published earlier this year. The roll-out of complete mobile networks and affordable, reliable superfast access in rural areas must be prioritised by government, said the document. Both were essential to run safe and efficient farms, to comply with regulation, to promote farm diversification and for rural communities to enjoy family life. NFU vice-president Guy Smith said: Poor access to broadband and mobile networks is one such significant barrier and the current situation is neither sustainable nor acceptable. He added: The government is asking farmers to run their businesses in conditions that put them at an immediate disadvantage. Story Highlights Fifty-five percent favor concentration of power at state level Thirty-seven percent favor power at federal government level Republicans more than four times as likely to favor state power WASHINGTON, D.C. -- A majority of Americans (55%) favor the theory of government that concentrates power in state governments, outnumbering the 37% who favor power concentrated in the federal government. The latest update of this question -- asked only twice before, in 1936 and 1981 -- is from a June 14-23 Gallup poll. It comes as nearly half of the states sue the federal government over its directive to grant transgender students the right to use bathrooms and locker rooms that correspond with their gender identity. Schools that don't comply could risk losing federal funding. Americans' Preferences for Concentration of Government Power, State vs. Federal Which theory of government do you favor: concentration of power in the state government or concentration of power in the federal government? State government Federal government No opinion % % % Jun 14-23, 2016 55 37 8 Sep 18-21, 1981 56 28 16 Jan 20-25, 1936 44 56 -- Gallup Americans' preference for state power was similar in 1981 -- the first year of the presidency of Ronald Reagan, who declared his support for states' rights on the prior year's campaign trail. In contrast, Americans slightly preferred federal power in the mid-1930s, after President Franklin D. Roosevelt unveiled a sweeping series of programs that increased the role of the federal government in Americans' lives. The current lawsuit over transgender bathrooms, which is being brought predominantly by GOP-controlled states, is one of many battles states have fought against President Barack Obama's administration. Obama was unsuccessful this year in defending his executive order on allowing undocumented immigrants to stay in the U.S. but won the fight to uphold the Affordable Care Act he signed into law in his first term. Views on this question are far from politically homogeneous. The majority of Democrats (62%) support concentrating power at the federal level, while majorities of independents (56%) and Republicans (78%) favor concentrating power at the state level. Democrats' preference for federal power reflects their party's underlying ideology and is likely related to having a Democrat in the White House. Meanwhile, about two in three state governors in the U.S. are from the GOP, and Republicans are more than four times as likely to prefer concentration of power at the state versus the federal level. Reflecting these partisan differences, a majority of Americans who approve of the job Obama is doing say they favor concentration of power in the federal government (53%), while 38% favor the states having it. By contrast, those who disapprove of Obama's job performance are more than three times as likely to favor concentration of power in the states (74%) as in the federal government (20%). Americans' Preferences for Concentration of Government Power, by Demographic Group Which theory of government do you favor: concentration of power in the state government or concentration of power in the federal government? State government Federal government % % Democrats 32 62 Independents 56 33 Republicans 78 17 Approve of Obama's job performance 38 53 Disapprove of Obama's job performance 74 20 June 14-23, 2016 Bottom Line Americans' preferences for how power is distributed in the U.S. have differed over the past century, and could change again as the politics of the country continue to shift. A reading on these attitudes at the start of a Republican's presidency some 35 years ago found the public leaning toward states' rights, but a reading 80 years ago during a Democratic presidency revealed a slight preference for federal power. If presumptive Democratic nominee Hillary Clinton loses this year's presidential election, Democrats could find themselves more amenable to concentration of power in state governments. Likewise, Republicans could become more open to federal power if a Republican again occupies the White House. Still, states' rights have long been a rallying cry of the GOP, and that seems unlikely to change as a result of the coming presidential election. Regardless of public opinion, the U.S. Constitution outlines strong federal powers, while giving all unenumerated powers to the states. The Supreme Court may choose to review any disputes between the two. Historical data are available in Gallup Analytics. Survey Methods Results for this Gallup poll are based on telephone interviews conducted June 14-23, 2016, on the Gallup U.S. Daily survey, with a random sample of 1,025 adults, aged 18 and older, living in all 50 U.S. states and the District of Columbia. For results based on the total sample of national adults, the margin of sampling error is 4 percentage points at the 95% confidence level. All reported margins of sampling error include computed design effects for weighting. Each sample of national adults includes a minimum quota of 60% cellphone respondents and 40% landline respondents, with additional minimum quotas by time zone within region. Landline and cellular telephone numbers are selected using random-digit-dial methods. Learn more about how the Gallup Poll Social Series works. How the Samsung 'Galaxy S7 Active' Failed the Water-Proof Test? Samsung has a lot to explain when it comes to the Galaxy S7 Active's water-proof feature. According to reports, contrary to what the brand largely take as the device's best feature yet, alarmingly, it might not be what consumers think it is. In a recent report featured on the Chicago Tribune, a physical test on the phone's ability to resist water failed. After testing two units of the Samsung Galaxy S7 Active device, Maria Rerecich of Consumer Reports revealed that, they cannot recommend the Galaxy S7 Active because they both failed in the test. It is a test the significantly put the brand's credibility at stake. While no individual would likely test their personal units to that level, Consumer Reports' findings sheds light on what's at risk when you buy the Samsung Galaxy S7 Active. Upon testing, some few, but significant points were observed where the Galaxy S7 Active failed. The first and most noticeable glitch is when the screen of the Galaxy S7 Active turned green when it was submerged. Prolonged stay on the water also caused the screen to show different other colors as well. The device also didn't respond to touch as opposed to its supposed light touch feature. Tiny bubbles were also spotted on the device's screen and the most surprising of all is the water managing to reach the sim card location. The Samsung Galaxy S7 Active rugged model is currently available to AT&T user in the United States. It is also available at $795, similar to the Galaxy S7 Edge and largely higher by $100 from the regular Samsung Galaxy S7 units. Have you bought your own Galaxy S7 Active unit lately? What do you think of this latest report on the water-proof testing that failed? See the latest news on the Samsung Galaxy S7 Active device by checking on GamenGuide.com. Asus Zenfone 3 Release Date, News & Update: Imminent Smartphone Dubbed As 'First Full-metal Unibody Device'? All-new Features, Specs, Price Revealed The Asus Zenfone 3 will be released on Tuesday, July 12, in Taiwan as the company planned it so. Meaning, in a few days, consumers will finally get in touch with the Deluxe variant as it is said to be the world's "first full-metal unibody phone," which already took the spotlight of the other tech giants coming out in the next few months. Asus Zenfone 3 features & specs The Asus Zenfone 3 has some notable changes in its flagship such as the power and volume button was moved on the right side. According to reports, Asus Zebfone 3 will sport one speaker, a USB Type C-port, and its sleek and slimmer processor. The consumers also have some choices of colors: Glacier Silver, Sand Gold and Titanium Gray. Reports have it that the noteworthy Asus Zenfone 3 will have no visible antenna lines on its surface. If proven true, this means Asus is the first to launch this feature as Apple have also said that iPhone 7 will have the same feature. Sources have claimed that Asus Zenfone 3 will sport a 5.7-inch full HD super AMOLED display with fingerprint sensor on the back. Moreover, Asus Zenfone 3 promises to have a bigger screen space to work with especially for users who do not want to work with bezel on the sides. Under the hood, the Asus Zenfone 3 will come with a Qualcomm Snapdragon 820 quad-core processor, 6GB RAM, 64GB/128GB built-in memory. As for its camera, the Asus Zenfone 3 23MP primary camera with Sony IMX318 sensor, lazer auto-focus with proprietary TriTech system, PDAF with 0.003 second focusing ability. Asus Zenfone 3 also comes with f/2.0 aperture, 4-axis optical image stabilization, 3-axis electronic image stabilization for steady 4K UHD video recording, and it features a quick charge 3.0 technology. Asus Zenfone 3 features & release date The Asus Zenfone 3 will cost consumers a total of $499 per unit. The company has said that it will be released in the U.S. few months after it hit Taiwan first on Tuesday, July 12. For more Asus Zenfone 3 news and update, stay tuned to GamenGuide! Philomaths Allie Martin first attended the Philomath Frolic & Rodeo when she was just 2 months old, and she hasnt missed one since. And next year when she attends, shell be a bit busier than in her past frolics the 17-year-old was crowned the rodeos queen for 2017 during the final day of the 2016 version of the rodeo Sunday. Im so happy right now. This has been my dream since I was 2 years old, she said a few minutes after the crowning at the intermission of the rodeo, which included bull riding, barrel racing, team roping, breakaway roping, tie down roping, steer wrestling and saddle bronc and bareback horse riding. Martin, who will be a senior at Philomath High School this fall, competed in Teen Miss Rodeo Oregon last year and was second runner-up. Since that competition, shes been preparing to compete for the Philomath rodeo title, for which she was finally old enough to compete. She said shes spent at least 20 hours a week riding her horses and has been studying intensely for the knowledge portions of the competition. She also competes in high school equestrian competitions. She said shes excited for the travel to rodeos throughout the Pacific Northwest that she will do during her year as the Philomath rodeo queen. Im absolutely thrilled about this opportunity and excited for this next year of my reign, said Martin, who wants to attend Linn-Benton Community College and Oregon State University after graduating with eventual plans of becoming a veterinarian. Chris Workman, the rodeos president, said that the cool, rainy weather affected the events attendance. Were down, obviously with the weather, but not down as much as we were expecting, he said. The rodeo had about 4,000 people attend. Rodeo staff said was down about 15 percent from last year's event, was one of the best-attended rodeos of the past decade. He said that the lumberjack competition, a new addition this year, filled the three bleachers they set up for spectators, and organizers are likely to continue the event next year. Workman added that the number of people that showed up despite the rain shows the dedication of the community to the rodeo. If it was an event no one cared about, we would have empty stands, he said. Feb. 4, 1923 July 4, 2016 Elsie Sophia Apt, 93, of Corvallis passed away at Samaritan Evergreen Hospice House in Albany on July 4, 2016. Elsie was born in Coleharbor, North Dakota, to William and Sophia (Sayler) Rivinius. She was the third of nine children. When Elsie was 3 years old, the family moved to Ridgeview, South Dakota. The next 22 years were spent growing up on a wheat farm. Elsie received her high school diploma in 1941 from Plainview Academy, Redfield, South Dakota. In 1945, she and two sisters left the Midwest and traveled to Spokane, Washington, by train. They had never seen forested mountains or tunnels and initially felt a bit claustrophobic. Elsie wrote that she counted exactly 28 tunnels. She attended Walla Walla College in College Place, Washington, from 1945 to 1948 and completed her education in 1967 when she received her B.S. in Education from what was known as Oregon College of Education in Monmouth. Elsie met Russell Apt in German class at Walla Walla College. Friendship turned to love and they were married in College Place, Washington, June 8, 1948. They settled in Corvallis and welcomed a daughter, Gloria, in 1949, and a son, Bill, in 1951. The family relocated to Newport in 1955. When Bill started first grade, Elsie began teaching elementary school in Siletz. For four years she took Bill and Gloria with her as she drove the 17 miles around exactly 71 curves (she counted) to get to school on time. When she retired in 1983, she had taught in Siletz, Newport and Waldport for a total of 25 years. After retirement Elsie and Russell moved to Albany in 1986. For eight years they participated in delivering meals for the Meals on Wheels program. They also traveled to Canada, Hawaii, Australia, New Zealand, Fiji, Russia, Washington, D.C., and Europe. They celebrated their 50th wedding anniversary taking a Caribbean cruise with their family. Elsie was very active in the Seventh-day Adventist church. She held many offices but serving as organist brought her the most joy. She was preceded in death by her parents, one brother, and two sisters. Survivors include husband, Russell of Corvallis; daughter Gloria Rasmussen (Keith) of Corvallis; son William Apt (Grant Molsberry) of Portland; granddaughters Kamila Hanson (Ryan) of Spokane, Washington, and Rochelle Rasmussen of Lexington, Kentucky; great-granddaughter Kylah Peterson; brothers Edwin (Ruth), Ervin (Betty Jo), and Fred; sisters Ruth Truax Amundson and Dionne Nick (Ed). A family graveside service is being planned at Willamette National Cemetery in Portland. Memorial contributions can be made to Samaritan Evergreen hospice. Please share your thoughts and memories for the family at www.demossdurdan.com When Rocky Kramer saw Tom Baca walking down Applegate Street carrying a trophy, he figured the grand marshal of the 63rd annual Philomath Grand Parade was going to pass him by. But Baca walked right up to Kramer, a 39-year resident of Philomath and mechanic at Holiday Tree Farms, and handed him the Grand Marshals Award Saturday morning. Baca retired earlier this year after nearly 25 years of service at the Philomath post office. The Frolics grand parade featured Tom and his wife Angie as grand marshals. In turn, the Bacas chose to feature Kramer. Its an honor to win an award, the 62-year-old Kramer said. Im just a mechanic. I love just riding in the parade. So winning an award is a huge honor. Kramer drove his prized 1946 John Deere tractor while his wife, Kathleen, showed off the trophy from an attached Christmas tree baler. This is just nothing but fun for us, Kramer said. My tractor is just like a toy to me so its really neat to just to be able to show it off. Saturday marked Kramers fourth time riding in the Grand Parade, but he recalled standing in awe of so many other Grand Marshals Award winners in his previous 30-plus years of watching the event from the sidewalk. Ive seen so many great people from the sides, so I was just happy to be in the parade again, Kramer said. This is a really special community where everybody helps everybody. If you need help, someone in this town is there for you. I know so many of these other people in the parade and theyre all great people. Im just a mechanic. This years theme for the Grand Parade was Family Fun for Everyone. Kramer, who raised several children in Philomath since moving to the community in 1975, said he it meant a lot for him to be honored with an award this year, considering the theme. Its a beautiful environment to raise a family and its away from that race you get in so many other towns, he said. Everyone in the world is looking for a place like this. But most people only dream of it and move on because they dont know it exists right here. Kramer, who grew up in San Jose, California, said he first came to Philomath in 1975 and knew right away that he'd found the place where he would spend the rest of his life. I looked around and I thought, Wow, this is home, he recalled. This is a working community full of hard-working wonderful people. What more could you ask for? Parade Chair Mary Johnson said Kramer was a great person to receive the Grand Marshals Award because he represented the true community spirit of Philomath. I like that we kind of all come together and through the parade celebrate all of the different ways that Philomath is unique, Johnson said. We have a lot of workers in this town. The logging industry continues to be very important in Philomath. Not as many people are involved in it as they once were, its nice to see that spirit. And its nice to see so many people being proud of all different parts of Philomath. Bonn city center : New businesses move in to replace the old Bonn Change is happening in the Bonn inner city as new shops and services prepare old buildings for new times. Teilen Teilen Weiterleiten Weiterleiten Tweeten Tweeten Weiterleiten Weiterleiten Drucken Following the closing of furniture and accessories store Butlers in the inner city, workers are already busy with renovations on the building. Erected in 1950, the interior of the building will be completely gutted and refinished. Long-time residents of Bonn might remember the building between Friedrichstrae and Wenzelgasse as home to Modenhaus Gentrup. In other shifting from old to new, the building that housed the former Kult clothing store is also being refinished. An Outdoor store called Unterwegs (Underway) is expected to open there at the end of August or beginning of September. The shop already has 18 branches in Germany, six of them are franchises. Around 1,000 square meters of retail space will be filled with merchandise for all different types of leisure sports. While the Post Office on Munsterplatz will remain just as it is, the new Postbank will also offer some postal services. It is not yet clear when that will open. An architect involved in the project, Stefan Schevardo said the building complex needs to be divided in terms of space. When a city permit is received to divide the building into two parts, then work will begin. The same architecture bureau working on the Postbank, Schevardo/Schroeder is also planning for a new construction on Friedrichstrae at Sternpassage. A fashion store called Sudstrand (South Beach) is to open up there. Currently, there is also a Sudstrand on Bonner Talweg in the Sudstadt. For the new construction, the Haus Friedrichstrae 10 has to be torn down. It used to be the home of the Bonner Schule where young artists were taught. Still unclear is what will happen to the former Carthaus gift and stationary store on Remigiusstrae. The long-time Bonn store on several floors closed its doors a year ago. No comment was given by the owner as to the future use of the building. As well, the owner of the building containing the hat shop, Hut Weber would also not comment on what will come there. It is still under renovation. Hut Weber moved to Friedrichstrae. A Birkenstock store will come to Sternstrae in the space where a boutique used to be. The building which temporarily housed Commerzbank and Sparkasse is being renovated to house a burger chain called Hans im Gluck. No opening date has been set yet. It seems lots of change is coming, and the old are being replaced with the new, but everything in due time. Religious procession : Self-flagellation in commemoration of Imam Ali Bonn A procession of Shiite Muslim men made their way through the city on Sunday, as part of their religious ritual. Teilen Teilen Weiterleiten Weiterleiten Tweeten Tweeten Weiterleiten Weiterleiten Drucken It was Sunday afternoon on Wenzelgasse and hundreds of men with bare chests formed a large group in front of the shops. Their eyes were closed and they were singing loudly in unison, beating themselves on their chests with the flats of their hands to the beat of the music. Some of them had tears in their eyes from the self-inflicted pain. Chests were swollen and red. Above, they carried an empty, ornate coffin. Passersby watched the scene with amazement, some with astonishment. One of them was Bonn University student, Laura Bessendorf. What are they doing? she asked. The men are Shiite Muslims, commemorating Imam Ali, explained Samina Haider of the Shiite Community of Germany, and also the spokesperson for the procession. Further, she explained that Imam Ali was seen by the Shiites as the rightful successor to the Prophet Mohammed but he was murdered 1,400 years ago during the morning prayer of the 19th of Ramadan in the mosque with a sword. After the fasting month of Ramadan, Imam Ali is honored. The men listen to lectures, then beat themselves in unison in this ritual and finish with a release of white doves. Following that, they share a meal together. According to Haider, around 500 men came to Bonn from all around Germany to participate. The believers are from Italy, Spain, England or Greece and mostly of Pakistani origin, Haider said. It was noticed that many of the men were scarred. These are from the times of another form of self-flagellation, said one participant. In that form, the faithful will beat themselves with a small knife attached to a string on the back. Article Protecting the worlds oceans an important goal of Germanys climate diplomacy The worlds oceans are vital to our survival. They regulate the global climate and are a source of food and income for billions of people. Only a very small part of the seas enjoys legal protection, however. Our diplomats are working in New York right now to change this state of affairs. Xiaomi expands offline presence, partners with Just Buy Live and Innocomm to reach masses News oi -Harshita Xiaomi is betting big on smartphone market in India, which is quite obvious with its expansion plans for the country. The company's Indian dimension, Mi India announced that it is expanding its offline presence by entering into partnership with Just Buy Live and Innocomm. With the partnership in place, the company expands its offline distribution network, which also includes other offline retailers such as Big C, LOT Mobiles, Sangeetha, Poorvika and more, increasing its presence to more than 5000 offline outlets. Xiaomi Mi5 vs Vivo X7: Which phone is more value for money! Xiaomi's Sale model in India: Xiaomi started as an online only player in India, and brought flash sale model in to fashion in the country. The company created ripples in the smartphone market in India and soon became a threat to domestic as well as top tier smartphone companies in India. Ever since its debut in India, the company, also known as Apple of China, has expanded its reach from an online channel-specific to opening its own online channel and now to offline retailers. Xiaomi Redmi Note 3 vs Motorola Moto G4 Plus Xiaomi in India sells products offline via a direct-to-retail (DTR) sale model, under which the company deal directly with retailers via a single distributor, to meet the demand. The model cuts out several mediators in the offline selling model. Models that will be available offline: It is selling all its smartphones, including Redmi 2, Redmi 2 Prime, Redmi Note Prime, Redmi Note 3, and Mi 5 via its offline distributors across all major Tier 2 cities in India, and will be making Mi Max also available in stores from 13 July onwards. The prices of all devices will be similar to the online pricing. Xiaomi Mi Max Review Commenting on the expansion, Manu Kumar Jain, India Head - Xiaomi, says: "We are primarily an e-commerce company and we have brought our learning from the online model to our offline model. We have chosen Just Buy Live and Innocomm because of their unique models that distribute directly to retailers. I believe that this strategic alliance will strengthen Mi India's presence across India. As we expand to more than 5000 offline outlets, it allows more people to experience our products and purchase them easily." Best Mobiles in India House of Councilors election 10 July 2016 The ruling camp of the LDP and the Komeito, as well as other two small opposition parties, together won two-thirds majority in the chamber, so as to launch a Constitution amendment motion in the future. Combined with their uncontested seats in the upper house, the Constitution review bloc needed to secure 78 positions in the contest on so as to take a two-thirds majority in the upper house. Prime Minister Abe's governing coalition surpassed the benchmark he set before the election, a majority of the contested seats or 61. The coalition consists of Abe's Liberal Democratic Party and its partner Komeito. The governing coalition and 2 smaller parties in favor of changing the Constitution reached two-thirds of the seats in the chamber. Half seats in the 242-member chamber was contested in the election and the ruling camp was on track to win nearly 70 of 121 seats that were up for grabs in the 242-seat upper house. A handful of seats remained undecided. Revision of the constitution requires two-thirds of both houses of Parliament, after which the changes must be approved by a majority of voters in a national referendum. The coalition already controlled two-thirds of the lower house, which wasnt up for election, meaning Abe had the votes to start the revision process. The head of Komeito, the LDP's coalition partner, said the ruling parties secured a majority in the Upper House through unity and cooperation. Komeito Chief Representative Natsuo Yamaguchi maintained a cautious stance regarding possible revision of war-renouncing Article 9 of Japan's Constitution. He said that such an amendment is unnecessary for the time being, as the government and the ruling parties hammered out their basic views on the Constitution when they drafted national security legislation, which is now in place. Though the prime minister denied the possibility of a double upper and lower house election at a press conference on the evening of 29 March 2016, the DP believed it was highly likely that the elections will be held on the same day. If that is the case, the chances of the election being held for the current 475 seats are great, and the DP would have to field 238 candidates if it was to aim for a majority. For the moment, however, the party only had about 190 candidates, and would have to field about 50 more in a short span of time. Opposition parties such as the Japanese Communist Party, the Social Democratic Party, and the People's Life Party & Taro Yamamoto and Friends sought that opposition parties back the same candidates against ruling coalition candidates. If the DP were to go ahead with fielding and backing its own unique candidates, the other opposition parties were expected to express strong objections. On 02 June 2016 Japan's government formally scheduled an Upper House [House of Councilors] election for 10 July 2016. The government also decided that election campaigning would officially start on June 22nd and last for 18 days -- one day longer than a normal Upper House election. Government officials say that if campaigning began as usual, it would start on June 23rd, when Okinawa commemorates the end of fierce ground battles in the closing days of World War Two. Major issues in the election were expected to include Prime Minister Shinzo Abe's economic policy known as Abenomics and Japan's right to collective self-defense. Up for grabs in the vote are 121 seats -- 73 in electoral districts and 48 in the proportional representation system. Japan's voting age was lowered from 20 to 18 starting with the election, adding around 2.4 million people to the country's voting population. Political leaders across Japan took to the streets 22 June 2016 to appeal to voters. They have kicked off their campaigns for the Upper House election set for 10 July 2016. The official campaign runs for 18 days. Candidates are debating economic policies, new national security laws and the idea of amending the Constitution. Half of the 242 Upper House seats are at stake every 3 years. They are divided between electoral districts and a proportional representation system. Some 225 people are running for the electoral districts. And 164 people for proportional representation. The parties and political groups fielding candidates for electoral districts are: Liberal Democratic Party, Democratic Party, Komeito, Japanese Communist Party, Initiatives from Osaka, Social Democratic Party, Party for Japanese Kokoro, New Renaissance Party, GenzeiNippon, Happiness Realization Party, Angry Voice of the People, Shijiseitonashi, other groups and Independents. The parties and political groups vying for proportional representation seats, in order of entry, are: Social Democratic Party, Angry Voice of the People, Initiatives from Osaka, Komeito, Japanese Communist Party, Happiness Realization Party, Liberal Democratic Party, New Renaissance Party, Party for Japanese Kokoro, People's Life Party, Democratic Party, and Shijiseitonashi (No Party to Support). Shinzo Abe, President of the Liberal Democratic Party, said, "I thought I must launch my election campaign from Kumamoto. I thought I will convey to the entire nation our commitment to rebuilding Kumamoto after the earthquakes. The key issue of this election is economic policy. Opposition party members cannot open their mouths without criticizing. All they say is 'Abenomics has failed'. This fight is about whether to advance or retreat. Are we going to vigorously push forward Abenomics to see Japan grow and each region prosper, and to create a country where everyone can feel the economy is picking up? Or will we go back to 4 years ago? Are we going to return to that dark and sluggish period? This is the decision you're making in this election." Democratic Party President Katsuya Okada said, "We'll bring the Abe administration's reckless drive to an end, and change the political landscape. If we allow the parties that want to change the Constitution to get two thirds of the seats, they're certain to push the changes through, especially to Article 9. They want to allow Japan to exercise the right to collective self-defense without any limits. We should not allow the Japan-US alliance to be forged in blood. Many young people are not seeing their salaries increase and they're giving up on marriage, even people in their 30's and 40's. It's a reality in Japan. The government should pursue economic policies to balance development and a fairer distribution of wealth. Abe's government says it will expand the economy and then the wealth will trickle down to the people. But Abenomics is the wrong direction for Japan." Komeito Chief Representative Natsuo Yamaguchi said, "We have delivered results steadily over the past 3 years aiming at reviving the economy and ending deflation. Tax revenue has increased. It's important to distribute the outcome achieved by the coalition government to those people yet to benefit from 'Abenomics'. We cannot hand the administration to the Democratic and Japanese Communist Parties. They're fielding unified candidates with no clear explanation of their responsibilities after the election." Japanese Communist Party Chairperson Kazuo Shii said, "The 4 parties are brought together in the common cause of abolishing the security laws and restoring constitutionalism. To put it simply, we need to restore a proper government that adheres to the Constitution. This should be the utmost priority and we should put policy differences aside. We're questioning the Abe administration, which goes against public opinion." Initiatives from Osaka Leader Ichiro Matsui said, "Stingy is the key word. We're being stingy at the Osaka prefectural and city governments so as not to waste a single pencil, or a piece of paper. It's natural in Osaka. If we can do small things like that across the country, there will be no need to increase taxes. On the issue of politics and money, we want to review the political funds control law, which has loopholes." Social Democratic Party Leader Tadatomo Yoshida said, "This Upper House election is about stopping reckless Abe politics, which don't follow the Constitution and also fail to consider people's lives and their livelihoods. Through the pacifist Constitution that our party has made use of and has protected, let's aim for a country that won't go to war." People's Life Party President Ichiro Ozawa said, "If the Prime Minister keeps trying to rev up and pursue Abenomics, it will put the country's future and people's lives at risk. Bearing that thought in mind, opposition parties should unite. Our first objective is to replace the Prime Minister." Party for Japanese Kokoro [heart] Chairperson Kyoko Nakayama said, "The Constitution itself should express the character of Japanese people. It should reflect Japanese traditions and the Japanese spirit. We should build a nation that is seen as trustworthy and amicable by the international community." New Renaissance Party President Hiroyuki Arai said, "You can't just take Abenomics and rev up its engine to create success. If we want to solve real problems, we have to turn the wheel and steer in the direction of real people and their households." Shiji Seitou Nashi (No Party to Support) is the third political party Hidemitsu Sano has organized. He previously ran as the head of Shinto Honshitsu (New Principle Party) and Anrakushi To (Euthanasia Party). The basic idea is to offer an alternative to blank votes, which voters often cast in protest over existing parties. Prime Minister Abe said that his ruling bloc aims to win a majority of seats contested this time. That's 61 of the 121 seats up for grabs. This could pave the way for Prime Minister Shinzo Abe to make history: to revise the US-drafted Constitution that nationalists see as a humiliating remnant of Japans World War II defeat. Although Abes ruling Liberal Democratic Party and its junior partner, Komeito, already held a two-thirds majority in the 475-seat Lower House, they had yet to achieve this in the 242-seat Upper House. NEWS LETTER Join the GlobalSecurity.org mailing list Enter Your Email Address RS-26 Rubezh / Avangard Road Mobile ICBM The RS-26 Rubezh [Frontier] was created on the basis of RS-24 Yars. This nomenclature is a bit confusing, since the Rubezh coastal missile system was put out of service in 2006 and replaced by a new Bal-E-type mobile coast-based missile system. The RS-26 missile was created on the RS-24 Yars ICBM basis. The new upgraded missile will have multiple warheads and is expected to be lighter than Yars. The RS-26 ICBMs will be only mobile launched, as no silo basing is envisaged for them. The missile appears to be an intermediate-range missile based on the first two stages of RS-24 Yars. In mid-March 2018 it was reported that the newest hypersonic strategic missile system "Avangard" will be adopted for service no later than 2019. "Vanguard" with a hypersonic planning and maneuvering warhead has already been put into serial production. The strategic hypersonic missile system (RK) "Vanguard" of silo-based basing is included in the state armament program (GPO) until 2027, it will replace the mobile RK "Rubezh". Initially, it was planned to include both the Avangard and the Rubezh in the GPO, but later it became clear that there were not enough funds for the simultaneous financing of these two projects. Therefore, the final version of the new GPO included Avangard, as having more importance for ensuring the country's defense capability. Because of the impossibility of simultaneous financing of all missile programs in the interests of the Strategic Missile Forces, the new combat railway missile complex Barguzin was not included in the GPV-2027. The new RS-26 Rubezh is an intercontinental ballistic missile (ICBM), meaning that it follows a ballistic trajectory to reach the target. During the descending section of that trajectory, with only a few hundred miles left to the target, the warhead suddenly takes a dive, loses altitude, and continues the approach as a cruise missile. These new Russian ICBM warheads were developed in response to Americas plans to deploy a global missile defense system along Russia's borders. There is little information about the new missile. It was developed at the Moscow Institute of Thermal Technology under the code "Rubezh" ("Frontier"). The missile is launched from a mobile launcher. Deputy Prime Minister Dmitry Rogozin called the missile a "killer of US missile defense." Commander of the Russian Strategic Missile Forces (RVSN) Colonel General Sergei Karakayev said on 17 December 2014 the new missile would have lower mass than Yars. We always say that we need to reduce the size (of missile systems). Speaking of the Yars land-based mobile missile system, to date, its launcher weighs more than 120 tonnes. We will make the improved missiles weight characteristics under 80 tonnes, said the commander. By improving the rocket fuel component, a solid fuel component, we are creating a new missile system, which we can deploy also on different soils and in different positioning areas. You understand that it has a better off-road capability and it is smaller in size, so its combat survivability will be higher, and it will require less camouflaging facilities, Karakayev said. We will not put it in silos, as its a land-based mobile missile system, he added. The new ICBM represents a deep modernization of Topol-M or Yars. On the basis of this information, the all-up-weight of the missile is estimated to be at least 60 tons. There is information about creating a new mobile launcher significantly different from the similar machines of previous complexes. A missile, as well as other similar systems, is supposedly made according to a three-stage scheme. From messages about the latest launch it follows that the Rubezh was equipped with multiple warheads. The authors of the portal MilitaryRussia.ru suggest that for the missiles Rubezh a new maneuvering warhead can be constructed. Initially it was unknown whether this missile would carry a single warhead or Multiple Independent Re-entry Vehicles (MIRVs). Both configurations were tested in 2013. Rubezh was said by Russian sources to be more accurate than current ballistic missiles and is capable of penetrating even the most sophisticated missile defenses. NEWS LETTER Join the GlobalSecurity.org mailing list Enter Your Email Address Six suspects were arrested during two separate drug busts by the Caswell County, North Carolina, Sheriffs Office. On Thursday, the sheriffs office narcotics unit executed two drug search warrants in Yanceyville: first at 400 Parkway Drive and then at 158 Main St., according to a news release. At 400 Parkway Drive, 65 grams of marijuana, cash, digital scales and other drug paraphernalia were discovered, the news release stated. Shenell Lato Jones was arrested and charged with possession with intent to manufacture, sale and deliver marijuana; maintaining a dwelling, manufacture marijuana; and possessing drug paraphernalia. Jones is being held in the Caswell County Jail under a $100,000 secured bond. Rion Dmitri Spears was charged with possession of drug paraphernalia by citation. Items seized from the second search warrant at 158 Main St. included 10 individually wrapped baggies of marijuana weighing approximately 1 gram a piece, digital scales, marijuana grinder and other drug paraphernalia, the news release stated. Other arrests include: Harold Antonio Morrison, who was arrested and charged with possession with intent to manufacture, sale and deliver marijuana; maintaining a dwelling; manufacture marijuana; and possessing drug paraphernalia. Morrison is held in the Caswell County Jail with a $5,000 secured bond. Stacey Hudgins Adams was charged with deliver schedule VI controlled substance. Larry Adams Jr. was charged with citation for possession of drug paraphernalia. Raheem Adams was charged with a citation for possession of less than half an ounce of marijuana and citation for possession of drug paraphernalia. All men involved will appear in Caswell County District Court on Wednesday. Vancouver, British Columbia / TheNewswire / July 11, 2016 - African Queen Mines Ltd. (the "Company") is pleased to announce that experienced, world-class senior geologist Reinhard Ramdohr, Ph.D., has now joined the Company as Head of Exploration and Project Manager at the Company's Yellowjacket Gold Project, which covers an aggregate of 228.04 sq. km in the historic Pine Creek and Spruce Creek areas 9 km East of Atlin, B.C. (the "Project"). His efforts will be focused on exploration of the hard-rock potential of the Project on a district basis with a view toward delineation and development of one or more commercially viable gold deposits. Initially, he will oversee the 2016 exploration program, which includes core drilling set to commence shortly in two phases. Dr. Ramdohr is highly qualified as an exploration geologist and mining engineer with 40 years' experience in gold, base metals, iron ore, uranium and gemstone exploration around the world. His experience runs the gamut from grass roots exploration and mapping to sophisticated geophysical and drilling programs, to ore reserve calculation, feasibility studies as well as overseeing formal training and education programs. Dr. Ramdohr is a German national, resident of Weinheim, and will be on site at Yellowjacket through the end of the work season in November. He has a Mining Engineering degree from Clausthal,-Zellerfeld Technical University and a Doctorate in Geology from Heidelberg University. He is a frequent lecturer and published technical writer. Dr. Ramdohr's arrival at the Company reunites him with the Company's management and technical teams which successfully carried out development of projects for Pan African Mining Corp., which was sold to Asia Thai Mining Co., Ltd. in 2008. Dr. Ramdohr successfully oversaw exploration for Pan African, with a focus on drilling and development of uranothorianite deposits in the Tranomaro area of southern Madagascar. More recently, he has served for nearly 4 years as Exploration Manager for Russian major Nordgold in Burkina Faso, West Africa. He contributed to gold projects Bissa (now in production) and Bouly (slated for production in near future). Dr. Ramdohr has worked for a host of other companies, large and small, over his lengthy career, in diverse locations with a focus on Africa and Australia. Dr. Ramdohr replaces Fionnuala Devine, Senior Project Geologist, who has departed the Company to pursue other commitments after nine months of service. Throughout his career, Dr. Ramdohr has contributed his time and talents to educating third world geologists and mining engineers and training other technical personnel in state-of-the art techniques. He participated in a number of different projects for the German Third World assistance program ("GTZ"), supporting small scale mining ventures in Africa, as well as for the German Senior Expert Service. He has also recently been lecturing in Saudi Arabia at King Abdullah University for Science and Tecnology. According to Irwin Olian, CEO of the Company, "We are honored that Dr. Ramdohr has agreed to take the reins of our Yellowjacket Gold Project. We had a great relationship with Reini when he served our predecessor company Pan African Mining Corp. in Madagascar. His experience and qualifications will be invaluable to us again and should enhance our potential for success at Yellowjacket." About African Queen The Company is an exploratory resource company engaged in exploration and development of mineral properties in Canada and Africa. It is presently focusing on development of its Yellowjacket Gold Project in Atlin, British Columbia, which covers an aggregate of approximately 228.04 sq. km in the historic Pine Creek and Spruce Creek areas. The Company has its executive offices in Vancouver, Canada. The Company was incorporated under the laws of the Province of British Columbia, Canada on April 30, 2008, and received certain southern African assets in a spin off transaction related to the acquisition of Pan African Mining Corp. by Asia Thai Mining Co., Ltd. ON BEHALF OF THE BOARD OF DIRECTORS OF AFRICAN QUEEN MINES, LTD. "Irwin Olian" Irwin Olian Chairman & CEO For more information, contact: Irwin Olian, President and CEO E-mail: tigertail@africanqueenmines.com Phone: (604) 788-0300 Carrie Howes, Corporate Communications Email: carrie@africanqueenmines.com Phone : U.K. - +44 (0) 870 490 5443 Canada - +1 416 900 3634 The TSX Venture Exchange has not reviewed and does not accept responsibility for the adequacy or accuracy of the content of the information contained herein. The statements made in this press release may contain certain forward-looking statements that involve a number of risks and uncertainties. Actual events or results may differ from the Company's expectations. Copyright (c) 2016 TheNewswire - All rights reserved. VANCOUVER, BRITISH COLUMBIA--(Marketwired - Jul 11, 2016) - Medgold Resources Corp. (TSX VENTURE:MED) (the "Company" or "Medgold") is pleased to announce that it has entered into an option agreement to acquire up to a 100% interest in the Marrancos Project (the "Project") located in northern Portugal. As part of its due diligence, Medgold recently completed a reconnaissance-level rock-chip sampling program. Out of 22 rock chip samples collected from across the Project license area, 14 assayed greater than 1 g/t Au. On the primary Marrancos target area, four samples were collected from both float and outcrop, and returned results of 35.90 g/t Au, 8.63 g/t Au, 4.75 g/t Au, and 4.19 g/t Au. Dan James, President of Medgold, said: "We are very excited to have the opportunity to earn an interest in the Marrancos Project. This low-cost agreement allows Medgold to continue building its project pipeline in Portugal and our due diligence work has already identified a priority target for further work. Marrancos boasts several of the key features we look for in a target. Firstly, it's located at the intersection of two major orthogonal structures and is close to a sediment-granite contact. Secondly, our recent sampling and historical trench results show that the local structures host high-grade gold mineralization. Our sampling includes a high of 35 g/t Au. We're planning a program of systematic mapping and sampling over the summer months with the aim to define a drill target by the fall of 2016." The Marrancos Gold Project The Marrancos Gold Project, which covers a total area of 39 sq km, is located in the Braga District in central-northern Portugal, about 20 km north of the city of Braga. The project is centred on the intersection of the northwest-trending Vigo-Regua fault and the northeast-trending Portela das Cabras-Carbalinho fault, which is considered to be the macro-control on the regional mineralization. The Project covers four reasonably well-defined targets, including the priority target, the Marrancos prospect. Mineralization at the prospect is controlled by a 15m wide, northeast-trending structure, which crops out and is mineralized over a strike length of 600m. The prospect is located approximately 1km from the main metasediment-granite contact, which, is an important control on gold mineralization at some of Medgold's other projects (e.g. Castromil, Lagares). Historical trenching across this structure by the Portuguese Geological Survey (Servico de Fomento Mineiro, SFM), identified narrow zones of very high-grade mineralization; e.g. 1.0m at 97.5 g/t Au. SFM later completed 8 diamond drill holes, for 641.88 metres, which returned a best result of 5.82m @ 5.14 g/t Au (from 70.50m). The reader is cautioned that these are historical results and a Qualified Person has not completed the work necessary to verify these results. Medgold's recent due diligence work has confirmed the presence of the 15m wide shear corridor, but perhaps more importantly, it has also identified the presence of sigmoidal quartz veins, up to 50cm in thickness, cross-cutting the main shear corridor. Their orientation is northwest-trending and perpendicular to the northeast-trending shear. Medgold's sampling has returned four rock-chip results of 35.90 g/t Au, 8.63 g/t Au, 4.75 g/t Au, and 4.19 g/t Au. Preliminary results indicate that the sigmoidal quartz veins can contain significant gold concentrations, as high or higher than those thus far observed in the main shear corridor. Medgold's geologists noted that the sigmoidal veins run parallel to the historical trenches and drilling. This indicates that previous exploration would either have missed or overlooked these sigmoidal veins. Exploration work over the coming months will focus heavily on the detailed analysis of the vein-structure relationship and the principal controls on the distribution of the high-grade sigmoidal veins. If the work identifies suitable drill targets, Medgold aims to drill-test this zone in the Fall, immediately following the completion of the Centerra-funded drilling program at Boticas Gold Project. Option Terms Medgold has the option from Lusorecursos, a private Portuguese company, to earn an initial 70% interest in the Marrancos Project by making a cash payment of EUR25,000 and incurring exploration expenditures of EUR150,000 on or before 12 months following the agreement date. Upon acquiring the 70% interest in the property, Medgold shall elect to either form a 70:30 joint venture with Lusorecursos or be granted a second option to earn an additional 30% interest. Medgold may exercise the second option, to earn a 100% interest in the Project, by making a cash payment of EUR20,000 to Lusorecursos and incurring exploration expenditures of EUR150,000 within the following 12 months. Upon acquiring the 100% interest in the Project, Medgold shall grant to Lusorecursos a 1% NSR royalty on production from the property, and Medgold will have the right at any time to purchase the royalty by making a cash payment to Lusorecursos of US$1.0 million. Shares for Services In order to preserve its cash on hand during 2016, Medgold has agreed, subject to TSX Venture Exchange approval, to issue a total of 300,000 shares of the Company to Dan James in part consideration for his ongoing services as the Company's President. The shares will be issued in installments over a one-year period commencing as of January 1, 2016. Quality Assurance/ Quality Control Samples were prepared by ALS Minerals at the Seville Laboratory, Spain. Rock samples were analyzed for gold using a 50 g fire assay with AAS and/or gravimetric analysis, and for multi elements by Aqua Regia digestion followed by ICP-MS and/or ICP-OES analysis by ALS Minerals at the Omac Laboratory, Ireland. Certified reference materials, blanks, and field and laboratory duplicates were routinely inserted for quality assurance and quality control. Qualified Person David Clark, PGeo, consulting geologist to the Company, is a Qualified Person as defined by National Instrument 43-101 -- Standards of Disclosure for Mineral Projects. Mr. Clark has reviewed and approved the disclosure of technical information contained in this news release. About Medgold Medgold is a European-focused, TSX-V listed exploration and development company targeting gold properties in northwest Iberia and the under-explored gold provinces of southern Europe. Run by a highly experienced management team with a successful track record of building value in resource companies, Medgold is aiming to become a leading European gold company. Additional information on Medgold can be found on the Company's website at www.medgoldresources.com and by reviewing the Company's page on SEDAR at www.sedar.com. ON BEHALF OF THE BOARD Daniel P. James, President & Director Neither the TSX Venture Exchange nor the Investment Industry Regulatory Organization of Canada accepts responsibility for the adequacy or accuracy of this release. Forward-looking statements Certain statements contained in this news release constitute forward-looking statements within the meaning of Canadian securities legislation. All statements included herein, other than statements of historical fact, are forward-looking statements and include, without limitation, statements about the possible acquisition by the Company of an interest in the Marrancos Project and proposed exploration work thereon. Often, but not always, these forward looking statements can be identified by the use of words such as "estimate", "estimates", "estimated", "potential", "open", "future", "assumed", "projected", "used", "detailed", "has been", "gain", "upgraded", "offset", "limited", "contained", "reflecting", "containing", "remaining", "to be", "periodically", or statements that events, "could" or "should" occur or be achieved and similar expressions, including negative variations. Forward-looking statements involve known and unknown risks, uncertainties and other factors which may cause the actual results, performance or achievements of the Company to be materially different from any results, performance or achievements expressed or implied by forward-looking statements. Such uncertainties and factors include, among others, if the Company will acquire an interest in the Marrancos Project and if the proposed exploration work thereon occurs as proposed; changes in general economic conditions and financial markets; the Company or any joint venture partner not having the financial ability to meet its exploration and development goals; risks associated with the results of exploration and development activities, estimation of mineral resources and the geology, grade and continuity of mineral deposits; unanticipated costs and expenses; and such other risks detailed from time to time in the Company's quarterly and annual filings with securities regulators and available under the Company's profile on SEDAR at www.sedar.com. Although the Company has attempted to identify important factors that could cause actual actions, events or results to differ materially from those described in forward-looking statements, there may be other factors that cause actions, events or results to differ from those anticipated, estimated or intended. Forward-looking statements contained herein are based on the assumptions, beliefs, expectations and opinions of management, including but not limited to: the Company will conduct exploration work on the Marrancos Project as proposed; that the Company's stated goals and planned exploration and development activities will be achieved; that there will be no material adverse change affecting the Company or its properties; and such other assumptions as set out herein. Forward-looking statements are made as of the date hereof and the Company disclaims any obligation to update any forward-looking statements, whether as a result of new information, future events or results or otherwise, except as required by law. There can be no assurance that forward-looking statements will prove to be accurate, as actual results and future events could differ materially from those anticipated in such statements. Accordingly, investors should not place undue reliance on forward-looking statements. NANAIMO, BRITISH COLUMBIA--(Marketwired - Jul 11, 2016) - Troymet Exploration Corp. (TSX VENTURE:TYE) ("Troymet" or the Company") is pleased to report that, preparatory to drilling, a field crew has mobilized to the Alpha zone of the Redhill project to: Complete detailed soil sampling of the ~900 m x 250 m gold-in-soil anomaly Prospect the significant chargeability anomalies identified by the recently completed Voltera-3DIP survey Prospect the VMS prospect which is now recognized as occurring in a prospective volcanic sequence that tracks the contact of the high/low chargeability domains The gold-in-soil anomaly was first identified by sampling at 50 m stations along 200 m spaced lines. The anomaly will be detailed by sampling at 25 m stations along 100 m spaced infill lines. The 3DIP survey mapped previously unrecognized and fundamental geologic patterns in the Alpha zone and identified two significant chargeability anomalies. One chargeability anomaly (>20 milliseconds; ~280 m x 260 m) lies just west of the VMS prospect. The second (16-18 milliseconds; ~210 m x 100 m) lies in the southeast part of the grid. Maps of the 3DIP chargeability and resistivity as well as the gold-in-soil anomaly can be viewed at www.troymet.com/projects/redhill/maps-and-photos. The VMS prospect occurs in a prospective volcanic sequence that tracks the contact of the high/low chargeability domains. The VMS prospect is associated with a 200 m long Fixed Loop Transient EM conductor as well as Borehole Transient EM conductors. Hole RH06-25, re-logged, quartered and re-assayed by Troymet, returned: 8.75% copper, 4.75% zinc, 1.22 g/t gold & 61.19 g/t silver over 2.04 m. The mineralization is open along strike and to depth. President and CEO, Kieran Downes, P. Geo., a Qualified Person as defined by National Instrument 43-101, has reviewed and verified the technical information provided in this release. About Troymet Exploration Corp. Troymet Exploration Corp. is a junior exploration company with a solid treasury and with projects in British Columbia (Redhill and Golden Eagle), Manitoba (McClarty Lake) and Utah (Wildcat). Troymet operates the Wildcat, Redhill and Golden Eagle projects. HudBay Minerals Inc. is the operator of the McClarty Lake joint venture and must contribute $1,151,052 in joint venture expenditures before Troymet is required to fund its participating interest. Troymet retains a 2% net smelter returns royalty (NSR) on the Key property, British Columbia, which was sold to New Gold Inc. in 2013. TROYMET EXPLORATION CORP. Kieran Downes, Ph.D., P.Geo. President, CEO & Director Neither the TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in the policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this news release. This news release contains certain forward-looking information. All statements included herein, other than statements of historical fact, are forward-looking information and such information involves various risks and uncertainties. In particular, this news release contains forward-looking information in respect of: the Redhill Project, including the possible exploration and development of the Redhill Project; the exploration potential and analogous deposit potential of the Redhill Project; future data analysis, sampling plans and exploration plans on the Redhill Project; the timing for exploration and drilling on the Redhill Project; exploration targets and the potential of such exploration targets; and the ability and the timeframe within which the Redhill Project can be advanced. There can be no assurance that such information will prove to be accurate, and actual results and future events could differ materially from those anticipated in such information. This forward-looking information reflects Troymet's current beliefs and is based on information currently available to Troymet and on assumptions Troymet believes are reasonable. These assumptions include, but are not limited to: the current share price of Troymet's common shares and the ability to raise future equity financing, if needed, at prices acceptable to Troymet; Troymet's current and initial understanding and analysis of the Redhill Project; the ability of Troymet to discover viable exploration targets and the results of exploration on the Redhill Project; the cost of exploration, including sampling and drilling, on the Redhill Project; Troymet's general and administrative costs remaining constant; and the market acceptance of Troymet's business strategy. Forward-looking information is subject to known and unknown risks, uncertainties and other factors which may cause the actual results, level of activity, performance or achievements of Troymet to be materially different from those expressed or implied by such forward-looking information. Such risks and other factors may include, but are not limited to: the early stage development of Troymet and its projects, and in particular, the Redhill Project; general business, economic, competitive, political and social uncertainties; capital market conditions and market prices for securities, junior market securities and mining exploration company securities; commodity prices; the actual results of current exploration and development or operational activities; competition; changes in project parameters as plans continue to be refined; accidents and other risks inherent in the mining industry; lack of insurance; delay or failure to receive board or regulatory approvals; changes in legislation, including environmental legislation, affecting Troymet; timing and availability of external financing on acceptable terms; conclusions of economic evaluations; and lack of qualified, skilled labour or loss of key individuals. A description of other assumptions used to develop such forward-looking information and a description of other risk factors that may cause actual results to differ materially from forward-looking information can be found in Troymet's disclosure documents on the SEDAR website at www.sedar.com. Troymet does not undertake to update any forward-looking information except in accordance with applicable securities laws. Kirribilli's new food arrival, Oski, might look like a mild-mannered cafe, but beneath the surface it has a fast-beating Nordic heart. Owner Eric Varnhed's family is Swedish, but forget any notions of Ikea furniture. "Our chef is pretty good on the lathe so he built some of the furniture and the three-legged stools, which are based on Viking stools," explains Varnhed, a chef himself. The tiny 18-seater doesn't push too hard on the theme with the menu, although there is "pittypanna", which Varnhed describes as Swedish bubble and squeak. "I'd like to do more dishes. In summer we're looking at doing nights. During the day we'll add Swedish-style open sandwiches. And we're thinking about doing meatballs," he says. Again, he says they'll be less Ikea, more bespoke. Tues-Sun, 7am-3.30pm 11 Bligh Street, Kirribilli, instagram.com/oski_kirribilli Toko owner Matt Yazbek has been known to quietly slide into the kitchen at his Japanese restaurant and jump on the tools, now he's about to tackle a cuisine a little closer to home. Yazbek is opening a Lebanese restaurant late August, in Surry Hills. "It's the food I grew up with. I started out at Toko washing rice, I've even given sushi classes," he says. Cubby's Kitchen is a new pop-up for Surry Hills. Photo: Nikki To At Cubby's Kitchen, he'll cook side-by-side with his mother, Mouna, while his sisters Amanda and Diala and brother Richard are all involved in the venture. Barramundi with harissa and garlic, bastourma "rock 'n' rolls" and Lebanese doughnuts with cinnamon and maple goat's cheese will be on the opening menu. Yazbek argues the current rise of Modern Middle Eastern food across Sydney is driven by its lower cost, high vegetarian component and being BYO friendly. Cubby's Kitchen will take half of the sprawling space currently occupied by Crown Street Fish Shop, which is undergoing "an Arabic-inspired refurbishment". The Cubby's Kitchen pop-up is part of bigger plans, which will see it eventually take up a permanent home in a site Yazbek owns nearby. He's also working on a new "grunge Japanese concept". SHARE Edgar Arias Terrell Pankey Benjamin Delarosa Raquel Delarosa Zapata By Staff Report Charges for the six people who were employees of the Texas Pacifico Railroad and accused of theft amounting to more than $100,000 from the company in 2014 have been dismissed or are proceeding in court. Benjamin Delarosa, 40; Edgar Arias, 44; Janet Briones, 37; Raquel Delarosa Zapata, 36; Ernesto Valero, 36; Terrell Pankey, 49, were accused of participating in a scheme in which a shell company sold railroad material belonging to Texas Pacifico and contracted labor it wasn't authorized to use. The six were arrested in 2014 and were all released on bond. Delarosa was indicted in April 2015 for theft of property between $20,000 and $100,000, a third-degree felony, in count one of the indictment and theft of property between $1,500 and $20,000, a state jail felony, in count two. Delarosa entered the Pre-Trial Intervention Program on June 24, which puts him in community supervision for one year. Upon successful completion of the program, a recommendation to dismiss the case will be made to the district attorney's office, according to court documents. Delarosa also was indicted in April for organized criminal activity, a second degree felony, but that charge was dismissed. Arias was indicted in April on two counts of theft of property between $20,000 and $100,000. He was arrested December 2, 2014 and bonded out three days later on a $75,000 surety bond. A capias has been issued for his arrest for failure to appear in court. Briones was arrested in December 2014 and charged with theft of property between $20,000 and $100,000 and released the following day. Briones has not been indicted. Zapata was indicted on a charge of theft of property between $20,000 and $100,000 as well as organized criminal activity, but all charges against Zapata have been dropped. Valero was indicted April for theft of property between $1,500 and $20,000 and for organized criminal activity. Valero entered into the Pre-Trial Intervention Program In January. Pankey was indicted on two counts of theft of property between $20,000 and $100,000 and indicted on a charge of engaging in organized criminal activity. Pankey is set for criminal pretrial hearing Oct. 4. Authorities accused the group of using a shell company, at times called JCB Construction & Derailment Corp., to negotiate contracts for more than half a dozen projects for several private companies that operate along the rail from Tom Green County to Fort Stockton. They were accused of doing the installation work using stolen materials, then billing the private companies and sharing the proceeds. Briones is the wife of Arias, according to police, and Zapata is the sister of Delarosa. The six people charged with theft were midlevel managers for Texas Pacifico. Police seized assets of rail property valued near $50,000 and vehicles valued near $50,000. The original complaint, filed in July 2014, originated after Texas Pacifico employees became aware of a local track that had unauthorized repairs, according to a statement from TXPF President Isaac Franklin. Elizabeth Grindstaff, vice president of sales and marketing, was listed as a complainant on court documents. Railroad personnel immediately contacted the police and filed a complaint, and investigators began a six-month-long investigation. An employee noticed irregularities in the expense accounts of Arias, according to a complaint filed with a magistrate court. Texas Pacifico management was aware that Pinnacle Sands had contracted for the construction of a new rail siding, according to a complaint, and found that JCB Construction had done the work. Authorities traced a wire transfer from Pinnacle Sands for $70,000 to the bank account of Arias in December 2013. A check for $5,000 had been issued by JCB Construction to Terrell Pankey in the same month, signed by Janet Briones, according to the complaint. Several other checks were found to have been issued to Zapata. The involvement of Benjamin Delarosa was alleged by tracing cellphone records, according to the complaint. An inspection by authorities of the Pinnacle Sands rail siding showed although a company representative told police he had been assured by JCB the materials were new, the track, switches and concrete crossovers used could be traced to the Texas Pacifico yard. Adam Sauceda/Standard-Times Grease stains tarnish the commemorative bricks at the Vietnam Veterans Memorial at San Angelo Regional Airport after a camper's 4th of July grilling got out of hand. Shot/Archived:07.09.16 SHARE Adam Sauceda/Standard-Times Tim Bright, president of the local chapter of Vietnam Veterans of America, stands over a large grease stain left on the memorial bricks by a camper who set up a barbecue pit for Independence Day at the site. Shot/Archived:07.09.16 By Matthew Mcdaniel, matthew.mcdaniel@gosanangelo.com / Every year, the local chapter of Vietnam Veterans of America makes plans to clean up the memorial at the San Angelo Regional Airport after Independence Day. Revelers congregate at the site to watch the Lake Nasworthy fireworks, invariably leaving behind trash like beer cans and exploded fireworks debris. Tom Bright, president of the VVA chapter, said this year the situation was much, much worse. According to Bright, one visitor set a grill up directly beneath the memorial's helicopter, and the fire must have gotten out of hand, as evidenced by the greasy scorch marks on the helicopter and grease drippings all over the engraved commemorative paving stones beneath. "Normally, folks just go out there and shoot off fireworks," Bright said. "We always find food wrappers and other trash after the holiday but this is outrageous we're just sick about it and we have Veterans Day coming up." According to Bright, the cleanup will be difficult, if not impossible. "There were 46 engraved stones ruined," he said. "That's not counting the blank stones that got grease on them. "I went to two different places in town that sell chemicals and told them what the problem was they said since the stones are unglazed concrete we were probably out of luck, because they're very porous and they just suck that grease in." Bright said the local chemical salesmen told him that even with the application of a strong commercial degreaser, the stains would likely reappear as the oils wick back to the surface. He said they have also considered using a lacquer thinner, but that would remove the paint highlighting soldiers' names and insignia. "If we did that, we would have to go back and try to restore the paint with Q-Tips or something," he said. Bright said the local VVA chapter operates on very limited funds, and they use most of the money they have to help keep the memorial tidy. "We pay to keep the area picked up and mowed," he said. "I don't think most people realize that the land this memorial sits on is owned by the airport they gave us permission to put the memorial here we don't own the land." Bright said the pavers are not easily replaceable, because the man they ordered them from has since retired, and the stones are costly. He said there also was damage to the helicopter, a Vietnam era Bell UH-1H Iroquois or Huey that likely will stall their plans to have the aircraft repainted. "It was already going to cost us $12,000 to get the chopper painted," he said. "But now we will have to get the grease off it first, or the paint won't even stick to it." Bright said the VVA does not own the helicopter at the site. "That chopper is not ours," he explained. "It is U.S. government property, so if someone tears it up or burns it down, they are going to have to answer to them." "We are all very disheartened," Bright said of the damage. "It's just so careless to build a fire so big that the flames go up 10 feet, and get grease all over the bottom of that chopper and on the stones I just don't know what to say they really trashed it this year." Bright said he is scheduled to speak with airport security Monday to discuss what can be done about finding the person or people responsible for the mess, and steps to help avoid any repeats in the future. FILE In this Friday, July 8, 2016 file photo, Texas Gov. Greg Abbott, right, responds to questions about the police shootings during a news conference at City Hall in Dallas. Spokesman Matt Hirsch said Sunday that Abbott was in Jackson Hole, Wyo., Thursday when he was scalded in an accident involving hot water. He sustained "extensive second- and third-degree burns" on both legs below the knees and on both feet and could miss next week's Republican National Convention. Abbott held the news conference in Dallas on Friday, but didn't disclose being burned. (AP Photo/Tony Gutierrez, File) SHARE By Will Weissert, Associated Press DALLAS Republican Gov. Greg Abbott of Texas sustained "extensive second- and third-degree burns" on both legs below the knees and both feet and may miss next week's Republican National Convention as a result, his office said Sunday. Spokesman Matt Hirsch said Abbott was with his family in Jackson Hole, Wyoming, on Thursday when he was scalded in an accident involving hot water. He declined to provide further details. The governor was treated for several hours at nearby St. John's Medical Center. As he was being released, a top aide called from Texas to say a gunman had opened fire in downtown Dallas an attack that killed five police officers and wounded seven others. "His first words to us were, 'I've got to come back,'" Hirsch said. Abbott held a news conference in Dallas on Friday, but didn't disclose being burned. Hirsch said that his legs were wrapped at the time, but that wasn't evident because they were covered by his pants. The only hint anything was wrong, Hirsch said, was that the governor was wearing orthopedic shoes that his staff purchased that morning rather than the dress shoes or boots he usually dons in public. Hirsch said surgery won't be required immediately, but that Abbott was heading to Brooke Army Medical Center in San Antonio on Monday afternoon to see specialists. He continues to get his legs wrapped daily and should be required to do so for two or three weeks, Hirsch said. SHARE Maybe Hillary Clinton shouldn't have to worry about prison, but she surer than anything should not be an unchecked president of the United States. As secretary of state, she was downright reckless in ways that could endanger American lives. She disobeyed the law. It's probable some of our worst enemies have read every email word she wrote and received. Maybe they have already used some of that information against us or will. That's what we got from a world-watched press conference in which FBI Director James Comey said he was not recommending criminal prosecution over Clinton's email adventures. He said interviews, a diligent investigation of thousands of documents and still other research showed no criminal intent on Clinton's part. But it's not as if the FBI found nothing amiss. He said, for instance, that Clinton and her colleagues "were extremely careless in their handling of very sensitive, highly classified information." Despite her lies to the contrary, Clinton had to know some of it was indeed top-secret stuff and, said Comey, she had to know something else that the sloppy system she was using was "no place" for the communications in which she was engaged. Whatever your politics may be, do you think it possible any halfway responsible person would not have sat back one day and asked herself what in the world she was doing? After all, being secretary of state is one of the more important positions in the world and the information she was dealing could have adverse impacts on all kinds of matters if it fell in the wrong hands. Wouldn't it at least have occurred to her that the system could easily enough be hacked? Comey in fact said that it seemed possible that "hostile actors" did hack her computers. As I heard an expert explain before the press conference, nations such as Russia, China and Iran probably had access to every word that went between her, the president and other officials. Just consider for a moment what that maybe means. Might Iran, for instance, have been that much better prepared to outwit us in negotiations over a nuclear deal? Clinton has all kinds of problems, such as messing up on a whole long list of tasks while accomplishing something once maybe, at least if we keep looking. A real biggie is that she is not trustworthy. Reflect on the unbelievable conflicts of interest with the Clinton foundation, the speeches given for hundreds of thousands of dollars and the hidden conversations with special interest groups and on and on. Defenders say, well, no quid pro quo has been absolutely proven. Right. And Jesse James was never convicted of robbing a bank. Even though some other legal matters are still brewing out there, it no longer seems possible that an indictment will get between her and the White House. Even so, and even though it has already been attacked by many, Comey's advice against prosecution does not strike me as unreasonable. By countless observations, he is an honest man and there is in fact an important legal principle that provable criminal intent should be a major factor in deciding whether or not to try someone in certain kinds of cases. Comey also said, however, that many who acted as Clinton had could be subject to security sanctions. Think about that for a minute we could end up with a president who otherwise might not be able to obtain a national security clearance. It's true that the alternative to Clinton this year is in many ways more frightening than she is. What seems to me hugely important is for Republicans to get enough votes in House and Senate races to help forestall disaster through checks and balances. Jay Ambrose is an op-ed columnist for Tribune News Service. Contact him at speaktojay@aol.com. SHARE The following editorial appeared in Wednesday's Pittsburgh Post-Gazette: On the Fourth of July, NASA's Juno spacecraft was the source of a light show that put to shame anything happening on the planet of its origin. After Juno's five-year, 1.8 billion-mile trek, NASA scientists initiated a 35-minute engine burn to slow the solar-powered spacecraft from its 40 miles-per-second trajectory to one that would allow it to be captured by Jupiter's gravity. Some engineers have described it as the trickiest maneuver NASA has ever attempted with any mission. Jupiter has the most formidable magnetic fields and radiation belts human technology has ever encountered, so there was a high probability of failure for the $1.1 billion mission. NASA prepared as best it could for the maneuver by encasing Juno's most important instruments behind titanium-reinforced walls designed to hold up for the length of the mission. At Mission Control, nervousness gave way to celebration once Juno confirmed it had survived the high-speed rendezvous with the oldest and largest planet in our solar system. Juno slowed its speed from 165,000 mph to a manageable 130,000 mph. Eventually it will maintain an elliptical orbit 3,000 miles above clouds that could dwarf Earth's continents in size. Jupiter is 300 times more massive than Earth. Once all of Juno's instruments are back online, its main job will be to map the world beneath those mysterious clouds. Scientists want to find out if the gas giant has a solid core and whether its atmosphere contains water. NASA also wants to know why Jupiter's northern and southern lights are so active above the poles. Because of Jupiter's status in the birth order of our solar system, we are finally in a position to learn things about conditions that led to the formation of Earth and its neighboring planets. Beginning in August, Juno will provide scientists with the closest encounters we've ever had with Jupiter thanks to multiple flybys. But all good things must come to an end. On Feb. 20, 2018, Juno will end its mission by diving directly into Jupiter. After 37 orbits, it will have fulfilled its primary mission and surveyed Earth's oldest sibling as best it could without actually landing. Jupiter's radiation will have taken its toll and fried much of the craft's sensors. Still, it is expected to perform heroically until the end, willingly sacrificing itself to transmit secrets about a world that continues to defy expectations hundreds of years after it was discovered. The Juno mission may be one of NASA's finest hours yet. Indiana now is seeing the impact of the U.S. Supreme Court decision that in late June struck down Texas abortion regulations.Ken Falk, legal director for the American Civil Liberties Union of Indiana, said a lawsuit the organization filed on Thursday challenging a new Indiana abortion regulation was "very much so" influenced by the opinion the court issued in Whole Woman's Health v. Hellerstedt.And Dawn Johnsen, Walter W. Foskett Professor of Law at Indiana University's Maurer School of Law and a reproductive rights expert and advocate, said it's likely just the beginning."I think this is exactly the kind of challenge we can expect to see in states across the country following Whole Woman's Health," Johnsen said Thursday afternoon.The high court's decision means that lower courts, when applying the new precedent set in Whole Woman's Health, can't just defer to a state that says it enacted a law for the purposes of promoting women's health. Now, courts must give a meaningful, close review to see whether that claim is true -- whether a law actually benefits women who might seek an abortion, or whether it simply serves as an obstacle.That's a change in the "undue burden" standard in 1992's Planned Parenthood v. Casey ruling, in which the high court said laws impose such a burden if they are enacted with the purpose of placing "a substantial obstacle in the path" of a woman seeking an abortion.And it's directly referenced in the federal lawsuit the ACLU filed on behalf of Planned Parenthood of Indiana and Kentucky challenging new regulations that, as of July 1, require women in Indiana to obtain an ultrasound at least 18 hours before receiving abortion care."There are no medical benefits to the performance of the ultrasound 18 hours before the abortion as opposed to immediately prior to the abortion, and the requirement does nothing except make it more difficult for women to obtain an abortion," the complaint filed Thursday reads.Falk added that requiring women to obtain an ultrasound "at least 18 hours before an abortion, as opposed to allowing PPINK to continue its practice of providing one immediately prior to the abortion, provides no health benefit to women and serves only to place a substantial obstacle to obtaining an abortion," and makes the regulation an "unconstitutional undue burden" on a woman's right to obtain an abortion, as that right was established in the 1973 Supreme Court ruling in Roe v. Wade.An 18-hour waiting period in general is nothing new for women in Indiana, but before the new provisions, women could fulfill the informed consent requirement before the procedure at any one of Planned Parenthood's 23 health care centers and then wait to obtain an ultrasound until just before receiving abortion care.The new provisions, which require women to obtain an ultrasound the same day they undergo the informed consent visit, are problematic for one primary reason, the suit says: "Because ultrasound equipment is expensive, and because specially trained staff must operate the ultrasound, PPINK, prior to the passage of the law, only performed ultrasounds in the clinics where abortions were performed."And the complaint adds that Planned Parenthood "cannot afford to have ultrasound equipment and trained ultrasound technicians in each of its health centers."What that means for women is that under the new regulations, many must make two trips to obtain an abortion or pay for an overnight stay, both incurring travel costs and likely taking time off work and missing out on potential pay.The lawsuit specifically points to Fort Wayne, where Planned Parenthood has a clinic but no ultrasound equipment. The closest clinic performing abortions is more than 100 miles away from the city.Before July 1, Planned Parenthood would have let women receive the informed consent information at a clinic in Fort Wayne and had them wait to travel that distance and receive both an ultrasound and abortion care until at least 18 hours had passed. Now, that's not a possibility unless the organization installs expensive ultrasound equipment at that health clinic, meaning women who don't live in a city with a PPINK clinic that performs abortions almost certainly would have a tougher time obtaining an abortion."The difficulty of making the two trips to distant clinics will force some women to delay obtaining abortions and will result in some women not being able to obtain abortions at all," the lawsuit reads.Johnsen said those facts as presented in the complaint filed Thursday are key to the case because they support "how it would actually affect women and health care providers.""Then, under Whole Woman's Health, the state would have to demonstrate that serves an actual purpose," Johnsen said of the new precedent set by the Supreme Court decision.Planned Parenthood already has taken some measures to address those difficulties, including purchasing ultrasound equipment for its clinic in Mishawaka and training staff to use equipment already present at an Evansville location.The lawsuit, though, seeks to address a much larger issue, said Betty Cockrum, president of PPINK."We wish Indiana's politicians would leave the practice of medicine to doctors and health care providers rather than interfering yet again," Cockrum said in a news release. "The 18-hour requirement is unduly burdensome and adds no value in a state already fraught with difficult and unnecessary regulations regarding a truly safe and legal procedure."The suit comes one week after a federal judge in Indianapolis granted Planned Parenthood's request for a preliminary injunction, blocking other portions of the law being challenged in this suit. Those restrictions would have banned abortions sought because of genetic abnormalities and mandated that an aborted fetus be buried or cremated. Most in first trimester Abbott seeks legislation Fetal remains from abortions and miscarriages would have to be buried or cremated under new rules proposed by state health officials, renewing pressure on abortion providers just weeks after the U.S. Supreme Court struck down two of Texas' most restrictive requirements on the procedure.The new regulations would apply to fetal remains at all periods of gestation collected by health care facilities, according to rules proposed by the Health and Human Services Commission this month.The change would stop providers and third parties from disposing of the materials in sanitary sewers and landfills for medical waste, such as organs removed during surgeries.Gov. Greg Abbott began urging state health officials to implement the new rules regarding disposal of fetal remains this year, his spokeswoman Ciara Matthews said."Gov. Abbott believes human and fetal remains should not be treated like medical waste, and the proposed rule changes affirms the value and dignity of all life," Matthews said. "For the unborn, the mothers and the hospital and clinic staff, the governor believes it is imperative to establish higher standards that reflect our respect for the sanctity of life."Officials in the governor's office and the Health and Human Services Commission did not answer why the state was instituting the rule changes now instead of waiting for the next legislative session except to say officials had been talking internally about this proposal for months.The policy does not address who would pay for the additional burial or cremation process, although abortion providers say they assume the cost will fall to them and their patients."This is another tactic that the extreme anti-abortion groups are employing in other states, so it's not that surprising," said Heather Busby, executive director of NARAL Pro-Choice Texas, which argues that patients and providers will have to bear the cost of cremations and burials. "What's surprising is how sneaky they've tried to be with it by slipping it in a regulation instead of going through the democratic process. This is, obviously, a new low for Texas."More than 90 percent of the abortions reported to the state in 2014 were performed during the first trimester, according to statistics released by the Texas Department of State Health Services last month. Within those first 12 weeks of pregnancy, the fetus ranges from the size of a poppy seed to roughly the size of a lime.The proposed rule change was published July 1 in the Texas Register, a state publication, less than a week after the U.S. Supreme Court struck down a pair of abortion regulations approved by lawmakers in 2013. The high court threw out requirements that abortion clinics adhere to the standards of ambulatory surgical centers, which are expensive to implement, and require doctors who perform abortions to obtain admitting privileges at nearby hospitals. The court said the regulations created an unconstitutional barrier to abortion for many Texas women.Since the 2013 law went into effect, some 20 clinics have closed, resulting in a 14 percent drop in the number of abortions performed in Texas.States across the country have approved or considered similar laws dictating what can and cannot be done to fetal remains, with some banning research or sale of the remains and others requiring burial or cremation.Indiana is one of the latest to pass a law requiring fetal remains be given a burial or cremated, joining Arkansas and Georgia. State lawmakers in Ohio, Mississippi and South Carolina have considered similar laws, although a federal judge has issued an injunction on Indiana's law, which also included a ban on abortions based on fetal genetic abnormalities.Americans United for Life, an anti-abortion advocacy group credited with authoring burial legislation, did not return calls for comment.The new rules are up in Texas for public review through the end of July, and are expected to go into effect in September, according to HHSC spokesman Bryan Black."The Health and Human Services Commission developed new rules to ensure Texas law maintains the highest standards of human dignity," Black said.The proposed rules can be implemented by the Health and Human Services Commission without the Legislature's approval, but Abbott is calling on lawmakers to write the policy into law in the 2017 legislative session that begins in January.Anti-abortion lawmakers and advocates are working to craft legislation that can clamp down on abortion access that would withstand a legal challenge, said Emily Horne, legislative associate for Texas Right to Life. One way to do that,she said, is to shift attention to the life of the fetus. The proposed rule change is a "good move by the department to restore human dignity," she said.Other abortion opponents said the new rule should appeal to people on both philosophical sides of the issue."I think it's horrendous," said Kyleen Wright, president of the Texans for Life Coalition. "Applying some dignity to these human remains is not any kind of major onus on abortion clinics or women. ... They're just quietly trying to add some dignity to the process for what we all recognize are human remains." Gov. Greg Abbott may not attend the Republican National Convention after suffering severe burns during a family vacation in Wyoming.Abbott's office revealed Sunday that he had suffered "second- and third-degree burns" when he was accidentally scalded with hot water Thursday at a lodge in Jackson Hole. Abbott decided to cut short the vacation hours later when he learned about the shooting in Dallas that left five police officers dead and seven others wounded.The incident, first reported by the Austin American-Statesman, has cast uncertainty over whether Abbott will attend the Republican National Convention, which is being held July 18-21 in Cleveland. Abbott is an at-large delegate to the convention.It is also unclear whether Abbott will be able to participate in a memorial service Tuesday in Dallas featuring President Barack Obama and his predecessor, George W. Bush."Everything right now is up in the air," Abbott spokesman Matt Hirsch said. "We're really taking it day to day, hour to hour."More information about Abbott's condition is expected Monday after he sees a specialist at Brooke Army Medical Center in San Antonio. Abbott went to the hospital in Wyoming immediately after the incident, and since then, he has been receiving treatment at St. David's Medical Center in Austin.Hirsch declined to comment further on the circumstances surrounding the incident. He said it left Abbott with "shooting sensations of pain in his leg" when he arrived Friday afternoon in Dallas for a news conference and round of media appearances.Abbott's aides emphasized Sunday that his focus remains on the aftermath of the massacre."When he learned about Dallas ... his first words were, 'I've got to come back,'" Hirsch said. "The governor's first priority was Dallas, and that's still his first priority." It might have been a bit surprising to hear Minnesota Gov. Mark Dayton -- the white governor of an overwhelmingly white state -- talk bluntly last Thursday about racism in police departments. But for the past year, Dayton, like the rest of Minnesota, has had little choice.The police shooting last week of Philando Castile, a 32-year-old school cafeteria worker, caused Minnesotans to think about racism in their state yet again. Castile was black. He was shot in the predominantly white St. Paul suburb of Falcon Heights, by an officer who has been identified as Jeronimo Yanez.Would this have happened if those passengers or driver were white? I dont think it would have, Dayton told reporters. Im forced to confront, and I think all of us in Minnesota, are forced to confront that this kind of racism exists.The events of the last year in Minnesota have certainly driven that point home. A separate police shooting of Jamar Clark, an unarmed black man, in Minneapolis last November sparked protests that, at different times, shut down an interstate, airport, light rail and the Mall of America. At one point, white supremacists fired on a crowd gathered around a police station and injured five protesters.People are starting to realize this is something that is not going away. This isnt something that happens once in a while, or that its a weird aberration, said Tony Williams of Minnesota Neighborhoods Organizing for Change, a group that advocates for racial and economic justice. Yes, this is a nationwide issue, but a lot of the things we can actually do about it exist at the state and local levels.We are definitely at a boiling point, said Maria De La Cruz of the Headwaters Foundation for Justice, a Minnesota philanthropy that funds programs to address racial disparities. The racism that exists in Minnesota is something that, as Minnesotans, we are really uncomfortable talking about. When the governor says now we have to confront this, we actually have people of color who have been demanding we confront racism for some time.Over the last decade, several groups have emerged in Minnesota that explicitly focus on issues of race and how it affects everything from the environment to police brutality. But the police killing of Jamar Clark last November galvanized the movement.A Minneapolis police officer shot Clark, 24, at a party. Police said Clark interfered with paramedics trying to care for another partygoer. Clark scuffled with two officers before the shooting. Clark was removed from life support and died the next day.Protests began the night Clark was shot, but tensions were highest during an 18-day standoff between protesters and the Minneapolis cops outside a police station. It was there that three men, apparently counterprotesters, fired on Black Lives Matter activists. Police arrested the shooters, but they also pressed to end the permanent protest outside their station. After several dispersal orders, the police cleared the camp of protesters.In March, Hennepin Countys top prosecutor announced that neither of the officers involved in Clarks shooting would be charged criminally. The prosecutor, Mike Freeman, said evidence showed that Clark was not handcuffed when he was shot, and that the officers had no chance to back away from the situation.This case is not at all similar to others seen around the country, he said, referring to shootings in Ferguson, Mo., Cleveland and Chicago.The U.S. Department of Justice announced in June that it would also not bring civil rights charges in the case.The decisions were big disappointments to many black leaders. They did, however, get one concession from the Hennepin County attorneys office: The agency announced it will no longer use grand juries to weigh charges for police shootings. (Such an arrangement generated significant controversy when a Missouri grand jury declined to charge police officer Darren Wilson for the shooting death of Michael Brown in Ferguson.)Black activists in Minnesota made more progress in the statehouse than in the courthouse this year. A coalition of black groups proposed a United Black Legislative Agenda that focused on addressing racial disparities in the economy, criminal justice system and immigration policies. Dayton, the governor, pledged to spend $100 million to support the agenda.Several of the measures the groups sought passed despite paltry minority representation in the Minnesota legislature. In a state where 19 percent of the population is non-white, less than 5 percent of the lawmakers are members of minorities. Not all of the measures passed the legislature, but the two-year budget includes $35 million for programs addressing racial disparities.Williams, the organizer for Minnesota Neighborhoods Organizing for Change, said his group and others want to emphasize ways to reduce violence without police. They want more programs to work with youth, improve mental health treatment and give poor residents more economic opportunities. When it comes to police, Williams said simply improving training is not enough.We have videos showing the killing of black people that people think are outrageous, but we can never get any convictions and almost never any indictments, he said. Its pretty clear that the use-of-force standards are beyond the bounds of what people think are acceptable.Even as the governor voiced his support for revisiting issues of race, protesters kept vigil outside of his mansion calling for justice in the Castile case. The crowd of people, mostly minorities, was a jarring juxtaposition in one of the richest, whitest neighborhoods of St. Paul, said De La Cruz of the Headwaters Foundation.We have an opportunity, our leaders have an opportunity, said De La Cruz, to provide an example for the country about the ways in which justice can be realized when these kinds of civic disasters happen. Good guys or bad? Exercising a right When rifle shots rang out in downtown Dallas during Thursday night's protest, some of the demonstrators were also carrying rifles.In the ensuing chaos, one of them was labeled a "person of interest" after police released a photo of him carrying an AR-15 rifle. Others were stopped and questioned by police.It was not immediately clear Saturday whether any of those who were legally armed delayed or hampered the police response to the shooter, Micah Xavier Johnson, 25, of Mesquite. Dallas police did not respond to questions.But Dallas Mayor Mike Rawlings said: "It's logical to say that in a shooting situation, open carry can be detrimental to the safety of individuals."Johnson, a former Army soldier, was killed early Friday when police used a robot to detonate a bomb near him. That was after he killed five police officers in a rampage through downtown.It is legal in Texas to openly carry rifles and has been for decades. People with rifles have been spotted at recent public protests across the state.Rawlings said Dallas police Chief David Brown told him that people running through the shooting scene with rifles and body armor required officers to track them down and bring them to the police department. Whether that was time that could have been spent trying to find and stop the shooter is something police will have to comment on, Rawlings said.He said Friday that about 20 people in "ammo gear and protective equipment and rifles slung over their shoulder" participated in the Black Lives Matter rally downtown on Thursday night."When the shooting started, at different angles, they started running," he said. "We started catching."Then police interviewed them.Rawlings said open carry brings confusion to a shooting scene."What I would do is look for the people with guns," he said.Max Geron, a Dallas police major, talked about the confusion during the shooting in a post on a law enforcement website."There was also the challenge of sorting out witnesses from potential suspects," Geron said. "Texas is an open carry state, and there were a number of armed demonstrators taking part. There was confusion on the radio about the description of the suspects and whether or not one or more was in custody."During a speech Saturday in Poland, President Barack Obama criticized Texas' open carry law, saying it complicates the work of police. He talked about confusion and fear among officers Thursday night who tried to separate deadly threats from protesters who carried guns legally."If you care about the safety of police officers, then you can't set aside the gun issue and pretend that's irrelevant," Obama said.But C.J. Grisham, president of Open Carry Texas, said police should be able to separate the good guys from the bad guys in such a scenario because "the bad guys are the ones shooting.""If you can't identify a threat, you shouldn't be wearing a uniform," he said.Grisham said some in law enforcement look at law-abiding gun owners as a threat."It's not that difficult to tell the difference between a bad actor and a good actor," he said. "The good guys are going to obey commands, the bad guys are not."Law enforcement organizations such as the Dallas Police Association have generally opposed the state's new open carry law regarding handguns, which went into effect this year.Senior Sgt. Chris Dyer, president of the Dallas County Sheriff's Association, said large cities like Dallas should pass ordinances that would ban the open carry of firearms during large events like protest marches."Normally in a protest, you're going to have two opposing sides at least," he said, noting that tensions can result in violence.Bringing guns into that situation, Dyer said, is "very distracting" for officers."Even open carry proponents will see the common sense in restricting open carry in environments like a protest," he said.Rawlings said such a measure would make sense."This stuff should be common sense and not driven by ideology," he said.But Grisham said he would not support such a law. He said his 14-year-old daughter could tell a good guy with a gun from a bad guy with a gun. And he said people should call 911 only if they see someone with a gun acting suspicious or posing a threat.He said the problem is "gun shaming.""People are conditioned to call the police whenever someone not wearing a badge is carrying a gun," Grisham said. "People should not just call 911 if they see someone with a gun."Mark Hughes told MSNBC that he learned he was a suspect hours after he gave his rifle to an officer Thursday night after the shooting began."I didn't understand how I became a suspect," he said. "I hadn't done anything wrong."A friend called him to say he saw his photo. Hughes told MSNBC that he was "exercising my Second Amendment right."Hughes said his older brother, Cory Hughes, told him to give his gun to an officer so he wouldn't be mistaken for the shooter."You give this gun to this cop" Cory Hughes, who helped organize the protest rally, told MSNBC.Mark Hughes said he later turned himself in after learning he was wanted for questioning. He said he has since received death threats.Texas Attorney General Ken Paxton told MSNBC on Saturday afternoon that he rejects concerns about Texas' open carry laws."It's designed to protect law-abiding citizens," Paxton said. "It's working just fine." On Sunday, in the morning, at the Queensland Maritime Museum, South Bank, His Excellency the Honourable Paul de Jersey AC attended the 71st Commemoration of Operation RIMAU and addressed guests and laid a wreath. On Monday, in the morning, at St Johns Anglican Cathedral, Brisbane, His Excellency the Honourable Paul de Jersey AC and Mrs Kaye de Jersey attended the Opening of the Law Year Church Service. In the evening, His Excellency assumed duty as Administrator of the Government of the Commonwealth of Australia, and the Honourable Chief Justice Catherine Holmes assumed duty as Acting Governor of Queensland. On Saturday, in the morning, His Excellency the Honourable Paul de Jersey AC and Mrs Kaye de Jersey returned to Brisbane from an official visit to Atherton and Malanda. Too Far to Walk to Stops Programs Tested Cities Consider Tax (TNS) -- The large buses that shuttle technology employees between San Francisco and their Silicon Valley workplaces have become a political flash point. Bowing to residents outcries, San Francisco recently cut the number of places that buses can stop in the Mission District and other popular neighborhoods.The result: More employees appear to be driving.Last month, Facebook told city commissioners in Menlo Park, where the company is based, that it is seeing an increase in the number of employees commuting alone a group that represents a little more than half of its workforce.That has ticked up in the last couple of months, because of some ongoing issues were having with SFMTA and a wetter winter than we anticipated, said Lewis Knight, a Facebook development manager, referring to the San Francisco Municipal Transportation Agency. About one-third of Facebooks employees live in San Francisco, Knight said.Since a pilot program to regulate shuttles began in August 2014, the San Francisco agency has closed 33 shuttle stops, while adding others. With an official program in place as of April, the total number of stops has gone from 125 to 110. Four stops near Dolores Park vanished, for example. Resentment has simmered citywide about the economic inequality associated with the thriving technology industry, and many residents didnt like 45-foot-long buses blocking narrow streets in their neighborhoods.Some tech workers say that instead of walking the extra blocks and waiting for the shuttle, they are finding other ways to get to work, according to Rosie Silva, a union steward who represents bus drivers with Loop, a transportation company that employs drivers of shuttles that pick up Facebook employees. Silva said there has been a decline in the number of Facebook employees on routes that once went to 18th and Church streets, near Dolores Park, after the stop moved to 16th and Sanchez streets. The drop could be10 to 20 people per bus, Silva said.We dont make the rules, we just do it, Silva said. They just were upset that were not picking them up at the regular stops because they would have to walk two blocks or whatever.Loop declined to comment on its shuttle ridership.On a recent morning, several workers waiting for the shuttles at 16th and Sanchez one of the few stops left in the Mission District said they found the stop changes inconvenient. Some of these workers, who were commuting to Facebook and Google parent company, Alphabet, in Mountain View, were concerned about stops moving even farther away.One staffer said he chose where he lived based on the original shuttle stop and now is considering moving. Another worker talked about her half-mile walk from around the Duboce Triangle area to the shuttle stop.If it were farther, I would probably end up driving, she said.The tech employees did not provide their names, citing company policies that restrict them from speaking to the media. While a reporter was interviewing one Facebook worker, a company spokeswoman interrupted the discussion and told the reporter to email Facebooks press team.Facebook spokesman Jamil Walker declined to clarify Facebooks issues with the MTA. In a statement, the company said it is committed to being a good neighbor. Alphabet and Apple, which also uses corporate shuttles to transport workers from San Francisco, did not respond to a request for comment.If technology employees are now driving the roughly 30-mile commute from San Francisco to Menlo Park solo, that would be a really unfortunate thing, said Adina Levin, a Menlo Park transportation commissioner. While some people dont like (the buses), they really help to take commuters off the road. Its certainly better to have commuters in a bus than to have individual people driving in their cars emitting gases and pollution and (causing) congestion on the street.The MTAs new program allows tech buses to share Muni stops for at least a year but also requires buses longer than 35 feet to stick to major thoroughfares. When stops were moved, the new ones were a half mile or less from the old ones, the city said.Shuttles can operate in San Francisco whether we like it or not, agency spokesman Paul Rose said. What we can do as a city is regulate them so they dont just stop wherever they feel like or use small residential streets.Rose said the agency is conducting a study looking into the number of riders; it will be released this year. In addition, the agency is still evaluating whether it should have a hub model, where tech buses would pick up passengers downtown in areas like the Transbay Terminal instead of residential neighborhoods.In an email to the agency obtained through a public-records request, Facebook employee Ali Price expressed her disappointment that her employer would no longer provide shuttle pickups at 15th and Church and 18th and Church, saying were now rendered helpless.Price, who described herself as a pregnant mother, wrote in the May email that shes lived in the Mission for seven years and had been working at Facebook only six months.I took this job because it gives me the opportunity to do work that I love that has an impact on millions of people around the world, but I wouldnt have taken the job if I wasnt able to count on a shuttle to get me from my home in the Mission down to Facebooks Menlo Park headquarters every day, Price wrote. A longer commute would negatively impact her family life, she added.Price did not respond to multiple requests from The Chronicle for comment.Traffic in and out of Facebooks offices in Menlo Park has increased, according to data from the city. For example, on May 9, trips entering Facebooks complex at One Hacker Way increased by about 16 percent to 12,400 compared with May 8 of last year. (Those numbers include cars, shuttles and other vehicles.)About 54 percent of Facebook commuters drive alone to work, according to Menlo Parks data considerably below the 75 percent figure for the city as a whole.Municipal officials in Silicon Valley continue to grapple with issues of transportation, housing and commercial real estate, as the technology sector continues to grow. In Cupertino, the hometown of Apple, the mayor recently floated the idea of a tax based on the number of employees at a company to raise money for a shuttle connecting Caltrain to Cupertino, which would directly impact its largest private employer, Apple.In San Francisco, three supervisors recently proposed a tax on tech companies For now, Facebooks Knight said the company believes it can reduce the number of employees who drive solo to work. At the June meeting in Menlo Park, he said the company expects to resolve some of those regional issues.Facebook said in a statement that We understand our growth affects the everyday lives of our neighbors, and we want to be respectful and thoughtful about how we approach our expansion.Rose with the MTA said it is continuing to get feedback on the shuttle program. Over the last three years, the agency has been responsive and made changes where appropriate, he said.We need these shuttles to work, Cupertino City Councilman Rod Sinks said. Its in everyones interest that they do. The roads would be one hell of a mess if they didnt have all the private buses. (TNS) -- A new law establishes statewide regulations on ride-sharing companies like Uber, but state officials say its language leaves it virtually unenforceable for the near future.The law, passed last month and effective immediately, requires transportation network companies defined by the new law as companies that use technology like smartphone apps to arrange ride services to register with the state Department of Safety and removes authority from municipalities. Individual drivers do not need to register with the state under the new law, only the companies for which they work.However, the law does not include provisions for how companies can actually register with the state. Department of Safety Assistant Commissioner Richard Bailey Jr., said the Division of Motor Vehicles will need to create a registration process by going through the Legislatures Joint Committee on Administrative Rules. He said that should last well into the fall. Until then, companies like Uber are free to operate as they have been in New Hampshire.Any of the companies currently doing business can continue to do business until a licensing process is in place, Bailey said.Last year, Uber caused a stir in Portsmouth when taxi drivers in the city complained about having to compete with unlicensed Uber drivers that were not subjected to the city's taxi cab regulations. Uber drivers use their personal cars and customers book rides through the companys phone app. Uber was founded in 2009 and has grown into a nationwide transportation phenomenon.Shortly before the law went into effect, Portsmouth Police Chief David Mara promised a crackdown on Uber drivers who didn't register with the city as required by its transportation ordinance. The new law negates town ordinances and puts authority solely on the Department of Safety.Once the registration process is established, the state will also be limited in its ability to enforce the regulations as the new law does not include provisions for a funding source for enforcement. Companies are required under the law to conduct background checks on drivers, and drivers must meet an insurance requirement either through their own insurance or through the company.Bailey said the Department of Safety will need to rely on reports from witnesses about violations for now rather than seeking violators out. Violations can result in a $500 fine for a first offense, $1,000 for a subsequent offense.Bailey said enforcement would become a greater concern when software becomes easier to develop for smaller companies, which would lead to more transportation networking companies. The large companies on the states radar Uber and Lyft are expected to properly register, especially since Uber was involved in the legislative process.We dont think were going to see 100 applications, Bailey said. The bill is kind of a minimalist approach to oversight Looking at whats occurred in other states, other than the occasional problem, it doesnt seem that there have been large issues with these companies. (TNS) -- JEFFERSON CITY -- Under the glare of a renewed national focus on how police interact with the public, Missouri Gov. Jay Nixon approved a new law limiting access to some footage from police body cameras.As part of a rewrite of state criminal laws, the new measure would bar public access to body camera and vehicle camera footage during ongoing investigations.Republican lawmakers who control the Legislature crafted the language after police groups argued it would help more law enforcement agencies to take up the technology if they knew there would be some degree of privacy and judicial intervention.Nixon signed the measure without comment. It came on a day when he rushed back to Missouri from a trip to Philadelphia in response to the shooting of a Ballwin police officer. Approval of the law came nearly two years after months of unrest were triggered in Ferguson when Michael Brown was killed by Officer Darren Wilson while walking down a city street. Protesters argued that more would have been known about what caused the shooting if Wilson had been wearing a camera.Ferguson began requiring uniformed officers to wear body cameras in September 2014.During debate on the matter during the Legislatures spring session, Browns mother, Lezley McSpadden, testified at numerous hearings in favor of additional body cameras.In addition to barring access during an investigation, the new law could keep video closed to the public if it was taken in nonpublic locations, such as homes, schools and medical facilities.In those cases, people who are in the video, their family members or their lawyers could access the footage. Others would need a courts permission.The law allows a judge to consider whether the release of the video to the public is reasonably likely to bring shame or humiliation to a person of ordinary sensibilities.The measure moved through the House on 154-1 vote and won unanimous support in the Senate.The legislation is Senate Bill 732. (TNS) -- When Matthew Garrett recently ordered a cheap Internet-connected electrical socket on Amazon, he found significant security defects flaws so severe they could also allow hackers to switch off many peoples lights at once.By default this is stupendously insecure, wrote Garrett, a principal security engineer at San Francisco software company CoreOS, in a single-star Amazon review that made waves with those considering the product. There's no reasonable way to make it secure, and if you do make it secure then it's much less useful than it's supposed to be.The idea of turning lights on and off remotely might seem enticing. With a tap of a smartphone app, people can virtually flick a switch from as short a distance away as the couch or as far away as the Bahamas.But adding connectivity to a wide variety of household objects has risks, experts say.Researchers and vandals have proved as much by remotely shutting off Internet-connected cars, demonstrating that they can take over medical devices, and commandeering baby monitors to scream at toddlers.In July 2015, for instance, the Food and Drug Administration published a warning about a specific drug infusion pump that when connected to a hospitals network was vulnerable to cyberattack.If you go to RadioShack, you dont think about an attacker controlling aspects of your home without your permission, Garrett said in an interview. But, honestly, at this point, many devices still fall into that category.Especially when it comes to cheap gizmos, the economics seem to favor novelty over security. For manufacturers, its about pushing new products to market, versus slowing down and focusing, said Patrick Heim, Dropboxs head of trust and security.Garretts scathing review of the socket a small, sleek object called AuYou Wi-Fi Switch sparked a response from the merchant.A company representative said that if he didnt remove his review, she would lose her job, according to emails Garrett provided.The Chronicle was unable to contact the maker of AuYou Wi-Fi Switch through an email address Garrett provided for the company. Amazon did not respond to a message seeking the merchants contact information.More than 1,900 people have viewed the review, and the product is currently listed as unavailable Smaller sellers with lesser-known brands are less likely to respond to problems when they arise, said security researcher Nitesh Dhanjani. They can only compete on price, he said, rather than infrastructure or expertise.They want you to purchase a simple device (and) hook it up to your home Wi-Fi and they dont expect customer service calls, he said. Security causes an expense, people might forget the password, or call you and ask you for help.In 2013, Dhanjani said, he found security issues in Philips Hue smart light bulbs , which like the AuYou Wi-Fi Switch can be controlled over the Internet.These bulbs were also connected to a remote server where their information could be intercepted, potentially allowing the devices to be manipulated.Philips, said Dhanjani, quickly fixed the problem.Were getting better, he said. But ... the security vulnerabilities that were finding are things that we learned over 15 years ago.A Philips Lighting company representative said that security has been a key factor in the design of (its) Philips Hue lighting system. (Philips Lighting spun off from its parent company in May.)To avoid these risks, people can stick to unconnected appliances or rely on brand names, said Garrett, whose Oakland apartment is littered with similarly connected objects. Even then, as with any new category of electronics, unexpected problems may crop up.My advice is certainly dont buy any of these, he said. But the problem is what kind of better advice can you give people, when even some of the brand-name stuff is not significantly better? (TNS) -- Facebook is beginning to roll out more powerful encryption for users of its Messenger service over the weekend, allowing them to have what it calls secret conversations, the company announced The initial introduction of the encryption feature is meant as a test, a Facebook spokeswoman said. During the test phase, only certain users with the latest version of the Messenger app installed on Apple or Android devices will be able initiate conversations. Their correspondents will be able to reply.Were rolling out this additional capability as an option for the most sensitive conversations you might need to have, said David Marcus, Facebooks vice president of messaging products, in a post on his personal Facebook page.Encryption has long been used in software, and most messaging apps use some form of it today to forestall basic snooping, whether by criminals or law enforcement. But much encrypted data is still stored in a form where companies themselves can easily read it and hand it over to government agents when ordered to do so.More sophisticated encryption that is difficult to break, even by the company providing it, has been at the heart of an argument between technology companies and government officials, as law enforcement officials seek to examine digital evidence in a wide variety of cases, and politicians debate how to give them the tools to do so.This feature wont perfectly protect people against all possible attacks. Hackers including state-backed ones could infect a device with malicious software and take screenshots of sensitive conversations while theyre being read.But by offering stronger protections in Messenger, a widely used product, Facebook could be seen as making a powerful statement in the encryption debate.Already, Facebook is facing trouble in Brazil for refusing to provide data from WhatsApp, a separate messaging service it owns, for a criminal investigation . A judge ordered the service blocked and fined the company in May. Facebook has said that WhatsApp does not have the data requested, because WhatsApp conversations are encrypted in a way the company cant break.Facebook could face the same problem if courts order it to provide messages from a secret conversation in Messenger.At the same time, users and privacy advocates are pushing Facebook to make its products more secure.I think this really fits the last piece of the puzzle into place, said Matthew Green, an assistant professor at Johns Hopkins Universitys Department of Computer Science, who reviewed a version of Messengers encryption code in April. This is not something there is debate about. I think someone at Facebook called it table stakes for having a messaging application.Green said most popular messaging applications now offer some form of the more complete encryption that will soon be available in Messenger.He said Messengers secret conversations feature will be available initially to roughly 1 percent of Facebook Messengers 900 million users.If nothing catches fire, I think they are going to pretty quickly roll it out to everyone, Green said.By the end of the summer, Facebook said, the encryption feature will become widely available.As an added layer of assurance, these secret-mode messages will be stored only on devices, not on the social networks servers. They see encrypted stuff go over the wire, but they cant read it, Green said. Even to Facebook engineers, those encrypted messages will look like gobbledygook.Facebook will also include a feature in Messenger that will erase messages after an allotted period of time, a popular aspect of Snapchat and other confidential messaging apps.As it has with WhatsApp, Facebook is again using the Signal Protocol developed by San Francisco nonprofit Open Whisper Systems The software group started poking in and providing feedback roughly five months ago, said founder Moxie Marlinspike, and it reviewed the code a few days ago.Unlike WhatsApp, Messenger will not turn on the encryption by default. People will have to choose to use the feature for individual conversations, and those conversations can only be read on a single device.That approach has drawn criticism. Mixing secret and regular messages is not secure by definition, said Nate Cardozo, a staff attorney at the Electronic Frontier Foundation. Its too easy to mess up. Its too easy to send a message believing that its secure, but accidentally send it in the insecure mode.Observers have leveled similar criticism at Googles recently introduced messaging app, Allo. Nebraskas known for its golden corn, cattle and wide-open spaces. But take a drive across the state and youll also see fields of spent cornstalks in the fall, piles of cow manure and Eastern red cedar creeping into grassland. Enginuity Worldwide, a company out of Mexico, Missouri, wants to turn that agricultural waste into a product its calling BioCoal, which it says looks and burns just like regular coal and could help reduce the carbon footprint of coal-fired electricity. The Nebraska Department of Environmental Quality last month announced it awarded Enginuity Worldwide a $250,525 grant to explore the feasibility of producing the biomass fuel from the states agricultural waste. One of the difficulties inherent in reducing the carbon emissions of Nebraskas electricity is that the states utilities already are massively invested in existing generation infrastructure, the vast majority of which is fueled by coal. The U.S. Energy Information Administration reports 61.5 percent of the states power was coal-generated last year. Instead of scrapping existing power plants to reduce carbon, utilities can replace a percentage of the coal they burn with carbon neutral BioCoal, said Enginuity Worldwide President Nancy Heimann during a recent interview. The company says that if every U.S. coal-burning plant mixed 10 percent of BioCoal into its fuel supply it would reduce the industrys emissions by 11 percent. Were not suggesting a replacement of all coal because coal is a very effective way to make power. What were suggesting is this is just a tool in the tool box that mitigates carbon, she said. Anyone that currently uses coal that is looking to diversify their fuel portfolio, we can be beneficial in that regard. Produced by compressing and heating agricultural waste through friction, BioCoal has the same energy output as coal, the company says. The process for creating it is similar to how nature makes coal using compression, but Enginuity does it in two minutes and 40 seconds. The emissions released from burning the biomass fuel would have been released anyway if the waste it is made from, corn stover for example, had been allowed to decompose in a field. So when looking at the entire life cycle of the product, Heimann said, its carbon neutral. Heimann said BioCoal likely would not compete with traditional coal in terms of price, but thats not how it will be marketed. Instead it will be marketed as a renewable fuel and a way of reducing greenhouse gas emissions. She compared it to adding ethanol to gasoline. If there is a desire to diversify the fuel sources to include some that are carbon beneficial, we believe we have the most cost-effective fuel to do that, she said. Lincoln Electric System looked at the benefits of woody biomass products in 2012, but found it to be one of the least efficient and cost-effective technologies it considered at that time, spokeswoman Kelley Porter said. Enginuity says its product is more efficient and has higher energy output than traditional wood biomass. Porter said the Lincoln utility would be interested evaluating BioCoal at some point in the future to see whether Enginuitys patented rotary compression process will make a better product. Whether there will be demand for BioCoal will be primarily driven by federal legislation to reduce carbon emissions. President Barack Obamas signature Clean Power Plan -- which calls for reducing carbon dioxide emissions nationwide by 32 percent -- currently is tied up in litigation pending before the U.S. Supreme Court. The plan sets individual goals for each state and calls for Nebraska to reduce its carbon emissions by 40 percent by 2030, using 2012 levels as a starting point. Enginuity Worldwide already has an ongoing partnership in place with power company Ameren Missouri to develop a biomass fuel for that company to use. Heimann said the project is still working through governmental regulations and timelines are dependent on the outcome of the lawsuit surrounding the Clean Power Plan. Covestro, formerly Bayer MaterialScience and one of the worlds largest polymer companies, has opened a new plant that will produce up to 50,000 tonnes per year of HDI (hexamethylene diisocyanate)an aliphatic diisocyanate used in special coatings applications, such as coatings which are resistant to abrasion and degradation from ultraviolet light. Covestros new HDI plant at Shanghai, China can produce up to 50,000 metric tons of the coatings raw material per year. Source: Covestro. Click to enlarge. Particularly in China and the Asia-Pacific region, there is strong demand for coatings and adhesives in such key sectors as the automotive, construction and furniture, footwear and textiles industries. We believe there is considerable potential for growth through product and application innovations, and we are responding to that with the expansion of our HDI production capacity. Daniel Meyer, head of the Coatings, Adhesives, Specialties (CAS) segment Focusing particularly on the needs of customers in the region, Meyer added that the new plant will help ensure a stable and flexible supply of HDI. The material forms the basis for a number of innovative products and solutions. Covestro used it in the development of BLULOGIQ, for example, a unique technology for coating plastic automotive parts that is highly energy efficient and delivers significant cost savings. Daniel Meyer Dr. Klaus Schafer, the Covestro Management Board member responsible for production and technology, said, the addition of the new plant makes Shanghai one of the largest HDI production centers in the world. The new plant is part of an investment program for the site with a volume of more than 3 billion (US$3.3 billion) which was launched over ten years ago and is now expiring. This program also calls for polycarbonate production capacities at the site to double to about 400,000 metric tons per year before the end of in 2016. This versatile, high-performance plastic is used in a number of industries, including the automotive, construction and electronics sectors. Schafer said that the new plant is not only very safe and highly efficient, but is more environmentally friendly. In the last process step, for example, the use of solvents has been cut by up to 80% and up to 60% less energy is used compared with the conventional technology. Overall, the carbon footprint of HDI production has been reduced by up to 70%. Conventionally, HDI is synthesized by the phosgenation of hexamethylene diamine to form crude HDI, which is then distilled to extract pure HDI. This requires the handling of toxic phosgene and also produces HCl as a seriously corrosive by-product. Oil production from the Organization of the Petroleum Exporting Countries (OPEC) crude oil output surged 300,000 barrels per day (b/d) in June, close to an eight-year high of 32.73 million b/d, as production in Nigeria and Libya tentatively recovered along with steady increases for Saudi Arabia and Iran, according to an S&P Global Platts survey of OPEC and oil industry officials. OPECs 300,000-barrel-per-day output rise in June, boosted by fragile recoveries in Libya and Nigeria, and the unrelenting rise in Iran and the increase in Saudi Arabia, sends a strong message over its unwavering market share strategy. If the situation persists, the case for a return to some kind of production cap may gain traction. Eklavya Gupte, senior editor for S&P Global Platts Venezuela acted as a check on the overall level though, as the crisis-hit countrys production continues to hit fresh lows. The blocs top producer, Saudi Arabia, increased its output further to produce an average 10.33 million b/d in June in order to meet domestic demand. Last summer, Saudi Arabia produced as much as 10.45 million b/d. A spike in air-conditioning demand has traditionally boosted the volume of crude burned directly in the kingdoms power plants during the summer months. In addition, domestic refining also picked up. The sharp increase in OPECs June production affirms a continuation of its market share strategy. Meanwhile, OPEC added a new member in JulyGabonand next month Nigerias Mohammed Barkindo will take over as the groups secretary general. This comes at a critical juncture for OPEC, Platts said, after a spate of infighting and disagreements. Analysts said these two decisions which were taken at the June meeting could lay the groundwork for future cooperation on bigger issues. The largest rise in output came from Nigeria, where production rose 150,000 b/d to 1.57 million b/d, due largely to the return of its largest export grade, Qua Iboe, as production and exports resumed at the end of May. Nigerian production hit 30-year lows in May as militancy continued in the countrys oil rich Niger Delta. The situation remains volatile. Barely 10 days after a 30-day ceasefire deal with the Nigerian government, militants claimed a round of fresh attacks in the Niger Delta at the start of July, marking a major setback after weeks of respite. Libyan oil production rose 60,000 b/d to 310,000 b/d in June as exports from the eastern port of Marsa el-Hariga resumed in late May after a three-week blockade caused by a dispute between the countrys two rival national oil company factions. The North African countrys production remains less than a quarter of its 1.6 million b/d production capacity, but in early July Libyas National Oil Corp. (NOC) agreed to unify its rival administrations under one management structure, a positive step for the countrys beleaguered oil sector. Analysts, however, said production could only see a sustained increase if the new national unity government unites with several other factions to reopen the countrys two largest oil terminalsthe 340,000 b/d Es-Sider and 220,000 b/d Ras Lanuf facilities. Iranian output in June climbed to 3.63 million b/d, its highest since June 2011, and very close to pre-sanctions levels, according to Platts OPEC survey data. Irans oil output rise has been swift since sanctions were lifted on January 16, increasing 740,000 b/d compared with December 2015. The Persian Gulf producer has been reclaiming its market share and broadening its customer base. Polish refiner Grupa Lotos bought a one-off test purchase of 2 million barrels of Iranian light crude recently, and Europe has returned as a key destination for Iranian crude. Iran has also been gradually increasing its exports to key Asian buyers like India, China, Japan and South Korea. Japans oil imports from Iran are currently more than 300,000 b/dsimilar to pre-sanctions levels. The decline in Venezuelan crude output accelerated further, with production falling 120,000 b/d in June to 2.15 million b/d, the lowest since February 2003, S&P Global Platts data showed. An internal state-owned PDVSA report seen by S&P Global Platts also showed a steep fall in oil output. The fall has been attributed to a lack of investment, high costs, accumulated debts with oil field service providers, reduced drilling activity and the deterioration of physical infrastructure at extra heavy crude upgraders. Analysts also said Venezuelas oil sector continues to see power rationing, which is exacerbating the output decline. There seems little hope of a recovery in Venezuelan crude production any time soon, analysts added. The other country to observe a fall in production was Iraq, as output dropped 20,000 b/d to 4.23 million b/d, due to a fall in its southern exports. OPEC will hold its next ministerial meeting on 30 November in Vienna. LINCOLN The Legislature has changed dramatically in the 10 years since term limits started booting Nebraska senators out of office, but debate still rages over how long they should be able to serve. Critics say lawmakers spend more time reinventing the wheel and less time developing long-term agendas or reaching compromises on state policy. Those who pushed for the change say they helped break a "good old boy" system that gave too much power to entrenched senior senators. The new restrictions have given more power to lobbyists and staffers and may have contributed to a recent rise in campaign spending, current and former lawmakers say but they're unlikely to change anytime soon. A proposed constitutional amendment to increase the amount of time lawmakers can serve to two six-year terms died in the Legislature last year. Four years ago, voters rejected a measure to extend the limit to three consecutive four-year terms. Nebraska is one of 15 states that impose term limits on lawmakers, according to the National Conference of State Legislatures. Voters approved term limits in 2000 with a constitutional amendment that went into effect in 2006, restricting senators to two consecutive four-year terms. Because Nebraska has a one-house Legislature, lawmakers can't run for office in another chamber as their counterparts in other states do. "Term limits gutted the Legislature as a branch of government," said Sen. Ernie Chambers of Omaha, Nebraska's longest-serving state senator, who contends the term limits measure was aimed at him. "It will never be the same. I don't see any way that the Legislature can regain the stature it once had." Chambers, who first served from 1971 to 2009, developed a reputation as a firebrand and used filibusters to singlehandedly stall votes on bills he opposed. Term limits forced him from office, but he was elected again in 2012. The 79-year-old said many new senators arrive without knowledge of why past bills were rejected, don't understand the potential impact of legislation they introduce and are "used and misused by the lobbyists." Term limit supporters, like Sen. Mike Groene of North Platte, believe the concerns are overblown. He said term limits have kept individual senators from growing too powerful because of seniority, as well as make sure lawmakers stay aligned with their constituents by limiting the time spent as an incumbent. "It's just a cleaner form of government," he said. "It fosters more debate on issues and participation by encouraging people to run for office. The senators are closer to the people now." Groene disputed the argument that senators need years to learn the ropes, saying it doesn't take long to understand legislative rules and policies. Sen. Paul Schumacher of Columbus proposed a constitutional amendment last year that would have let senators serve up to two consecutive six-year terms, instead of two four-year terms, but the measure died in the Legislature. Term limits make it easier for state agencies to drag their feet on changes they oppose, Schumacher said, because they know senators will eventually leave. But on the other hand, Schumacher said, "dead wood" senators ones who sat in office without contributing to the lawmaking process were removed. Former Speaker Greg Adams said he still sees thoughtful, workhorse senators coming into office, but term limits have made the Legislature "reactive rather than proactive." Limiting senators to eight years makes it harder to set a long-term agenda on taxes, school financing and water policy, he said. Senators rely on longtime legislative staffers to explain why certain policies were rejected in the past, he said, but many of those employees are nearing retirement. The number of filibusters has also increased, reaching a record-high 24 during the session that ended in April. "When you're changing senators so often, it really complicates your ability to look down the road," said Adams, whose term expired in 2015. Former state Sen. Doug Kristensen, who served as speaker from 1997 to 2002, said lawmakers seemed more likely to reach compromises during his tenure because senators would spend years tweaking a bill until they found a version that could pass. "The problem now is you don't have the benefit of time to be patient," he said. "It's either win or lose, and move on. I think it makes it harder to serve." Term limits also may have contributed to the recent sharp rise in legislative campaign spending due to more seats coming open, according to Kent Rogert, a lobbyist and former state senator. He also thinks that vote-trading is more prevalent because many senators want to pass major legislation before their time in office ends. But he sees the other side, too, with new senators bringing fresh perspective and energy to the Legislature that's lost when someone sits in office for 20 years. Term limits have also given lobbyists a new way to influence the process, because each election brings a wave of new senators. "If we know that we can't get something with the current Legislature, we just wait two years," Rogert said. This is a carousel. Use Next and Previous buttons to navigate An injured West Haven police officer got a motorcade and an honorary escort when he transferred from the hospital to a rehabilitation facility recently, and police departments across the region report receiving flowers, food and thank you notes from residents in the wake of the fatal shooting of five Dallas officers. But there is anger too, at a series of shootings by police of black motorists, including Philando Castile near St. Paul, Minn. and Alton Sterling, a 37-year-old father of five, near a convenience store in Baton Rouge, La. last week. More than 300 people attended a Black Lives Matter rally Sunday in front of the Bridgeport Police headquarters in protest of the Castile and Sterling killings. They were protected by police as they marched from the Mount Aery Baptist Church on Frank Street. Area communities have had their problems too, including East Haven, investigated by the U.S. Justice Department for profiling of Hispanics. The 2012 probe resulted in the indictment and arrest of four East Haven police officers, and the signing of a consent decree between the town and the federal government. West Haven Officer Michael Doyle, 34, received a heros welcome when he returned to Connecticut on Thursday evening, a few hours before the fatal shooting of five Dallas police officers and the wounding of several others. The Dallas shootings marked the deadliest day for U.S. law enforcement since the Sept. 11, 2001, terrorist attacks, and trapped families, shoppers and demonstrators downtown in an hours-long shootout and siege. Among area residents response: Norwalk police on Monday posted an Instagram photo of a desk covered with flower arrangements from the community. State Police at the Troop G Barracks in Bridgeport received appreciative calls and postings on its Facebook page in the wake of the Dallas incident, and a flower arrangement from Landan Marine in Norwalk. The card read Thank you for keeping us safe. An officer at the Orange police department coming to work on Saturday found a note on his cruiser, Blue Lives Matter to your neighbors. Be safe at work. A photo of the note accompanied a posting on the departments Facebook page: We have seen a remarkable outpouring of gratitude from our community and beyond. Rest assured, the men and women of the Orange Police Department appreciate the sentiments. We serve with pride everyday...today, tomorrow and always. Lt. Frank Eannotti, the Stratford police spokesman, said his department received many calls and displays of support in light of the many incidents of violence across the nation. Although these did not occur here it affects everyone. Doyle, the West Haven motorcycle officer who was critically injured in a June 22 multi-agency drill in Yonkers, N.Y. was escorted by a phalanx of police cruisers and motorcycles surrounding the ambulance bringing him back from the Westchester Medical Center. The West Haven Fire Department parked its tower truck on an overpass, suspending an American flag over the I-95 in that city for Doyle to pass under on his way to I-91 and the Gaylord Medical Center in Wallingford. See the video here. Several State Troopers parked alongside the highway in Bridgeport as the motorcade passed by. Bringing an injured brother home. The motorcade for West Haven Police Officer Mike Doyle made its way through Troop G's area this afternoon. Several Troopers parked on the side of the highway and saluted as our brother in blue passed by, read a posting on Troop Gs Facebook page. Our thoughts and prayers are with him and his family. See the State Police video here. There will be pyrotechnics. Photo: Melissa Hom Now two decades old, the storied Japanese bar Angels Share is often credited as the forebear of New York Citys cocktail boom. The speakeasy-ish boite opened in the East Village back in 1994, where its still going strong. Now two popular veterans of the bar, Shigefumi Kabashima and Tetsuo Hasegawa, are taking their skills uptown to Hamilton Heights. Both Kabashima (the owner) and Hasegawa have some serious experience, so its reasonable to expect that this new spot will be one to watch, and not just because its in a part of the city where a well-made Sazerac was previously very hard to find. Called ROKC (ramen, oysters, kitchen, and cocktails), the bar is located at 3452 Broadway. The restaurant has been in soft-open for a couple of months now, serving ramen and raw-bar standards, but the team has only now, alongside co-bartender Joji Watanabe (previously of Experimental Cocktail Club), debuted a substantial cocktail menu. The group wants to make clear, however, that this isnt just an Angels Share retread. Of course we make good Japanese cocktails, but presentation-wise its more fun, more American. Yes, were all Japanese. But weve been living here about ten years, Hasegawa explains. At the beginning, we were just Japanese bartenders from Japan. But now we are Japanese bartenders in New York City. Its a mixture of all the good things, our own style. For example, a drink featuring passion-fruit rum, pineapple, and vanilla gets presented in a dimpled vessel fit for a tiki bar, topped with an umbrella and half-lime thats torched to caramelize its sugars. Another cocktail, fittingly called Smoke (bourbon, ancho chile, Cynar, and bitters), gets hot-boxed in a cloche, admittedly a technique also used at Angels Share. The most elegant is Flower (shochu, lavender, elderflower, and cranberry), served in a lightbulb and presented over ice in a trapezoidal pot. Theyre also extending beyond the regular repertoire with a frozen drink, called Berries (Calvados, sparkling wine, strawberry, blueberry, and raspberry), and a Thai tea spiked with absinthe and cachaca; and a matcha latte fortified with Japanese whiskey, like the Japanese answer to Irish coffee. There are also plenty of classics like the Hanky Panky (gin, vermouth, and Fernet) and the Old Pal (bourbon, vermouth, and Campari). While the drinks are clearly the main attraction, theyre not slouching in the kitchen. There are three bowls of ramen from Isao Yoneda, who worked at ramen destinations Totto and Hide-Chan, including a Tokyo-style seafood bowl with garlic oil and bonito powder; a chicken-and-fish-based Kyoto ramen; and a Sapporo chicken broth given depth with a dose of sake lees. The food menu is rounded out by six East Coast oysters and clams selected by exMaison Premiere sous-chef Jeff Srole, edamame with yuzu salt, and steamed buns with pork and shrimp. Check it all out: A serious presentation. Photo: Melissa Hom The Old Pal is one of many classic cocktails theyll serve. Photo: Melissa Hom The drink called, appropriately, Smoke. Photo: Melissa Hom Sapporo ramen with chicken-miso broth, chicken chashu, corn, baby bokchoy, scallions, chili pepper, and black pepper. Photo: Melissa Hom Spicy shrimp bun with endive, spicy mayo, and chives. Photo: Melissa Hom ROKC, 3452 Broadway, nr. W. 141st St. Food Menu [PDF] Drinks Menu [PDF] A total of 110 million Redmi phones have been sold by Xiaomi so far Xiaomi has sold a total of 110 million Redmi series smartphones until now, a milestone that was achieved in under three years since the first Redmi phone launched back in August 2013. This was announced by the Chinese company's VP Hugo Barra in a tweet. Xiaomi has sold 110 million Redmi phones globally since our first Redmi phone launched in Aug 2013. That's 1.21 units per second in 3 years! Hugo Barra (@hbarra) July 11, 2016 In a separate tweet, he revealed that most Redmi users are young and "very active mobile Internet/app users," falling in the 22-29 year age bracket. Redmi users are majority 22-29 y/o, young and very active mobile Internet/app usersvideo, social media, tools, games, books, and news Hugo Barra (@hbarra) July 11, 2016 If you recall, back in May this year, Barra announced that Xiaomi has sold a total of 600,000 Redmi Note 3 units in India within a period of 60 days, describing it as their "biggest record yet." Source These are the best offers from our affiliate partners. We may get a commission from qualifying sales. Today Qualcomm announced a new flagship chipset - the Snapdragon 821. And before anyone jumps to conclusions, Qualcomm wants every Snapdragon 820-powered device owner to rest assured the new addition is a complement to the flagship lineup and not a replacement. Indeed, the highlight of the Snapdragon 821 chip is the 10% performance hike over the Snapdragon 820. The processor remains a quad-core Kryo, but it can go as high as 2.4GHz, an increase from the previous maximum of 2.15GHz. The Adreno 530 should stay the same, but use a slightly higher clock. The quad-core processor of the Snapdragon 820 and 821 uses a two-cluster setup with two powerful Kryo cores, and two with a lower clock. The Snapdragon 820 had the lesser two cores clocked at 1.6GHz, while the new 821 iteration is speculated to have those working at 1.8GHz or even 2.0GHz. Qualcomm is yet to publish the full specs sheet of the Snapdragon 821 and we'll make sure we dig into those papers once they go public. Source Via Xiaomi, as we all know, sells its products only through online channels. However, that is set to change in the near future as the Chinese company is now planning to set up physical stores. According to the firm's CEO Lei Jun, the plan is to set up over 1,000 brick and mortar stores in the next two years. These stores will be located in major cities, presumably Chinese to begin with. He added that the stores would be 250 square meters large and will not only carry smartphones but other Xiaomi products as well - a total of 50 to 100 different types of products, he said. For those who missed, a recent IDC report revealed that Xiaomi is no longer in the list of top-5 smartphone vendors in the world. Via Pune Drone videos and real time shoots are paving their way to popularity in Pune's property market. Alka and Prakash Gupta, based in California, USA, want to invest in their native city, Pune, as they are planning to move back to India in the next three years. After browsing through thousands of options available on online property portals, they have finally been able to shortlist a few options. Within a short span of three weeks, they finalised a property in Baner without even seeing it for real. This was possible because the builder had specially arranged for a virtual walkthrough for them. This is a 2BHK mid-segment option and not a super-premium one that costs Rs 80 lakh. Virtual walkthrough has become a popular way of showcasing properties in a city to those based elsewhere. It is being increasingly used not just in Pune but in other metropolitan cities like Bengaluru, Delhi NCR and Mumbai. Builders are adopting these techniques now to woo buyers. If you think this is it, you are mistaken! Drone videos and real time shoots are paving their way to popularity. "Real time shoots are helpful as they help an investor understand the exact location of the property. These videos are about the whereabouts of the project, usual traffic around the area and the time taken to reach the location from nearby important hubs. This helps the person to decide what works in favour and what does not," says Honey Katiyal, founder & CEO, Investors Clinic Infratech. Drones are the newest means deployed in the marketing world. They give a different angle and perspective to buyers. It can also be done following a client's request. It offers a bird's eye visual view of the property. Online real estate protals, such as Magicbricks provide many tools and apps to help home buyers take the right property decision Pampering consumers for sales Take the case of Kavya Mehra, who was completely sure of the property she wanted to buy. She lacked some important information, which she managed to collect through the project-based mobile app on the go. "Project-based apps allow users to browse through information that is applicable and related to them vis-a-vis an app for the entire group. With the reach of online medium becoming more effective, we are not only engaging with prospective buyers in the primary local market, but also with buyers in other cities and the NRI community through our apps," says one of the members of the managing committee, CREDAI Maharashtra. Special tie-ups for NRI community "To engage with the NRI community in particular we have tied up with a few real estate portals. We have strategically partnered with these portals to reach out to potential Indian clientele, who are looking to invest in reliable Indian luxury properties," says one of the industry insiders from CREDAI Maharashtra. Targeting micro-markets in India Since investors in Tier-II cities are interested in actively making investments in metropolitan cities, realtors and brokers are making the most of the information gap. On-site visits, door-step services, cash-at-home are some of the services that builders are extending to consumers through the channel partners. However, this doesn't come for free, as buyers need to pay a fixed amount for enabling the flow of information and providing home services. Post-purchase service Not just pre-purchase service, industry leaders have admitted that post-purchase services are equally important as the pre and actual buying experience of the buyer. E-registration is a step further in this direction. Some of the builders have been allowed by the state government to install eregistration machines at their offices. Buyers can come and get their property registered at the builder's office itself. Apart from this, some of the builders are offering post-sale guarantee for a certain period of time where they replace, repair or redo the service if anything wears off. This is not just building consumer confidence but is also creating a positive vibe among buyers. Those investors planning to sign the dotted line can enjoy the pampering from the real estate moguls as this might not remain forever. Magicbricks Bureau Haiti - Economy : Towards a broadening of the tax base Within the framework of cooperation between the European Union (EU) and Haiti for the implementation of budgetary support program for the consolidation of the Haitian government, the Ministry of Economy and Finance has requested the implementation of a Support program for the Directorate General of Taxes (DGI), to accompany the ongoing reform efforts and the implementation of actions with the aim of increasing tax revenue of the State. To this end, the European Union has resorted to the French public operator Expertise France, specializes in the development of international technical expertise programs, mobilizing competencies of institutions of the French government. An executive of the French Ministry of Finance and Public Accounts was seconded to Haiti for this purpose. In two years, the Support Program for the DGI, funded by the EU to the tune of 2 million Euros, which receives technical assistance from the public cooperation agency Expertise France, aims to improve the level of revenue collection in Haiti to facilitate the financing of State activities and thus reduce dependence on international aid. In his speech, Yves Romain Bastien, the Minister of Economy stated "What the Haitian State research, is that at any time we can, through the payment of taxes, arriving at meet the needs of the population. What we need is to know from the resources we have, what is possible to achieve so that ultimately we can provide the answers for by ourselves. We can only maintain balance, despite the support that is provided to us, that by making the effort over time, broaden the tax base of the country as an expression of our realities." Massimo Scalorbi, the Head of EU cooperation specified that "Tax reform is a cornerstone in any state-building strategy since the ability to mobilize revenue directly contributes to its empowerment, as an increase in domestic revenue decreases dependence on foreign aid. It is one of the objectives of the European budget support and this is what justifies the greater involvement of the EU in this reform," adding "an administration able to effectively mobilize its own resourcesis an administration that will better serve its population." As part of this program, many activities will be deployed include: the revision of the legal framework (codes, procedure guides and legislation related to state tax), improving tax control and tax collection of SMEs, seminars on the taxation of SMEs, the provision of training on internal control and audit for executives, improving the communication of the institution through the strengthening of the communication plan and the establishment of an information Centre for the public. The project, started in April 2016, will end in February 2018. HL/ HaitiLibre Haiti - Social : Investing in teenage girls On the occasion of the World Population Day celebrated on July 11th, the United Nations in Haiti reiterates its support to the country to invest in youth, particularly in teenage girls, as a key priority to advance the Sustainable Development Goals (SDGs) and the 2030 Development Agenda. The State Secretariat for Population and the UNFPA in collaboration with other United Nations (UN) agencies such as UNICEF, the UN Volunteers Program and MINUSTAH, celebrate this year the World Population Day around the theme "Investing in teenage girls". The event will take place on Monday, 11 July with the presence of several Haitian ministers and the UNFPA representative in Haiti. 57% of the Haitian population is less than 24 years old (6.2 million of the 10.9 million inhabitants) and this characteristic represents great potential for development and economic growth, but only if the youth has access to good education, health care and employment opportunities. However, according to the representative of the UNFPA, Marielle Sander, investing in adolescent girls rights and allowing them to plan their families is essential to benefit from these possibilities of economic growth and to place the State in a position to provide basic services to the population. "To advance economic growth and development in Haiti, it is really important that each teenage girl has the right to have control over her own body and over her future. A pregnancy by choice, not by chance". As per today, 11% of adolescent girls in Haiti have already had at least one baby. UNFPA's studies conclude that, in the Caribbean region, each dollar invested in education for youth provides a return of 12.7% for each additional year of schooling. This benefit is even higher in the case of teenage girls with access to secondary school (18% of return per year). The return on the investments benefiting the population is also noticeable in the health sector, where each dollar invested in training of midwifes returns multiplied by 16, benefiting the economy of the country. In regards to adolescent girls, the United Nations underline that, even though they are key actors of development, they are also among the most vulnerable to different challenges such as natural disasters, lack of access to basic services, unequal labor conditions, environment degradation, limited access to water and sanitation, and water-borne diseases. According to the last household survey (ECWAS 2012) indicates that 6 million of the 10.4 million inhabitants in Haiti (59%) live below the US$ 2 a day poverty line and more than 2.5 million (24%) live below the US$ 1, 25 a day poverty line. Haiti is also one of the countries with the greatest inequalities in the world; and the richest 20% receive 64% of the total revenues for the country. These inequalities have an especial impact on youth. According to the Millennium Development Goals report, 57% of youth from the metropolitan area suffer from unemployment. In Haiti, youth between 10 and 19 years old represent 21.7% of the population. At this critical stage of their lives, they need to be in a position to develop their potential and to have prosperous and healthy lives. Therefore, specific interventions are needed to address obstacles such as violence, rape and early pregnancies. In addition, it remains crucial to protect the rights of the child, preventing child exploitation. According to data from the Ministry of Labor and Social Affairs and the last reports from the UN, today more than 200.000 children are domestic workers in Haiti. https://www.icihaiti.com/en/news-16846-icihaiti-social-over-200-000-domestic-slaves-under-15-years-in-the-country.html HL/ HaitiLibre Haiti - Politic : Election of new CEP very expensive Prime Minister Jean Charles, confirmed that the government would bear the cost of the next general elections scheduled for 9 October, after the announcement of the end of the electoral assistance by the United States and the European Union "the Government will pay the elections. So far, we have a large part of the money [around $ 30 million] now it is to complete the administrative work to allow the full financing of elections." However, a point that does not seem to have been raised is the cost of these elections. Let the facts, the new Provisional Electoral Council has submitted a budget of 55 million, including the repeat of the presidential election (2 rounds). Note that this new budget is +/- 83% of the total budget of previous elections. Recall that the second round of parliamentary and local elections, which are not repeated have already been funded. Besides it would remain few million of the funds collected by the United Nations Development Programme (UNDP) for the organization of the 2015 elections or at April 30 2016, nearly 9.7 million (9,696,989.41 dollars) regardless of expenses "not yet paid", specified the UNPD, manager of these funds, which do not however indicate what remains to be pay... https://www.haitilibre.com/en/news-17931-haiti-news-zapping-politics.html Even if it remains this full amount, the difference would be the results of the costs incurred by the resumption of the presidential election or just over $ 45 million. Whereas the budget of the elections 2015 funded by Haiti, Brazil, Canada, the United States, Japan and the European Union totaled $66.5 million, we must conclude that the two rounds of "Presidential election is +/- 68% of the budget what is hardly consistent nor convincing. Furthermore, Friday, July 8, the day after the announcement of John Kirby, spokesman of the State Department on the end of the US Electoral Assistance https://www.haitilibre.com/en/news-17963-haiti-politic-the-united-states-suspend-their-assistance-for-elections-in-haiti.html Prime Minister Jean Charles said Haitian authorities had not sought the US financial support for the preparation of the election of 9 October 2016, recognizing, however, that assistance from the international community would be beneficial for Haiti to complete the missing funds. However, beyond these political declarations, nothing confirms that Haiti is not negotiating with the United States. Indeed, Thursday, July 7, at the press briefing in Washington, an American reporter asked John Kerby "Did the Haitians try to bargain with the U.S. for getting some sort of electoral assistance ?" answer "I dont talk", the reporter rephrase "Did they ask and did the U.S. say no?" answer "Im not going to get into the details of diplomatic conversations"... far from categorical statements of the Haitian PM... SL/ HaitiLibre Haiti - Security : The Minister of Justice wrote to the Prime Minister Friday, the day when Camille Junior Edouard, the Minister of Justice issued a NOTICE for a stricter control of the movement of motorcycles https://www.haitilibre.com/en/news-17975-haiti-notice-stricter-control-of-the-motorcycles-circulation.html , the Minister also sent a letter to Prime Minister Enex Jean-Charles to propose him the agenda of an upcoming meeting in urgency with key stakeholders. Letter of Camille Edouard to PM : "Mr. Prime Minister, I have the honor to ask you, by your dual capacity as Prime Minister and President of the Superior Council of the National Police (CSPN), to kindly convene urgently a meeting of an expanded CSPN which would involve the Ministers of Public works, Economy and Finance, Commerce and Industry, to the problem of motorcycle traffic, representing the engine of insecurity throughout the country. This is to rule on the following points: 1. Import; 2. Sale; 3. Identification; 4. Registration; 5. Circulation mode; 6. Question of general interest and / or solutions. While regretting the rising crime strongly related to this means of transport, I take this opportunity to renew to you, Mr. Prime Minister, the expression of my best greetings. Camille Junior Edouard, av. Minister." HL/ HaitiLibre Haiti - News : Zapping politics... Assad Volcy, Senate candidate Assad Volcy, the Deputy Secretary General of the "Pitit Dessalin" platform announced his candidacy to the Senate for the West department for the next senatorial elections with the slogan "Pou lari a." Speaking about possible competition with Jean Myrtho Muraille, another candidate of its platform, Assad stressed that there was no dispute between them. However, he said that before deciding, "Pitit Dessalin" awaits the verdict of the National Electoral Office (BCEN) on a dispute produced by Muraille, candidate in the last senatorial. Vol Orlando / PAP / Havana ? Thursday held a working session with the company Sunrise Airways, at the premises of the Consulate of Haiti in Orlando around the possibility of a fligh ensuring the route Orlando / Port-au-Prince / Havana. A bicameral Commission for the roadmap A bicameral Commission co-chaired by Senator Youri Latortue and Deputy Jerry Tardieu was created with a mandate to try to finalize as soon as possible, the famous road map, subject to all divisions... Paralysis of hospitals 3rd meeting of Privert Friday at the National Palace for the 3rd time in 2 months, the de facto President Jocelerme Privert, met with executives of the Hospital of the University of State of Haiti, around the partial resumption of care activities at UEH. But beyond the recovery promises as was the case at the previous meeting on July 1, nothing moves in this case where the blocking persists. See also : https://www.haitilibre.com/en/news-17888-haiti-health-partial-reopening-of-maternity-isaie-jeanty.html The recourse to the street is not a solution "We must focus our efforts to ensure political stability in Haiti. Using the street can not be the solution to the current crisis. President Privert should favor the path of dialogue. It requires a transcendence of the various political groups including at the Presidency level to get the country out of the impasse," declared Abel Descollines, First Secretary of the Lower House on a radio of the capital. Double celebration of Independence The Permanent Mission of Haiti to the OAS presents its compliments to the Bahamas celebrating the 43rd anniversary of its independence and Argentina celebrates the bicentennial of its independence. HL/ HaitiLibre As Franklin Graham travels around the world sharing the Gospel of Jesus Christ, many have often asked the evangelist about his dad, Billy Graham. At Franklin's most recent stop in Juneau, Alaska, on July 1, he opened the prayer rally by sharing greetings from his father. "He doesn't think anybody remembers who he is," Franklin said with a laugh, "so he'll say, 'If you meet anybody who remembers me, tell 'em hello.'" "He's 97 years old," Franklin Graham shared at another recent Decision America stop in Olympia, Washington. "When he was 90, he told all of us he was gonna live to 95. When he approached 95, he moved the goalpost. He said, 'I'm now gonna live to be a hundred.' ... I hope he does." Billy Graham was a spiritual adviser to American presidents; he was particularly close to Dwight D. Eisenhower, Lyndon Johnson (one of Graham's closest friends) and Richard Nixon. He insisted on integration for his revivals and crusades in 1953 and invited Martin Luther King, Jr. to preach jointly at a revival in New York City in 1957. Graham bailed King out of jail in the 1960s when King was arrested in demonstrations. He was also lifelong friends with another televangelist, Robert H. Schuller, whom Graham talked into doing his own television ministry. Graham operates a variety of media and publishing outlets. According to his staff, more than 3.2 million people have responded to the invitation at Billy Graham Crusades to "accept Jesus Christ as their personal savior". As of 2008, Graham's estimated lifetime audience, including radio and television broadcasts, topped 2.2 billion. Because of his crusades, Graham has preached the gospel to more people in person than anyone in the history of Christianity. Franklin shared some more details about Billy Graham in his most recent letter to friends and supporters of the BGEA. Here is an excerpt from that letter: Many ask about my father. He is still in his own home and often eats meals at the kitchen table, as he has always done. Approaching age 98, he lives with limitations typical of old age-his eyesight is very poor, his hearing is not good, and he uses a wheelchair. Someone is with him in the house at all times. One of the things he enjoys is sitting on the front porch and looking out over the mountains on days when the weather is good and he feels up to it. He watches news with the help of a large-screen TV. His ministry now is praying, and he looks forward to reports of what God is doing around the world. He always responds by saying "Praise the Lord" as he points upward. Tags : Franklin Graham Billy Graham billy graham health billy graham news franklin graham news Published on 2016/07/11 | Source Ok Taecyeon and Kim So-hyun met for the first time in tvN's 'Bring It On, Ghost'. The two stars will interact with each other as an exorcist and a ghost. Advertisement On the episode one of tvN's new drama, 'Bring It On, Ghost', Bong-pal (Ok Taecyeon) and Hyeon-ji (Kim So-hyun) began the strange relationship. Bong-pal and Hyeon-ji came across each other as an exorcist and a high school girl ghost. On this day, Bong-pal headed to a girls' high school when someone offered him, "I'll give ten million won, if you capture a high school girl ghost". The request was actually came from Hyeon-ji. While Hyeon-ji decided to discover the secrets of her death in a high school, she started feeling threats from a pervert ghost roaming around there. Bong-pal and Hyeon-ji's first encounter began with action acting. And the two happened to exchange their kiss during the encounter. Their first encounter had all the elements of a bad relationship. However, Bong-pal ended up deciding to help Hyeon-ji. He saved Hyeon-ji from the pervert ghost. After Bong-pal expelled the pervert ghost, he nagged Hyeon-ji, "Give me the commission". However, Hyeon-ji confronted saying, "Do you think a ghost has money?" After all, the two agreed not to encounter each other again and then turned around. But, it was only the beginning of their relationship. Harlow is a former New Town in Essex with a population of 86,000. Located in the upper Stort Valley, it was built in the decades after the Second World War to ease overcrowding and London and provide homes for people bombed out during the Blitz. It includes Britain's first pedestrian precinct and first modern residential tower block, The Lawn. Old Harlow, the historic part of the town, was mentioned in the Domesday Book. David and Victoria Beckham's former home, Rowneybury House, nicknamed 'Beckingham Palace', is nearby. 13:18, 27 OCT 2022 courts have upheld a long-relied upon definition of injury, ruling out that dizziness can qualify, regardless of how suddenly it arises. The case was heard in the High Court, on appeal from a Federal Court decision. In that Federal Court decision a former RAAF cadet had been found to suffer an injury because he had suffered symptoms consistent with vertigo, increasing as he underwent a series of vaccinations. The High Court held that while injury includes sudden physiological change, the the lower court had relied upon the suddenness aspect rather than the change component. The High Court held that in this case the respondent's subjective experience of feeling unwell did not constitute an injury, and said evidence was required that established the respondent had undergone physiological or psychiatric change. The High Court held that the respondent's subjective experience of feeling unwell did not constitute an injury The worker's complaints failed this test, the court said. The High Court found that the earlier court's interpretation of the term injury, within the context of the statute was incorrect. The court said that injury can be read in its 'primary sense' as being 'a sudden and ascertainable or dramatic physiological change or disturbance of the normal physiological state'. But while suddenness may be indicative of an injury, it is not crucial to finding that an injury has been sustained when the focus should be on the physiological change. The High Court has maintained the definition required of workers to demonstrate that they have sustained an injury. loyers have been warned by the Fair Work Ombudsman that understanding correct pay rates and awards is their responsibility, and underpaying workers is a serious matter.In a recent case a Melbourne real estate agent had to repay wages and receive extensive advice from the industrial umpire about its workplace obligations, after it was revealed a visa-holding worker was paid just $9 an hour.The employee worked on a 485 temporary graduate visa and was drafting contracts, assisting buyers and doing general duties. The case went to the Fair Work Ombudsman and the business owner agreed to back-pay the 28-year-old Chinese worker $5,000 after the Ombudsman found he was being underpaid.The employer originally disputed with the Ombudsman that the Chinese worker was being underpaid, claiming the worker was being correctly paid as an intern.However, the worker was an employee, and even if on probation, was entitled to the minimum wage.Fair Work Ombudsman Natalie James said employers must understand applicable wage rates."It is unacceptable for an employer to take advantage of any worker, especially overseas workers who have a limited understanding of their workplace rights," she said. "We have minimum pay rates in Australia, they apply to everyone, and they are not negotiable.The Ombudsman said while many employers did the right thing, there were some who sought a competitive advantage by exploiting vulnerable workers, such as visa-holders.The Fair Work Ombudsman acts on cases on underpayment: the FWO recovered $20,400 for a Melbourne store man who was paid $19 an hour for three-and-a-half years when he should have received up to $23.08; $8900 was recovered for a salesman who was not paid for weekend work, annual leave and travel time during overseas business trips; and $8000 was recovered by the FWO for a labourer who should have received a casual hourly rate but was paid part-time wages without the entitlements of a permanent employee.In 2014-15, the Fair Work Ombudsman recovered $702,886 in underpaid wages and entitlements for 203 employees, in Melbourne's eastern suburbs alone.Fair Work Ombudsmans Information on internships is available on the Work experience and internships page Tuesday will be crucial to determining whether state lawmakers can pass a tax increase to fully fund a $31 billion election-year spending bill, and put a quick end to legal questions over how the state can operate on an unbalanced budget, lawmakers said. Lawmakers said they would work through Monday night to try to seal an agreement, but gave little detail about their private discussions or their meeting with Democratic Gov. Tom Wolf at his official residence in Harrisburg. Fueling their urgency was Wolfs Sunday night pronouncement that he would not stop the budget bill from becoming law at midnight Monday, despite tax collections that are projected to fall hundreds of millions of dollars short of funding it. I believe, and I am troubled by the fact, that the Governor is once again abdicating his responsibility in this process by knowingly allowing a bill to go into law that will require spending more money than this commonwealth has available, Rep. Stephen Bloom said. Bloom, who voted against the spending measure, pointed to provisions in the Pennsylvania Constitution and Administrative Code that state appropriations bills may not exceed expected revenues and that the Governor shall item veto any part of any appropriation bill that causes total appropriations to exceed the official estimate plus any unappropriated surplus. The section of the Administrative Code refers to revenue estimates being provided to the Governor before he signs the general appropriation bill. However, Wolf has said he would allow the bill to become law without his signature if a revenue bill was not passed prior to midnight. The provisions that require the governor to blue-line revenues envision that the governor will at least partially approve the bill, Wolf spokesman Jeffrey Sherridan said via email. Taking no action is not any form of approval. Bloom disagreed with this position and said it is poor public policy. The idea was to make sure the Governor is the final stop gap to make sure that a fiscally unbalanced budget doesnt become law, Bloom said. He cant escape those duties simply by saying Im just not going to sign it. He has it within his power to fix it. The scramble followed weeks of discussions in the Republican-controlled Legislature that has failed to produce any sort of agreement to plug holes in the states deficit-ridden finances. Senate Republican leaders on Monday evening told their rank-and-file members that they have an agreement that they expect to hold together with the House. No details were given, and work was still to be done, senators said. Its hour by hour down there these days, said Sen. John Eichelberger, R-Blair. Closed-door revenue discussions revolved around a $1.3 billion package that relies heavily on a $1 per-pack cigarette tax increase, to $2.60 per pack, and an expansion of casino-style gambling that would make Pennsylvania the fourth state to legalize internet gambling. However, the House and Senate do not see eye to eye on the sprawling gambling legislation, and Wolf has clashed with House Republicans over their push to tap off-budget state programs as a one-time source of stopgap cash. Meanwhile, legislative staff were preparing hundreds of pages of budget-related legislation and another nearly $600 million in aid to Penn State, Temple, Pitt, Lincoln and Penn remained in limbo in the House. Major legislation sought by Republicans to pare back public-sector pensions and enable charter school expansion could accompany any budget package. On Monday, credit ratings agency Standard & Poors took note that Pennsylvania was embarking on its 2016-17 fiscal year without a balanced budget and swiftly warned of further damage to the states already damaged credit rating. The ability to enact a spending plan for fiscal 2017 in the absence of a balance revenue package would call the strengths of the constitutional balanced budget requirement provision into question, Standard & Poors analysts wrote Monday. VIDEO FILMED ON WATAUGA LAKE By Jesse Wood As Blue Ridge Electric lineman work in Western Watauga to restore power to the last 300 customers still without, emergency officials in Watauga County are in the initial stages of assessing the damage from Mother Natures 10 minutes of fury on Friday night. Watauga County Fire Marshal and Emergency Management Coordinator Steve Sudderth said that its too early to tell how much damage the storm inflicted on properties in the High Country. Sudderth is asking Watauga County property owners to email pictures of any damage with the street address to [email protected] and [email protected]. We dont know yet. The biggest damage is going to be the public assistance with the power companies and they go through the state when they report their cost of putting everything back together, Sudderth said. Thats kind of where we are at. I dont think we are going to reach the level of any type of declaration. Well see. Sudderth noted that one person was injured in the county when a tree fell on their car. That person was transported to the Watauga Medical Center and their condition is currently unknown. The storm was quick yet powerful. RaysWeather.com posted that the storm lasted about 13 minutes and produced straight-line winds of 60 to 85 miles per hour. Grandfather Mountain, for example, experienced winds up to 87 mph. Its safe to say that for about 5-10 minutes, some areas were experiencing effects similar to a Category 1 hurricane. While in an event of this magnitude, a small tornado cannot be completely ruled out. No confirmed tornadoes have yet been identified, and there were no radar indications of rotation within these thunderstorms, RaysWeather.com posted. See a more technical analysis of the storm at RaysWeather.com. Watauga County Sheriff Len Hagaman said that the high winds, tree movement and power interruption to thousands caused a bunch of alarms. The deputies conducted many welfare checks on family members who couldnt contact one another. [There were] also many reports of neighbors helping each other with chainsaws and elbow grease and most of all, a BIG heart, Hagaman said. A Red Cross emergency shelter opened at Alliance Bible Fellowship on Friday evening. Blue Ridge Electric Membership Corporation (BREMCO) said this was the most devastating storm since 2009. More than 100 linemen from BREMCO and cooperatives across the state worked on more than 18,000 power outages in BREMCOs territory. As of Sunday afternoon, nearly 1,500 customers in Watauga County and another 150 in Ashe, Avery, Caldwell and Wilkes counties were still without power. As of first-thing Monday morning, the total number of outages in the BREMCO area dwindled to about 300. BREMCO reported that after Alleghany County power was restored, a car accident on Saturday took down power poles and lines, which led to additional outages. BREMCO responded to more than 150 damaged locations, and 50 power poles were broken in the storm. This was a highly unusual and unprecedented summer storm, said Doug Johnson, chief executive officer of Blue Ridge Electric. We heard reports of 80-mile-per hour winds and many trees taken down across our service area in a short amount of time Friday eveningmany of which tore down our power lines and broke power poles, said Johnson. The storm affected most of the state and caused severe outage situations for other utilities, which led to having fewer additional resources available to us and to other utilities. We are very grateful to the visiting crews from French Broad, Rutherford, Jones Onslow, Central, Piedmont and Edgecombe Martin cooperatives who assisted our linemen and technical staff in getting power restored as quickly and safely as possible. BREMCO ADVISORY If you are the only household in your neighborhood without power, Blue Ridge Electric advises you to call the cooperatives PowerLine at 1-800-448-2383 and report the outage even if you have already done so. Members are also advised to stay far away from downed power lines as they can still be energized and dangerous, even deadly! Members are asked to report downed power lines and their location by calling any local Blue Ridge Electric district office to speak to a member services representative. Outage updates and other helpful information is available on the cooperatives social media sites at: www.facebook.com/blueridgeemc and www.twitter.com/blueridgeemc. For safety tips and other information including views of the cooperatives social media pages, please visit the website at www.BlueRidgeEMC.com. RaysWeather.com Analysis of Storm Heres how RaysWeather.com described the weather event from 6:40 to 6:53 p.m.: On Friday evening, July 8,2016, a line of severe thunderstorms entered the region from Tennessee and produced widespread wind damage across parts of the High Country. Within this line, a powerful downrush of wind from a thunderstorms downdraft produced a bow echo on radar as it accelerated across Watauga and southern Ashe County. This downburst produced major straight-line wind damage with winds of 60-85 mph+ as this feature moved across. While this kind of event is rare for the mountains, the atmospheric profiles of moisture and instability were nearly ideal for this occurrence, along with the time of day. The dBZ values between 60 and 70 indicate an extreme event. Its safe to say that for about 5-10 minutes, some areas were experiencing effects similar to a Category 1 hurricane. While in an event of this magnitude, a small tornado cannot be completely ruled out. No confirmed tornadoes have yet been identified, and there were no radar indications of rotation within these thunderstorms. Old Tornado Photo From Colorado Spreads Like Wildfire After the storm on Friday evening, a photo of a tornado supposedly taken in Bethel spread like wildfire on social media. That photo, however, was actually taken a few years earlier in Colorado. See image below. Watauga County Sheriff Len Hagaman said that several eyewitnesses reported seeing funnel clouds in the High Country, but as RaysWeather.com noted, WCNC Meteorologist Brad Panovich posted on Facebook that no tornado occurred. While there were no tornadoes in the area Friday, its important to understand that widespread damage from straight line winds is just as dangerous. Our focus on what we call things needs to end and the focus on the impacts are all that matter in severe weather. Heres a link to learn more about downbursts and straight line winds which account for 90% of all wind damage across the U.S. annually. Fallen Tree Kills Two Campers at Watauga Lake Former Carter County Court Clerk, John Mathes and his wife, Robin, were camping in a cove at Watauga Lake when Friday nights storm hit the High Country. The couple was killed when a tree fell onto their tent. It was a sad evening, storm witness Linda Hardin told WCYB. It was just like a war zone. All the trees were down campers were damaged. Town of Boone Storm Debris Pick-Up Notice & Greenway Closure The Town of Boone Public Works Department will provide town residential storm debris pick-up on a neighborhood-by-neighborhood basis beginning today, July 11, 2016. Storm debris should be placed curbside and free of all other debris. It is NOT necessary to call to schedule a pick-up. Areas that sustained considerable damage will be first priority. The Town of Boone Facilities Maintenance Division may be contacted at 828-268-6230. The Town of Boone Greenway Trail will be closed today, July 11, 2016 to continue clearing storm damage. Pending the degree of damage, there may be a possible closure tomorrow, July 12, 2016. We apologize for any inconvenience and will open the trail as soon as possible. The Town of Boone Facilities Maintenance Division may be contacted at 828-268-6230. Power Outages, Down Trees, Damage Due To Storm https://t.co/oDKxzjF61c pic.twitter.com/fSzHpR9JKT Kenneth Reece (@RadioMediaGuy) July 9, 2016 Share this: Twitter Facebook LinkedIn Reddit Pocket That'll of course measure how serious each stakeholder is about the issue, Niinisto reminded at the Nato Summit in Warsaw, Poland, on Friday. President Sauli Niinisto has admitted that he was surprised by the willingness of Russian President Vladimir Putin to add his proposal on the use of transponders by aircraft over the Baltic Sea to the agenda of a meeting of the Nato-Russia Council scheduled to take place this week in Brussels. But the issue is bigger than itself, because I at least think that it's an indication of whether or not there's willingness to relieve the tensions, or at least [to make sure] the tensions don't keep on growing, he said according to a press release from the Office of the President. Niinisto brought up the issue of transponder use in a meeting with Putin at his summer residence in Naantali, South-west Finland, on 1 July. Relations with Russia were discussed in Warsaw especially during a working dinner between the leaders of the allied nations and those of the European Union, Finland and Sweden, according to the press release. Niinisto revealed that the discussions also dealt with the dual-track policy of the defence alliance a policy of deterrence and dialogue. Dialogue was highlighted more clearly than in previous discussions. It's in good balance with the use of deterrence to apply pressure, he estimated. Niinisto himself drew attention to the efforts to alleviate tensions in the Baltic Sea Region. The European Union and Nato, he also suggested, should develop their co-operation especially in the field of hybrid warfare. It represents a common interest firstly because it's a relatively unknown area and secondly because most of it, the non-military dimension, is in an area where Nato has no organisation or major capabilities. The EU's structure will perhaps provide a better foundation for being involved in that area and then bringing together ideas and operations, he said. Niinisto also sat down with Petro Poroshenko, the President of Ukraine, to discuss the ongoing efforts to settle the crisis in Ukraine. Discussions are taking place all the time, both between Russian and European leaders and between Russia and the United States. It's my understanding that there are a lot of serious attempts, he commented after the meeting. He also had bilateral meetings with Recep Tayyip Erdogan, the President of Turkey, Stefan Lofven, the Prime Minister of Sweden, and Frank-Walter Steinmeier, the Minister for Foreign Affairs of Germany. On Saturday, he took part in a meeting between the leaders of nations taking part in Operation Resolute Support, a Nato-led advisory and training programme in Afghanistan. Finland was also represented in the summit by Timo Soini (PS), the Minister for Foreign Affairs, Jussi Niinisto (PS), the Minister of Defence, and Jarmo Lindberg, the Commander of the Finnish Defence Forces. Aleksi Teivainen HT Photo: Annu Marjanen Lehtikuva Source: Uusi Suomi The European Union and Nato state in the joint declaration that they will seek to expand their co-operation in responding to cyber threats and organise parallel and co-ordinated exercises starting early next year. Jarno Limnell, a professor of cyber security at Aalto University, estimates that the joint declaration issued by the European Union and Nato on Friday is good news for Finland. Good news for Finland, tweeted Limnell. Finland has a good opportunity to profile itself positively in the co-operation. Our expertise is valued highly. President Sauli Niinisto said in a press conference held adjacent to the Nato Summit in Warsaw on Friday that expanding co-operation on cyber security is in the best interests of both the European Union and Nato. It represents a common interest firstly because it's a relatively unknown area and secondly because most of it, the non-military part, is in an area where Nato has no organisation or major capabilities. The EU's structure will perhaps provide a better foundation to be involved in that area and thereby bring together the ideas and operations, he said according to a press release. Aleksi Teivainen HT Photo: Handout / Katri Makkonen Office of the President of Finland Source: Uusi Suomi Project SHARE is offering free yoga at its Farm Stand building on Sundays from 4-5 p.m. beginning July 17. A Share Yoga Benefit Class kick off class was held Sunday at the Project SHARE Farm Stand building, 123 Lincoln St., Carlisle. The Share Yoga initiative is a way for us to promote the overall health and wellness of the community, said Elaine Livas, Project SHARE founder and executive director. I was skeptical about yoga until I experienced the benefits myself, and I encourage everyone to try it before they dismiss it. By offering free yoga classes, we hope to cultivate a fun and relaxing atmosphere that everyone can take part in. The Share Yoga Benefit Class features all-levels, 90-minute yoga class taught by multiple Share Yoga instructors. Participants should wear comfortable clothes that are easy to stretch in. Project SHARE will collect donations of yoga mats and yoga blocks as admission to the class. Donations also will be accepted at Project SHARE, Ethos Fitness and Simply Well, all in Carlisle. Free Share Yoga classes begin July 17 and will be offered every Sunday from 4-5 p.m. at the Farm Stand building at 123 Lincoln St. They will be taught by local yoga instructors donating their time and talents to the community. The expected stabilisation and recovery of exports may fail to materialise. As even economic indicators from June will largely be tell-tales of a time before the vote for Brexit in Great Britain, we will have to wait a few months to receive information about changes in our economic trajectory, he writes in an economic review. Pasi Kuoppamaki, the chief economist at Danske Bank, has expressed his concerns about preliminary data on export and industrial activity in Finland. Statistics Finland reported on Friday that the value of new orders in manufacturing slipped by 0.1 per cent year-on-year in May, following a rise in the value of new orders in the textiles and metals industries and a decline in the chemical and paper industries. The slide in new orders was admittedly the smallest for nine months, but it remains premature to talk about a trend reversal as the comparison period one year earlier was weak and statistical fluctuations are notable. You should not draw any major conclusions based on one month, says Kuoppamaki. Statistics Finland also reported that industrial output increased by roughly one per cent from the previous year but declined by half-a-per cent from the previous month in May. Finnish Customs, meanwhile, published a set of preliminary data indicating that the value of goods exported from Finland decreased by 7 per cent year-on-year to 4.3 billion euros in May. Bad news about the development of goods exports have now continued for a while. Similarly to the value of new orders in manufacturing, the decline in exports in May at least was not as sharp as in previous months. The exports of almost all manufacturing sectors declined in May, reminds Kuoppamaki. He points out that the main trade partners of Finland have, with the exception of Russia, experienced economic growth while Finland has seen its share of world trade dwindle. Export-oriented industries, he estimates, have been hamstrung not only by their concentration on low-demand goods, such as investment goods and paper and pulp products, but also by problems related to competitiveness. The developments have luckily been more positive in the field of service exports. The competitiveness pact struck at the beginning of the summer was greatly needed, but we will have to wait for its effects to kick in. The willingness to invest in Europe has been dented by Brexit, which for its part is set to erode the export opportunities of Finland and gnaw away at the positive effects of the competitiveness pact, warns Kuoppamaki. Aleksi Teivainen HT Photo: Markku Ulander Lehtikuva Source: Uusi Suomi MOREHEADS LOOK BACK: Swift plunges into religious studies Catherine Swift Related Stories Its not often that Henderson County sends a Morehead scholar to UNC at Chapel Hill. Its rarer still for the county to send two. Four years ago, Catherine Louise Swift, of West Henderson High School, and Andrew H. Wells Jr., of Hendersonville High School, each won the prestigious four-year scholarship, now called the Morehead-Cain. The award is a full scholarship covering all costs including housing and meals plus a summer enrichment program. Both Swift, the daughter of Rebekah Ellsworth, and Wells, the son of Dr. Andrew Wells and Katherine Wells, were inducted into Phi Beta Kappa. The Lightning caught up with Swift and Wells after graduation to find out about their college experience and what they plan to do next. * * * * * Catherine Swift smiles easily when she talks about her experience as a Morehead-Cain scholar at UNC at Chapel Hill. Youd never know how much death is a part of her life. My personal experience includes growing up in Elizabeth House, she says. Instead of going home after school, she went to Four Seasons hospices end-of-life care facility, where her mother, Rebekah Ellsworth, was director. Catherines father, Tom Swift, suffered under the long and certain death sentence of ALS, sometimes known as Lou Gehrigs disease. He died in January 2013, in Catherines freshman year at UNC. Conversations (at home) were often about death in some way and I spent a lot of time in hospice facilities, she says. Swifts personal experience gave her an almost insatiable curiosity about how people respond to imminent death both the dying and the loved ones and how a third-party counselor can help ease that transition. Under a special program in UNCs honors college, Swift designed and taught a course called Modern Perspectives on Death and Dying. In the two-hour class once a week, she led 12 students through the curriculum and guided class discussion in a study of how different people look at death and dying in different ways, she says. Theres not a right way and a wrong way. I was hoping to get at some of those things you cant get through textbooks. One thing I tried to do in the class was bring in their own ideas and their own questions. The Moreheads summer enrichment program starts with a challenging outdoor leadership experience and continues through fully funded experiences in public service, personal exploration and private enterprise. Swifts Morehead summers took her to exotic places. The summer after her freshman year she flew to India to work in the city of Ahmedabad, an experience she recalls as the most meaningful of her four Morehead summers. You actually did work that people want and need, she says. Everyone who works there has become part of this family. They start every single day with an all-religion prayer in nine different religions or something like that. If the leaders were spiritual, the work was practical. They had a preschool, she says. They had boarding school for orphans. They feed tons of kids in the city. They had health programs, they had programs for the elderly. * * * * * Her third and fourth Morehead summers each brought challenges. After her sophomore year, she signed on as an intern for Spirituality & Health magazine, which had editorial offices on the island of Maui in Hawaii. Two weeks before she left Chapel Hill, she got word that the magazine was scrapping its print version. That was kind of weird walking into a company that was in the midst of shutting down, she says. She still had a job, working for the online version. It was interesting to see how things can shift. The summer between her junior and senior years, she took an internship with a nonprofit in Ecuador. It was not as good an experience as India had been. She found the nonprofit organization to be dysfunctional. It was kind of the opposite experience, she says. I learned how to trust my moral compass. I learned to deal with conflict I worked really hard. I learned a lot of Spanish. So that was an interesting summer. * * * * * Growing up, Swift attended Blue Ridge Christian Church and a United Methodist Church and sometimes attended St. James Episcopal with her father, who was an active member. At Carolina, her course of study presented itself naturally. I just really fell in love with religion and studying religion and how people interpret religion in their personal lives, she says. I just loved every single class in religious studies. It combines anthropology and history and literature and the social sciences and psychology and brings them all together to try to understand this thing, religion, that touches every single person. Four years of studying the religious imagination left her with an informed objectivity. I cant say that any religion is right, she says. I think they all have a lot of truth in them and they all have a lot of bad in them. Asked what shell miss the most about UNC, she says her friends and professors. Honestly, the best part of it was the people, she says. Its an incredible family, incredible mentors. The professors at UNC generally are just incredible and the religious studies department has some of the rock stars of religious scholarship worldwide. Although shes delaying graduate school for a year, shes moving to Pittsburgh, where her married sister, Emma Swift Lee, lives. She plans to participate in a Clinical Pastoral Education program. Used primarily by seminary students, the program trains hospital and hospice chaplains in spiritual care of victims of trauma and their families and in end-of-life counseling and support. Swift plans to begin pursuing a masters degree in social work in the fall of 2017. Murder victim David 'Daithi' Douglas is due to be laid to rest early this week after his heartbroken family brought his body home. The convicted drug dealer was gunned down outside his wife Yumei's shop on Bridgefoot Street, in Dublin's south inner city, just over a week ago. On Saturday, family and friends gathered at his house in Cabra to pay their respect as his coffin was brought home. Penning a cryptic message on Facebook over the weekend, Douglas' widow Yumei wrote that he had "forgiven" last time, but would not likely do so again. It is understood she was referring to a failed assassination attempt on Douglas (55) in November of last year in which he was seriously injured by a gunman linked to the Kinahan drug cartel. He was eventually killed on July 1, with the Kinahan cartel again suspected of targeting him as part of their bloody feud with their rivals, the Hutch gang. "He forgave you last time, you think he will do that again?" Yumei wrote on Facebook. "Those people, from now on, you have to be careful when you stand beside a mirror or somewhere on your own. My Daithi's face always been watch you," she said. The grief-stricken widow revealed that the couple's 14-year-old daughter was just yards away when her father was shot dead. "She didn't see anything because she was inside the shop but she called 999 when she heard people screaming outside. "People said those killers are just animals, they're evil. There was no reason for them to do this to David," she told the Sunday World. Douglas, a former zookeeper, was linked to the Provisional IRA in the 1980s and took part in a post office robbery in Tallaght during which he fired a rifle at a garda. He received a 12-year sentence for attempted murder. He was again jailed in 2008 for five years for possession of cocaine worth 562,000. A Shippensburg man faces charges after police said he attempted to pull teeth from a juvenile using a pair of pliers. Enrique P. Soler Jr., 22, was charged with aggravated assault, simple assault, receiving stolen property, conspiracy to unlawful restraint, unlawful restraint, possession of instrument of crime and corruption of minors after the incident on June 13 police reported Friday. Shippensburg Police said officers were dispatched to the 300 block of East Burd Street for a disturbance. Reportedly, Soler had attempted to pull the victims teeth using a pair of pliers while three other juveniles held the victim down. Two bicycle rims were also taken by a juvenile and were in possession of Soler when police made contact with him, police said. Soler was arraigned on June 24 and placed on $25,000 cash bail, which he posted. A preliminary hearing is scheduled for July 18. Sharkey said money was falling from the trees during boom He counts Kate Moss and Courtney Love among his fans, but artist Kevin Sharkey has become homeless. Sharkey (54) made a fortune selling his art, but fell on hard times with the collapse of the Celtic Tiger. He has now revealed that he has been living in sheltered accommodation in Dublin city centre for the past two months. "I'm proof that becoming homeless can happen to anyone. This is the reality of the crisis," he said. "I've been an artist for 20 years and had amazing success, both professionally and personally. "Money was falling from the trees in the boom. I made over 3m from art, but I put it right back into the economy, opening galleries. People just stopped buying paintings." Sharkey admitted it took him a long time before he looked for help. Pride "For a man, it's seen as a failure - and in my pride I couldn't do it. Actually, it's the hardest thing in the world to ask for help," he said. Sharkey said it was so bad that at one point he could not afford basic necessities and was avoiding his landlord's calls. "I would pay one month's rent and immediately be panicking about where I would get the next month's," he said. He even stayed in a caravan park in Roundwood, Co Wicklow, in a tent with an inflatable bed, paying 16 a night. "I've decided to be honest. If I tell people I'm homeless, it forces people to think about it." Sharkey was told about housing agency Crosscare, which provided him with accommodation on Amiens Street. He was given priority due to his homeless status, and will pay a percentage of his income in rent. When I mentioned that Id probably vote for Donald Trump if no viable alternative presented itself, dozens of readers begged me to stop writing such ridiculous and/or dangerous things. While the opinion of one columnist might not create a seismic shift among voters, there is someone who could make a very definite difference in who becomes our next president. His name is James Comey, and his day job is running the FBI. At night, hes a standup comedian and impressionist. This week, he put on a fabulous show, which left millions of America in stitches as he impersonated someone who actually felt comfortable refusing to file charges against Hillary Clinton over her emails. One of the most hilarious moments came when he said, Although there is evidence of potential violations of the statutes regarding the handling of classified information, our judgment is that no reasonable prosecutor would bring such a case. His eyelid didnt twitch once, and he didnt even crack his knuckles. It was a fabulous impersonation of a man who was comfortable in his skin. Genius, really. As I watched Comey explain why Clinton was going to dodge a bullet (no wonder shes in favor of gun control,) I couldnt help feeling I was viewing one of those hostage videos where they have the poor soul staring into the camera, saying how happy he was to be kneeling on the ground about to be killed. There was no escaping the fact that while his mouth was saying no, no charges will be filed, his eyes were saying yes, shes a lying and incompetent charlatan. The problem is that, in this context, it took a lot more than just the letter of the law to justify an indictment. Of course, many conservatives dont see it that way. Most conservatives were apoplectic that Clinton was spared a prosecution, seeing it as some conspiracy among Loretta Lynch, Barack Obama, Bill Clinton and Huma Abedin. They pointed to David Petraeus, who received probation and fines (through a plea bargain) after he shared classified information and bodily fluids with his biographer. They kept posting the language of the statute under which Hillary could have been charged and which required something less than intent, namely, gross negligence. They pointed out that she had deliberately lied to the American people by saying she had never sent any classified information, when, in fact, she had, and knew she had. They have some very strong points, there. But I understand why Comey decided against charging her, and I really dont think it had anything to do with a backroom conspiracy. This is a unique circumstance, in which the target of an investigation is a major partys presumptive nominee. We are four months from an election. If the charges were filed, Clintons camp would go into full spin mode and (1) claim sexism; (2) resurrect the vast right-wing conspiracy meme; (3) complain we wanted her to stay home and bake cookies; (4) point to this as revenge for skating on Benghazi; and all sorts of other typical Hillary things that have nothing to do with the truth and everything to do with blaming others for her incompetence. And here is where Comey, unlike yours truly, can really have some impact. By not indicting Clinton but labeling her as extremely careless and, essentially, calling her a liar who quite possibly allowed foreign enemies to access sensitive materials, he has shredded her faeade of competence. Clinton has been setting herself up as the sane one, the woman who was a pro on the world stage. Comey has basically shown that she is a spectacular screw-up, at least from that perspective. There will still be true believers who wont care. But for those on the fence, Comeys unprecedented takedown could very well be a political wake-up call. And that could make much more of a difference than any watered-down plea bargain, a la Petraeus. Christine Flowers is an attorney and a columnist for the Philadelphia Daily News, and can be reached at cflowers1961@gmail.com. : ; - CM ?; - Franklin County man guilty of killing man, hiding body Justin Hockenberry was found guilty of killing Demetrios "Jimmy" Kalathas in November 2019 and faces life in prison without parole. Monday Desloge Board of Aldermen The Desloge Board of Aldermen will meet in regular session at 7 p.m. at city hall. The meeting is open to the public. Tuesday Leadington Board of Aldermen The Leadington Board of Aldermen will meet in regular session at 6 p.m. at city hall. The meeting is open to the public. Park Hills City Council The Park Hills City Council meets tonight at 6 p.m. for a regular session in the municipal court chambers at city hall, located at 9 Bennett St. The meeting is open to the public. Wednesday SFC 911 Board The St. Francois County 911 Board will meet in regular session at 10 a.m. at Desloge City Hall. The meeting is open to the public. Thursday Farmington City Council The Farmington City Council meets in regular session at 6:30 p.m. in council chambers at Long Memorial Hall, located at 110 W. Columbia St. The meeting is open to the public. Bismarck Board of Aldermen The Bismarck Board of Aldermen will meet in regular session at 7 p.m. in the old train depot. The meeting is open to the public. This domain has expired. If you owned this domain, contact your domain registration service provider for further assistance. If you need help identifying your provider, visit https://www.tucowsdomains.com/ Prime Minister Narendra Modi has been criticised for playing the drums in Tanzania even as Kashmir burns. This, social media warriors have declared, is proof of his priorities. While this simplistic binary may get likes and retweets, it is unfair. The PM is on an important visit to Africa: his first since taking over. Once he is there, he has to immerse himself in engaging with his hosts and reaching out. To expect him to drop everything does not do justice to the multiple responsibilities the head of the Indian government executes. Playing the drums on external soil is not the problem. His silence on a burning internal issue is the problem. Communicating his achievements in Africa--or wishing a colleague for his birthday as he did to Suresh Prabhu on Monday morning--is not the problem. Not reaching out, instantly, to Kashmiris through modern technology that he so likes is the problem. Deploying top ministers and the governments propaganda machinery to advertise even incremental progress is understandable. Silence in putting forth the view of the Indian state on a grave political-security issue is inexplicable. READ | The Kashmir manifesto: Delhis policy playbook in the Valley And this is all the more so because Modi is an effective communicator. Look back at the last few days. One does not expect the PM to comment on the killing of every militant in Kashmir--but if the killing has other consequences, including the deaths of many others who India considers its citizens, then silence is not an option. Take Barack Obama. He was in Europe when the Dallas killings took place, but he instantly spoke out on the need for calm. One does not expect that a single comment by the PM will address the long-standing alienation in Kashmir. But not even deigning to comment as people die only adds to the perception that the Government of India is insensitive and does not care. READ: Operation wasnt for Burhan Wani, had targeted another militant It is not just about the PM. Take the others who make up the establishment. Indias national security machinery will not give away trade secrets. But custodians of the security state must explain the rationale and timing of its actions, and its plans to contain the fallout of any such action. Just saying Wani was a terrorist and deserved to die is not enough. The opposition hasnt fared much better. Rahul Gandhi, the voice of the Congress, has not made a statement on the Kashmir killings. He has not even put out a tweet. Does the face of the opposition have no view on whats happening in Indias most sensitive state? Or is he in a bind--he cant support the government, but opposing it could invite tag of being anti national. The Indian states bleeding capacity is extraordinarily high. It has seen worse in Kashmir. And those at the apex of the decision-making apparatus probably think this too will pass. But a silent PM, and the governments overall weakness in communication over the past few days, has meant that India confronts the danger of losing the narrative in Kashmir, yet again. SHARE THIS ARTICLE ON You may not have heard of a company named Ringing Bells, but you must have definitely seen full-page advertisements about the smartphone Freedom 251 in newspapers. Everyone is wondering how the company led by Mohit Goel actually sell a smartphone for just Rs 251. We dont know either. HT spoke to Goel and tried to understand some logical reasons behind the pricing. Firstly, a smartphone as cheap as for Rs 251 is logically impossible as the components are expensive. This means Goel is clearly underselling the phone, but common sense says he must have figured another way of making money. Goel says he imports all the smartphone components from Taiwan rather than China, and claims that he can make profit only if he increases volumes, or if the phones are subsidised by the government. To simplify the price equation, Goel says that the phone should be costing between Rs 2,200 and Rs 2,500, including import duties, cost of materials and licensing. The promoters of Freedom 251 claim that they can bring down the price to approximately Rs 1,349 by manufacturing all the components in India because then the company would be eligible for tax sops offered by the government. But what about the rest, how does Goel plan to fill the Rs 900 gap. He and Ashok Chadha, another promoter of the company, claim that this gap can be filled by economies of scale, unique marketing, app bundling, and a little bit of help (read: Rs 50,000 crore investment) from the central government. Let us assume for a minute, we live in a Utopian world and in that case Goel can bundle various app on the phone and get money from the developers by selling them the idea that Freedom 251 phones will sell like hot cakes. Now that takes care of about Rs 750 of the Rs 900 shortfall. But with all the controversy around the company, including an FIR and a lawsuit, only a few app developers are ready to place their bets on Freedom 251. Goel says he can break even with volumes. As far as volume goes, getting there would call for more investment. He claims he has analysed the risk and that is why he has come up with other products, which he launched a few days back. The products include an HD LED TV for Rs 9,900, four feature phones, and two smartphones. He claims that he will first make money from these devices and then route it back into the company to manufacture more Freedom 251 phones. That means there are no profits for him or the company. When we asked Goel this conundrum, he amazingly had an answer for that too. It is the Rs 50,000 crore that he wants the government to invest in his company. Now this fully explains why Goel was unable to cater to 250,000 smartphones that were initially ordered. Simply because he had no money. So, he has sent out the first lot of 2,240 device among the 5,000 promised, and later went on to actually say that he needed the governments help to make his dream of connected India come true. But there lies another important question. Where is he getting all the money to make those other devices that his company launched? Well, Goel says that his assembly partner and his distributors have invested in the company. SHARE THIS ARTICLE ON As Piyush Goyal takes over the ministry of mines his biggest challenge will be the many litigations that plague the sector. Some of the biggest investments in mining such as Vedanta Alumina Ltds Niyamgiri project and Poscos Rs 50,000 crore integrated steel plant project, both in Odisha, have been stuck endlessly at various stages of court. I plan to talk to the state government and see what can be done with the projects. But if the local residents are against it then we cannot do much, our priority are the people living there, said Goyal. The focus is better exploitation of Indias mineral resources while keeping rehabilitation in mind. The District Mineral Foundation (DMF) launched by the previous mines minister, Narendra Singh Tomar will change the way rehabilitation is envisaged for the mining districts of India said Goyal. The foundation would raise funds from the miners in the respective district and utilise it for local development. The DMF would create a corpus of Rs 6,000 crore every year. Under the pilot project taken up in Chhattisgarh, Rs 30 crore would be spent to make on rehabilitation work in five villages. In the cabinet reshuffle last week, Piyush Goyal was given additional charge of the ministry of mines along with power, coal and renewable energy. In his first press conference after taking over from Narendra Singh Tomar, Goyal had emphasised on the need for transparency in the ministry. Everything from initiatives, feedback to stakeholder questions should be put on our website. We will also plan an app to showcase all information about mining and the sector said the minister. Goyal knows that while reforming the sector the biggest obstacles will be from states. Transparent communication is the key. Every state government wants to get re-elected; reforming the mining sector will help them create jobs and increase revenue, said the minister. Of Indias entire Obvious Geological Potential (OGP) area, identified by GSI, only 10% has been explored and mining is taking place in 1.5-2% of this area. To exponentially improve the situation, Goyal will have to ensure the success of the mines auction, better state-centre coordination to facilitate speedy clearances for mining. Goyal has promised to increase the share of mineral production in gross domestic production (GDP) by one percentage point in two years from 2.4% now. To meet this end the mines ministry has already identified about 50 atomic mineral blocks and about 100 others for auctions, which will start in the next 4-5 months. SHARE THIS ARTICLE ON Indias plan to roll out a goods and services tax (GST) and create a common national market has missed several deadlines, including the last one of April 1, 2016. The next deadline, in probability, looks to be set at April 1, 2017. But, the wait could get longer if the Parliament fails to ratify the landmark 122nd Constitution Amendment Bill in the monsoon session that begins on July 18. Once adopted, GST will dramatically alter Indias indirect tax structure by replacing a string of central and local levies such as excise duty, value added tax and octroi into a single unified tax and stitch together a common national market. If Parliament fails to pass the Bill in this session, it will further delay the process of getting it ratified in at least half of the state assemblies. The Bills holdup until the Parliaments winter session, which usually runs till December-end, could mean most state Assemblies will be able to take up the legislation not before their respective budget sessions in February-March next year, the same time around which Assembly polls in five states-- Uttar Pradesh, Punjab, Uttarakhand, Goa and Manipur--are likely to be held. This will, in turn, push back the enactment of the supplementary and subordinate legislations that will have to be passed after the main central law is enacted. These include the state GST law, the central GST law and the integrated GST law. These are enabling legislations that are necessary for rolling the new tax system. Pending the passage of the Bill, the proposed GST Council cannot be formed. This will delay decisions on rates and the structure of the dispute resolution mechanism. The Bill has not specified the rate, which will be decided by a GST Council headed by the central finance minister with state finance minister as members. The Congress had been pressing for a GST ceiling rate of 18% specified in the bill itself. This is different from the Bill passed in the Lok Sabha in May 2015, which has not specified any rate. According to the Bill, the rates were to be decided by a GST council headed by the central finance minister with state finance ministers as members. The government does not want to specify the ceiling rate in the main legislation because it would make the system rigid as the Constitution will have to be amended with a two-thirds majority each time the rates needed to be raised in the future There are indications, however, that the government and the opposition may agree on specifying a range in the supplementary legislations within which the rates should ideally be maintained. A delay in Parliaments approval in the central law carries the risk of pushing back this process by a few months. In addition, it is also imperative to have a robust country-wide information technology (IT) network and infrastructure to make the implementation seamless across state boundaries. The IT network is still work in progress, which was to be tested in the run-up to April 1, 2017, before its final roll-out. This exercise cannot take place and glitches ironed out ahead of the implementation unless the Bill is passed and GST rates on specific goods and services are decided. There is heightened expectation that the government will introduce the Bill in the monsoon session depending on Rajya Sabha chairperson and Vice President Hamid Ansaris concurrence. Lack of political consensus has kept the Constitution amendment Bill stuck in Rajya Sabha since May last year, where the ruling National Democratic Alliance (NDA) is in a minority. GoAir, which is about to become the fourth private airline from the country to operate overseas flights has chosen to start with Iran, Kuwait, Uzbekistan, Kazakhstan, Azerbaijanand Turkey, countries to which no Indian carrier flies because they are not popular tourist hubs. The Wadia group-owned budget airline, which has sought government approval for the purpose, is likely to start international services to 14 countries by early next year. These will also include China, Thailand, Maldives, Saudi Arabia, Vietnam, Oman, Qatar and the UAE. The Mumbai-based carrier wants to begin international operations from the next summer schedule. Flying rights are granted by the government twice a yearfor the summer and winter schedules. The summer schedule begins from the last Sunday of March and extends up to the last Saturday of October. We are requesting to be designated to fly to the countries mentioned on a scheduled basis during the summer schedule 2017. Extensive evaluations have been conducted to arrive at a phase-wise plan for implementation, GoAir chief executive officer Wolfgang Prock-Schauer wrote to the ministry. We require allocations for proper planning and hence, we request the ministry for early approval, the letter said. GoAir submitted a detailed plan to the aviation ministry on June 23, a copy of which is available with HT. The airline did not respond to HTs requests for comments for the story. Among the four low-cost airlines SpiceJet, IndiGo, GoAir and AirAsiaIndia operating in the country, only the first two fly to international locations, including the Gulf, Southeast Asia and SAARC regions. Naresh Goyal-led JetAirways, which is a private full-service carrier, also flies to overseas locations. Go Air, which received its 20th aircraft, an Airbus A320 Neo, last month, is the fifth-biggest Indian carrier with a marketshare of 8.1%. The airline launched domestic operations in November 2005. SHARE THIS ARTICLE ON The tax demand on Cairn Energy Plc is unfair, since the government has said that retrospective tax cases should be avoided, chairman Anil Agarwal told HT over phone from South Africa. He is, however, hopeful of an early resolution: The government is committed to resolving tax issues and Im hopeful that t his matter will also be resolved, Agarwal said. The merger of subsidiary Cairn India with flagship Vedanta Ltd, originally scheduled to be completed by June 2016, will take another two months, he added. The Sebi (Securities and Exchange Board of India) approval has come. Now we need the high courts approval and a board meeting for shareholders approval. This will take two months. The merger plans hit a roadblock after the I-T department froze shares held by Cairn Energy Plc in Cairn India. Cairn Energy holds 9.5% stake in Cairn India. The tax department has said the merger can happen only after the freeze is lifted and tax issues are resolved, or if Cairn Plc sets aside the value of its shareholding in Cairn India in an escrow account. Vedanta Group had acquired Cairn India from British promoters Cairn Energy Plc in 2011. In January 2014, the tax department slapped a 10,247-crore notice on Cairn Energy on alleged capital gains made in a 2006 business reorganisation it carried out in its Indian unit before getting it listed. While Cairn I ndia has approached the Delhi High Court, Cairn Energy is contesting the matter through an international arbitration. Apart from the merger, expanding his companys mining portfolio is high on Agarwals agenda. During Prime Minister Narendra Modis recent visit to South Africa, Vedanta promised to invest $1 billion in t he country to increase mining of iron ore, copper and zinc, especially from the Gamberg mines in Northern Cape in South Africa. Its a two-way deal, where we will increase our operations and investment in South Africa, and we will benefit from the advanced technology available with them for mining, he said. Other than Africa, Agarwal is betting big on the auction of 100 mineral blocks proposed by the Indian government through the National Mineral Exploration Policy (NMEP). We are very interested in participating in the auctions he said. SHARE THIS ARTICLE ON Before leaving for a holiday to Sri Lanka last month, I had imagined its cityscape to be similar to that of Indias. Just how much could our South Asian neighbour be different from us? Our food and climate are similar. Our cultural and political affinities, including the British legacy, are strong. Many of Sri Lankas touristy snapshots beaches, hills, spice and tea plantations and herds of elephants bathing in the river could be easily confused with those from Goa or our southern states. But Sri Lanka turned out to be a better, cleaner experience. Its beaches and water bodies were clean. The roadways had no potholes. The rail tracks and public toilets smelled different. Travelling over a 1,000 km in the island country, I didnt see anyone urinating by the roadside or littering trash. What struck me most was the level of cleanliness in Colombo and Kandy, two of Sri Lankas largest and most crowded cities. There were no mounds of putrefying garbage or overflowing drains typical of any Indian city. One could argue that population pressures, poverty, illiteracy and infrastructure gaps bring the Indian cities down on the sanitation index. Delhis population is, after all, more than 20 times that of Colombos. Our slums and poor neighbourhoods are dirty because civic facilities are non-existent. But what explains the filth around affluent Delhis neighbourhoods, malls and markets? What about the litter tossed out from apartment windows and moving cars? Lack of public toilet is a problem across Indian cities. But what about those who have access to toilets and still go on to defile city walls? Clearly, our poor civic sense is a problem. It is not that Indians are unclean people. But our cleanliness is usually restricted to our personal space. Outside of it, we have long made peace with practices we wouldnt allow at home. It is convenient to assume that cleanliness is solely the municipalitys responsibility. But the government institutions are a reflection of its people. According to an estimate, at least 10% of the garbage that is generated in Delhi ends up on its streets. Unsurprisingly, the street cleaners do not take their job too seriously. If the Sri Lankan cities seem to be more manageable because of their population size, perhaps Tokyo could provide a better comparative perspective for Delhi. The two cities are the worlds largest urban agglomerations. Like Indians, Japanese also lay importance on cleanliness and purity derived from their ancient religion, Shinto. But unlike us, they consider it a public issue, which has always been a strong component of governance. In a paper on Urban Sanitation in Pre-Industrial Japan, American historian Susan B Hanley writes that during the Tokugawa period (1603-1868) in Edo or future Tokyo, the pre-modern equivalents of the police box were set up at large intersections. They were used not only to keep an eye out for criminal activities but also to ensure that no water pipes were leaking and that the streets were clean. Hanley quotes scholar Edward S Morse who visited Japan in the 19th century and found that though the narrow alleys of the city where the poor lived appeared squalid to the Japanese, they were immaculate in comparison with unutterable filth and misery of similar quarters in nearly all the great cities of Christendom. In India, the issue of cleanliness seldom attracted the attention it deserves. Prime Minister Narendra Modi launched the Swachh Bharat campaign and tried to bring it into public discourse. But most politicians, bureaucrats and municipal officials have interpreted it as a once-a-year photo opportunity. Citizens, so far, have remained mostly indifferent. There are more examples to show that public cleanliness is not alien to the Asian culture. In 1968, Singapore launched what was to become a hugely successful cleanliness campaign. Today, the island country is among the best-run and cleanest city systems in the world. In the words of Lee Kuan Yew, then president of Singapore: Everybody can see the point of a neat home, clean kitchen, clean food and healthy children. But responsibility stops too often at the doorstep. To make Swachh Bharat a reality, its time for each of us to take that small but critical step forward. The author tweets as @shivaniss62 and could also be reached at shivani.singh@hindustantimes.com SHARE THIS ARTICLE ON The Kashmir imbroglio poses a multi-faceted challenge to our polity trapped in an increasingly polarised discourse thats oxygen for separatists in the Valley. In turn, religio-cultural nationalism finding echo elsewhere, including Jammu, feeds as much on the Kashmiri chants for azadi. If not politically doused, the indigenous nature of the Kashmiri rebellion could outshout pro-India voices in the border state. Besides parties such as the PDP, the National Conference and the Congress, its consequences would be deleterious as much for Indias Kashmir diplomacy. Its deja vu in many ways on the diplomatic front! The events are a throwback to the 1990s when New Delhi had its back against the wall; Islamabad sermonizing it to create climate thats propitious for talks. Another oft-repeated Pakistani argument those days was: There cant be business as usual with India so long as there are human rights violations in Kashmir. READ: Playing drums in Tanzania not the problem, Modis silence on Kashmir is Against this backdrop, the January 1-3, 1994 foreign secretary-level talks ran into unprecedented Pakistani intransigence. So much so that the newly elected Benazir Bhutto regime refused to affirm possibility of the next round of talks--despite New Delhi making a break from the past to flag Kashmir among the issues on the table. I think it has become something like those days, agreed SK Lambah, Indias envoy to Pakistan during the period. Then it (the militancy) was Pak-sponsored; now its Pak-supported. If the ostensibly homegrown upsurge--with which elements in Pakistan are desperate to identify--strikes deeper roots, Islamabads artful moral, political and diplomatic formulation that camouflages its sinful support of cross-border terror, could gain credence with the international community. Its the worst-case scenario needing pre-emption through dialogue withinsuch as the 2006 round-table the moderate Hurriyat chose not to attend. READ: The Kashmir manifesto, Delhis policy playbook in the Valley We cant afford not to communicate with an entire generation of youth in the Valley, noted another Pakistan expert seeking anonymity. Its Kashmirs intifada (a term used for the Palestinian uprising against Israel). Qualitatively its worse. Unlike in the 1990s, we cannot entirely blame it on Pakistan, he argued. The Centre has done well by reaching out to the Opposition Congress and the National Conference that have legislative presence in the state. But the dialogue has to be taken forward in a structured manner, bringing as many disaffected stakeholders in its ambit as possible. In fact, the talks, if and when they happen, could draw from the ideologically-divergent two-party coalitions Common Minimum Programme (CMP). There should be no dispute over its text that commits the BJP to Jammu and Kashmirs special status in the Constitution, or the preamble that reads: The PDP and BJP have entered into a governance alliance based on an agreement and agenda which is an effort towards seeking a national reconciliation on Kashmir A pre-requisite for reconciliation to become even fleetingly real would be an all-party consensus on a restrained discourse in the upcoming assembly polls. For the talking points clogging airwaves these days are divisive: terror strikes in Bangladesh, Zakir Naiks salafist influence on Muslims; alleged Hindu exodus from Kairana, AMUs minority character, Common Civil Code and the Kashmiri upsurge. If it reworks its political idiom, the BJP can, in tandem with other pro-India parties, calm down the Kashmiri youth. The choices before it run counter to its majoritarian appeal, very much dove-tailed to which is its historical position on Kashmir. In the crisis lies the opportunity to prevent Kashmir from sliding into another abyss. And win perhaps the trust of Kashmirs estranged youth--whom Pakistan doesnt allure the way it used to in Benazirs 1990s. One child grew up to fight for the country. The other might have joined a terror group in West Asia. The irony is not lost on a devastated Bindu Kumar, whose daughter is among the 20-odd people who mysteriously disappeared from two Kerala districts and are feared to have joined outfits such as the Islamic State. My tears have dried up. I can only pray now. I hope God will help me in tracing my daughter who will turn 24 next month, says the Thiruvananthapuram resident. She begs the media to keep her son -- an army man on deputation to the National Security Guard (NSG) -- out of the news. She says he always wanted to join the army. But she doesnt know how her daughter Nimisha, who used to be just another teenager who loved television programmes, could have been radicalised. I was shocked to see my daughter, who loved to wear casual dresses, in full purdah (veil), she told HT. Security officials say the 20-odd people have been off the radar for over a month. Two messages sent by them to some relatives have been tracked to Afghanistan and Egypt. My children were religious and patriotic. My son wanted to be a military officer and daughter chose the medical profession. We were happy when both got the careers of their choice, says Bindu. Her husband runs a small restaurant in the city. Moms sweet daughter going to bed, sweet kisses, was the last message Nimisha sent to her parents on June 3. When the mother called her back the next day, Nimishas phone was switched off. There has been no contact since. Nimishas parents first realised something was wrong last November, when she stopped taking their calls. Bindu rushed to the dental college Nimisha was studying in north Keralas Kasargode district, only to be told that she had converted to Islam for marriage. She used to share all her experiences in college with me. But this was a terrible shock for me, says Bindu. Nimisha took the name Fathima and married 30-year-old Bexin Vincent, a Christian MBA graduate who took the name Eza after converting to Islam. Bindu dragged the couple to court but was told that Nimisha was an adult and could marry whoever she chose. Once she asked whether I would accept her if she came in a burqa. I told her she was welcome, Bindu said. The last time Nimisha visited home was on May 16. During Nimishas last two visits, Bindu noticed her daughter developed an aversion towards TV programmes. Once when I said being pregnant she should be consulting doctors regularly, she said she had once and did not believe in modern medicines any longer, Bindu says, adding the baby is expected in September. The couple told their in-laws that they were going to Sri Lanka for pilgrimage. SHARE THIS ARTICLE ON SRINAGAR: Kashmir Valley remained on the edge on Sunday with the death of 19 people in two days of public protests after security forces killed young Hizbul Mujahideen commander Burhan Wani, the troubled states latest poster boy of militancy. A youth was killed in the Tengpora area in Srinagar on Sunday, the first in the states summer capital. Unconfirmed reports put the death toll at 21 as violence spread to northern Kashmir as well. The entire Valley remained shut with a string of police pickets and patrol trying to prevent stone-pelting protesters from marching on the streets. Mobile phone internet service has been blocked, while curfew was clamped across the Valley as clashes continued. A policeman drowned after a mob in Anantnag pushed his vehicle into a gushing tributary of the Jhelum. Groups of protesters clashed with security forces following news of Wanis death on Friday evening. A dozen people died in the unrelenting violence on Saturday, while six more, including the policeman, were killed by mid-Sunday. The 22-year-old Wani, a popular social media-savvy militant, and two other militants were killed on Friday when security forces cornered them in the Kokernag area of Anantnag. Amilitant since 15, this schoolteachers son had apparently influenced scores of Kashmiri youth to join militancy in the past few years through slick social media posts and videos. Pakistan, which has been accused of supporting separatism in Kashmir, called Wanis death a condemnable case of extra judicial killing .Such acts area violation of fundamental human rights of Kashmiris, Islamabad said. It said the Jammu and Kashmir dispute could be resolved only through a fair and impartial plebiscite monitored by the UN. The remarks came at a time the coalition government of the Peoples Democratic Party and BJP as well as hard line separatist leader Syed Ali Shah Geelani appealed for calm. Geelani asked the youth not to attack police stations but protest peacefully. Arsonists torched three police posts when thousands of people participated in Wanis funeral in his hometown, Tral, on Saturday. Three civil administration offices, the house of an MLA from the ruling PDP, several vehicles and a BJP office were targeted too. Protesters torched a police station in the Soibugh area of southern Kashmir on Sunday, while militants hurled three grenades on security forces, injuring three. Additional director general of police SM Sahai said 14 youth died of bullet or pellet wounds, one drowned during a police chase, while another was killed when his car met with an accident during the violence. According to reports more than 200 people, including 100 security personnel, were wounded. Of these, 70 were being treated for grave bullet or pellet wounds, sources said. The worst-hit southern districts of Pulwama, Anantnag and Kulgam have been rigged with a tight security ring. Fresh clashes were reported from southern Kashmir and most parts of Srinagar. The fatalities are reported from mostly southern Kashmirs Pulwama, Anantnag, Kulgam and Bijbehara areas. Many were wounded when police fired in the air to quell protests. The Jammu and Kashmir government held a special cabinet meeting to review the situation on Sunday. The cabinet, according to government spokesman Nayeem Akhtar, raised serious concerns about the deaths over the past two days .Forces should exercise maximum restraint to ensure no collateral losses occur , Akhtar said. The government sought cooperation from political parties as well as separatists to ensure peace. Barring hospitals, the Valley recorded a complete lockdown with private offices, business establishments and petrol pumps remaining shut for the second day. Authorities asked security forces to treat identity cards of government employees as cur few passes so that essential services are not affected. Separatists extended their strike till Monday. Separatist leaders Syed Ali Shah Geelani and Mirwaiz Umar Farooq remained under house arrest, while Yasin Malik was under preventive detention. The annual Amarnath Yatra from Jammu was suspended, as were mobile internet and rail services. Reports indicate that 140 militants are active in the Valley. Intelligence reports say the majority of local recruitsaround 60 are from southern Kashmir. SHARE THIS ARTICLE ON HYDERABAD: Belief in astrology is set to sink deeper roots in Telangana. The government of chief minister K Chandrasekhar Rao has embarked on an ambitious afforestation plan for which it has exhorted residents of the state to plant saplings according to their respective zodiac signs. Known for his firm belief in astrology and Vaastu, Rao says planting trees matching zodiac signs will bring good luck to both the individual as well as the state that is going to get greener. It has been a tradition in India to plant trees based on a persons astrological sign or birth star to bring them good luck. During the plantation drive, saplings that are aligned astrologically to individuals must be given if asked for, the chief minister instructed officials recently. Some 4,200 nurseries have consequently drawn up elaborate lists of trees corresponding with their preferred zodiac sign. For example, if one is born under the Pisces sign, he or she should ideally be planting a banyan sapling. In the case of Aries, a red sanders is preferred while Geminis should preferably opt for jackfruit trees. Raos penchant for something that many see as superstition may shock outsiders, but those in Telangana are not surprised. Since taking office two years ago, Rao has reportedly been following what his personal retinue of astrologers advise. One particular person who has left his stamp of authority during Raos tenure is Sudhakar Teja, an expert in Vaastu the traditional Hindu system of architecture. Rao has made him an adviser to the roads and buildings department of the state government. Teja is also advising the government in planning for a new secretariat, since the chief minister refuses to work out of the existing complex for not being Vaastu-compliant. Rao, meanwhile, is also building a new office-cum-residence for himself over 8.9 acres at a cost of 35 crore for addressing all his Vaastu woes. The swimming pool of the IAS officers association club adjacent to the existing facility built by late chief minister YS Rajasekhara Reddy has been demolished since a water-body in close proximity apparently does not bode well for a chief minister. Environmentalists, though, have more pressing concerns with the plantation drive. The government is planning to cut down 1,394 trees around the KBR National Park in Hyderabad to widen roads for attracting investors and improving its ease of doing business index. What the government is doing is simply contradicting itself, said Shilpa Sivakumaran of Hyderabad Rising, a group formed to protect the citys environment. On one hand, they want to make cutting of trees easier than setting up an email account and on the other hand, they want to plant trees. NEW DELHI: A Manipuri woman alleged on Saturday that an immigration official at Delhis Indira Gandhi International Airport hurled racist remarks at her when she was on her way to Seoul for a conference. Monika Khangembam wrote on her Facebook wall that when she went to the immigration desk at IGI around 9pm on Saturday, an official looked at her passport and said, Indian toh nahi lagti ho (you dont look Indian). He then allegedly smirked at her and asked her how many states comprised the country, in an apparent bid toknow her Indianness. She alleged that a female official at the adjacent counter was giggling while the male officer paid no heed to her saying that she was getting late for the flight. When Khangembam told him she hailed from Manipur, he allegedly asked her to name the states Manipur shared its border with. I said I was really getting late and he goes like, aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do (the aircraft isnt leaving without you. You can answer at ease.) Khangembam was enroute to Seoul for a global womens conference. A home ministry spokesperson said if any such complaint is made it will be looked into. Khangembam, who is in Seoul, wrote another Facebook post on Sunday saying she had received support from many people but some others said the official was not being racist. I wouldnt have minded if he asked me trip-related questions like whats the conference about, how long are you there, where do you work currently, who is funding the trip etc. Its even perfectly fine to ask where I am from but its not fine bossing around asking with that sarcastic smile How many states are there in India? Name the states bordering Manipur. This is not related to the trip nor I am a kid (sic), she said. She said she hadnt raised the issue at the time as she was getting late for her flight. I can only do anything after I am back after 15 days. I couldnt get the name of the officer but a friend working at the IGI airport told me they can find out from CCTV footage. Me and a lot of people from North East have constantly faced subtle racist jibes whether in the form of a sarcastic remark, smile, or attitude... Maybe this time it was something tangible so I could express it. Sadly you have to be killed to prove there is racism. (Richard Loitam, Nido Taniam) (sic), she wrote, referring to racist attacks on those from the northeast in Delhi. Supporting Khangembam, several Twitter users have urged external affairs minister Sushma Swaraj to step in and take action against the immigration officer. NEW DELHI: Pakistan-based terrorists had the Pathankot airbase on their hit list for at least six years, until the audacious attack in January in which six attackers and seven Indian soldiers died, revealed a National Investigation Agency (NIA) probe. The strategic airbase was discussed as a possible target at a 2010 meeting in Sialkot, where jihadi and Khalistani outfits based in Pakistan had gathered for a common strategy, a senior home ministry official said. Suspected Khalistani militant Jagtar Singh Tara, who was arrested for the assassination of Punjab chief minister Beant Singh in 1995, was reportedly present at the meeting .Tara says Shahid Latif, one of the handlers of the Pathankot attackers, named the airbase specifically, the official said. Tara had confided to his lawyer, Simranjit Singh, about NIA sleuths meeting him in Chandigarhs Burail jail as part of their post-Pathankot exercise to speak to imprisoned terrorists for details on Pakistan-based Jaish-e-Mohammad (JeM) terrorist outfit and its chief, Maulana Masood Azhar. Two NIA officials came to meet Tara to inquire about the Pathankot attack as he had meetings with Azhar and Latif when he was in Pakistan. According to Tara, he told Latif that civilians should not be targeted. He didnt tell me anything more than that, Singh said. Tara had escaped from Burail jail in January 2004, digging a 104-foot tunnel along with fellow prisoners. He went to Pakistan in 2005 and remained there until moving in 2014 to Thailand, where he was caught a second time and deported to India in 2015. He has since been kept in Burail. Now, the anti-terrorism agency formed after the 26/11 Mumbai attacks in 2008 has planned to make Tara a witness in the airbase case. NIA chief Sharad Kumar, however, declined comments. Arun Chaudhary, who was with the Intelligence Bureau before retiring as the Sashastra Seema Bal chief, gave weight to Taras revelations. The Pakistani spy agency, ISI, has long tried to form a joint strategy between Khalistani elements and Pakistan-based jihadi outfits to revive militancy in Punjab, he said. But the ground support for militancy had dried up in Punjab, making revival difficult. This is why Pakistan-based jihadi outfits had to carry out attacks in Punjab, first in Dinanagar in Gurdaspur last year and in Pathankot this year. Latif, an old Jaish hand, was arrested in J&K in 1993 and sent back to Pakistan in 2010 after he completed a 16-year jail term. He immediately went back to his old work after reaching Pakistan , an NIA official said. SHARE THIS ARTICLE ON NEW DELHI: A south Delhi-based travel agency allegedly took Rs 1.46 crore from over 30 people, including a broadcasting ministry officer, on the pretext of selling them holiday packages, said police on Sunday. The agency that is suspected to be part of a larger racket cancelled flight tickets and other bookings without informing the clients. He had charged the clients for these. The bookings were made after the clients agreed to avail themselves of the holiday packages offered by the agency. One person has been arrested in the cheating case. Efforts were on to identify others, said a police officer. Once the clients realised they were cheated, the agency was shut down and employees disappeared. Its office was near South Extension, said the officer. The crime came to light after the Information and Broadcast ministry official, who had booked a package for Srinagar, approached the police and lodged a complaint. In his case, when he managed to contact one of the directors of the company, he claimed his partner had gone missing. Soon the police came across 29 other complainants and a case of cheating and criminal conspiracy was registered at the Kotla Mubarakpur Police Station. The agent had reportedly duped people of over Rs 1.46 crore, the officer said. We are identifying the suspects in the case. One of the accused persons that was identified by our team has been arrested. He is being interrogated to find out the whereabouts of the other accused in the racket. Our teams are trying to unearth the entire racket, the officer added. NEW DELHI: Delhi Police arrested three private detectives and their accomplice last week, busting an inter-state racket selling phone call detail records (CDRs) of individuals for Rs 50,000 to Rs 5 lakh. The quartet allegedly collected CDRs for their clients from Narendra Choudhary, an Uttar Pradesh Police constable posted in the surveillance cell of the inspector generals office in Kanpur, senior officers said on Sunday. The cop was allegedly obtaining CDRs from nodal agencies and mobile service providers, using the official email accounts of senior UP police officers he was working with or had worked with in the past. The CDRs belonged to people they were spying on for their clients, who approach private detective agencies for surveillance in a range of issues such as suspicions of adultery, moves of business rivals, love affairs, civil and legal disputes, and match-making. The racket was operating for over two years and Narendra allegedly provided more than 500 CDRs during the period, Delhi Police joint commissioner of police (crime branch) Ravindra Yadav said. The constable has yet to be arrested and Delhi Police crime branch officers alleged that their UP counterparts were not cooperating. Kanpur police denied the accusation, saying Narendra was handed over to Delhi Police. Officers said more details would be out during questioning of arrested private detectives Jaiveer Singh Rathore, Pankaj Tiwary, and Aditya Sharma along with senior sales manager Sanjeev Chaudhary of BLS Management Solution Pvt Ltd. This is the third such racket police have busted in as many years. Call data theft had become a major issue after 12 people, including three Delhi Police personnel, were arrested for allegedly obtaining CDRs of senior BJP leader Arun Jaitley in 2013. Aditya was among those arrested in the Jaitley case. His real name is Arpit, but he changed to Aditya after staying in jail for two months. Among the four, Rathore and Chaudhary were the main beneficiaries. Narendra s interrogation will unravel names of other clients. We suspect Narendra sold thousands of CDRs, joint commissioner of police Yadav said. A 71-year-old woman was found murdered at her residence in south-east Delhis Kalkaji on Monday evening. The incident was reported just hours after the police claimed to have solved last weeks murder of a 69-year-old woman in west Delhi and arrested her former domestic help for the crime. Bearing strong similarities with the previous case, in this murder too police suspect the role of the womans former servants. Around 7.20 pm, the police control room received information about the murder of 71-year-old Harneet Kaur, a resident of E block in Kalkaji. Police said that Kaur was reportedly at home with one of the domestic helps when she was murdered. Police suspect she was strangled. Police said they received information about the incident from Kaurs daughter-in-law around 7.20pm. The woman reportedly said that at about 6pm, she had gone to the local gurudwara. At about 7.15pm when she returned, she found the main gate unlocked. On entering the house, Singhs daughter-in-law saw Kaur lying unconscious on the ground. The house in which Harneet Kaur was found murdered on Monday evening. (HT Photo) The rooms were reportedly ransacked. The family told police around `70,000-80,000 cash and jewellery worth lakhs were missing. Preliminary investigation revealed that about 20 days ago, the family had sacked their two domestic helps. The present domestic help, Lalit, claimed he had gone to the top floor to take a bath. Investigating officials said they were verifying Lalits claim that he was in the bathroom and did not realise Kaur had been murdered. Police are checking the servant verification records of the two men who were sacked last month. It looks like the work of an insider. Somebody who knew the womans daughter-in-law would be in the gurudwara may be involved. We are looking at CCTV footage and trying to get clues, said an investigating officer. Police collected finger print samples from the house and were analysing them with their database. A 26-year-old junior resident doctor from All India Institute of Medical Sciences (AIIMS) was found dead in his apartment in South Delhis Gautam Nagar area around 11:30am on Sunday. Dr. Sarvanan Ganeshan, the deceased, was from Tamil Nadu and had joined the MD course in medicine at the premier institute only a week ago. After he did not report for duty today, his colleagues and senior residents from the department started calling him on his phone. As they did not get any response, they approached his neighbours, who found him in his room in a non-responsive condition, the hospital spokesperson said. The police and the hospital were informed and the body of the deceased was brought to the emergency department of AIIMS around 3pm. After a medico-legal case was registered, the body was sent to the hospital mortuary, awaiting post-mortem. Ganeshan was found dead under mysterious circumstances a this apartment and the post-mortem examination will clarify the cause of the death, said Nupur Prasad, additional DCP (south). The parents of the deceased doctor have been informed. They would reach Delhi from Tamil Nadu on Monday morning. The AIIMS faculty and the administration is concerned about the incident and will cooperate with the police in its investigations, the hospital spokesperson said. A third-year MD student from the same medicine department had committed suicide earlier in March this year and an MBBS student had committed suicide just days after getting into the prestigious institute in August last year. On November 17, 2013, west Delhi resident Arpit Sharma,28, was arrested for seeking call data records (CDR) of politicians and businessmen by misusing the email accounts of senior police officers. One of the CDRs he sought was of then Rajya Sabha leader and present finance minister Arun Jaitley. For his crime, Sharma was sent to prison along with his associates. The Delhi police then put in place stricter norms for seeking CDRs. That was three years ago. In 2016, Sharma is in the news again. Only this time, he is Aditya Sharma. Arpit, who changed his name to Aditya, was arrested with his new gang members for the same offence. This time, they were using their contacts in the Uttar Pradesh police, particularly the email address of Kanpurs senior superintendent of police. Sharma claimed he served less than 60 days in prison. He was granted bail by a city court in January, 2013. Once out of prison, he tried to live clean away from the detectives circle - and even started a business. He severed ties with his associates and started his own business. He invested in a mobile shop and it was doing well initially but he started suffering losses, said a police officer. About five months ago, Sharma got married. His wife studied B.Tech in London and works in Gurgaon. A police officer said: Sharmas business flopped and he was suffering losses. But he wanted to show his wife he was doing well financially. After marriage he was under pressure, he knew he had to make money. So he published an affidavit, changed his name to Aditya and reunited with his old friend Jaiveer Singh Rathore. Rathore runs a private cable channel Abhi Tak and Rathore Media and Detective Agency. Rathore and Sharma have registered with the search engine Just Dial and are part of detective Whatsapp groups. This helped him get clients. Read: UP cop is motherlode of racket to sell call details Police are probing Sharmas association with the citys corporate companies. UP police constable Narendra, yet to be formally arrested, who got CDRs for the last two years, is being questioned. Meanwhile, police said BLS Management Solution Pvt Ltd closed their office after the arrest of their senior sales manager Sanjeev Chaudhary. The company, with help of detectives, allegedly got call details for matrimonial, pre-matrimonial, corporate investigations and spying on rivals of their clients. Chaudhary told police he was reluctant, but was forced by his directors to get the CDRs. Police are on the lookout for the senior officers of this company, who are reportedly missing. The company in their official site claims to be registered with Ministry of External Affairs for visa verification. Police said they would write to the MEA after gathering evidence. SHARE THIS ARTICLE ON The union ministry of urban development has sanctioned five projects worth `658 crore to decongest Delhi. A high-powered committee was formed by the ministry to study and suggest measures to decongest the national Capital after Hindustan Times carried a series Unclog Delhi in 2014. In January this year, HT again highlighted the problem through a special series. The committee had recommended better use of existing road space, multi-modal integration and better traffic management. It has now approved a flyover and underpasses connecting northern Mahipalpur, Aerocity, airport and NH-8 and another flyover-cum-road overbridge near Narela to connect NH-1 to Bawana Industrial Complex. Read: Unclog Delhi before its too late A skywalk and foot overbridge (FOB) has also been cleared near ITO for the safety of pedestrians going to offices located in and around the busy crossing. Flyover and underpasses will be constructed between the northern part of the Mahipalpur bypass road and the airport road near Hanuman Mandir. These will give travellers coming from south Delhi, Faridabad and Gurgaon direct access to the airport. The move will ease congestion on NH-8 and provide access to the airport via Aerocity, said an official. The project cost is `188 crore, of which `154.40 crore will be provided by the Urban Development Fund (UDF). The ministry has approved the widening of road, the construction of an up-ramp and the improvement of Ring Road near ISBT, Kashmiri Gate and Salimgarh Bypass. There is congestion on Ring Road between ISBT Kashmiri Gate and Hanuman Mandir near the Salimgarh Bypass. One up-ramp at ISBT will be built for the interstate buses entering the bus terminal. These steps will reduce congestion near Kashmiri Gate and Nigam Bodh Ghat, the official said. The project cost is `18.68 crore, out of which, `14.94 crore will be provided by the fund. Read: Naidu sets up panel to prepare plan to Unclog Delhi within 45 days The ministry has allotted funds for a grade separator at Rani Jhansi Road. It is one of the main arterial roads of Delhi connecting Pusa Road and Rohtak Road to Filmistan, DCM Chowk, Azad Market, Roshanara Road and extends towards the ISBT. The cost of the project is `200 crore. Out of this, the North MCD has already incurred an expenditure of `115 crore. The remaining `85 crore will be provided by the ministry. A ministry source said those wishing to convert properties leased by the DDA from leasehold to freehold would be levied a one-time charge. The UDF is utilised for social and physical infrastructure projects mainly to decongest Delhi. New colonies The union cabinet has approved a proposal for the redevelopment of seven general pool residential accommodation colonies. The colonies in Sarojini Nagar, Netaji Nagar, Nauroji Nagar will be developed by the National Buildings Construction Corporation Limited and those in Kasturba Nagar, Thyagraj Nagar, Srinivaspuri and Mohammadpur by the Central Public Works Department The total cost of the project is `32,835 crore, which includes maintenance and operation, costs for 30 years. The project will be completed in five years. Read: South Delhis govt colonies to get a Rs 30k crore mega makeover Nearly two months after Hindustan Times carried a story about a group of women from a Haryana village celebrating independence from the ghunghat (veil), the campaign has gained momentum. 47 women sarpanchs from Faridabad district recently took an oath to free their villages from the ghunghat by August 15. The district administration organised an event on the Swachh Bharat mission on Saturday, to which the sarpanchs of 116 villages were invited. Woman and Child Development Project Officer, Faridabad (Rural), Meenakshi Chaudhary administered the oath to all the women sarpanches and ananganwari workers to ensure their respective villagers were free of the ghunghat by the time India celebrates the 70th independence day. I administered the oath to the women sarpanches and ananganwari workers to motivate women to free themselves from the ghunghat, Meenakshi Chaudhary told HT. We are working on the ground to ensure this target is achieved in the time frame with the help of the women sarpanchs, she added. A Muslim sarpanch, Najma, who too took the oath, told HT: My father-in-law was the sarpanch for the last ten years and he too had been fighting for freedom from the Ghunghat. Now, that I am the sarpanch, I have been carrying forward my father-in-laws vision to achieve this aim at the earliest, said Najma, a graduate and a resident of Delhi. She is married to a family in Dauj, a village in Faridabad. We are lucky to have deputy commissioner Chander Shekhar who believes that the benefits of economic empowerment will actually yield results when women feel socially empowered, Najma added. It is the deputy commissioner who encourages us to come forward and take advantages of government schemes, she said. With the veil covering our faces, we cannot march ahead, so this veil has to be lifted and I am whole-heartedly working to free my village from this tradition by August 15, Najma added. Manju Yadav, an MSC Forensic Sciences and Law graduate, sprang a surprise when she started addressing the audience, saying: It is women who are against women, not the men. Manju was in the limelight recently, when she along with seven other ladies in her family pleaded before Faridabad deputy commissioner Chander Shekhar to help them become free them from Ghunghat, which they did in the presence of their Sarpanch Mahipal Arya and other male members of their family on April 30. After we lifted the Ghunghat in the presence of deputy commissioner sir and the sarpanch, and when I and my sister Anju started building the campaign in our area, some women in the locality complained to our mother-in-law about this, Manju said. I visited those ladies and convinced them on Monday, said Mahipal Arya, a sarpanch. I asked them why, when they do not keep the ghunghat before outsiders and vendors, they do it before their near and dear ones, Arya said. When qualified ladies came forward and urged me to help them lift their ghunghat, that too, in the presence of their male male family members and the sarpanch, I thought the administration should help them. So we administered the oath, said deputy commissioner, Faridabad, Chander Shekhar. SHARE THIS ARTICLE ON The outline of a new India-Africa relationship has been sketched by the four-nation tour of Prime Minister Narendra Modi and the five African nations visited earlier by the president and vice-president. This new formulation goes beyond the traditional mix of post-colonial rhetoric, historical ties and diaspora links. Read | Africa, Indias next frontier: Todays gambit is different Indias new Africa policy dovetails three substantial interests. One is economic: Trade between the two is over $90 billion while Indian firms have now invested $14 billion in the continent. Both figures are rising rapidly. Notably, Indias economic relationship is now far more diversified than it once was. Much of the investment is private sector-driven and in non-resource areas like telecom and consumer products. Two is a development partnership which looks to provide Africans better access to technical education and healthcare. In this, India is sensibly targeting the hearts, minds and pocketbooks of the 100 million plus African middle class that have arisen in the past few decades. Third is a nascent security relationship that conflates common concerns about Islamicist terror with Indias desire to blanket the Indian Ocean with a network of relationships that will look first to New Delhi rather than Washington or Beijing. Read | India extends $92 million line of credit to Tanzania in water sector As then Prime Minister Manmohan Singh told African leaders, India seeks to be a partner with a difference. Hence programmes that offer to develop human resources rather than mineral ones. Indian private sector investment is commercially driven with no strategic component. And something the African on the street has noted: Indian firms do not import labour as many Chinese firms do. Even the pulses-growing agreement with Mozambique that Modi signed was a model of how foreign land use should be done: The land remains with local farmers who receive a buy-back guarantee from India for their crop. The differences with China and even the West are obvious and deliberate. Hopefully it will make the India-Africa relationship politically more resilient. India should avoid what has happened in Zambia and elsewhere: Local election campaigns where China-bashing has been the main election platform. Read | Indias 21st century African partner: Why Mozambique was Modis first stop It would be simplistic to say Indias Africa engagement is all sugar and spice. State-owned Indian firms have made large resource-based investments in Africa and plan to carry out more. There is now a strategic content to Indias engagement with at least the littoral African states. New Delhi provides support services for African democracies but avoids commenting on any domestic nastiness of some other regimes. Most importantly, the Indian governments patently untrue claims that the assaults and petty harassment Africans face inside India are not about racism need to be addressed. The outlines of a new engagement are evident, but the most difficult part of filling in the spaces avoiding being painted with a neo-colonial brush and coming to terms with Indias colour problem. Read | India has much to gain from Africa, but we need to behave first If you are tweeting about power woes tagging the Union minister of state for power Piyush Goyal but with no response, dont feel low as the tweets are scanned and taken note of regularly. Goyal, in a meeting with Haryana chief minister Manohar Lal Khattar on Monday in Delhi, took the officials concerned to task about electricity supply related issues. Goyal said he receives a number of complaints on his Twitter handles and other social media platforms such as Facebook and the officials should not think their performance is not being scrutinised. The minister asked the officials to adopt social media means so complaints could reach out to them too, a senior government official, who was in the meeting, said. The ministers staff constantly monitors tweets and retweets from his personal handle @PiyushGoyal and official handle @PiyushGoyalOffc and also the Facebook page. Goyal reviewed the progress for setting up of the Smart Grid project aimed at supplying uninterrupted electricity in Gurgaon. He said that a total transformation of Gurgaon through this project would be another feather in its cap. After reviewing progress on the project, Goyal directed the officials concerned to speed up the process so consumers can get regular power supply. Khattar said the project would put an end to the trend of consumption of diesel through generator sets that leads to pollution and it would also put an end to the role of builders and colonisers for power supply. In the meeting, the officials informed that tenders for the first phase of the project, worth Rs. 7,000 crore, would be opened on July 22 after which the process for constructing the grid would start. The whole existing power infrastructure in the city would be replaced under the project. The total cost of the project, divided in three phases, is Rs. 12,000 crore. The first phase will cover sectors 1 to 57, the second phase sectors 58 to 115 and the third phase will cover the industrial area of IMT Manesar. Work on the first phase of the grid is expected to start by the end of this year, said an official of Dakshin Haryana Bijli Vitran Nigam (DHBVN). SHARE THIS ARTICLE ON Refuting former environment minister Jairam Rameshs charges that it was surviving on the Narendra Modi governments largesse, the Adani Group credited on Monday the liberalisation policies of the previous Congress governments for its prosperity. In a statement, the group --- headed by Gautam Adani --- also accused Ramesh of undermining the contribution of the Congress in liberalisation. After the Congress leaders attack, the Adani Group said in an e-mail response: The Adani Group is proud about their contribution to Nation Building. This was made possible because of the opportunities provided due to the opening-up of the Indian economy. Shri Jairam Ramesh is undermining the contribution of Congress which ushered in the era of liberalisation. Our late Prime Minister Honble Shri Rajiv Gandhi had conceived liberalisation and it was further strengthened by Congress Govt. in 1991. Most leading Corporates of todays India, including Adani Group, owe their success to this. Earlier in the day, Ramesh countered industrialist Gautam Adanis claims that he, as a Union minister, had given permission for mining forest land area in Chhattisgarh. Read: Jairam Ramesh hits back at Adani, accuses him of benefitting under Modi Standing by his decision of permitting the allocation of a coal mine to the Rajasthan government, Ramesh said: What Shri Adani has conveniently forgotten is that the issue now is not that he is the mine operator selected by the Rajasthan government. Shri Adani has deliberately side-stepped the real issue: That the Chhattisgarh government has cancelled the rights that have to be settled under the Scheduled Tribes and Other Traditional Forest Dwellers (Recognition of Forest Rights) Act, 2006 before the mine can be developed. In its response, the Adani Group said: The mine is owned by the Rajasthan Government. The clearance was issued by Chhattisgarh Govt. to Rajasthan Govt. Hence, we are surprised and fail to understand, why Shri Ramesh is seeking an explanation from us on getting any benefit/ favour in the said case? In the e-mail response, the it added: Adani Group does not own any mine in Chhattisgarh. The mine which has been referred to by Shri Jairam Ramesh was allocated to Govt. of Rajasthan during the UPA regime. Forest clearance was granted by Shri Ramesh himself during his tenure as the Minister of Environment and Forests. Incidentally during that period, State Govt. of Rajasthan was also ruled by Congress. In an interview to an economic daily on Monday, Adani said the Rajasthan government owned the said mine and that the Adani group was just a contractor. The Chhattisgarh government in January passed an order cancelling the community land rights of local tribals over the forest land, guaranteed under the Forest Rights Act. The Act mandates that all claims and rights of the tribals and forest dwellers be settled before the government moves on a land. However, with the government failing to do so, the allocation has been caught in a legal bind. The Congress leader said that he had provided detailed evidence to show how the present secretary in the Union ministry of tribal affairs was supporting the Chhattisgarh governments brazenly unilateral move to benefit Shri Adani by circumventing a rule that he had laid out as the environment minister in August 2009, and that was passed by Parliament in December 2006. Shri Adani should have had the intellectual honesty to acknowledge the issue in dispute. That he is the beneficiary of Shri Narendra Modis largesse both as CM of Gujarat and PM of India is beyond any doubt, said Ramesh. The unrest in Jammu and Kashmir over Hizbul Mujahideen commander Burhan Wanis death triggered a diplomatic spat between India and Pakistan on Monday, with New Delhi dismissing Islamabads criticism and accusing it of using terror as an instrument of state policy. Prime Minister Nawaz Sharifs criticism of Wanis killing drew an angry reaction from India, which asked Pakistan not to interfere in the countrys internal affairs. Sharif, targeted by opposition parties for what they described as his silence on the Kashmir issue, expressed deep shock at the death of Wani and civilians in a statement issued on Sunday. He also said India should fulfil its human rights obligations and commitments under UN Security Council Resolutions. Read: Kashmir violence: Death toll reaches 22, restrictions continue for 3rd day On Monday evening, Pakistan foreign secretary Aizaz Chaudhry called in Indian envoy Gautam Bambawale and expressed serious concerns over the killings of Kashmiri leader Burhan Wani and many other civilians. Chaudhry sought a fair and transparent inquiry against individuals responsible for these killings, said a statement from the Foreign Office. The Indian governments response was sharp. Without naming Sharif, external affairs ministry spokesperson Vikas Swarup said the statements from Pakistan reflected the countrys continued attachment to terrorism and its usage as an instrument of state policy. He added: Pakistan is advised to refrain from interfering in the internal affairs of its neighbours. There were similar reactions from ministers in the Narendra Modi government. Minister of state for home Kiren Rijiju said Pakistan should worry about human rights violations in Pakistan-occupied Kashmir since Kashmir is an internal matter of India. Jitendra Singh, minister of state in the Prime Ministers Office, accused Pakistan of stirring up violent protests in Jammu and Kashmir. As far as Pakistans involvement is concerned, it is more than evident nowThere has been involvement and there has been perpetration of terrorism on Indias soil from Islamabad, he said. Prime Minister Modi, on a four-nation visit to Africa, told a gathering in Nairobi the world community needs to condemn those who give shelter to terrorists and use them as political instruments. In remarks that appeared to be aimed at Pakistan, Modi added that we live in a world where preachers of hate and violence are threatening the fabric of our society. Read: The Kashmir manifesto: Delhis policy playbook in the Valley Like Pakistans Foreign Office, Sharif had referred to Wani as a Kashmiri leader in his statement and said: It is deplorable that excessive and unlawful force was used against the civilians who were protesting against the killing of Burhan Wani. Such oppressive measures cannot deter the Kashmiris from demanding the right to self-determination, said Sharif, who returned to Pakistan last week after an open-heart surgery in London. Opposition Pakistan Peoples Party leader Bilawal Bhutto Zardari was among those who targeted Sharif, saying the Sharif-Modi friendship is causing irreparable damage to the Kashmir issue. Read: Kashmirs disturbing new reality Jamaat-ud-Dawah chief Hafiz Mohammad Saeed too stepped up anti-India propaganda, saying Wanis killing will strengthen jihad in Jammu and Kashmir. The JuD used social media to push the Pakistan government to play up the unrest in Kashmir and to back freedom-loving Kashmiris. Saeed joined Hizbul Mujahideen chief Syed Salahuddin for funeral prayers organised for Wani at Muzaffarabad in Pakistan-occupied Kashmir on Sunday. A video of Saeeds speech features him saying: After Burhans martyrdom and the martyrdom of many others, I expect the jihad in Kashmir will rise with strength. The scene will change completely. Saeed also spoke on Wanis death in a video posted by JuD on its official Twitter account. We ask the Pakistan government and all political parties to take advantage of this situation and immediately declare openly that they will support forces working for Kashmiri freedom, he said. Read: The Kashmir reading list: 11 books to understand the conflict The killing of top Hizbul Mujahideen commander Burhan Wani which has the Kashmir Valley in the grip of a fresh spell of violence was not an operation meant to target him, top sources have told HT. Joint teams of the Jammu and Kashmir police and the army launched an intelligence-based operation at village Bumdoora in Kokernag on Friday. We had inputs regarding the presence of another local militant Sartaj Ahmed Sheikh but did not know that Wani too was present at the same location, a senior army officer said. A senior J-K police official confirmed that the intelligence was not specific to Wani, the new-age militant who had become a poster boy of the insurgency and wielded influence over the youth in Kashmir through social media. It was not a targeted killing of Burhan Wani. He was killed in retaliatory fire, SM Sahai, additional general-director of police, confirmed to HT. The operation on Friday was based on intelligence that Sheikh was present at the house of his maternal uncle Ghulam Mohammad Sheikh in Bumdoora. In an encounter that lasted three hours, three militants, including Wani and Sheikh, were killed and one policeman injured. Wanis death has led to an unprecedented clampdown and large-scale violence in the state. Protesters continue to attack police stations and target paramilitary camps. Sahai confirmed that policemen with weapons were still missing. He repeated the call made at a press conference on Saturday, urging elders to caution their children against joining militancy. SHARE THIS ARTICLE ON Lashkar-e-Taiba founder Hafiz Mohammad Saeed has stepped up anti-India propaganda following the unrest in Jammu and Kashmir, saying the killing of Hizbul Mujahideen commander Burhan Wani will strengthen the jihad in the state. Saeeds Jamaat-ud-Dawah, declared a front for the LeT by the UN and the US, has been using social media to push the Pakistan government to play up the unrest in Kashmir and to back freedom-loving Kashmiris. Saeed, for whom the US has offered a $10 million bounty, joined Hizbul Mujahideen chief Syed Salahuddin for funeral prayers organised for Wani at Muzaffarabad in Pakistan-occupied Kashmir on Sunday. Read: Kashmir violence: Death toll reaches 22, restrictions continue for 3rd day A brief video of Saeeds speech at the gathering, shared on social media, features him saying that Wanis death will strengthen jihadi forces in Jammu and Kashmir. After Burhans martyrdom and the martyrdom of many others, I expect the jihad in Kashmir will rise with strength. The scene will change completely, Saeed said, speaking in Urdu. Allah will help you, and will make your steps strong. Allah is going to kill kafirs and make all their efforts go waste, he added. Saeed eulogised Wani and warned many more Burhan Wanis will wage war against India. Read: The Kashmir manifesto: Delhis policy playbook in the Valley Saeed, whose group is on a watch list of Pakistans interior ministry, was more restrained in a three-minute video posted by the JuD on its official Twitter account. The video, titled Situation intensifies on martyrdom of Burhan Wani, features Saeed delivering a message to Kashmiris, Pakistanis and Muslim brothers and sisters. We ask the Pakistan government and all political parties to take advantage of this situation and immediately declare openly that they will support forces working for Kashmiri freedom, he said in the video, speaking in Urdu. Read: Kashmirs disturbing new reality Even if there have been good statements from the Pakistan government and the foreign ministry, the way the movement (in Jammu and Kashmir) has started within a few days, I believe Pakistan should take up the passion of the Kashmiris in the right way, he added. In a statement issued on Sunday, Pakistans Foreign Office had referred to Wani as a Kashmiri leader and described his death as an extrajudicial killing. Read: The Kashmir reading list: 11 books to understand the conflict Saeed further said in the JuD video: I appeal to everyone and to justice-loving people to take notice of this problem, to stop the Indian oppression and prevent the actions of the Indian Army, remove it from there so that there is peace in the region and the Kashmir issue is resolved according to the wishes of the Kashmiri people. The LeT, which was blamed for the 2008 Mumbai attacks, was once one of the most active terror groups in Jammu and Kashmir. It sent hundreds of Pakistani fighters to the state. SHARE THIS ARTICLE ON New Delhi: Faced with angry Accredited Social Health Activists (ASHAs) refusing to distribute repackaged condoms called Asha Nirodh given free under the national reproductive, maternal, newborn and child health, the Union health ministry is exploring other distribution options, which may include vending machines. This concept of ASHA workers distributing condoms is anyway flawed, said a senior official in the ministry of health and family welfare. One of the ideas floated was to have condom-vending machines put up either outside grocery stores in villages or primary health centres for people to pick up voluntarily. ASHA workers are women from within the community, who will not be comfortable in directly distributing condoms to men. What they would be doing instead is giving it to the women and that would defeat the purpose as we know how much say a village woman has in the decisions her husband takes, said the official. On World Population Day on July 11, the Indian government launched a workshop on the theme Zimmedari Nibhao, Plan Banao to address the need for family planning to stabilise countrys population. The focus so far has mainly been on women when talking about implementation of family planning strategies. If we look at the number of men having undergone no-scalpel vasectomy (NSV), which is an easy way to control birth, there has been a decline in the last five years, said JP Nadda, Union health minister. We need to know the reasons behind this trend and devise a strategy targeting men so that they are encouraged to go for sterilisation. The idea behind holding this national workshop is to brainstorm at the micro level before it is too late, Nadda said. Providing access to contraceptive measures, especially among the vulnerable lot, is the primary focus. We are looking at 30% adolescent share, which is the highest unmet segment. The non-users among this segment is three times higher as compared to the rest of the population; how do we reach them, said CK Misra, additional secretary (health), director, National health mission. We are also missing out in a big way in urban slums, which is two times higher, he said. Last UN report says Indias population will surpass Chinas by 2022, not 2028 as was the forecast three years ago. China population is 1.38 billion compared to Indias 1.31 billion currently. I five years, population of both the countries is expected to reach 1.4 billion. Thereafter, Indias population will grow for decades to 1.5 billion, while China will remain steady at 1.4 billion. The rise will have serious consequences for all the social economic welfare measures, including the health sector as over-crowding increases both communicable and non-communicable diseases, said Dr BD Athani, special DG, health ministry. SHARE THIS ARTICLE ON Former chief of The Energy and Resources Institute (Teri) and a suspect in a sexual harassment case, RK Pachauri, can travel abroad for more than a month to attend conferences and meetings, a Delhi court ruled on Monday. Metropolitan magistrate Shivani Chauhan granted the environmentalist permission to travel to Mexico and the United States from July 12 to August 14. The court also granted regular bail to Pachauri, who was on anticipatory bail granted by a local court on March 21, 2015. The court took note of the fact that Pachauri was granted permission to travel abroad on earlier occasions and had complied with the conditions set by the court. Read: Third woman accuses RK Pachauri of sexual harassment In these circumstances, the accused (Pachauri) is permitted to travel as per his itinerary from July 12 to August 14, the court said. Earlier, the same court had taken cognisance of a chargesheet filed against Pachauri for allegedly molesting and outraging the modesty of a former female colleague. If convicted, the 75-year-old scientist could face jail term of up to seven years. An FIR was registered on February 13 last year in connection with the complaint. The investigation in the case is complete and chargesheet was filed earlier this year. Pachauri was never arrested during the investigation in the case. This goes to show that his custody is not required for the purpose of the investigation. No fruitful purpose will be served by sending the accused person to jail, the court said. During the pendency of the trial, Pachauri was allowed at least 10 times to travel to various countries, including the US, UK, China, Japan, France, Kazakhstan, Bolivia, Kuwait, Somalia and Saudi Arabia. Delhi Police had filed an over 1,400-page charge sheet in the court against Pachauri under sections 354-A (sexual harassment), 354-B(assault or use of criminal force to woman with intent to disrobe), 354-D (stalking), 509(word, gesture or act intended to insult the modesty of a woman) and 341 (wrongful confinement) of the IPC. In its charge sheet, Delhi police said Pachauri made sexually coloured remarks upon the complainant on several occasions. It also said Pachauri touched the complainant inappropriately despite clear disinterest and opposition. The charge sheet also said that the environmentalist sent inappropriate SMSes and WhatsApp messages and e-mails to the complainant. Delhi Police has submitted printouts of the materials along with the charge sheet. The police have cited around 23 prosecution witnesses, many of whom are present and former employees of Teri. SHARE THIS ARTICLE ON Delhi police has been directed to conduct a preliminary enquiry into the allegation that Essar tapped the phones of high-profile people and some Union ministers, the centre told the high court on Monday. In view of additional solicitor general Sanjay Jains submission, a bench of Chief Justice G Rohini and Justice Sangita Dhingra Sehgal reserved its verdict on the plea seeking a court-monitored probe by a special investigation team (SIT) into the allegation of phone-tapping. The bench said it will also pass orders regarding the issue of safety and security of the petitioner, Suren Uppal, and the sensitive data with him. It also noted that the promoters of Essar, in their response to the PIL, denied the allegations against the company. During the brief hearing, Jain suggested that the sensitive material with Uppal could be kept in the custody of the court, but the bench did not agree. Uppal told the court that he wrote to the home secretary on June 22 regarding his and the materials safety, but has not received any response yet. Both the court and the additional solicitor general questioned why Albasit Khan, a former employee of Essar who allegedly gave the material to Uppal, contacted the petitioner and not anyone else. The matter was taken up on Monday after two benches recused themselves from hearing the matter during the holidays due to personal reasons. The government has overhauled the training module for young diplomats, focussing on bolstering ties with neighbours and cutting down theoretical lectures, in a bid to keep up with the changing times. The pl (FSI), which trains diplomats, has kicked off a plan in line with what it thinks will equip diplomats to face new and varied challenges in the service. Under the new programme, senior serving officials will address trainees on particular issues, such as the telecom secretary explaining the government policy in the sector. The vision of the government is to see the diplomats represent their country in its total reality. They should represent the country in a way that its needs are catered to while on assignments, Amarendra Khatua, the dean of the FSI, told HT. A key change will emphasise on ties with neighbours, which means compulsory travelling to Islamabad, Beijing and Moscow. The new plan also reduces classroom trainings that mostly comprise lectures -- to five months from ten months at present. There is, however, no reduction in the trainings total duration. The average age of Indian diplomats joining the service now is 27-29. It used to be 23-24. They come after doing some jobs or a professional course. So the training module has to change, an official said. India has just 917 officers manning its 182 missions and posts across the world, making the governments task of training diplomats more difficult. In contrast, China has 4,000 and the United States 11,000 career diplomats. Under the new programme, officers will be given an opportunity to study about Indian states and the governments flagship programmes such as Make in India that aims to make the country a manufacturing hub. The FSI is also getting visiting foreign dignitaries to interact with the diplomats at regular intervals. Mongolian foreign minister L Purevsuren and US under-secretary of state for foreign affairs Tom Shannon interacted with the 44 trainees at the institute recently. Such interactions help diplomats develop independent thinking. They will always be hearing what the government is doing. But they also need to listen to voices from outside, said Lalit Mansingh, former foreign secretary and ex-dean of the FSI. But the challenge seems to be in making trainings a frequent affair and not a one-off for the diplomats. There has to be frequent training programmes for the diplomats at various stages of their career. The world that diplomats deal with keeps on changing. And the changes take place very fast, Mansingh said. He also rooted for the inclusion of more special capsules in the training, such as trade and energy diplomacy. SHARE THIS ARTICLE ON Kashmir remained locked down amid reports of continuing protests and violence for a third day on Monday, as the government began efforts to forge a political consensus in Delhi to deal with the unrest sparked by the killing of a young militant commander. A top home ministry official said Prime Minister Narendra Modi will chair a meeting on Tuesday to discuss ways to end the protests which erupted after troops shot dead last week Burhan Wani, the 22-year-old commander of Kashmirs largest militant group Hizbul Mujahideen. At least 24 people have been killed in the violence. Across Kashmir, roads remained empty and shops shuttered on Monday but confrontations continued despite a round-the-clock curfew in most of the Valley. By noon, crowds ignored the clampdown to gather in parts of Srinagar and towns south of it but troops repelled them. A mob in southern Kashmirs Kulgam district took a policeman hostage, demanding the release of a youth arrested for stone-pelting. A head constable was abducted by a mob at Behibagh and held hostage, a spokesperson said. Read: Stay out of our internal affairs: India tells Pakistan over Kashmir remarks Security forces remained the prime target as militants hurled a grenade on a police station in Srinagar late on Monday, wounding at least 13 CRPF personnel. Dozens of protesters pelted stones at an Indian Air Force base outside Srinagar before paramilitary police chased them away. The annual Amarnath Yatra, which was suspended after Wanis death, resumed on Monday from Bhagwati Nagar base camp in Jammu. Pilgrims moved in convoys under CRPF protection. The pilgrimage to the cave shrine restarted. About 1,500 pilgrims in 34 vehicles have left the base camp, said Sunil Gupta, the senior superintendent of police in Jammu. Around 20,000 pilgrims were left stranded in Jammu and Kashmirs winter capital following the volatile situation in the Valley. In New Delhi, home minister Rajnath Singh spoke to Opposition leaders, including Sonia Gandhi and Omar Abdullah, in what was the first concerted move by the government in three days. The government could seek an all-party meeting once calm returns to Kashmir, sources said. In her first comments on the violence, Gandhi said, There can be no compromise on matters relating to national security. Terrorism must be dealt with firmly. Even so, the death of so many of our citizens and attack on security forces is very painful. Earlier in the day, national security adviser Ajit Doval cut short his visit with Modi to Africa. He held a meeting with Singh, finance minister Arun Jaitley and defence minister Manohar Parrikar, among others, to discuss the situation in Kashmir. If there are problems, there are solutions. We are quite confident and competent of finding solutions, Doval told PTI news agency. Read: Wanis killing unlikely to unleash the horror of 1990s: Top army commander Government sources said efforts were also on to hold an all-party meeting in the Kashmir valley after state chief minister Mehbooba Mufti called up on state opposition leaders to suggest ways to defuse the situation. At least 23 civilians and one policeman have died from wounds sustained in clashes over the weekend, as security men used live ammunition, pellet guns and tear gas to try to break up the protests. Most of those killed were young men under the age of 26 from southern Kashmir, police said. In addition, more than 150 civilians and 100 government troops have been injured. At least 10 of the wounded civilians were in serious condition. Top home ministry officials said they were surprised at the intensity of the public unrest in southern Kashmir. We were prepared for unrest post (the) death of Burhan Wani and had deployed forces in Pulwana and Tral as well as Srinagar, a senior official said. However, despite the deployment in Anantnag and Kulgam due to the Amarnath Yatra, the mob attacked no less than 40 CRPF pickets and some 22 (J-K police) pickets along the route. The government was also concerned about the looting of about 35 INSAS rifles, SLRs and pistols from an armoury in Kulgam district on Saturday, a source said. As literary experts would attest, the Patal-Bari a heritage building on the banks of the Hooghly at Chandernagore is immortalised in several novels penned by celebrated author Rabindranath Tagore. However, if sand miners in West Bengal have their way, the pages of his books would end up becoming the only place it exists in. Rampant mining of sand from the river bed is to be blamed its threatening the very foundations of buildings like the Patal-Bari. Besides this, several other residential buildings in the town including the office of the Red Cross Society have also begun developing cracks, says Biswajit Mukherjee, a former chief environment law officer of the West Bengal Pollution Control Board, pointing at a long crack running along the length of a Patal-Bari wall. Rampant sand mining and excavation of boulders from river beds are threatening the ecology of several rivers in West Bengal, so much so that even chief minister Mamata Banerjee recently asked the authorities to monitor their activities. All the three major river basins of the state the Bhagirathi-Hooghly basin, Damodar basin and Teesta basin are being threatened by this illegal industry. The authorities, including police personnel, know whats happening but do little to stop it, said an irrigation department official. Experts say the real estate boom in West Bengal and nearby states is aggravating the problem. However, cracking down on such illegal units would cause considerable inconvenience to officials as well as residents not only would this mean less flow of cash to political parties, the common man would also be hit by the consequent rise in the cost of construction and raw material. AN ECOLOGICAL DISASTER THE PROBLEM AT HAND: Sand mining and excavation of boulders and stone chips from the river bed. The basins of all major rivers Hooghly, Damodar and Teesta are affected. THE REASON: Boom in real estate industry and construction projects such as highways and bridges. ECOLOGICAL CONCERNS: River bank erosion, dilapidation of riverside structures, degradation of the rivers ecosystem, and enlargement of river mouth due to lessening silt deposits. WHO ARE INVOLVED: Sand miners hand-in-glove with government officials, politicians and police. EXTENT OF THE PROBLEM: As the operation is entirely illegal, authorities have no idea about its quantum. But estimates suggest that there are at least 500 quarries in Darjeeling, Jalpaiguri and Alipurduar and Cooch Behar districts alone. Mining activities, meanwhile, continue to take a toll on the environment. Sand mining not only erodes river banks but also degrades the rivers ecosystem, affecting fishes and dolphins. Besides this, depletion of sand in the streambed deepens rivers and estuaries and enlarges river mouths and coastal inlets leading to the intrusion of saline water from the sea, says BC Barman, deputy director (hydraulics) at the River Research Institute in Nadia. Many rivers in northern West Bengal have turned out to be rich sources of boulders, sand and stone chips for illegal miners who excavate and transport the raw material to places within the state as well as Bihar and Bangladesh. There are at least 500 quarries, many of them illegal, in the Darjeeling, Jalpaiguri and Alipurduar districts, says an irrigation department engineer. According to Upendra Kumar Dhruv, assistant commissioner of the Siliguri custom division, 350 to 400 trucks filled with boulders cross over to Bangladesh every day through the Chengrabanda and Fulbari borders. The Supreme Court will hear on Monday a petition by BJP leader Subramanian Swamy that challenges the constitutional validity of anti-hate speech provisions and alleges that the statute violates fundamental rights. Swamy has challenged the validity of Section 153A (promoting enmity between different groups on grounds of religion, race, place of birth, residence, language, etc, and doing acts prejudicial to maintenance of harmony) of the Indian Penal Code. He currently faces a case in Assams Karimganj for allegedly delivering an inflammatory address at Kaziranga University. Swamy says the section was used against him malafide and maliciously to penalise him for his clearheaded extensive research and ideological beliefs. All this was done to make him conform to the norms of certain special ideological and religious groups, he alleged in the petition. At least five recent FIRs have been filed or summonses issued against him in Delhi, Mumbai, Karimganj, Mohali in Punjab and Thrissur in Kerala for presumed hate speech, he said. The Centre has opposed his petition and termed it not maintainable. In February, solicitor general Ranjit Kumar told the top court that Swamys was a personal interest litigation as a non-bailable warrant (NBW) had been issued against him for alleged hate speeches. He is aggrieved because an NBW has been issued against him by a court with regard to his hate speeches. He is being personally prosecuted for an offence and, hence his plea is, in fact, a personal interest litigation, Kumar had said, asserting that the petition was not maintainable. As Swamy pointed out his plea for quashing of NBW was already before the Gauhati high court, Kumar said the BJP leader could also raise the issue of constitutional validity before the high court. How many high courts should I go to? Across the country, FIRs are being lodged against me by several state governments. This is a question of my fundamental right. This court is an appropriate forum to examine the validity of the provisions of the IPC, Swamy had said, clarifying that he had not challenged the validity of Section 153A IPC before the high court. Swamy wanted his matter be transferred to the bench headed by Justice Gogoi, saying the previous bench headed by him was ready to examine the constitutional validity of the provisions. A trial court in Assam had issued an NBW against him for failing to appear before it on March 19, last year in a case of alleged hate speech. But the SC had on July 2 last year stayed the execution of the NBW. In November last year, the NDA government took a U-turn before the Supreme Court, weeks after supporting Swamys prosecution for hate speech against Muslims in a book on terrorism. In a fresh affidavit, the home ministry told the top court that its earlier submission accusing Swamy of promoting hatred and enmity between two communities- was not a comment on the criminal case pending against him but merely a statement of the fact about his prosecution. The government had on October 28, 2015 filed an affidavit in response to Swamys PIL, asserting that Swamy had violated the law because the books theme, its language, its innuendoes, the similies it employs and the moral of its story, if any in order to ascertain whether the offending passages read in the context of the book as a whole fall within the mischief of section 153 A. The book has to be considered in all its aspects as it contains matter which promotes feelings of enmity and hatred between Hindus and Muslims in India, it had said. SHARE THIS ARTICLE ON The cabinet meeting that was convened on short notice ended in Shimla with a resolution expressing solidarity with chief minister Virbhadra Singh on Monday. All cabinet ministers, except health minister Kaul Singh Thakur and industry minister Mukesh Agnihotri, attended the meeting. Irrigation minister Vidya Stokes said the cabinet stand behind the Himachal CM who find himself in the midst of controversy with the enforcement directorate having arrested LIC agent Anand Chauhan in connection with the Virbhadras money laundering case. Since Chauhans arrest, the opposition had scaled up its attack on Virbhadra. We have been reading in the news that the opposition is demanding CMs resignation. So, we decided to express our unity and solidarity, Stokes told HT. She denied any kind emergency behind the meeting. Meanwhile transport minister GS Bali said the cabinet was called to discuss the dates and term of the monsoon session. However, there had been no final decision regarding it. A single line resolution was passed which stated that the entire cabinet was with the CM. The Opposition is trying to mislead the people and the cabinet has rejected the demand for the CMs resignation, Bali added. India is planning to evacuate its nationals from South Sudan, which is on the brink of an all-out civil war. Advisories asking Indians to not travel to the war-torn country have also been issued. South Sudan - I am aware of the developments in South Sudan. We are planning evacuation of Indian nationals, external affairs minister Sushma Swaraj tweeted on Monday. Please register yourself with Indian Embassy and do not panic. Indian nationals are advised not to travel to South Sudan. The Indian embassy in South Sudan on Sunday had urged people stranded there to stay calm. The Indian embassy is in regular touch with concerned authorities in India and all options are being considered for providing relief to Indians stranded here in Juba (capital of South Sudan). All are requested to keep calm and await further development and further inputs from the embassy, the advisory said. South Sudan split from Sudan in 2011 after years of fighting. However, tensions quickly emerged between South Sudans president Salva Kiir and his deputy, Riek Machar. The country descended into chaos in 2013 after Kiir accused Machar of attempting a coup. Both sides have since been accused of committing atrocities in the ensuing conflict, which has killed thousands. There are a few hundred Indians living in South Sudan some of them have set up businesses in Juba and others are employed with various companies. Former environment minister Jairam Ramesh on Monday countered industrialist Gautam Adanis claims that he, as Union minister, had given permission for mining forest land area in Chhattisgarh, adding that the industrialist was benefitting from Prime Minister Narendra Modis largesse. Dismissing Adanis claim that the opposition party was unnecessarily dragging the corporate into its politics, the senior Congress leader, in a statement, denied that he was being inconsistent and was making the industrialist a political target. Standing by his decision of permitting the allocation of a coal mine to the Rajasthan government, Ramesh said, What Shri Adani has conveniently forgotten is that the issue now is not that he is the mine operator selected by the Rajasthan government. Shri Adani has deliberately side-stepped the real issue: That the Chhattisgarh government has cancelled the rights that have to be settled under the Scheduled Tribes and Other Traditional Forest Dwellers (Recognition of Forest Rights) Act, 2006 before the mine can be developed. In an interview to an economic daily on Monday, Adani said the Rajasthan government owned the said mine and that the Adani group was just a contractor. The Chhattisgarh government in January passed an order cancelling the community land rights of local tribals over the forest land, guaranteed under the Forest Rights Act. The Act mandates that all claims and rights of the tribals and forest dwellers be settled before the government moves on a land. However, with the government failing to do so, the allocation has been caught in a legal bind. The Congress leader said that he had provided detailed evidence to show how the present secretary in the Union ministry of tribal affairs was supporting the Chhattisgarh governments brazenly unilateral move to benefit Shri Adani by circumventing a rule that he had laid out as the environment minister in August 2009, and that was passed by Parliament in December 2006. Shri Adani should have had the intellectual honesty to acknowledge the issue in dispute. That he is the beneficiary of Shri Narendra Modis largesse both as CM of Gujarat and PM of India is beyond any doubt, said Ramesh. Adani had in the interview accused the Congress of playing politics of convenience while in power at the Centre, and accused Ramesh of not having his facts in order. The Bombay high court extended on Monday the stay on the trial in a lower court against actor Sooraj Pancholi, accused of abetting the suicide of actress Jiah Khan in 2013, and posted a petition filed by her mother Rabia seeking a SIT probe into Jiahs death after three weeks. A division bench of justice NH Patil and justice PD Naik adjourned Rabias petition at the request of her advocate Dhairyasheel Patil who said he needed time as he was appointed on Monday. Time and again, adjournment is being requested in this matter. The Supreme Court had directed for it to be heard and disposed of at the earliest. This is the last time we are granting adjournment, the HC said. The interim relief granted in the petition by way of stay on the trial in the lower court is extended for a period of three weeks, the bench said. In her petition before HC, Rabia had sought the probe by special investigation team (SIT) alleging that the CBI, investigating the case, had concurred with the finding of the Mumbai police that Jiahs death was a case of suicide and not homicide. She had requested the HC to set up an SIT to probe the case afresh. Jiahs boyfriend, actor Sooraj, was arrested on the charge of abetting her suicide on June 10, 2013, a week after the actress was found hanging at her residence here. He was released on July 2, 2013, after the HC granted him bail. Union minister Kiren Rijiju on Monday took a dig at Pakistan for accusing India of committing human rights violations in Kashmir, saying it should worry more about such violations in Pakistan-occupied Kashmir (PoK) than the Valley. They (Pakistan) should worry (more) about human rights violations in Pakistan-occupied Kashmir than Jammu and Kashmir. Kashmir is an internal matter of India, he said in New Delhi. Rijijus comments came a day after Pakistan accused India of committing human rights violations in Kashmir following the killing of Hizbul Mujahideen leader Burhan Wani. Pakistan Prime Minister Nawaz Sharif, who has been under attack from the opposition for remaining silent over the killing of protesters in Kashmir, came out with a late night statement condemning the action of Indian security forces. The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces, Sharifs office said in the statement. Sharif said it was deplorable that excessive and unlawful force was used against the civilians who were protesting against the killing of Wani. Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions, he said. Expressing concern over the detention of Kashmiri separatist leaders, Sharif said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of the killing of Wani in an encounter on Friday. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Pakistan Peoples Party (PPP) chairman Bilawal Bhutto Zardari has alleged that Sharif-Modi friendship was causing irreparable damage to the Kashmir issue. While Muslims celebrated Eid-ul Fitr across the world, our Kashmiri brethren marked the day of festivities amid violence carried out by the Indian army, Bilawal said in a statement. He accused Sharif of damaging the foreign policy by giving his Indian counterpart Narendra Modi a certificate of friendship. Sharif is jeopardising the Kashmir issue by building his association with the Indian prime minister, he said The Congress accused Prime Minister Narendra Modi of not addressing tense situation in Kashmir, saying he was busy tweeting about his overseas travel and playing drums. Kashmir is burning and our Prime Minister would rather tweet about his travels and play the drums, Congress said, taking potshots at Modi, who is on a tour of four African countries. The jibe was taken by the opposition party in a tweet posted on its Twitter handle. Read: Stay out of our internal affairs: India tells Pakistan over Kashmir remarks Congress spokesperson Randeep Surjewala said Modi should take inspiration from US president Barack Obama, who cut his foreign tour short after an attack on police officers in Dallas, and return home right away. Kashmir is burning. 21 people have died. Security forces are being attacked daily. Amarnath Yatra suspended and Modiji is playing the drums. At least wake up now, he said. He said the PDP-BJP government in Jammu and Kashmir was unable to handle the situation and he should not allow Kashmir to be thrown into the shadow of the gun. At a Congress briefing, party spokesperson Abhishek Singhvi said Pakistan prime minister Nawaz Sharifs statement supporting slain militant Burhan Wani showed how Indian foreign policy was going in reverse gear. Please do not make a martyr of a declared terrorist, he said. The Goa unit of the Congress, meanwhile, criticised defence minister Manohar Parrikar for attending programmes in his native state when clashed were erupting in Kashmir. When Kashmir was burning, Indias defence minister was busy distributing bulbs to the people in Goa. This is shameful, Congress spokesperson Sunil Kawathankar said. Read: Kashmir violence: Restrictions continue for 3rd day Parrikar should have been at the command centre monitoring the action in Kashmir, he added. Kashmir remained on the edge on Monday amid curfew-like restrictions. Separatists-sponsored strike over the killing of Wani on Friday. A total of 23 people have been killed in the violence. Curfew continued in parts of the Kashmir on Monday amid fears of more violence where 23 people have been killed in clashes with police and paramilitary forces following the death of militant Wani last week. At least 22 people have died in clashes between protesters and security forces in Kashmir in three days after Hizbul Mujahideen militant Burhan Wani was killed by security forces in an encounter on Friday, officials said on Monday. Reports said a girl identified as Yasmeen, who sustained bullet injuries, succumbed at SMHS Hospital late on Sunday night. She belonged to Damhal Hanjipora in Kulgam district in south Kashmir. A 24-year-old man Shabir Ahmad from Srinagar was also killed late on Sunday evening. Twelve men died on Saturday as the violence spiralled, five others succumbed to injuries between Saturday night and Sunday morning. Four others were killed in firing incidents by forces on Sunday. One cop was killed after a violent mob pushed him into a gushing tributary of the Jhelum. More than 250 people have been injured in the violence and the situation continues to be tense across the valley. There were sporadic clashes in parts of Srinagar, north and south Kashmir on Monday. A police post was torched by mob in Sopore. A popular social media-savvy militant 21-year-old Wani and two other militants were killed on Friday when security forces cornered them in the Kokernag area of Anantnag. A schoolteachers son, Wani apparently influenced hundreds of Kashmiri youth to join militancy in the past few years through slick social media posts and videos. Mobile internet services have been suspended in four districts of south Kashmir since Friday evening as violent protests erupted following Wanis death. Read | The Kashmir manifesto: Delhis policy playbook in the Valley Case filed for spreading false rumours Police have registered a case against two people for spreading false news on social media. Kothibagh police station registered a case against Ashok Koul for spreading false information about the killing of two Kashmiri Pandits in the valley. According to sources, two Pandits killed in Kashmir at some village Many Hindu Houses Burnt Internet Services Suspended, Koul wrote on his Facebook page. In a subsequent post, Koul tendered an apology saying he was given false information by some friend from Kashmir. Police said they have obtained the screenshots and started investigations. Watch | Curfew continues in Kashmir They are also investigating another case where a man, Vinod Pandit, tweeted that he was held hostage in a temple in Anantnag which was being attacked by a mob. Police officials said the post could not have been from Anantnag as the internet and even mobile services were completely blocked in the area and no such attack had taken place. The annual Amarnath Yatra pilgrimage remained suspended and no pilgrims were allowed to proceed from Jammu. Around 9,000 yatris, who reached the Baltal and Chandanwari base camps in Kashmir, however, were allowed to proceed for the pilgrimage on Sunday. Curfew continues Curfew-like restrictions continued to be in place in many parts of the valley including parts of Srinagar city, where the first death in the clashes occurred on Sunday evening. Authorities have strengthened the presence of security forces in vulnerable areas of the city and elsewhere in the valley to contain the protests, a police official said. He said the restrictions were being enforced strictly on Monday to avoid any further loss of life or damage to property. Life remained paralysed due to the strike called by separatists groups. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Shops, private offices, business establishments and petrol pumps were shut, while government offices and banks witnessed thin attendance, officials said. Public transport was completely off the roads but private cars and auto-rickshaws were seen plying at few places in the areas where there were no restrictions, they said. Educational institutions in the valley were closed on account of the ongoing summer vacations, while Central University of Kashmir (CUK), Islamic University of Science and Technology (IUST) and Jammu and Kashmir Board of School Education (JKBOSE) have postponed the examinations due to the prevailing situation. The Jammu and Kashmir government held a special cabinet meeting to review the situation on Sunday. The cabinet, according to government spokesperson Nayeem Akhtar, raised serious concerns about the deaths over the past two days. The government sought cooperation from political parties as well as separatists to ensure peace. (With inputs from PTI) SHARE THIS ARTICLE ON Hazratganj is central Lucknows busiest crossing. It is here that the grand building of the Uttar Pradesh Vidhan Sabha is located. The battle to enter the premises of the assembly has begun in full swing in Indias most populous, and politically significant state. UPs key political parties operate in offices close to the assembly. Over the next eight months, these offices will become the hub of political activity. The meetings and the decisions taken here will determine the next verdict, and the future of almost 200 million people for the next five years. The power corridors of Lucknow The Akhilesh factor On Vikramaditya Marg, the Samajwadi Party office is abuzz with activity. Ministers step out of official cars with their red beacons. Supplicants and party workers wait in the lawns for their turn. Many predict the party will return to power. But beneath the bluster, the party knows it is facing a huge challenge. If it has one thing going for it, it remains chief minister Akhikesh Yadavs image. (PTI file photo) Across the state, there appears to be a huge amount of goodwill for the leader. A businessman in Lucknow says, His sincerity cannot be doubted. He listens, he tries to solve problems, and he is interested in development. An official who has worked with him closely says, If we even ask him about politics, he says let us not waste time but discuss something more constructive. That is why there is dissatisfaction but no aggressive resentment against the government. For an electorate that has primarily participated in the politics of identity of Mulayam Singh, Mayawati and Kalyan Singh, Akhikesh is a whiff of fresh air. The government has also worked on welfare schemes, which seem under-appreciated in public commentary. But this is where the problems begin. Akhilesh, critics point out, can maintain this clean image because others - his father, uncles - are doing the real politics, which is synonymous with the old politics of patronage and corruption. A bureaucrat agrees. Look, there is no doubt there is multipolarity of the power structure. This means that the governments control over bureaucracy is shaky. Each officer reports to his patron. This, he adds, is also the reason why law and order is weak. Police officials do not quite have the confidence to respond quickly because they dont know who within the power structure will respond how. This multipolarity, and the ensuing sense of lawlessness, is SPs biggest handicap. Almost all castes, besides Yadavs, are hostile to the party. The other disadvantage for the SP is the perception that it has been disproportionately favourable to Muslims. Guddu, a Yadav driver in the city, says, You people think it is our sarkar but this is actually Azam Khans sarkar. It is only for the minorities. It is precisely this image that the BJP hopes to exploit to consolidate its vote. Read | We will return to power in UP for second term, says CM Akhilesh Yadav BJPs search for the face Bang opposite the Vidhan Sabha is the BJP state headquarters. Party spokesperson Vijay Bahadur Pathak gives a sense of the partys core message - which will be based on a contrast of the SP and BJPs record. He lists out a chargesheet against the current SP regime - the lawlessness (see what happened in Mathura); the weak CM (his father, uncles and Azam Khan run the show), the absence of development (most state development funds have not been spent). But it is not on message but leadership issue that the party faces a big challenge. It does not have a declared CM face. (PTI file photo) A party MLA admits, We will improve our performance but we are not winning. We need a face. Neither Smriti Irani nor Mahesh Sharma nor Adityanath can get us a victory. Rajnath Singh is our best bet. But whether Singh - a former state CM - would want to take on the responsibility - especially when victory is uncertain - is doubtful. Pathak says, The party will decide on the leadership question at the right time. All we have been told by Amit Shah is to work hard in our areas of responsibility. What Shah is definitely working on is building up the partys support among non-Yadav backward castes and non-Jatav Dalit communities. Look no further than the recent cabinet expansion - where one Brahman, one Kurmi, and one Dalit - for proof. When asked about the general perception that BJP was deliberately talking up the SP in order to downplay the real threat of the Bahujan Samaj Party, Pathak said, The SP is in government. This will be an election of satta parivartan, change of regime. Of course, we will have to target SP. Others in the capital speak or a more cynical ploy - both BJP and SP want to talk up the threat posed by each other to consolidate respectively, the Hindu and Muslim vote. If this is even remotely true, UP is headed for an election polarised on communal lines. An aide to an MLA said, Frankly, if we have to win, we have to polarise to get Hindu votes, including Dalits. This is precisely Mayawatis nightmare scenario. Mayawati - The invisible lady As one turns into Mall Avenue, there are two big structures. On the left, the gates are closed, security personnel guard the door fiercely, and an elevated 18-foot sandstone wall makes even a brief glimpse inside impossible. Mayawati lives here. On the right is another grand building, with its gates open. This is the BSP office. Barring an office assistant, it is almost entirely deserted. Large statues of BR Ambedkar, Kanshi Ram and Mayawati are placed inside. Mayawatis focus on building parks, monuments and statues during her last term came under so much fire that she has publicly committed there would be no fresh constructions if she becomes CM again. But while the perception of corruption and excessive expenditure is the baggage she carries, the BSPs big advantage going into this election is Mayawatis image as a strong leader. BSP chief Mayawati (HT file photo) From a sarpanch in Amroha in west UP to a boatman on the ghats of Varanasi, HT heard a common refrain. Under Mayawati, the administration was tight. Law and order was excellent. There were no riots. As Anupam Mishra, an Allahabad journalist explains, Under SP, there is democratisation of crime. Every SP worker feels he can take on the law. Under BSP, there is total centralisation, and so even the cadre is careful. But if this gives her the edge, Mayawati faces a real challenge in getting her vote alignments right. Its leader of opposition in the assembly, Swami Prasad Maurya, has resigned, causing a dent in the partys support among non-Yadav OBCs. A Pasi leader, RK Chaudhary, left the party on June 30th. It is only when she is seen as a potential winner that Muslims will switch to her from the SP to defeat the BJP. With both the SP and BJP investing efforts to diminish her, stitching such a winning coalition is Mayawatis big challenge. One way to possibly to do this - and convey to Muslims both her winnability and commitment to fight the BJP - is to walk down Mall Avenue and ally with her neighbour, the Congress. But Mayawati is averse to pre-poll alliances - for she feels the votes of the other party do not transfer to BSP. Congress - A distant fourth Down the road from Mayawatis majestic house, on Mall avenue, is Nehru Bhawan - the state headquarters of the Congress party. Banners greet Rahul Gandhi for his birthday, and Ghulam Nabi Azad for becoming the general secretary in charge of the state. Virendra Madan, vice-chairperson of the party communication department, explains the Congress decline with a polemic against his rivals - the BJPs communalism, the SP and BSPs casteism. Under Congress, caste was never discussed. Now, even the bureaucracy is divided on caste lines. Many would argue that this blindness to caste is actually nostalgia for upper caste domination. Most of Congress chief ministers were upper castes. It also perhaps reflects an inability to cope with the social assertion of the backwards. (PTI file photo) Madan explains that strategist Prashant Kishor has brought new energy into the campaign. His team has gone to all districts, met Congress leaders, got feedback on issues and reasons for loss. He is also trying to get dedicated booth level workers, and has told people that unless you have five to ten workers at every booth, you are not even in the race. Kishors strategy of making Priyanka Gandhi the face of the campaign has enthused the cadre, but unless she is the CM face, it will be difficult to translate it into votes. The hope of bringing back Brahmans - with possibly a Brahman CM face - and Muslims by reassuring them the party is a winnable horse is also on thin ground. A Brahman journalist, embedded in community networks, says, We dont want a face. We want to see a winnable combination. And Congress does not have it. Our first option is BJP, the second option is whoever can defeat the SP. Privately, Congress leaders admit their only hope is an alliance with BSP. Otherwise, if we can double their current strength of 23 seats, it will be an achievement, says an insider. As parties polish their strategy, what is clear is that UP is in poll mode. The verdict will have implications far beyond the state. SHARE THIS ARTICLE ON A mob in south Kashmirs Kulgam district took a police officer hostage on Monday, demanding the release of youth arrested on charges of stone pelting following the killing of Hizbul Mujahideen commander Burhan Wani. An assistant sub-inspector was abducted by the mob at Behibagh in Kulgam and was being held hostage, a police official said. He said the mob was demanding release of three youth who are in police custody on charges of stone pelting. Efforts are on to secure the release of the police officer, he added. Read: Stay out of our internal affairs: India tells Pakistan over Kashmir remarks Kashmir Valley witnessed clashes between protesters and security personnel after the killing of the 22-year old Wani. At least 24 people have been killed in the violence. A day ago, a policeman drowned after a mob in Anantnag pushed his vehicle into a gushing tributary of the Jhelum. The Centre rushed on Monday additional 800 paramilitary forces to Jammu and Kashmir in the wake of violent protests across the Valley. The reinforcements will be in addition to 1,200 personnel which were sent to aid the state police on Saturday. About 60 battalions (about 1,000 personnel each) are already stationed in the state as part of counter insurgency grid. A police station in Sopore was torched by a mob earlier in the day while an air force airport in Pulwama and other security installations in Kashmir came under attack. Odisha police are facing a volley of criticism after five tribals, including two women and a child, were gunned down in Gumudumaha village of Kandhamal district in what appears to be a botched anti-Maoist operation. Kandhamal observed a dawn-to-dusk shutdown on Monday in protest against the Friday killings by a police team that was lying in wait to ambush suspected Maoists. The police reportedly had information of a top Maoist commander hiding in the vicinity. However, it was five tribals returning to their village in a three-wheeler who became the inadvertent victims. The police hiding behind trees opened fire as the villagers were trying to push the auto-rickshaw up a slushy hilltop in blinding rain. KB Singh, the Odisha police chief, termed the casualties collateral damage, claiming the villagers had got caught in an exchange of fire between the police and Maoists. But neither the locals, nor the evidence on ground backed the police theory. The three-wheeler bore bullet marks only on one side, suggesting that the firing was from just one direction. Union minister for tribal affairs Jual Oram has ordered a probe into the killings by the National Commission for Scheduled Tribes. Pradip Majhi, a former Congress MP, dubbed the state police force as blood-thirsty, saying the police team opened fire despite knowing that the people they were firing at were innocent villagers. Back in Gumudumaha, the villagers were distraught. The police killed my father without any reason. What harm did he bring to them? asked Dulara Dugal, son of former village sarpanch Kukal Dugal, who was among the five killed. Nearby, a group of villagers sat wailing, grief-stricken by the death of two-year-old Ghadej Digal. The child was in his mothers arms when a bullet hit him. The villagers in the three-wheeler were returning from a nearby market in Baliguda when they came under fire. Our auto got stuck in the mud and the driver asked us to give it a push. A few of us got down to haul it out of the mud while others were busy washing mud from their feet near a culvert. The moment we sat in the auto, we heard what sounded like cracker-fire. Moments later we knew we were being fired at, said Luta Digal, Ghadejs father. Luta is being treated at a hospital for multiple bullet wounds. Fridays deadly firing has reinforced the popular belief of the police being trigger-happy. Last year, the force had shot dead five tribals in Kandhamal and neighbouring Kalahandi district, suspecting them to be Maoists. Stung by the public outcry, the Odisha government announced a judicial probe and a compensation of Rs 5 lakh each to the relatives of those killed. But thats little consolation for the locals. Our lives have no value as we are sandwiched in the fight between Maoists and police. When will we get a reprieve, asked an agitated villager. The petition challenging authorities of the popular Sabrimala Ayyappa temple in Kerala regarding barring entry for women will be taken up by the Supreme Court again on Monday. A public interest litigation (PIL) was filed countering the decades-old tradition that restricts females aged between 10 and 50 years the menstrual age from entering the temple situated on a hilltop. The basis of the argument is that it violates womens right to equality which is enshrined in the Constitution. The Travancore Devaswom Board that maintains the temple is arguing that the deity is a Naisthik Brahmachari (a celibate), hence the restriction. The temple boards stand is currently supported by the Kerala government, although it had earlier submitted an affidavit that backed the PIL. Read | Chief deity is celibate, allowing women in is a sin: Sabarimala temple Can a biological phenomenon be ground for discrimination? a bench headed by Justice Dipak Misra had earlier asked the Sabarimala temple management that had contended that women cannot maintain purity for 41 days given their menstrual cycle. A devotee seeking to make the pilgrimage to Sabrimala, considered one of the holiest Hindus shrines, goes through a gruelling period of purity. This includes abstaining from meat, alcohol, living in simple clothes and remaining barefoot throughout. Read | Sabarimala temple issue: Why should there be discrimination, asks SC Do you to mean to say that mensuration is associated with purity of women? You are making distinction based on purity... Now the question is whether the Constitutional principles allow this? the bench had asked. It told the boards counsel that the tests of austerity applied for men should be same for women. In Hindu religion, there is no denomination of a Hindu male or female. A Hindu is a Hindu, the special three-judge bench headed by justice Misra had said, stressing on gender equality. The hearing comes at a time when similar traditions barring women at other temples are being challenged by women activists based on the same argument of gender equality. Read | Shani Shingnapur temple finally allows women to enter inner sanctum But the Sabrimala case takes special significance as the court in earlier hearings said tradition could not trump Constitution and that discriminatory customs posed a danger to equality. They (temple) have developed a custom and tradition being followed to maintain purity of the temple. But the question is whether physiological phenomenon can be a guiding factor to deny entry to a class of women within the class of females, justice Misra had said in April. Read | SC on Sabarimala issue: Can you deny women right to climb Everest? However, justice Kurian Joseph had asked if the temple as an institution was entitled to protect its deity. Are they not entitled to institutional protection? he asked senior advocate Indira Jaising, who appeared for Happy to Bleed, an NGO that championed the social media campaign #happytobleed. Scores of women joined the campaign late last year after the temple head said he would allow women if there was a machine to check if they were menstruating. Jaising contended that the ban violated her clients right to practise religion that included right of entry and worshipping the Lord. However, defending the restriction, the Kerala government said not all women were kept away; lakhs of them below the age of 10 and above 50 visited the temple every year. As for women being allowed in other Ayyappa temples, the state government said the deities there were in a different form. Read more | Sabarimala petitioner gets threatening calls, seeks withdrawl of case Read more | The notion that Sabarimala temple is anti-women is false SHARE THIS ARTICLE ON Amid the expanding footprint of the Islamic State across the world, Prime Minister Narendra Modi warned on Monday that preachers of hate and violence are threatening the fabric of the society. He asked the youth to build a counter-narrative to extremist ideologies. Modi also emphasised that those giving shelter to terrorists and using them as political instruments must be condemned, in an apparent reference to Pakistan. Read: Those believing in humanity must come together to defeat terror: PM Modi Addressing students at the University of Nairobi, Modi pitched for a world free from terror and hate, saying safety and security of people and societies is essential for realising the benefits of the economic progress. Preachers of hate and violence are threatening the fabric of our society, he said. Underlining the need to counter radicalisation, Modi said: Youth can play an important role in building a counter narrative to extremist ideologies. His comments assume significance in view of the expanding footprint of the IS terror group, which is attracting the youth through a campaign of radicalisation. Three of the alleged jihadis who participated in an attack on a Dhaka cafe last week, in which 20 hostages were murdered, were youngsters who attended top schools and universities in the Bangladeshi capital. Some of these six attackers were allegedly influenced by the controversial Indian Muslim preacher Zakir Naik, who gives sermons on a TV channel. Naik is at the centre of a storm, with calls for imposing a ban on his television channel for allegedly inflammatory speeches. Apprehensions of Indian youth getting attracted to the IS are also increasing, with 15 youngsters from Kerala going missing mysteriously. The Prime Minister, while speaking about developmental strides, said: A steady march towards our economic goals is indeed a priority. But, we also cannot ignore the safety of our people. Common nationality, different fate - the tagline could well sum the contrasting experiences of two Russian women in India. For Olga Efimenkova, the Indian odyssey led to domestic acrimony in Agra. Efimenkova alleged abuse by her in-laws in Agra and sat outside the house of her mother-in-law, demanding her husbands share in the property. She was united with the family on Sunday after the intervention of external affairs minister Sushma Swaraj and Uttar Pradesh chief minister Akhilesh Yadav. A Russian dentist, Tatiana Zhurilovas desi stint, however, culminated in the so-far blissful matrimony. Read: Russian bahu on dharna against Indian saas for property share The quest for yoga drew Zhurilova to India and turned her into a traditional Indian bahu (daughter-in-law). A native of Ivanovo in Russia, she married Banaras Hindu University (BHU) research scholar Manoj Kumar about a year ago. I developed an interest in yoga after coming to know about the basics from an expert in my country. He is a Russian who advised me to visit India to learn advanced yoga, Zhurilova, who applies vermillion in the parting of her hair and puts a bindi (red dot) on her forehead, said. She is now keen to find a guru who will teach her advanced yoga. Read:UP govt resolves Russian womans Agra property dispute after Sushmas request Yoga gives one peace of mind. It is a wonderful ancient knowledge. I am in search of a yogi from whom I can learn the advanced stages, she said. Zhurilova landed in Delhi in early December 2014 and went to Rishikesh where she met a few yogis and sadhus, who regularly practised yoga. I told them that I have a deep interest in yoga and want to learn its advanced stages, including asanas, pranayama and meditation, she recalled. An expert did agree to teach her advanced yoga. But Zhurilova returned to Delhi on December 6, 2014, because she was determined to go to Varanasi in the hope of finding the right teacher. Her friend Anna Tovt accompanied her to the holy city. She met her future husband Manoj Kumar, who was returning to Varanasi after attending a seminar at Jawaharlal Nehru University, on the New Farakka Express. When Tatiana came to know that I am a research scholar at BHU, she asked many questions about yoga and the city. We exchanged emails and telephone numbers. I also helped her in exploring Banaras. She stayed here for a few days, Kumar said. Then, she decided to fly back to Russia. I went to the station to see her off. We chatted on Facebook for about five months. After that, I proposed to her. At first, she refused and asked for a little time to think the matter over. Later, she said yes, Kumar added. She came back to India and the duo tied the knot in July 2015. My quest for yoga led me to discover true loveManoj. I have learnt English to communicate with Manoj since he doesnt know Russian and I dont understand Hindi. Now, we communicate in English, Zhurilova said when asked what drew her to India and made her marry an Indian. I have adjusted to Indian culture. I will join yoga classes soon. As of now, I am enjoying Banaras, Zhurilova, who likes visiting temples, said. Home Minister Rajnath Singh on Monday reached out to opposition leaders including Congress chief Sonia Gandhi and former Jammu and Kashmir chief minister Omar Abdullah, and discussed the prevailing situation in the state. During the telephonic conversation with Gandhi and National Conference leader Omar, the home minister discussed the efforts to bring back peace and normalcy in Kashmir Valley, which is witnessing violent protests after the killing of militant leader Burhan Wani on Friday, official sources said. The home ministers discussions with Gandhi and Omar, who ruled Jammu and Kashmir between 2009 and 2015, are believed to be an attempt by the central government to take opposition leaders into confidence. In a statement, Sonia Gandhi on Monday said there can be no compromise on matters relating to national security even as she voiced anguish over the loss of lives in the clashes. Referring to his conversation with the home minister, Omar Abdullah said his party will play what ever role is required to help normalise the situation but the onus was on the state and central governments. In a series of tweets, Abdullah also said that the vicious cycle of violence would not stop until security forces stopped killing protesters. this vicious cycle of violence would not stop. Only after the lethal use of force ends can we begin to pull the valley back from the abyss.. Omar Abdullah (@abdullah_omar) July 11, 2016 Singh is also speaking to other opposition leaders on the Kashmir situation, sources said. The home minister has already spoken at least twice to Jammu and Kashmir CM Mehbooba Mufti and assured her all central assistance to tackle the violent protests, which so far claimed 22 lives. Meanwhile, Singh reviewed the Kashmir situation for the second time in as many days and instructed officials to do the needful to bring back normalcy in the state. Normal life remained paralysed for the third day on Monday in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of the killing of Wani. Mobile internet services continued to remain suspended since Saturday. Authorities have strengthened the presence of security forces in vulnerable areas of the city and elsewhere in the Valley to contain the protests. (With inputs from PTI) A missing Muslim youth from Kerala messaged his relatives on Sunday, informing them that he has reached his destination and they shouldnt bother looking for him. The audio message gave further wind to swirling speculation about 20-odd young people from two Kerala districts joining the Islamic State (IS) terrorist outfit in West Asia after their puzzling disappearance in the past few months. We reached our destination. There is no point in complaining to police as this will create more problems for you. We have no plans to return from the abode of Allah, said the online message sent by Ijas Muhammad, a physician and native of Kasargode in northern Kerala. An intelligence official said the online communication originated from West Asia. Muhammad, who has a medical degree from China, was working with a clinic at Vadakara in Kozhikode district. He was on leave for the past two months, colleagues said. Read: Kerala: Brother an NSG commando, sister may have joined IS His message came on a day the Kerala government admitted for the first time about the missing people. Chief minister Pinarayi Vijayan informed the state assembly that the whereabouts of 17 people from Kasargode and four from Palakkad could not be ascertained. The missing from Kasargode included four women and three children. And two women were among the missing from Palakkad, he said. According to reports, at least eight of these people slipped out of the country through Bengaluru and Mangaluru airports in neighbouring Karnataka. Among them were two women in advanced stages of pregnancy, a police officer said. CCTV footage from the airport is being scanned. A man named Firoze, who is close to one of the missing people, was detained at Mumbai airport on Sunday night for questioning. Chief minister Vijayan scotched reports linking peoples disappearances with terrorism. Terror has no religion. It is not fair to blame a community, he said. Most of these people are highly educated and from affluent families. Police suspect the role of Salafi groups in radicalising these people. Most of them are inspired by Wahabism. The IS too is driven by the same principles, said a Muslim reformist in Kozhikode who did not want to be named. Read: IS pull? Two missing Kerala youth were in touch with Zakir Naik, admits father SHARE THIS ARTICLE ON The Sahara Group is likely to request the Supreme Court on Monday to indefinitely extend the bail granted to its owner Subrata Roy and director Ashok Choudhary. It also sought bail for another incarcerated director RS Dubey. Roy was sent behind bars on March 4, 2014, after his company failed to comply with a court order to refund thousands of crores to investors in a bond programme that was ruled illegal. The SC set an unprecedented bail amount of Rs 10,000 crore. The high-profile business leader was released on parole on May 6 after spending over two years in Tihar jail for four weeks to attend rituals following the death of his mother. On May 11, the bench extended his parole to enable him to pay Rs 200 crore. The company has deposited Rs 200 crore as per the top courts order. In compliance of the May 11 order, the applicants are depositing Rs 200 crore, despite Sebis non-cooperation, which establishes their bona fide. In addition, efforts are being made to further arrange approximately Rs 300 crore in respect of which directions are being sought from the court, the application that was filed on Friday read. The application will come up before a bench headed by Chief Justice TS Thakur. Sahara has said since the top courts order freezing the groups bank accounts has crippled and completely paralysed their business if the embargo was not lifted it will have a fatal impact on the group companies. It said it has already provided Securities and Exchange Board of India (Sebi) with over Rs 14,000 crore, including interest-earning on fixed deposits. Also, Sebi is holding original documents of landed property worth Rs 20,000 crore, it said. The group said it was also making efforts to explore the sale of Saharas interests in Grosvenor House Hotel (London), Plaza Hotel (New York) and Dream Downtown Hotel (New York) to Qatar Investment Authority (QIA), which is Qatars sovereign wealth fund. The Supreme Court sought on Monday the governments response on a plea of an ex-servicemens body seeking implementation of One Rank-One Pension (OROP) as recommended by the Koshyari committee with an automatic annual revision, instead of the current policy of periodic review once in five years. A bench comprising justice Dipak Misra and justice C Nagappan issued notice and sought the response from the government in eight weeks. The Indian Ex-servicemen Movement (IESM) and others have challenged the governments policy of periodic review of pension once in five years, saying such an approach was a dilution of the February 26, 2014 announcement by which the revision in pension was to automatically pass on to the past pensioners on an annual basis. They have contended that five-yearly periodic review did not meet the demand of the ex-servicemen seeking OROP for the service personnel who had retired with same length of service in the same rank. OROP is the uniform desire of all three defence services. Ex-servicemen are presently drawing pension that is not consistent with their rank and/or length of service. In fact, some ex-servicemen are even drawing lesser pension than other ex-servicemen who retired with a subordinate rank or (in the same rank) which is unjust and unconstitutional, the petition said. It said that the Centres February 3, 2016 letter sent to the chiefs of army, navy and air force on OROP was unjust, arbitrary and violative of Article 14 and 21 of the constitution. The plea sought a direction to the Centre that the pension of past pensioners be automatically and contemporaneously enhanced, whenever there is any future increase or enhancement in the rates of pension. It further said the government should be directed to fix the pension on the basis of highest pension of financial year 2014-15 and not 2013. IESM in its petition has referred to the December 19, 2011, report of Rajya Sabhas Petition Committee then headed by Bhagat Singh Koshyari which rejected all reservation advanced by the government while strongly recommending OROP. In its 142nd report, the Koshyari Committee had said, the Committee strongly recommends that Government should implement OROP in the defence forces across the board at the earliest. Activist Teesta Setalvad moved the Supreme Court on Monday seeking the de-freezing of her bank accounts and those of her NGO by the Gujarat government. The accounts were frozen in 2014 by the Gujarat police after it registered a criminal case against Setalvad for allegedly misappropriating funds collected by her Sabrang Trust. The funds were raised to construct a museum in memory of those killed in the Gulberg Society massacre during the aftermath of the 2002 Godhra riots. Read | Teesta Setalvad, husband used NGO funds on credit cards, dining, earbuds: Govt Appearing for Setalvad, senior advocate Kapil Sibal told a bench headed by justice Dipak Misra that the police had denied the activist access to even those bank accounts that were not related to the allegations against her. The account related to Citizens for Justice and Peace (CJP) and her personal accounts have also been frozen, Sibal told the bench. In response to justice Misra directing that Setalvad should wait for the investigation to end, Sibal said: The probe is pending for two years. But it seems not to be ending. The bench then directed the Gujarat counsel to respond on August 17, but did not issue a formal notice to the state. If there is a violation, then it has to be investigated. We will give you the liberty to file afresh when the probe is over, justice Misra said. Setalvads petition challenges the Gujarat high court verdict that rejected her plea seeking the re-activation of her accounts. The order, given four months ago, was based on the grounds that the investigation was still underway. Read more | Teesta Setalvad accuses Modi govt of vendetta to silence her SHARE THIS ARTICLE ON External affairs minister Sushma Swaraj promised late on Sunday to look into the alleged harassment and racism faced by a Manipuri woman at the immigration desk at Delhis Indira Gandhi International Airport. The action came after Monika Khangembam alleged on Saturday that an immigration official asked her a series of offensive questions in an apparent bid to ascertain her Indianness. Read: Manipuri woman alleges racism, harassment at Delhi airports immigration desk The incident triggered widespread condemnation on social media. Hours later, Swaraj tweeted that she would speak to cabinet colleague and home minister Rajnath Singh to sensitise immigration officials. I will speak to my senior colleague Shri @rajnathsingh ji to sensitise Immigration officials at the airport./2 Sushma Swaraj (@SushmaSwaraj) July 10, 2016 On Saturday, Khangembam wrote on her Facebook wall that when she went to the immigration desk at hte IGI around 9pm, an official looked at her passport and said, Indian toh nahi lagti ho (you dont look Indian). He then allegedly smirked at her and asked her how many states comprised the country, in an apparent bid to know her Indianness. When Khangembam told the official she hailed from Manipur, he allegedly asked her to name the states Manipur shared its border with. I said I was really getting late and he goes like, aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do (the aircraft isnt leaving without you. You can answer at ease.) Scores of users on social media condemned the allegations, the latest in a string of racist incidents against northeastern people. Such type of treatment is unacceptable, concerned authorities must look into it, Khangembams sister told ANI. In December, a group of men in Gurgaon brutally assaulted a 29-year-old man from Manipur. Earlier that year, 19-year-old Nido Taniam succumbed to serious injuries after being brutally beaten in Delhis Lajpat Nagar locality. Northeastern people say they face violence and discrimination for being immigrants, are regularly bullied and called names. Congress chief Sonia Gandhi on Monday expressed deep anguish at the loss of innocent lives in the senseless violence in parts of Jammu and Kashmir, where at least 22 people have died in protests after Hizbul Mujahideen militant Burhan Wanis death, but said there can be no compromise with national security. At least 22 people have died in clashes between protesters and security forces in Kashmir in three days after Hizbul Mujahideen militant Burhan Wani was killed by security forces in an encounter on Friday, officials said on Monday. More than 250 people have been injured in the violence and the situation continues to be tense across the valley. There can be no compromise on matters relating to national security. Terrorism must be dealt with firmly and forcefully, Gandhi said in a statement. Even so, the death of so many of our brethren is very painful. The political process has made many gains in J-K over the last two decades particularly and these must not get lost, she said. The Congress president also appealed to my brothers and sisters in the valley to allow political parties to find durable and enduring ways of fulfilling their aspirations in a peaceful and democratic manner. Her statement comes a day after Union information and broadcasting minister M Venkaiah Naidu lashed out at Wanis sympathisers, saying the government will not tolerate terrorism and violence. The Union minister said the crisis in Kashmir was not a sudden development and you cant have an overnight or readymade solution to such a long standing issue adding that the Centre will adopt democratic methods to solve the situation. Hoping that normalcy will be brought back at the earliest, Naidu said the focus should be more on development. The leader of Opposition in the Rajya Sabha and senior Congress leader Ghulam Nabi Azad also condoled the untimely death of people and expressed deep concern over the deteriorating law and order situation in the valley, where irreparable collateral damage has taken place. The need of the hour is to ensure that law and order is restored at the earliest without any further loss of lives, Azad, who on Saturday said it was unfortunate that people in Kashmir mourn when a terrorist dies, said. The Congress general secretary also wished a speedy recovery to more than 200 civilians and security personnel wounded in the spate of violence. Violence erupted in the south Kashmir districts of Anantnag, Pulwama, Shopian and Kulgam and later spread to other districts in the valley after security forces killed Wani and two associates in Anantnag on Friday. Wani was buried in his native Shariefabad (Tral) village on Saturday where thousands defied curfew restrictions to attend his funeral prayers. Kerala chief minister Pinarayi Vijayan on Monday said terrorists have no religion and it is not right to blame an entire community for the alleged links of some with the Islamic State. Extremist activities cannot be attributed to any particular religion alone, the CPI(M) leader told the assembly. Vested interests are creating an anti-Muslim feeling, Vijayan cautioned. This will not be allowed as a huge majority in our society is against such activities. Its a very small segment which does this. To blame one particular community alone is not fair, he said. The chief minister spoke after three legislators raised media reports about several Keralites reportedly going missing to join the Islamic State. Vijayan said the government would not be able to tackle the problem on its own. There has to be an overall awareness against terrorism and extremism and we need to take everyone into confidence. The state government will do its job and will work in tandem with the Centre to probe these reports, he said. According to Vijayan, 21 people, including children, were missing and this included 17 from Kasargode district in north Kerala, and four from Palakkad district. Opposition leader Ramesh Chennithala said: Overall Kerala is known to be a peaceful state. Even though we have no confirmation (that people are missing), it is disturbing and the need of the hour is to strengthen internal security and all of us would like to know the truth. Congress legislator PT Thomas pointed out a lady from his constituency was missing. She was working in Mumbai and had reportedly attended meetings in Andheri and was reported to be with the IS now, Thomas said. One way to bring an instant impact against these subversive forces is to see that this topic is introduced in schools in our state, he said. The Kerala Police and central intelligence agencies are said to be probing the issue, taking down statements from families which have alleged that their family members have gone missing. Mohammad Ali, a social activist from Dhaka in Bangladesh, is in a fix. He had no idea that Hizbul Mujahideen militant Burhan Wanis killing by security forces would end up disrupting his well-laid plans halfway through an idyllic vacation in Srinagar with his wife and two children. Kashmir descended into chaos after Wanis death, resulting in the reported deaths of 22 civilians and a policeman. Even though a curfew was imposed across the state on Sunday, continuing on to Monday, protests and police-public clashes continued to occur. All the shops and restaurants in Srinagar remained shut, and the streets were bereft of any human presence. We are stuck inside this hotel and cannot go anywhere neither Gulmarg nor Sonmarg. They will probably not attack tourists, but we do not want to be caught in the crossfire, the 37-year-old activist said. He has already settled bills with his taxi driver, and in now trying to book an air ticket back to Bangladesh via Kolkata. Ali, however, is just one of many wanting to rush out of the strife-torn state a little earlier than planned. Read | Kashmir violence: Toll reaches 22, restrictions continue for 3rd day Saurabh Muthye, a 37-year-old businessman from Nagpur, was scheduled to return from Kashmir on July 15 after undertaking a trek or two. But Muthye, sensing the tension brewing in the Valley, advanced his ticket and left on a Sunday afternoon flight. Muthye said he didnt want to take any chances by staying back in Kashmir. With normal life severely affected, tourists are trying to leave Kashmir, not only because of the violence, but because theres nothing to do now. Shops and establishments remained shut for a second day, while roadways were blocked and trains suspended. (Waseem Andabi/HT Photo) Although Hindustan Times could not identify the exact number of tourists who left the Valley, sources in the travel sector said it was substantially high. The reason was not just the perceived security threat, but also the fact that there was nothing to do in Kashmir right now. Tourists who are already here are rushing to the airport and many who were scheduled to come are cancelling their tickets, said Omar Nazir Tibet Baqal, owner of Srinagar-based Labaika Tour and Travel Agency. Even as the movement of Amarnath Yatris from Jammu to the base camps in Kashmir remained suspended, many pilgrims arrived in Srinagar via air. Rakesh Agarwal, a pilgrim from Tamil Nadu whose trek from Baltal was scheduled for Monday, came to Srinagar with his extended family on Sunday. He was planning to spend the night in the city because travelling to Baltal was near-impossible due to the curfew. Though not worried, we are trying to decide what to do next. Im sure our Yatra dates will be officially postponed because of the volatile situation, Agarwal said. Mahmood Ahmad Shah, director tourism of Kashmir, said the crisis was bound to have an adverse effect on tourism. Tourism is driven by peaceful times, he said, adding that the government was doing its best to ensure the safety of visitors. SHARE THIS ARTICLE ON United Nations secretary general Ban Ki-moon is concerned over the tense situation in Kashmir following the killing of Hizbul Mujahideen commander Burhan Wani, a spokesperson said on Monday. Stephane Dujarric told reporters the UN chief has noted with concern the situation unfolding in Kashmir. Dujarric strongly rejected remarks by a Pakistani journalist that the UN chief, who had earlier in the day addressed the press on the situation in South Sudan, has brushed aside the issue of Kashmir and is not sufficiently addressing it. No one is denying that we are concerned about the situation in Kashmir. The fact that the secretary general did not raise it as he did not raise many other critical situations around the world does not mean that he is brushing anything aside, Dujarric said. Parallels are being drawn between the encounter deaths of Jammu and Kashmir Liberation Front militant leader Ashfaq Majeed Wani on March 30, 1990, and that of Hizbul Mujahideen militant Burhan Wani on July 8, 2016, to suggest the return of a constant threat of violence in the Valley. The change in the ground situation in the intervening quarter of a century, however, rules out any such reversal. Since Kashmir became a virtual war zone in the 1990s, some 24,000 militants have been killed by the security forces. Official figures show the seizure of 45,114 sophisticated weapons, including 34,231 AK-series assault rifles, 461 sniper rifles, 5,123 grenade launchers, 1,266 light machine guns, and 2,611 rocket launchers. The seizures also include 12,961 kg of high explosive, 100,000 grenades, 54,32,243 rounds of ammunition, 16,000 mines, 7,185 wireless sets and 6,661 rockets. Counter-terror specialists, paramilitary officials and police officers say that the number of armed militants present in the Valley is about 200, a far cry from the 7,000 estimated to be at large in the 1990s. This year alone, 83 militants have been eliminated, compared to 40 in 2015 and 47 in 2014 during the same period. The critical mass required for mass protests and violence is just not there. Similarly, there was no elected government in Jammu and Kashmir in the 1990s with Presidents Rule in place but now there is an elected government under Mehbooba Mufti with full support from the Centre, says an official who served in the Valley in 1990. Northern Army Commander Lieutenant General DS Hooda agrees. Some reaction is expected to the 22-year-olds death, he says, but it isnt likely to trigger a surge in recruitment of local militants. We have to wait and watch. I dont think it will transform into a spike in recruitment. Local militancy is at its lowest level and things have changed significantly between the 1990s and now, says Hooda. Thats not all. While there was a fragile National Front coalition government led by Prime Minister VP Singh in the 1990s, the present Modi government has a majority in the Lok Sabha with the BJP totally focused on internal security issues. Despite being on a four-nation tour in Africa, Modi was constantly updated on the Kashmir situation by National Security Advisor Ajit Doval, who in turn was taking round-the-clock feeds from security agencies. The violence, too, is confined to south Kashmir and the outskirts of Srinagar with no impact in north Kashmir. This is in stark contrast to the April 12, 2016 incident that led to the killing of five youth in police firing in Handwara in north Kashmir. The Army and security forces are far better prepared in comparison to 1990. When violence erupted in 1990s, we were given only seven Army columns, or 700 men, to quell armed protests by the JKLF in Maisuma in Srinagar. There is a sea change in ground situation with no less than a full Army Corps handling the Valley alone and some 80-odd CRPF battalions deployed in counter-insurgency duties with BSF present on the borders, says a top official who served with then home minister Mufti Sayeed. The situation across the border has also changed. In 1990s, Pakistan had diverted battle-hardened Afghan mujahideen to Kashmir after the Soviet withdrawal from Kabul in 1989. Under the leadership of then Pakistani Inter-Services Intelligence chief Asad Durrani and backed by prime ministers Benazir Bhutto and Nawaz Sharif in succession, a separatist movement was engineered in the Valley with JKLF as the principal militant outfit and Hizbul Mujahideen beginning to surface. At that time, the West was more focused on the Cold War with Pakistan a key ally in the Afghan theatre. While security agencies have not picked up any communication intercepts from Pakistan inciting separatists in the Valley after the Burhan encounter, Islamabad has called the encounter an extra-judicial killing. Even though cross-border infiltration has increased with a perceived weakening of PM Sharif over the Panama papers scandal, the global climate is such that Pakistan will be isolated if it promotes militancy in the Valley. India, in contrast, is prepared for the long haul. SHARE THIS ARTICLE ON The killing of Hizbul Mujahideen commander Burhan Wani may have triggered violent protests, but it is unlikely to unleash the horror of the 1990s when militancy was at its peak in Jammu and Kashmir, said Indias top military commander in the state. Wani, who had come to symbolise the face of local militancy, was killed on Friday and the ensuing violence has claimed the lives of at least 24 people. Speaking exclusively to HT, northern army commander Lieutenant General DS Hooda said some reaction was expected to the 22-year-old Wanis death. He, however, said it wasnt likely to trigger a surge in recruitment of local militants. Read: Stay out of our internal affairs: India tells Pakistan over Kashmir remarks We have to wait and watch. I dont think it will transform into a spike in recruitment. Local militancy is at its lowest level and things have changed significantly between the 1990s and now, said Hooda. But he did not rule out a little bit of sway. The Udhampur-based Northern Command is the nerve-centre of the armys counter-terrorism operations. We are hopeful that the phase will soon pass, he said. After the killing of 23-year-old JKLF founder Ashfaq Majid Wani in 1990, more than 100 young men died in violent protests. Compared to more than 6,000 militants who operated in the state in the 1990s, the figure is currently pegged at around 200. Wani used social media to recruit young militants and security agencies were of the view that the youth were swayed by the images he posted online. Social media is a huge challenge from the point of view of recruitment and radicalisation. Theres a need to monitor that space and see whats going on. Also, we need to figure out how we can use it for our own purpose, he said. Read: Mob in J-Ks Kulgam takes police officer hostage, demands release of protester Asked to assess the prevailing situation in Kashmir, Hooda said, Its improving and things are calming down. On Monday, there was some respite for Amarnath pilgrims who had been stranded for two days following the outrage over Wanis death. More than 20,000 pilgrims were stranded at Baltal for two days after completing their yatra. We were able to move them out. For others, the yatra may resume soon. The armys effort is to ensure that things return to normal soon, he said. The army said there had been a sharp decline in the number of militants in the Valley as the force had tightened its counter-infiltration posture over the years. They are having a hard time sneaking in. So the local network is under pressure to keep militancy alive. Thats where the Burhan Wanis come in, said a senior officer at the Army headquarters here. He said the Valley had seen far more dreaded militants but the social media had exalted Wanis status. Uttar Pradesh chief minister Akhilesh Yadav expressed confidence on Sunday that the Samajwadi Party would return to power for the second consecutive term. Yadav said no matter when the state assembly elections were held, the Samajwadi Party was prepared and did not fear any rival. The chief minister claimed that projects initiated by his government were near completion and those which began later were visibly taking shape. We are working to improve the drinking water facility of Agra. The inner ring road project is nearing completion as the district administration has acquired land with the cooperation of farmers, he said. The work on the expressway connecting Agra with Lucknow is progressing fast and now markets are coming up alongside it. Besides connecting Etawah, Kannauj and other cities, the expressway would also lead to growth in villages, he said. Uttar Pradesh chief minister Akhilesh Yadav is garlanded by Samajwadi Party workers during a public rally to launch various schemes at Manjhanpur in Kaushambi district. (PTI file photo) When asked when the election would take place, the CM said Samajwadi Party workers were ready, whenever they might be held. We anticipate that we will be able to complete the projects undertaken and inaugurate them before going for elections, he said. We are doing what the masses are interested in and that is development, while those at the Centre make tall claims but are unable to fulfill them, Yadav said. When asked which party posed a challenge to Samajwadi Party, the CM said his party was not afraid of any one and would form the government in the state again. Reacting to Amit Shahs comment calling BSP and SP as Rahu and Ketu in UP politics, Akhilesh Yadav asked who Amit Shah had shown his hand to. If we are Rahu and Ketu for them, then they are Rahu and Ketu for us, he added. In the wake of the turmoil triggered by the killing of Burhan Wani, British MP Bob Blackman tells HT in an email interview that Jammu and Kashmir must be reunited with India. The Conservative MP, re-elected as chairman of the All Party Parliamentary Group (APPG) for British Hindus, is also associated with the overseas arm of the RSS the Hindu Swayamsevak Sangh (HSS) in the UK. Q You are known as Indias friend in the British parliament, but off late you are seen as a Hindu voice. Forty percent of my constituents come from Gujarat and an overwhelming majority of my constituents are Hindus, and I speak on their behalf in the parliament. My interest in the Indian diaspora and their causes goes back to my days as a councillor and as leader of the council in Brent. Q You have said in the past that Kashmir is an integral part of India and liberation of Pakistan-occupied Kashmir (PoK) is an issue that has to be solved. What do you think is a solution to the problem? Pakistani forces illegally occupy a part of J&K. They should leave forthwith and the whole of J&K must be reunited with the rest of India as an integral part of it. Justice must be delivered for people uprooted from their homeland and efforts should be made to ensure that Kashmiri Pandits are able to return, should they wish to do so, with dignity, freedom and in a safe, prosperous environment. The Indian government should set up a minimum of two smart cities to encourage the internally displaced minorities, the Kashmiri Pandits, to restart their lives in the Valley. This, however, ought to be planned carefully so as not to become another Jagti camp or a Wandahama massacre. Q PM Narendra Modis visit to Lahore was followed by the Pathankot attack. What do you make of Indias friendly overtures to Pakistan? I applaud PM Modis efforts to reach out with a hand of friendship to the Pakistan government, upholding democracy and democratic functions of a nation state. I expect the Pakistani government and people will recognise it as a positive gesture. Q The HSS has been under the scanner in the UK, but you have said there is a need to change perceptions about the Hindu Swayamsevak Sangh. How long have you known about the HSS and what draws you to them? I got to know about the HSS in 1989 and have known them for nearly 27 years now. It exists as an organisation that promotes education and discipline among young people. The HSS promotes community service and encourages people to take a full and active part in society. Q Media reports suggest the upcoming HSS celebrations, which will be attended by RSS chief Mohan Bhagwat, are expected to draw a host of eminent personalities, including actor Leonardo Di Caprio. What do you make of this, is this a changed perception towards the HSS? I welcome the increased interest among people in the work of HSS. I trust that this will lead to greater involvement across racial and religious divide. Q Were you or your office at any stage involved in the planning of the mega event, which is touted as one of the biggest Hindu gatherings outside of India ? No Q How do you see India-UK relations developing post-Brexit? This is a great opportunity for the UK and India to formulate trade deals, improve tourism and educational opportunities on a bilateral basis, build on the friendship and forge deeper relationship between the two countries. Everyone ought to realise that leaving the European Union opens up whole new opportunities not just for the two countries but for the world, including many European countries, to establish better linkages with the two nations. Already the Trade and Industry Minister is in India to open talks on a new trade deal. India has been struggling to make progress on a trade deal with the EU. I hope that a UK-India trade deal can be quickly concluded on the basis of the discussions that have taken place thus far. I also look forward to suitable arrangements being put in place to boost tourism between the UK and India with new arrangements for visitor visas for both countries. Q How do you think India has fared in the two years under Modi? You earlier credited him for making Gujarat the economic powerhouse of India. Clearly Prime Minister Modi is impatient for change. He is on course to deliver basic facilities for the people all over the country, especially electricity and other basic amenities. The economy is growing at a steady rate and this is a tremendous opportunity for people to gain from the economic benefits. One of his favourite projects, Make in India, is a great initiative and has boosted self-reliance as well as attracted investment in India. The culture of corruption has been visibly changed and has greatly reduced. PM Modis incredible energy and determination to visit many countries has improved Indias standing and its perception in the world. India truly is fast emerging as a global leader. Q What do you make of the allegations that have been levelled against the Modi government ? The government has been accused of moral policing, imposing its choices. The decision to ban beef in several states particularly has been opposed. The decisions taken by the state governments are their own and do not represent the Modi governments views. I believe that the various policies, initiatives and schemes launched by PM Modi have benefitted all, irrespective of their religion or background. SHARE THIS ARTICLE ON All eyes are on Zakir Naik who is set to return to Mumbai from Saudi Arabia on Monday, details of which the Mumbai Police have kept under tight wraps fearing disruption in law and order in the city. Naik has been in the news for his controversial Peace TV and sermons, broadcast of which Bangladesh banned on Sunday claiming it incited the recent attack on a Dhaka cafe that killed 22 people. Read | Bangladesh bans controversial preacher Zakir Naiks Peace TV However, there is no substantial evidence that suggests that Naik or his sermons indoctrinated, influenced or radicalised any of the youths wanted or arrested for terrorism. A special team has been set up to investigate the preacher, and has gathered information about his properties, funding and other activities. It is expected that Naik will be called in for detailed questioning. Incidentally, parents of two brothers from Kerala who converted to Islam and became Essah and Yahiya said they had travelled to Mumbai and met Naik on more than one occasion. The brothers are among 20 missing youth from the state who travelled to the Middle East, and are suspected of joining the Islamic State (IS). The preachers name first cropped up more than a decade ago when Mumbai was shaken in a series of blasts in 2002-3. Investigations had led the Mumbai crime branch to the Islamic Research Foundation (IRF) which Naik founded, and serves as its president. But since then, Naik has been under continuous surveillance of the intelligence units and agencies, said a senior IPS officer who had questioned him, adding, There is little possibility that Naik would try to influence youths using a public platform like Peace TV. What is of question is if Naik met any of the youth, who joined IS or any other terrorist organisation, behind closed doors. Read | Zakir Naik seeks support from Muslim community against media trial Led by former Intelligence Bureau special director and incumbent Mumbai police commissioner, DD Padsalgikar, the police team is sifting through interrogation reports of various accused to see if any of them were influenced by Naiks speeches, or had met him in person, apart from monitoring social networking websites. What led to a deeper investigation into the preacher was an arrest in 2006 by the Mumbai Anti-Terrorism Squad. Feroze Deshmukh, who was allegedly involved in the Aurangabad arms haul case and is out on bail, used to work in the IRF library. He was in constant touch with Rahil, another wanted accused in the case who fled India and is said to have taken haven in Bangladesh. Another youth, Ayaz Sultan from Malwani, who slipped into Afghanistan and joined IS, also worked with the IRF. A day after her Twitter tussle with chief minister Devendra Fadnavis, state rural development minister Pankaja Munde clarified that she was not unhappy over the loss of two portfolios in the recent cabinet reshuffle. Read: Munde-CM fight plays out on Twitter, roads Her supporters on Sunday burnt an effigy of Fadnavis and protested against him after she was divested two of her portfolios a day earlier: water conservation and employment guarantee scheme. The controversy continued to rage on Twitter as she tweeted that she will not attend the world water summit in Singapore because she was no longer the minister for water conservation. In an apparent snub, the chief minister tweeted and asked her to attend the summit. The Twitter war and protests have laid bare a collision course between Munde and Fadnavis. Munde on Monday wrote on Facebook: I reached Singapore from Indonesia. As I was outside India, I posted a tweet. I was invited for world water leaders summit in Singapore but due to recent changes in the portfolio, I felt a bit inappropriate to attend as I was not the minister of the concerned department. Since my people Beed will expect photos and news, I posted this... I am very happy that my close associates Ram Shinde and Jay Kumar Rawal have been given my portfolios. I even congratulated them on Twitter...where is the question of displeasure? However, there was no confirmation whether Munde attended the summit or gave it a miss. The minister also asked people to maintain law and order at any cost and not indulge in acts that I dont approve of and insult anyone. Her supporters had burnt an effigy of Fadnavis at Pathardi taluka in Ahmednagar and held a protest against in her home town, Parli against the cabinet reshuffle. The police had arrested workers from BJP Yuva Morcha in Pathardi taluka. Controversial preacher Zakir Naik does not seem to have widespread support among Muslims in Mumbai. Broadly, he has support among hardline Sunnis, while most moderate Sunnis and Shias are opposed to his teachings. He commands loyalty among Salafis (who believe in a return to the original ways of Islam) and Ahl Al-hadeeth (conservative traditionalists), who are backed by Saudi Arabia. While supporters claim he is a teacher who extolls the virtues of Islam, critics accuse him of distorting the religion, creating divisions, and self-glorification. According to Iqbal Memon, president of the All India Memon Jamat Federation, the apex body of Indias 15-lakh-strong Memon community, Naik is a self-proclaimed scholar who makes controversial claims for publicity. In Islam it is prohibited to speak against another religion, which Naik does with elan. He does not even spare various sects of Muslims, thus creating discord among them. However, several groups opposed to Naiks teachings have also defended him in the current controversy. At a meeting in the city on Sunday, community leaders said it was important to fight the allegations linking him to terrorism, which they claimed were being levelled by a biased media. Despite having criticised Naik in the past, they said the allegations against him are just an excuse to target the wider Muslim community and that any of them could face similar scrutiny in the future. Zakir Qasmi, state general secretary of Jamiat-e-Ulma-e-Hind - the organisation of Islamic scholars affiliated to the Deobandi school of thought - also came out in support of Naik despite their ideological differences. I dont agree with his interpretation of Quranic verses but I have never heard him promoting terrorism in any of his speeches. The allegations against Naik are a reflection of a partisan, communal mindset, he said. The Muslim community cant be silent in the face of an assault on an Islamic preacher. Whatever be our differences as Shia, Sunni and Deobandi, we should support a person whose message is peace. We may have differences of opinion with him but its clear that he is neither a terrorist nor supports terrorism, said Azeemuddin Sayyed, an activist. Major political parties that enjoy support among Muslims, such as the Congress, NCP, AIMIM and Samajwadi Party have all maintained a studied silence on the controversy. However, Abu Azmi, who heads the SP in Maharashtra, offered his personal opinion. He said, Was the government sleeping all these years when Naik was giving sermons? Naik invites scholars and professionals to his programmes and there is healthy debate on various issues. It is unfair to target him. A day after her Twitter spat with chief minister Devendra Fadnavis, rural development minister Pankaja Munde was on the backfoot and clarified through a Facebook post that she was not unhappy over the loss of her two portfolios in the recent cabinet reshuffle. The clarification comes after Fadnavis snubbed and directed her to attend the world water summit in Singapore after she had tweeted that though she had reached the city, she would not be attending the event as she was no longer the state water conservation minister. The spat was played out before the public on Twitter and spilled out onto the streets with Munde supporters from the BJP in Pathardi taluka in Ahmednagar burning an effigy of Fadnavis even as those in her home town, Parli protested against him. Using the social media once again this time to make amends, Munde in a Facebook post on Monday, said: I reached Singapore from Indonesia. As I was outside India, I posted a tweet. I was invited for world water leaders summit in Singapore but due to recent changes in the portfolio, I felt a bit inappropriate to attend as I was not the minister of the concerned department. Since my people, press from Beed will expect photos and news, I posted this...I am very happy that my close associates Ram Shinde and Jay Kumar Rawal have been given my portfolios. I even congratulated them on Twitter...where is the question of displeasure? The minister also tweeted: I sincerely request everyone to not indulge in any acts, which I dont support. Please dont insult any person in any manner. Please maintain law and order situation at any cost. Munde lost two out of her four portfolios water conservation and employment guarantee scheme in the recent cabinet reshuffle announced by Fadnavis late Saturday night. The portfolios went to younger ministers, who are seen as close to Fadnavis, including former minister of state for home, Shinde, who got a promotion during the reshuffle and Jaykumar Rawal, the MLA from Dhule. The state government has not taken the anti-CM demonstrations lightly with the police arresting BJP Yuva Morcha members of Pathardi taluka for burning the CMs effigy. The Congress used the opportunity to take potshots at the BJP to point out that this was the first time in the history of the state that CMs effigy was burnt because of loss of portfolio by his own party ministers supporters. Sources within the BJP said the CM (in Russia for a trade fair) was irritated with Mundes publicity stint. Despite repeated text messages, Munde was not available for comment. This was childish. It is CMs prerogative to give and take away portfolios, so nothing can be achieved by such tantrums. Also, majority within the party dont think she had anything to complain about and she continues to hold two big portfolios. It has shown the BJP in a bad light, said a senior party functionary. The double Oscar-winning composer AR Rahman is all set to perform live in Britain from September. The concert will be a feast for the senses, showcasing his inimitable style and mix of eastern classical music with modern electro, world music and traditional orchestral arrangements. According to an official Facebook post, The AR Rahman UK Intimate Tour kicks off at Londons SSE Arena Wembley on September 22, and then heads to Birmingham Barclay card Arena on September 24. For the first time ever, he will be performing in Leeds on September 29 and Manchester on September 30. Read: Watch how AR Rahman makes music out of thin air After the successful 18-date Intimate Tour in the US last year, we really wanted to bring it over to the UK. The show has all kinds of songs, some are Hindi, some Punjabi -- theres a lot of music to hear! Read: Playing with Carlos Santana was epic, says AR Rahman The Intimate Tour is all about music, its all about intimacy and seeing you face to face, and I look forward to seeing you all very soon, Rahman wrote on his Facebook page on Sunday. Tickets for the show have already gone on sale. NEW DELHI: Struggling to strengthen its national foothold, the CPI(M) has planned a week-long protest programme across India with the aim to consolidate its position in the Opposition quarters. The party has carefully picked the twin issues of price rise and unemployment to hit the streets from July 11 to highlight the failures of the NDA government. During our last central committee meeting, many pressing issues were highlighted but unemployment and price rise were highlighted by almost every member. Based on the feedback from our units, we decided to take up these two issues to kick-start another agitation, said Hannan Mollah, politburo member of the CPI(M). The party members expect the two highly popular issues may help the party garner support among the non-NDA parties, especially in the upcoming mon soon session of Parliament. The street protests will end on July 17, just a day before the Parliament session begins. CPI(M) ENDS ALLIANCE WITH CONG IN W BENGAL In a major political U-turn, the CPI-M central leadership on Sunday ended the Bengal units alliance with the Congress. This brings to an end a four-and-a-half-month-long roller coaster ride that was marked by public debate, opposition from CPI-M units. The development is a setback for a majority of Bengals leaders and for the partys general secretary, Sitaram Yechury, who had resisted all moves by leaders from Kerala and Tripura to stop their fellow comrades in Bengal from contesting the recently concluded assembly polls with Congress as partner. Country Afghanistan Albania, People's Socialist Republic of Algeria, People's Democratic Republic of American Samoa Andorra, Principality of Angola, Republic of Anguilla Antarctica (the territory South of 60 deg S) Antigua and Barbuda Argentina, Argentine Republic Armenia Aruba Australia, Commonwealth of Austria, Republic of Azerbaijan, Republic of Bahamas, Commonwealth of the Bahrain, Kingdom of Bangladesh, People's Republic of Barbados Belarus Belgium, Kingdom of Belize Benin, People's Republic of Bermuda Bhutan, Kingdom of Bolivia, Republic of Bosnia and Herzegovina Botswana, Republic of Bouvet Island (Bouvetoya) Brazil, Federative Republic of British Indian Ocean Territory (Chagos Archipelago) British Virgin Islands Brunei Darussalam Bulgaria, People's Republic of Burkina Faso Burundi, Republic of Cambodia, Kingdom of Cameroon, United Republic of Canada Cape Verde, Republic of Cayman Islands Central African Republic Chad, Republic of Chile, Republic of China, People's Republic of Christmas Island Cocos (Keeling) Islands Colombia, Republic of Comoros, Union of the Congo, Democratic Republic of Congo, People's Republic of Cook Islands Costa Rica, Republic of Cote D'Ivoire, Ivory Coast, Republic of the Cuba, Republic of Cyprus, Republic of Czech Republic Denmark, Kingdom of Djibouti, Republic of Dominica, Commonwealth of Dominican Republic Ecuador, Republic of Egypt, Arab Republic of El Salvador, Republic of Equatorial Guinea, Republic of Eritrea Estonia Ethiopia Faeroe Islands Falkland Islands (Malvinas) Fiji, Republic of the Fiji Islands Finland, Republic of France, French Republic French Guiana French Polynesia French Southern Territories Gabon, Gabonese Republic Gambia, Republic of the Georgia Germany Ghana, Republic of Gibraltar Greece, Hellenic Republic Greenland Grenada Guadaloupe Guam Guatemala, Republic of Guinea, Revolutionary People's Rep'c of Guinea-Bissau, Republic of Guyana, Republic of Haiti, Republic of Heard and McDonald Islands Holy See (Vatican City State) Honduras, Republic of Hong Kong, Special Administrative Region of China Hrvatska (Croatia) Hungary, Hungarian People's Republic Iceland, Republic of India, Republic of Indonesia, Republic of Iran, Islamic Republic of Iraq, Republic of Ireland Israel, State of Italy, Italian Republic Jamaica Japan Jordan, Hashemite Kingdom of Kazakhstan, Republic of Kenya, Republic of Kiribati, Republic of Korea, Democratic People's Republic of Korea, Republic of Kuwait, State of Kyrgyz Republic Lao People's Democratic Republic Latvia Lebanon, Lebanese Republic Lesotho, Kingdom of Liberia, Republic of Libyan Arab Jamahiriya Liechtenstein, Principality of Lithuania Luxembourg, Grand Duchy of Macao, Special Administrative Region of China Macedonia, the former Yugoslav Republic of Madagascar, Republic of Malawi, Republic of Malaysia Maldives, Republic of Mali, Republic of Malta, Republic of Marshall Islands Martinique Mauritania, Islamic Republic of Mauritius Mayotte Mexico, United Mexican States Micronesia, Federated States of Moldova, Republic of Monaco, Principality of Mongolia, Mongolian People's Republic Montserrat Morocco, Kingdom of Mozambique, People's Republic of Myanmar Namibia Nauru, Republic of Nepal, Kingdom of Netherlands Antilles Netherlands, Kingdom of the New Caledonia New Zealand Nicaragua, Republic of Niger, Republic of the Nigeria, Federal Republic of Niue, Republic of Norfolk Island Northern Mariana Islands Norway, Kingdom of Oman, Sultanate of Pakistan, Islamic Republic of Palau Palestinian Territory, Occupied Panama, Republic of Papua New Guinea Paraguay, Republic of Peru, Republic of Philippines, Republic of the Pitcairn Island Poland, Polish People's Republic Portugal, Portuguese Republic Puerto Rico Qatar, State of Reunion Romania, Socialist Republic of Russian Federation Rwanda, Rwandese Republic Samoa, Independent State of San Marino, Republic of Sao Tome and Principe, Democratic Republic of Saudi Arabia, Kingdom of Senegal, Republic of Serbia and Montenegro Seychelles, Republic of Sierra Leone, Republic of Singapore, Republic of Slovakia (Slovak Republic) Slovenia Solomon Islands Somalia, Somali Republic South Africa, Republic of South Georgia and the South Sandwich Islands Spain, Spanish State Sri Lanka, Democratic Socialist Republic of St. Helena St. Kitts and Nevis St. Lucia St. Pierre and Miquelon St. Vincent and the Grenadines Sudan, Democratic Republic of the Suriname, Republic of Svalbard & Jan Mayen Islands Swaziland, Kingdom of Sweden, Kingdom of Switzerland, Swiss Confederation Syrian Arab Republic Taiwan, Province of China Tajikistan Tanzania, United Republic of Thailand, Kingdom of Timor-Leste, Democratic Republic of Togo, Togolese Republic Tokelau (Tokelau Islands) Tonga, Kingdom of Trinidad and Tobago, Republic of Tunisia, Republic of Turkey, Republic of Turkmenistan Turks and Caicos Islands Tuvalu US Virgin Islands Uganda, Republic of Ukraine United Arab Emirates United Kingdom of Great Britain & N. Ireland United States Minor Outlying Islands United States of America Uruguay, Eastern Republic of Uzbekistan Vanuatu Venezuela, Bolivarian Republic of Viet Nam, Socialist Republic of Wallis and Futuna Islands Western Sahara Yemen Zambia, Republic of Zimbabwe NEW DELHI: The Congress party is all set to announce this month the revival of the National Herald and two other newspapers that went out of print eight years ago due to financial crunch. A public statement on the proposed relaunch could be made as early as next week after a final meeting of the board of directors for the publications clears the name of the editor of National Herald, sources said. The newspaper, which was founded at Lucknow in 1938 by Jawaharlal Nehru, was banned by the British during the 1942 Quit India movement. It faced brief shutdowns in the 1940s and 70s. Today, the publication is on a comeback trail. We intend to revive all three papers National Herald, Qaumi Awaaz (Urdu) and Navjeewan (Hindi), Congress party treasurer Motilal Vora, who is CMD of the Associated Journals Limited (AJL) that published the three papers, told HT. The decision was taken in January this year. We are now close to finalising the editors name for the operations to start. We will make a formal announcement within a few days , he added. AJL owns a number of properties across the country. These include the Herald House in New Delhi from where the papers were last published in 2008. While two floors of the multi-storey building on Bahadur Shah Zafar Marg have been leased out to the Regional Passport Office, the rest of it houses AJL and Young Indian Private Limited (YIL) that have functional offices. Of late, the place has been readied for publishing the papers again and applications have been sought for filling the vacancies. The relaunch of the papers will weaken the premise for a case against Congress president Sonia Gandhi, party vice-president Rahul and some of its senior leaders among others. The ongoing litigation was filed by BJP leader Subramanian Swamy in 2012, alleging income-tax violations. AJL, along with its assets and liabilities that included a Rs 90-crore loan from the Congress, was taken over in 2010 by YIL a Section 25 company (which is akin to a trust) in which the party president and her son hold 38% equity each. Vora is among the rest of the stakeholders; the prominent others being party leader Oscar Fernandes, technocrat Sam Pitroda and Suman Dubey, a schoolmate of late PM Rajiv Gandhi, Sonias husband. Sources associated closely with the decision said the revival plan has been on the cards since 2010. Things got delayed; it is still a work in progress, said a person associated with the relaunch of the newspapers. Once re-launched, a Congress leader said, the party will use these publications to their full advantage amid next years assembly elections in its one-time bastion Uttar Pradesh. The party has been struggling hard in the countrys most populous state to re gain its political glory after being voted out of power in 1989. The National Herald, in its farewell editorial on April 1, 2008, announced temporary suspension of the publications which were running into losses for several years due to over-staffing and dearth of advertisements. The board had then approved a voluntary retirement scheme payment for the 265 employees, including 40 journalists. DAR-ES-SALAAM: Seeking to enhance its ties with resource-rich Tanzania, India on Sunday extended its support to the country to meet its development needs and signed five agreements, including one for providing a $92 million line of credit in the water resources sector. Describing India as a trusted partner in meeting Tanzanias development priorities, Prime Minister Narendra Modi said he along with President John Pombe Joseph Magufuli agreed to deepen our overall defence and security partnership, especially in the maritime domain. Our in-depth discussions on regional and global issues reflected our considerable convergence on issues of common interest and concern, he said at a joint press interaction after his bilateral meeting with President Magufuli. The two leader agreed to work closely, bilaterally, regionally and globally to combat the twin threats of terrorism and climate change. The two leaders expressed their strong condemnation of terrorism in all its forms and manifestations and stated that there can be no justification for terrorism whatsoever. They expressed satisfaction on the holding of bilateral counter-terrorism consultations in early-2016. Meanwhile, Modi left for Kenya on the final leg of his four-nation Africa tour. So long Tanzania! A brief but fruitful visit ends as PM @narendramodi enplanes for Nairobi, the last leg of his tour, External Affairs spokesman Vikas Swarup tweeted. To demand a regulatory body to monitor the functioning of private schools in the district, Gautam Budh Nagar Parents Association (GBNPA) has decided to meet Prakash Javadekar, the newly-appointed Union minister for Human Resource Development. Read more: Schools should refrain from arbitrary fee hike, says central govt The decision was taken on Sunday at a meeting of GBNPA members at Jagat Farms in Greater Noida. The association has been fighting against private schools arbitrarily hiking school fee. Members have demanded for a regulatory body to monitor the functioning of private schools and keep a tab on their fee structure. We are soon going to hold talks with new HRD minister and demand a regulatory body for the district. CBSE schools are governed by the Centre and the state government has a limited role to play in the matter. Therefore, we parents are often caught in the confusion and schools benefit from this situation. We now demand a regulatory body that will be solely concerned with private schools, said Niti Srivastava, president, GBNPA. Last month, we had written to the CM regarding fee hike and now his office has asked the Basic Shiksha Adhikari (basic education officer) to act on it. We are going to meet him soon so that a solution can be found, said Srivastava. Many parents have complained about the steep hike in fees introduced by various schools. Recently, a group of parents had also protested outside a private school in the city, demanding that the school authorities roll back the 14% annual fee hike. Many schools have increased their fees claiming that they intend to increase the salary of their teachers according to the 7th pay commission. However, in reality, many teachers have complained to us that they are not even paid according to the 6th pay commission. Transporters too are paid lower than the amount they are contracted for. This shows how private schools are making a killing at the cost of parents, said Srivastava. . SHARE THIS ARTICLE ON Accused of comparing a manifesto to the Sikh holy book, Aam Aadmi Party (AAP) spokesman Ashish Khetan skipped the first public meeting after being caught in the controversy. It was the Bolda Punjab dialogue with farmers at Panchat village on Sunday near here. Also read: Phoolka performs sewa at Golden Temple, apologises for AAP manifesto goof-up Police have charged him with hurting Sikh sentiments at last Sundays rally where the party launched its youth manifesto for the Punjab elections. The former journalist even tendered a public apology the next day but rival leaders cornered his party over the issue. Punjab Dialogue committee head Kanwar Sandhu and AAP farmer and labour wing leader Captain Gurbinder Singh Kang met the farmers for their inputs to the manifesto. Khetan is busy in some party work and wasnt even scheduled to attend this dialogue, said Sandhu. Asked why the official invite then carries Khetans name, Sandhu said it was by error. He said the AAP didnt care if the Shiromani Akali Dal had rejected the apology from Khetan. We apologised to the public. The SAD government is trying to politicise the issue, Sandhu added. Kejriwal to do sewa at Golden Temple on July 18 to atone for manifesto gaffe Told that Punjab Congress president Captain Amarinder had blamed him for the manifesto blunder, Sandhu said that as head of the Punjab Dialogue committee, he took complete responsibility. I am ready to extend an apology to Guru Granth Sahib and the public but we didnt mean to hurt anyones religious sentiments. Sandhu said the state government should respect all religions but it was sad that it had put up pictures of Goddess Chhinnamastika (a form of Durga) disrespectfully on the state-run buses. Farmers told the party what they wanted minimum support price (MSP) before the sowing of the crops; bathrooms at grain markets; job reservation for poor farmers; and action to prevent suicide of debt-ridden farmers. They AAP gave farmers a Jeevan Asha (helpline) number (098766-22018) to seek legal help in case of forcible recovery of loan. SHARE THIS ARTICLE ON Punjab health minister Surjit Kumar Jyani said on Monday that his BJP colleague and chief parliamentary secretary (health) Dr Navjot Kaur Sidhu was telling lies about drug prevalence in the state. Whatever she says is not gospel truth, he said while interacting with the media here. Dr Sidhu had recently said drugs were being ferried in red-beacon (official) vehicles in Punjab. If the BSF is responsible for porous borders, Sukhbir must explain who is acting as supplier to bring the drugs from border areas to other parts of the state. Its the police and politicians only, Sidhu had said. (HT File Photo) Also read | BJP echoes SAD, but Sidhu says drugs ferried in red-beacon cars He was responding to questions about contradictory statements by him and CPS Sidhu on the drug menace. You should better go and ask Dr Sidhu, he told reporters, and added, Punjab is being maligned on the drug issue... A survey in 10 districts has revealed that only 1.8% people are in the grip of drugs. If the survey is extended to all districts, it may come down to 1% only. He was apparently referring to a survey by the All India Institute of Medical Sciences (AIIMS). Also read | Facts, figures and falsehoods of Punjabs drug problem in 5 points He then lost his cool on questions about illegal agents charging hefty sums for preparing or correcting birth and death certificates. You can even get a person murdered by paying money, he said. Asked if he would order a crackdown on such agents who work allegedly in connivance with government staff, he denied that such a practice exists. Give me a complaint, file an affidavit, he said. To another question, he informed that of 4 lakh complaints received by the departments helpline 104, only 5,000 were redressed. He also reiterated his statement that liquor is not an intoxicant but paagal paani (crazy water). What I said earlier, I stand by that. A man in his right senses will not booze. He will take to drinking only when crazy. Must read | Bhola drug racket: HC pulls up SIT for not probing Mogambo Further, he defended government doctors who do private practice against the rules even when they get a non-practising allowance (NPA): None of these doctors has displayed a board outside his private clinic... Patients go to these doctors only because they find them good. In reply to another question, he acknowledged that money made doctors leave government jobs for private health institutions: You cannot force a person to make a particular decision. It is a democracy. Everyone has the right to choose what he thinks is good for him. He also informed that about 290 posts of specialist doctors were vacant. Also read | By the way: Udta Punjab is scared of deeper reality The city is struggling with garbage thrown on the streets after the company, carrying out solid waste management project in the city, has abruptly stopped the work of lifting garbage. The company, Jindal Urban Waste Management Limited (JUWML), which started its door-to-door lifting of garbage in March 2013, stopped its services from July 2, without giving any intimation to the municipal corporation authorities. As many as 181 employees working under this private firm also have not received their salaries for the last three months. There are around 65 secondary dumps in the city which accumulate more than 60 metric tonne of garbage from the city daily. The halt in the cleanliness work by the outsourced companys workers is hugely affecting the sanitary conditions of the city as heaps of garbage have been lying on the city roads, irking people. The monsoon season poses difficulty as the open garbage dumps lead to the breeding of mosquitoes and flies. Ashok Saffri, superviser, JUWML, said that the company authorities have locked their office at Duneke since July 2. When I called our authorities to inquire about our pending salaries, authorities said that they are not receiving funds from the company and the civic body has also been delaying their payments. Thats why they closed their office and told us to stop the work, he claimed. Saffri said that all the employees will meet the deputy commissioner Kuldeep Singh Vaid, who is also MC commissioner, on Monday and discuss the matter. Meanwhile, DC Vaid and company representatives Verinder Luthra and Abhishek Pandey were not available for the comments. SHARE THIS ARTICLE ON Three Congress sympathisers were injured after unidentified people hurled glass bottles at a rally organised by the party councillor Gurinder Rishi, here late Sunday evening. There was commotion at the rally venue in the Hathi Gate area when unidentified people started throwing glass bottles at those attending the event. Those injured have been identified as Harbhajan Singh, Lakhbir Singh and Pawan Kumar. The injured were rushed to a nearby private hospital. The injured, Pawan Kumar and Lakhbir Singh. (HT Photo) The accused ran away from the spot. There was panic in the area as glass pieces were scattered all over the road. Event organiser Rishi, who is a councillor from ward 24, said, This attack is the handiwork of my political opponents. We will approach the police so that the culprits are identified. We were doing partys Jago Punjab programme. This wont deter us and we will continue to do what our party wants us to do. I was speaking against BJP leaders; even they can be behind the attack. Its polices job to find out who they were, he said. Police reached the spot and recorded statements of Congress workers. Police were scanning the video footage to identify the attackers. The retired government employee is now known as the man whose granddaughter ran away to marry a Muslim. He doesnt want his name and neighbourhood mentioned but says a love jihad is taking over this Muslim town thats uneasy since the June 24 sacrilege of Quran. My granddaughter (23) worked as a computer teacher in an English-medium school. We did not get a whiff of her intentions. On May 9, she did not return by her usual time of 4pm but rang up her mother to say we shouldnt look for her, as she had married, says the 79-year-old grandfather. After we got the court-marriage papers, we found out that she had converted and taken a new name, Ayesha, to marry a Muslim man who does odd jobs in shops on the Ludhiana bypass and earns just Rs 3,000, a third of what she did. He used to meet her on her way to school, always accompanied by another man who used to take pictures of them together. Maybe she was blackmailed. What is this if not love jihad? Who will marry her younger sister now? he says, looking at Ayeshas younger sibling sitting nearby. Ram Gopals Muslim neighbour Akhlaq. Both Gopala nd Akhlaq say communal tension affected Eid sales in Malerkotla. (HT Photo) The family has disowned Ayesha through a newspaper advertisement. Asked whether it tried to know her well-being, her younger sister says police made them talk to her on mobile phone. She told us she was happy. We told her we have disowned her and she said she will never come to our door, seeking help. She took away all her documents, even her scooter, she says with a wry smile. Across the street is another family with a daughter who left the house to become Alia, two days after Ayesha. Youngest of three sisters (the other two married), she had lost her father years ago and her ailing mother lives with a caretaker. A neighbour says that before Alia left home on May 11, he saw her taking away bundles of clothes on her scooter. They (Alia and Ayesha) were friends. Perhaps they planned it together. But it is not the first time that Hindu women have eloped to marry Muslims. There is a local committee to help Muslim men in these court marriages, he says. Also read | Malerkotla Quran sacrilege: AAP MLA Naresh Yadav grilled again for 9 hrs The buzz about love jihad in Teliya Bazar nearby leads you to the homes of the two women. With Muslim shopkeepers next door, Hindu and Sikh traders show a WhatsApp message from a Sikh woman who married a local Muslim industrialist, which says they lure you and then dump you. But no family from the town has reported any elopement, says Sangrur senior superintendent of police (SSP) Prithpal Singh Thind. It is not hard to know why. Even if police lodge a complaint, the case falls flat if the wife is not minor and has a court-marriage certificate. Next to his grandsons garment shop, lives Krishan Chand (82), who says the towns peace is undisturbed if a Hindu woman marries a Muslim. But if a Muslim woman converts to marry a Hindu, there will be communal tension. Next: Past and present Muslim MLAs agree on AAP hand but Malerkotla disagrees SHARE THIS ARTICLE ON Residents faced a harrowing time on Sunday as all 334 fuel-filling stations in the district remained shut due to a strike by the petrol pump owners. The strike was, however, called off later in the evening, after the police assured of solving the cases, and providing security. Protesting against the spurt in crime against petrol pump owners, and the police inaction, different petrol pump associations under the Ludhiana Petroleum Dealers Association pressed for security, and threatened to extend the strike, indefinitely, if their demands are not met. Vehicle owners, ignorant of the strike, were left disappointed, finding the pumps shut. Many vehicle owners were seen making inquiries about the reasons for the closure. Those who travel every day to far-flung areas found themselves in a tight spot. I was going for a medical check-up, and my scooter is on reserve mode. Had I known that today is a strike, I could have made prior arrangement. What am I supposed to do now when not even a single petrol pump in Ludhiana is serving petrol? rued Mehar Chand of Haibowal, who came to fill petrol in his scooter at a filing station on the Cemetery road. Another visitor to a petrol pump near Bharat Nagar roundabout, Sanjeev Kumar, said he and his family members waited for about 15 minutes before the pollution checker told them about the strike. We were going for shopping and movie, but with no petrol left in our vehicle, we will head back to our home now. Meghna, Kajal and Antima, a group of friends, who were also going for shopping in a car, said the strike spoiled their day. Many were also seen making alternate arrangements by hiring taxis or auto-rickshaw. PUMP OWNERS DEMAND SAFETY Lashing out on the police departments apathy in dealing with the rising criminal incidents at filling stations, the Ludhiana Petroleum Dealers Association has threatened to give a call for an indefinite strike. Association general secretary Manjit Singh said, Despite the rising robberies and assault cases at filling stations, police have taken no measure for our safety. Fearing loss of life, many staffers have decided to quit working at the filling stations. If we are not provided security, we will resume our strike. He later told that the Ludhiana Commissioner of Police assured them of tight security in the days to come, mainly in the areas where maximum robberies have taken place. Therefore, they decided to call off the strike. SHARE THIS ARTICLE ON Finding food products at Hotel Radisson Blu on Ferozepur Road here as substandard, the Ludhiana administration has imposed a Rs 26-lakh penalty on its management. The fine has been imposed for violation of Food Safety and Standard Act 2006 and Rules 2011 after the food samples were not found substandard in the laboratory tests. Another popular shop, Chaurasia Pan Parlour on Chandigarh Road, has been fined Rs 2 lakh. Issuing magisterial orders in this regard, additional deputy commissioner Rishipal Singh said samples of jam, noodles, imli (tamarind), curd and chutney from the hotel and those of True Lemon (ready to serve beer) from Chaurasia Pan Parlour had been taken for test. The laboratory tests found all the samples below the quality standards set under the Food Safety and Standard Act 2006 and Rules 2011. The owners have been directed to deposit the amount in government treasury immediately. Rishipal said the violations of Food Safety and Standard Act 2006 in any form will not be tolerated. Clarifying its stand, a spokesperson of Hotel Radisson Blu said most of the samples taken by the health department were of imported packed products. Nestle curd, Stute Diabetic Jam and How How Rice Noodles (made in Thailand) were among the samples found substandard. Hence, we cannot be held responsible for their quality. We provide globally-acceptable products to our guests, he said. He said they will appeal against the order and present the bills of the products to the authorities for taking up the matter with the companies concerned. Also read | How Flipkart got conned by a Punjab gang via easy return policy The Punjab and Haryana high court on Monday sought the Punjab government response to a petition seeking direction for an independent census of farmers suicides in the state. The state has failed to frame a policy to save farmers, even though the matter is in the high court since 2007. The present petition is to seek an accurate data of families where farmers committed suicide, so that the extent of rural indebtedness leading to the deaths is revealed, the petitioner, Movement Against State Repression, a non-government organisation (NGO), has submitted, seeking a survey by either the state universities or an independent institute. Petitioners counsel Rajwinder Singh Bains argued that the Shiromani Akali Dal had ruled Punjab for more than 25 years across various terms but never acknowledged the unpleasant fact or ordered a survey for the fear of social unrest. Punjab Agricultural University, Ludhiana; Guru Nanak Dev University, Amritsar; and Punjabi University, Patiala, got only Rs 30 lakh for the last survey. The lack of credible data limited Punjabs share of Rs 71,000-crore central assistance to rural-suicide states to 1.4% (Rs 994 crore). Another central package to the affected districts of Andhra Pradesh, Karnataka, and Kerala was almost Rs 17,000 crore. Punjab was not considered because it didnt have authentic data of farm suicides, the petitioner told the court. Even the collected data wasnt reliable, as different agencies reported different figures. The three universities had put the number of suicides at almost 6,700 in ten years, while the Institute of Development and Communication, Chandigarh, counted almost 2,000 in 2001, Punjab State Farmers Commission 2,100 in 2005, Punjab Police seven over five years since 2008, and the Punjab revenue department 132 for five years since 2008. The petitioner sought up to Rs 5 lakh as compensation for the affected families, submitting that Rs 2.5 lakh fixed in 2001 was reduced to Rs 2 lakh in 2012. It asked for more social schemes for the families. Creating buzz on social media As political scene hots up in Punjab, Congress leader Sunil Jakhar has strengthened his presence on social media. He has launched SJ NEWS 33, a new WhatsApp group, to lambast the SAD-BJP combine, but was not sure of significance of the number (33) mentioned in the group title. The boys might have mentioned it to differentiate from other WhatsApp groups, said the Congress MLA. He is happy with his social media team for creating buzz about his meetings in the Abohar assembly constituency on Facebook and WhatsApp nevertheless. Manns flying Sukhbir jibe Aam Aadmi Party (AAP) MP from Sangrur Bhagwant Mann never misses any opportunity to take a dig at Punjab deputy chief minister Sukhbir Singh Badal. Addressing a gathering during AAP national convener Arvind Kejriwals visit to Khanna last week, he said Sukhbir also visits the poor, but in his chopper. Has Sukhbir ever visited the poor? Yes, we all know he goes to slums and houses of poor in his chopper. He waves to the poor people from the top, said Mann, evoking a thunderous applause from the audience. Captains dilemma Punjab Congress chief Captain Amarinder Singh might have welcomed the appointment of Himachal Pradesh MLA Asha Kumari as partys Punjab affairs in-charge, saying it will help the Congress cause in the state and not negate the state units efforts. But there are a few people who are keeping their fingers crossed. Kumari, though related to HP chief minister Virbhadra Singh, has not been on the best of terms with him. Virbhadra is also a close relative of the Punjab Congress chief. His daughter is married to Amarinders grandson. Who would Amarinder choose in case the need arises? A lot would depend on how things pan out from here. Sonis email mania Punjab Congress campaign committee in-charge Ambika Soni has a corporate style of functioning. Though the state assembly elections are round the corner, she insists on communicating with journalists through email. When a reporter tried to get in touch with the Congress leader, her staff told him to send an email, adding that she would respond appropriately. With things moving fast politically, the party, which has been out of power in the state for 10 years, needs to take a relook at its strategy. Amarinders embarrassing moment Punjab Congress president Captain Amarinder Singh, who was in Ludhiana last week as part of his Halke vich Captain programme, had a taste of criticism in public. When Amarinder was hearing grievances of residents of the Atam Nagar constituency, one of them used the occasion to speak on internal wrangling in the Congress and advised him to first make an effort to bring back those who are offended. This public embarrassment did not go down well with the organisers who insisted that he confine his statements to problems of the area and not render advice on party matters. Congs slapgate trouble Punjab Congress leaders were aghast when a senior leader, who is known for his calm demeanour, slapped a junior party colleague during a meeting in Ludhiana last week. The block-level leader was demanding a higher position ahead of the Congress chief Captain Amarinder Singhs visit to the city, but got slapped instead. Though he kept griping about the ugly episode for a while, the block leader was pacified and told not to open up in front of the media. He neither lodged a formal complaint nor was willing to go on record. No hoarding politics, please All India Congress Committee (AICC) general secretary Kamal Nath turned the tables on scribes with his presence of mind at a media interaction after a meeting of the state executive of Haryana Congress last week. The veteran leader, who was recently appointed in-charge of party affairs in Haryana, was bombarded with questions on hoardings, welcoming him with sycophantic slogan Upar Bhole Nath, Neeche Kamal Nath, placed outside the Haryana Congress office in Chandigarh. When his attention was drawn to the missing photo of former chief minister Bhupinder Singh Hooda from some hoardings, he downplayed the issue. I dont believe in politics of photos on posters and hoardings. I believe only in work, Kamal Nath said, effectively ducking the queries. On internal bickering in the state Congress, he said there was no groupism in the party, only lack of coordination. Friends turn foes He might have managed to get the better of leaders of rival Bharatiya Janata Party (BJP) on his home turf, Dharamshala. But urban development minister Sudhir Sharma is now facing opposition from some of his party colleagues there. It is his friends-turned-foes who have started to question his moves and initiatives every now and then. While most residents welcomed the formation of municipal corporation in Dharamshala, a local leader and member of State Milk Producers Federation, who was once considered close to the minister, held a press conference, calling it a disastrous step and demanded rollback of the decision. About a half dozen other leaders also joined the chorus the next day, levelling allegations against the minister. In the line of fire Loyalists of Himachal transport minister GS Bali are gunning for Independent legislator from Kangra, Pawan Kajal. The reason: He had criticised the minister for a remark on reservation for Other Backward Classes (OBC). The young MLA has to cope with regular attacks from the ministers supporters. The other day, a war of words broke out between Kajal and civic body chief Suman Verma, who accused the former of being untruthful and making false claims on development. He accused the MLA of not sanctioning a single penny for the projects going on in temple town. Kajal responded by saying that some desperate people are trying to defame him. (Contributed by Aneesha Sareen, Gurpreet Singh Nibber, Prabhjit Singh, Arjun Sharma, Rajesh Moudgil and Naresh K Thakur) The conflict over the shamlat land in Achal village near Nabha took a violent turn on Saturday as the members of the general and dalit communities, fighting over the land, attacked each other with sharp-edged weapons, leaving six people injured. Meanwhile, the dalit victims alleged that the sarpanch, who belonged to the Akali Dal, along with the workers of partys student wing SOI attacked them, while the the sarpanch blamed that youths belonging to the dalit families were planning an attack on them and they just came near the incident spot to counter the attack. Amandeep Singh, who belonged to the dalit community, said, The sarpanch , who belong to the ruling party and along with goons of SOI attacked our people with sharp-edged weapons and left them severely injured. Jaswinder Singh, husband of the sarpanch, said the dalit community was demanding land below the reserved rates and was also threatening the people belonging to the general category. On Saturday, the dalit youths were planning to attack our college-going children and when we reached the spot to save them, they attacked us as per their planning, said Singh. Earlier, the auction of shamlat got cancelled for the fourth consecutive time on Friday. The auction got cancelled after a minor scuffle between sarpanchs father-in-law and Amrik Singh from the dalit category. This also led to a minor fight between them on Saturday morning, after which Amrik was admitted to a Nabha hospital. Later on the same day, the fight took place near the railway crossing between boys of reserved category and sarpanchs family and their aides. Dalit youths who were injured in the fight at Achal village near Nabha on Saturday. (HT Photos) Four persons from reserved category got injured in the clash and were rushed to the Nabha civil hospital due to injuries sustained from the sharp-edged weapons, whereas two persons from the general category also sustained head injuries and were admitted to the hospital. Inderjeet Singh,19, who was injured severely in the clash, was referred to Rajindra Hospital, Patiala from Nabha. Doctors at the Rajindra Hospital claimed that he had a fracture in his elbow and was also suffering from internal injuries like bleeding inside the stomach. The dalit peasants at Achal village were protesting for approximately 35 acres of land, which is one-third of the village panchayat land that has been reserved for Dalits. The police registered a cross case against both the parties under Sections 323 (voluntarily causing hurt), 341 (wrongful restraint), 506 (criminal intimation), 148 (rioting) and 149 (every member of unlawful assembly guilty of offence committed in prosecution of common object) of Indian Penal Code (IPC) at Sadar Nabha police station on Sunday. No arrest has made so far. Investigating officer (IO) Mohan Singh said that they have registered the cross cases and investigations were on to reveal out the truth. He added they were recording the statements of the victims and raids were being conducted to nab the absconding accused. The recent expansion of the Union Cabinet followed a performance report on the ministers. Prime minister Narendra Modi reviewed the progress of his ministries in implementing the governments decisions and the announcements made in Union budgets since the National Democratic Alliance (NDA) government came to power in 2014. Though no changes were made in the urban development ministry (MoUD) in the reshuffle (apart from minister Venkaiah Naidu getting the information and broadcasting ministry), for HT Estates, however, the time seemed to have come for an assessment report on one of the most ambitious plans of the government: The Smart Cities Mission. The biggest drawback of the scheme, urban development experts say, is the narrow focus of the scheme on the prism of area-based development as it benefits only a small portion of the society. It needs to be made more participative and should focus on real problems faced by cities, they say. In January this year, 20 cities from a pool of 100 cities were selected by the Central government and allocated Rs 100 crore each for a period of five years. A few weeks ago Modi launched another set of 13 smart city projects in Pune, and started 69 initiatives in other smart cities in the country. The prime minister emphasised that the countrys people who are the smartest should take decisions on how urban spaces are to be developed. Launched in June 2015, it is being touted as the first in the country and even in the world (where) investments in the urban sector are being made on the basis of competition-based selection of cities. Every smart city will receive Rs 500 crore in the next five years, which is expected to generate private sector interest in all 100 cities. Experts say the government could have been a tad overambitious. This is because even under the Jawaharlal Nehru National Urban Renewal Mission or JnNURM (replaced by the Smart Cities Mission) data reveals that in spite of opening up investment for private players, the PPP model has failed to take off in a big way, especially when it comes to implementation of infrastructure projects. The bureaucracys decision on selection of smart city locations within the city of Bhopal led to massive protests by residents and forced the state government to pick another area. (HT) Narrow prism of area- based development Cities made it to the smart list on the basis of plans they submitted to MoUD for their development (including select areas in the city). Proposals from a majority of cities have financially prioritised developing a small area instead of the entire city. As much as 71% of the funding from the mission will be spent on area-based development, the beneficiaries of which are only about 4% of the citys population on average, says Bhanu Joshi, a public policy researcher at the Centre for Policy Research, Delhi. In Bhubaneswar, Odisha, for example, the focus is on developing an area around the railway station which will ultimately benefit only about 4% of the population. In the Capital the New Delhi Municipal Corporation (NDMC) area has been picked over Sangam Vihar, which probably has more pressing infrastructure issues. Under area-based development, cities have proposed redevelopment of old and creation of new central business districts, retrofitting infrastructure such as water supply, sewerage, and creation of public spaces, apart from reinventing landscape. The proposal for the entire city, however, has been limited to IT-based services like CCTV-monitored central command system, smart education portals and intelligent water and traffic management systems. This prioritisation of area might enhance the lived experience of residents of the area, but poses two larger questions on the substance of this mission, says Joshi. The Smart Cities Mission is aimed at land monetisation. To present a land monetisation plan in the garb of national urban policy and encourage it as a model for the entire city is inappropriate and deeply worrying, says Joshi. Issue of governance Yet another challenge is the issue of governance. In the guidelines for the mission, the role of the local governments was significantly cut short delegating the decision-making powers to a Special Purpose Vehicle (SPV) to be set up to implement the mission. The Smart Cities Mission, the Union governments flagship programme in urban areas has been a non-starter as of now. One of the key constituent of the Mission is to have a SPV in each city. In a reply to the parliament in April, the MoUD said that only 13 of the 20 cities had created the SPV. Since then, 13 more cities have been added, taking the total to 33. How many of these cities have created SPV which is the implementing agency, is not yet known, says Joshi. By prioritising SPVs as implementing agencies, the mission is invariably neglecting the one lakh elected representatives from the urban local bodies which continue to remain functionally, structurally and financially neglected in the urbanisation debate. And that is the reason there was uproar over the selection of the smart areas in Bhopal when the competition was first announced. In Dehradun, citizens have been protesting the exclusionary proposal. Similarly, in Pune, the state government replaced the commissioner (and to be head of the SPV) with a state official in a much-controversial decision. In Dehradun, citizens decided the fate of the exclusionary smart city proposal. (HT) Pratap Padode, founder and director, Smart Cities Council India, says that the procurement process is the next big challenge. Cities will have to ensure the integrity of the process of procurement. What this means is that instead of using low grade raw material that perhaps will last just about a year, they will have to ensure that the material they finally decide to procure will last longer and will be sustainable. SHARE THIS ARTICLE ON Searching for an ideal destination for a family vacation? Look no further for booking.com brings to you a curated list of locations in Asia and Oceania that can make this one a trip of your lifetime. The accommodation booking giant has delved into its 91 million user reviews, gauging the opinion of experienced family travellers to list seven top destinations in Asia and Oceania. Pokhara, Nepal In the Pokhara Valley, Nepal (Istock) As the gateway to Annapurna a hotspot for trekking this city in central Nepal also has plenty of family activities on offer, Booking reports. The destination, which gives its name to the surrounding valley, has many nearby lakes for scenic bike rides, swimming and boat trips. Siem Reap, Cambodia The Angkor Wat temple in Siem Reap, Cambodia. (Istock) Siem Reap, the capital of the Cambodian region of the same name, is world famous as the home of Angkor Wat, the impeccably preserved imperial and religious temple complex of the Khmer civilization. In fact, many travelers consider it one of the most beautiful sights on earth. If kids arent keen on the idea of visiting a temple, Booking suggests telling them that Angkor Wat is where Tomb Raider was filmed, turning the trip into a Lara Croft-style adventure. Read: Nows the best time to visit Greeces Cyclades Luang Prabang, Laos An elephant tour in Luang Prabang. (Istock) Families in search of an authentic and exotic destination should check out Luang Prabang in Laos. Booking recommends bathing in the Kuang Falls, which will enthrall even the youngest of visitors with its turquoise waters. Families can enjoy elephant tours, kayaking and boat trips on the river. Port Douglas, Australia Port Douglas in Queensland, Australia. (Istock) The land of kangaroos is a prime destination for families thanks to its diverse range of activities and incredible wildlife. In Queensland a northeastern state with the Great Barrier Reef just offshore Port Douglas makes a good alternative to the famous Gold Coast, and its only 70km from Cairns. For younger travelers, the destination is also a gateway to the Daintree Forest, just 1 hours drive away. Cradle Mountain, Tasmania, Australia Cradle Mountain, Tasmania, Australia. (Istock) Tasmania is probably the least well-known of Australias states, yet it has plenty of natural landscapes that are ideal for fun and physical family activities. Bookings traveler reviews suggest Cradle Mountain as a key destination for its breathtaking views. Its also highly recommended for walkers. Read: A quick guide to a vacation in Italy Byron Bay, Australia The lighthouse at Cape Byron, Australia. (Istock) In New South Wales, Bondi Beach, in the Sydney suburbs, is a hotspot for experienced surfers. Byron Bay, on the other hand, is a more welcoming destination for beginners. Even the youngest surf dudes and dudettes can learn to ride the waves in this beachy bay, known for being the easternmost point of mainland Australia. Families can also take a trip to Cape Byron Light, the countrys most powerful lighthouse. Nelson, New Zealand The Nelson Lakes National Park, New Zealand. (Istock) Australia isnt the only option in Oceania. Families will find all the ingredients for a great holiday in Nelson, New Zealand. Nelson is the capital of the countrys smallest region, which is also said to be the sunniest. On the north-western coast of the southern island, Nelson is a great destination for wannabe seafarers, who can try their hand at sailing. Kayaking is also a popular activity in the region. Follow @htlifeandstyle for more. Fawad Khan and Mahira Khan have been loved for their on-screen chemistry ever since Pakistani drama Humsafar hit the TV screens, but the actress reveals initially the shows director was not convinced about them as a much-in-love couple. Humsafar, which aired on Pakistani TV in 2011, made Fawad and Mahira household names in the country and with the romantic dramas Indian premiere last year, viewers here took liking to their on-screen romance as married couple Ashar and Khirad. Read: Mahira is very different, quiet, says Shah Rukh Khan Director Sarmad Khoosat, was however, worried about their lack of interaction on the shows set and thought the drama would tank due to their zero chemistry. Watch a scene from the show: The biggest fear of our director was that the show will tank, because according to him, Fawad and I had zero chemistry. He would always be telling us to rehearse more, to at least hold hands, Mahira said in a statement here. But when the show went on air, our director called us and said, Both of you are magic, she said. According to Mahira, who would be seen next in Shah Rukh Khan-starrer Raaes, the reason behind the charm of their pairing is the trust the two actors have in each other. Mahira Khan and Shah Rukh Khan shooting for Raees. (Twitter) We dont get chemistry. We never did. What we had was the right attitude and we trusted each other, she said. Humsafar portrayed the emotional journey of Ashar and Khirad, who fall in love after marrying each other. The story was about how two strangers with strikingly opposite personalities fall in love after an arranged marriage. Follow @htshowbiz for more The South China Sea is not on the agenda and should not be discussed at a major summit between Asian and European leaders in Mongolia at the end of the week attended by Chinas premier, a senior Chinese diplomat said on Monday. The Asia-Europe Meeting, or ASEM, will be the first important diplomatic gathering after the July 12 ruling by an arbitration court hearing a dispute between China and the Philippines over the South China Sea in the Dutch city of The Hague. Tensions and rhetoric have been rising ahead of the ruling, a case which China has refused to recognise or participate in, saying the court has no jurisdiction and China cannot be forced to accept dispute resolution. China has repeatedly blamed the United States for stirring up trouble in the South China Sea, where its territorial claims overlap in parts with Vietnam, the Philippines, Malaysia, Brunei and Taiwan. Chinese assistant foreign minister Kong Xuanyou signalled discussion of the South China Sea would not be welcomed at the event, which happens once every two years, as its designed to discuss issues between Asia and Europe. The ASEM leaders summit is not a suitable place to discuss the South China Sea. There are no plans to discuss it there on the agenda for the meeting. And it should not be put on the agenda, Kong told a news briefing. However, Beijing-based diplomats involved with preparations for ASEM say that it is inevitable that the South China Sea dispute will be raised. Aside from Chinese Premier Li Keqiang, other attendees expected are Japanese Prime Minister Shinzo Abe, German Chancellor Angela Merkel and top European Union officials. The United States has conducted freedom of navigation patrols close to Chinese-held islands, to Beijings anger, while China has been bolstering its military presence there. Kong said that if there are tensions in the South China Sea it is because certain countries outside the region have been putting on shows of force and interfering. There is no reason to get the South China Sea issue into this ASEM meeting citing freedom of navigation and security interests as causes of concern. Its got no leg to stand on, he added. The relevant countries in the region, as well as China, have the wisdom to maintain peace and stability on the South China Sea, Kong said. An Australian man who filmed himself biting the head off a live rat and posting the video on Facebook was banned on Monday from owning pets for three years and ordered to do community service. Matthew Maloney, known as Mad Matt, was charged following a raid by RSPCA investigators after the bizarre stunt in January, which attracted hundreds of thousands of online views. The 25-year-old admitted one count of animal cruelty in Brisbane Magistrates Court and was ordered to complete 100 hours of community service, while being slapped with the pet ban. Magistrate Suzette Coates described him as a narcissist and he expressed remorse, although he told reporters outside court that his actions werent that bad, according to the Australian Broadcasting Corporation. The video shows Maloney storming into a room, biting off the rats head and washing it down with three shots of vodka. He then gets punched in the face and has a chair broken over his back before saying beat that. The clip was reportedly an attempt to create a disturbing new social media challenge. He was unrepentant at the time after a string of comments branding him disgusting. All your comments are cracking me up and not one person out there will be able to say anything that will make me feel bad or make me regret what i did, he wrote on Facebook. Its mother nature and mans gotta eat! Hundreds of people joined family, friends and members of Spains bullfighting world for a funeral Mass on Monday for bullfighter Victor Barrio who was fatally gored in a bullring this past weekend. People applauded and shouted torero, torero as the coffin was carried from a hearse to a packed San Bartolome church in the central town of Sepulveda where Barrio lived. The 29-year-old matador died after being gored in the thigh and chest in the central city of Teruel on Saturday. The goring was broadcast live on television and news of his death stirred widespread reaction across Spain. King Felipe VI and acting Prime Minister Mariano Rajoy expressed condolences. Recortadores hold a moment of silence for the death of Spanish bullfighter Victor Barrio before taking part in a ring competition at the bullring during the San Fermin festival in Pamplona, northern Spain. (Reuters) Medics were at Barrios side almost immediately but he died later in the bullrings infirmary. He was the first professional matador to die during a bullfight in Spain since 21-year-old Frenchman Jose Cubero Yiyo was fatally gored in 1985 in Madrid. His wife, Raquel Sanz, was at the ring when the goring happened. In messages on her official Twitter account Sunday, Sanz thanked those who had expressed condolences and said, My life has gone, I have no strength. In this May 16, 2011 file photo, Spanish bullfighter Victor Barrio performs during a bullfight of the San Isidro's fair at the Las Ventas Bullring in Madrid. . (AP) Prominent members of the bullfighting world were among those attending the Mass. Today you can see it, everybody is here, all the bullfighting world - to put our arms around a destroyed family, and to acknowledge the valour, the commitment and all the values that Victor Barrio had as a person and as a bullfighter, matador Enrique Ponce told reporters. Fellow bullfighter and a friend of Barrios, Esau Fernandez, said, The words dont come out. He was a good friend, he was a colleague, we grew up together. Festivities in Teruel were immediately suspended following Barrios death, and Las Ventas, the Madrid bullring were he debuted in 2010, posted a heartfelt remembrance of the young bullfighter. A TV grab shows matador Victor Barrio being thrown by a bull during a bullfight in the Teruel bullring, east of Spain. (AP Photo) This frame grab taken from Castilla La Mancha TV shows matador Victor Barrio being gored by a bull during a bullfight in the Teruel bullring, east of Spain. (AP) This frame grab taken from Castilla La Mancha TV shows matador Victor Barrio being carried out of the bullring after being gored by a bull in a bullfight in the Teruel bullring. (AP) Britains June 23 vote to leave the European Union may have upset millions, but there is cautious optimism that the crisis caused by severe shortage of chefs in the countrys 4 billion-pound curry industry will be eased through recruitment from the Indian subcontinent. It was an explicit promise of the Vote Leave camp during the referendum campaign that leaving the EU would rescue the industry crippled by visa rules that make it difficult to hire chefs from India. Industry bodies say every week, two restaurants have been closing due to the crisis. We hope there will be a more flexible system to recruit chefs from abroad, but it will take at least two-three years. Also, the minister who introduced the tough restrictions Theresa May may be the new prime minister. So lets wait and see, celebrity chef Cyrus Todiwala told HT. Visa restrictions include higher salary threshold that not many owners can afford to pay. The chef shortage has been building up over the years, affecting restaurants that offer Indian and other non-EU cuisines such as Chinese. Manoj Vasaikar, who owns three upmarket restaurants in London, said: Besides the chefs crisis, I am also seeing a curtailment in spend. There was uncertainty before the referendum, now it is worse. If people have less disposable income as a result of Brexit, why will they come to restaurants after it actually happens? Given the considerable demand in areas dominated by Indian and Asian communities, there are reports that some Indian restaurants employ illegal immigrants as chefs, inviting visits and severe penalties by immigration officers. But Mukesh Alora, who last week opened a trendy restaurant called Delhi Live in Romford, has hired chefs with experience in Taj and Ashoka hotels at high salaries. It is no longer easy to find the right chefs to ensure high quality food and the right experience for customers, he said. Employment minister Priti Patel, who was one of the leading Brexiteers, had called for a leave vote to save our curry houses. Due to what she called a biased immigration policy that favours EU nationals, Indian restaurants were starved of vital chef skills. Our curry houses are becoming the victims of the uncontrolled EU immigration rules, she had said. By voting to leave the EU, we can take back control of our immigration policies, save our curry houses and join the rest of the world. The David Cameron government wanted new chefs to be trained within the country, but specialist colleges and apprentice schemes in recent years have not met the requirements of the industry. Todiwala regrets the lack of funding for training initiatives. SHARE THIS ARTICLE ON North Korea on Monday threatened to take physical action to counter a sophisticated US anti-missile system planned to be deployed in the South. The warning follows an announcement by Seoul and Washington on Friday to deploy the Terminal High Altitude Area Defense (THAAD) system in South Korea to counter growing threats from the North. The two allies have not yet revealed exactly when and where in the South the system would be deployed but said they were in the final stage of selecting a potential venue. The DPRK will take a physical counter-action to thoroughly control THAAD... from the moment its location and place have been confirmed in South Korea, the artillery bureau of the Norths military said in a statement, according to the official Korean Central News Agency (KCNA). North Koreas military, which has sufficient latest offensive strike means, will take more merciless and powerful successive corresponding measures against the US keen to ignite a war by deploying THAAD, it said. It also warned the South of miserable self-destruction as a consequence of deployment of the THAAD system. We once again warn the enemies that it is the steadfast will of the KPA to make merciless retaliatory strikes to reduce south Korea to a sea in flames, debris once an order is issued, the statement said. A day after Seoul and Washingtons announcement, North Korea test-fired what appeared to be a submarine-launched ballistic missile, sparking swift international condemnation. Visitors walk past replicas of South Korean Hawk surface-to-air missiles at the Korean War Memorial in Seoul on Friday. (AFP) The launch followed Pyongyangs back-to-back tests of a powerful new medium-range Musudan missiles on June 22 -- theoretically capable of reaching US bases as far away as Guam. Tensions have soared since Pyongyang carried out its fourth nuclear test in January, followed by a series of missile launches that analysts said show the North is making progress toward being able to strike the US mainland. North Korea also claimed that Seoul was offering the South as a US nuclear outpost. Since the announcement on the THAAD system, residents from possible deployment sites have launched mass protests against bringing the missile defence system to their towns. South Korean protesters hold signs during a rally to denounce deploying the THAAD in front of defence ministry in Seoul, South Korea on Friday. (AP) On Saturday, some 3,500 residents of Chilgok county, North Gyeongsang province, protested against the possible deployment, saying the region had not been properly developed since US troops were stationed there in 1960. Around 5,000 residents from Eumseong county, North Chungcheong Province, will also launch a mass demonstration Monday to protest at the lack of information provided to the public about the dangers of the defence battery. The plan to deploy the powerful THAAD system in South Korea has also angered Beijing and Moscow, which both see it as a US bid to flex military muscle in the region. Sushila Karki took charge as the first woman chief justice of Nepals Supreme Court on Monday, after her name was endorsed by a parliamentary panel. The 64-year-old was administered oath of office and secrecy by President Bidhya Devi Bhandari at Sheetal Niwas, the official residence of the head of the state. With Karkis appointment, Nepal has three women in prominent positions. In October last year, Bhandari had replaced Ram Baran Yadav to become the first woman head of state. The same month, Maoist lawmaker Onsari Gharti Magar was elected unopposed as the first woman speaker of Nepals parliament. Karki, who was working as acting chief justice since April, had to appear before the panel to clarify about six complaints against her, including one related to assets disproportionate to income. Her name was approved after she was able to furnish documentary evidence that absolved her of the charges. Born in 1952, Karki had begun her legal practice in 1979. She joined the Supreme Court as a temporary justice in 2009 and was made a permanent one a year later. SHARE THIS ARTICLE ON If you want a wall along the border with Mexico, build it if you are elected, the Mexican President told the White House Republican frontrunner Donald Trump on Sunday. The bombastic billionaire has promised to crack down on illegal immigration and insulted Mexican immigrants by calling them rapists, criminals and drug dealers. As his partys presumptive presidential candidate, Trump is now eyeing a clash in the November election with his Democratic rival Hillary Clinton. There is no way to have Mexico pay (for) the wall. But any decisions inside (the) USA -- is a decision of its government, Pena Nieto said on Sunday. The Mexican president earlier had said Trumps campaign pledge was a non-starter, but he did not address the issue as specifically. Pena Nieto said US-Mexican relations were based on coordination, collaboration and cooperation on security issues. The Mexican president earlier compared Trumps rhetoric to the rise of European dictators Adolf Hitler and Benito Mussolini. Renewed fighting erupted in South Sudans capital on Sunday and forces loyal to Vice President Riek Machar said his residence was attacked by the presidents troops, raising fears of a slide back into full-blown conflict in the five-year-old nation. There was no immediate response from the government of President Salva Kiir to the statement by Machars spokesman. Kiirs information minister, Michael Makuei, said earlier the situation was under control and urged people to stay at home. The two leaders, who fought each other in a two-year civil war that started in late 2013, had made a joint call for calm after clashes between rival factions broke out late on Thursday. At least 272 people have been killed in the fighting, a Health Ministry source told Reuters early on Sunday. A Chinese UN peacekeeper was killed and several Chinese and Rwandan peacekeepers injured, Japans U.N. ambassador, Koro Bessho, said on Sunday after the UN Security Council was briefed on the situation. Japan is council president for July. The UN mission said UN compounds in Juba had been hit by small arms and heavy weapons fire. The Security Council expressed their readiness to consider enhancing (the U.N. mission) UNMISS to better ensure that UNMISS and the international community can prevent and respond to violence in South Sudan, Bessho told reporters. He said the 15-member council encouraged countries in the region to prepare to send additional troops in the event the Security Council decides to boost the strength of the nearly 13,500-strong U.N. force. The council also stressed the need for peacekeepers to use all necessary means to protect civilians. The U.S. State Department on Sunday demanded an immediate end to the fighting in South Sudan and ordered the departure of non-emergency personnel from the U.S. Embassy in Juba. Were extremely worried about what appears to be the lack of command and control over the troops, U.S. Ambassador to the United Nations Samantha Power said on her way into the Security Council briefing, which the United States requested. A confidential note to the council on Sunday from the U.N. Department of Peacekeeping, seen by Reuters, said: UNMISS has adopted a proactive posture, conducting patrols within and outside its compounds and has reinforced the perimeter security to enhance protection for displaced civilians and U.N. staff. The note said the fighting between the rival troops involved the use of attack helicopters and tanks and that the UN compounds were in the cross-fire of the violence. Deeply frustrated UN Secretary-General Ban Ki-moon said Kiir and Machar needed to take decisive action to regain control of the security situation in Juba and urged them to order their forces to disengage and withdraw to their bases. I am deeply frustrated that despite commitments by South Sudans leaders, fighting has resumed, Ban said in a statement. This senseless violence is unacceptable and has the potential of reversing the progress made so far in the peace process. General Dau Aturjong, a senior military officer in the armed opposition faction of the Sudan Peoples Liberation Movement (SPLM-IO) under the leadership of the first vice-president, Riek Machar, addresses a news conference after he defected to SPLA loyal to President Salva Kiir in South Sudan capital Juba. (REUTERS) Residents of Jubas Gudele and Jebel districts reported heavy gunfire near the barracks where Machar and his troops have their headquarters. The Health Ministry source said 33 civilians were among those killed in the latest clashes, which have fuelled fears about renewed conflict and raised concerns about the extent the two men can control their troops in the worlds newest nation. We have called for an arms embargo, we think that this (violence) absolutely underlines the need for that and we are prepared to look at any measures that are necessary in order to stop this violence, Britains deputy U.N. ambassador, Peter Wilson, said on his way into the council meeting. Earlier this year, Security Council veto power Russia said it was opposed to an arms embargo because Moscow did not believe it would be helpful to the implementation of a peace deal agreed to by Kiir and Machar last August. When asked on Sunday about the possibility of a arms embargo, Russias deputy U.N. ambassador, Vladimir Safronkov, said the council needed to do something serious about stabilizing the political situation. The confidential UN peacekeeping note said some 3,000 civilians, including senior opposition officials, had sought shelter at one UN site, while 800 other civilians had entered a second UN compound. Dr. Machars residence was attacked twice today including using tanks and helicopter gunships. Helicopters from Kiirs side attacked the residence twice, Machars spokesman, James Gatdet Dak, told Reuters by phone from abroad. He added that the situation in Juba had subsequently calmed, echoing comments from residents who said gunfire had eased later on Sunday after several hours of shooting. Stand-off The fighting first erupted on Thursday, when troops loyal to Kiir stopped and demanded they be allowed to search vehicles of Machars loyalists. That stand-off led to clashes. Gunfire broke out again on Friday between the vice presidents bodyguards and the presidential guard, while the two men were holding talks at the presidential State House to defuse tensions. Both men said at the time they did not know what had prompted the exchange of fire. Kenyas presidency urged Kiir and Machar to move heavy weaponry and contingents of soldiers out of civilian areas in Juba. It said Kenya was ready to support law enforcement. Kenya Airways has suspended flights to Juba. Machar and Kiir spent months wrangling over details after signing the peace deal last year. Machar finally returned to Juba to resume his former position as vice president in April. Fighting since 2013 has left swathes of the country of 11 million people struggling to find enough food to eat. It has also disrupted oil production, by far the governments biggest source of revenues, leaving South Sudan mired in poverty. A day ahead of an international courts ruling on a Sino-Philippines dispute in the choppy waters of the South China Sea, China on Monday cited its protracted negotiations with India as the correct way to handle border rows and build sustainable relations. Giving the example of the talks between China and India on their disputed 3488-km border, the foreign ministry told Hindustan Times that bilateral talks were part of the correct methods for settling disputes between two neighbours. The statement came in response to a question whether the Permanent Court of Arbitrations ruling will affect Chinas border disputes with other countries. Philippines has sued China over the ownership of islands and reefs in the South China Sea, saying Beijing had made false historical claims about its rights to the Spratly (Nansha) islands and Scarborough Shoals. The Permanent Court of Arbitration in The Hague will issue a decision on Tuesday on the complaint by the Philippines. China is prepared to ignore the ruling, calling it a farce. In a written response to Hindustan Times, the foreign ministry gave the example of bilateral talks with India and said negotiations between countries involved in boundary disputes is the way forward. Between China and India, the two countries have achieved major development through the mechanism of border special representatives (SRs) since 2003, (and) it has laid a solid foundation for the development of sustainable relations between China and India, the ministry said. India and China created the SR mechanism during former prime minister Atal Bihari Vajpayees visit to Beijing in 2003 to explore a political solution to the boundary dispute. China thinks this should be the correct methods of managing and handling disputes, and a real fulfilment of international laws, the ministry said. Through hard work, China has reached borderland agreements with 12 out of 14 neighbouring countries, (and) the borderline extends for more than 20,000 km. China has completed the borderline negotiation with Vietnam on Gulf of Tonkin, it added. Under the SR mechanism, India and China have held 19 rounds of talks, the last one during National Security Advisor Ajit Dovals visit to Beijing in April. Officials from both sides say the mechanism has been useful in containing incidents along the border though a resolution to the festering dispute doesnt seem close. China currently has land border disputes with India and Bhutan, with which it doesnt have diplomatic relations. It is also locked in maritime disputes with the Philippines, Vietnam, Malaysia, Brunei and Taiwan. The foreign ministry described the tribunal appointed by the Permanent Court of Arbitration as illegal. China has always held the point of view that relevant countries should directly, with a base in historical facts (and) international laws, settle disputes through negotiations. History and international practices have repeatedly proved, that to properly handle problems of territorial dispute between countries, it should be on the base of mutual respect, and through negotiations by relevant countries. Only this can achieve long-term and fundamental solutions, the ministry said. The arbitral tribunal is set up on the bases of a series of illegal activities and demands from the Philippines, recklessly expanded and exceeded its powers, the results are illegal and invalid, and dont have any jurisdiction. China firmly opposes any proposition or action on the base of this so-called verdict, it added. China said the arbitration will increase disputes and damage regional stability. The territories of China and the Philippines are clear and explicit. The maps published in China, as well as numerous maps published officially from the Philippines in the past, could all objectively and clearly reflect the territorial areas of China and the Philippines, the statement said. Thailand authorities are inspecting private zoos in the country suspected to be part of a criminal gang smuggling tiger meat and carcasses to China after over 30 tiger cubs were recovered last month from the Tiger Temple. Several Thai zoos raising Bengal tigers were found to be involved in the illegal tiger trade ring, crime suppression division deputy chief Montri Paencharoen said. Chinese people believe tiger meat is a source of prowess. Thats why tiger meat is popular in China and thats why a number of Thais with licences to operate a zoo are [unlawfully] supplying the tiger meat to the Chinese market, Montri was quoted as saying by the local media. He added that his department had already secured information on gangs smuggling tiger meat. The privately-run zoos normally avoid reporting the actual number of tigers born in captivity so they can sell the cubs illegally, he said. Earlier last month, a team of wildlife and forest protection officials found 30 preserved Bengal tiger cubs, two pieces of tiger skin and several items made from tiger and other wildlife species from the now infamous Tiger Temple or Wat Pa Luang Ta Maha Bua in Kanchanaburi province. The items were removed from the living quarters of the temple abbot, following which the authorities relocated 147 live tigers from the premises. Female tigers, over a two-year period, can give birth to about a dozen cubs, each worth Rs 5 lakh on the black market, Montri said. A healthy two-year-old tiger normally weighs 200 kg and its meat can fetch up to Rs 50,000 per kg, he said. Tiger bones are also sold as a key ingredient in some Chinese traditional medicines. Theresa May, the tough-talking Oxford-educated home secretary, will take over as Britains next prime minister on Wednesday after a leadership contest was cut short on Monday when rival Andrea Leadsom pulled out of the race amid controversies. May, 59, launched her campaign for leadership of the Conservative Party in Birmingham, but Leadsom announced her withdrawal from the race soon after in London. Also on Monday, Angela Eagle announced her leadership bid to replace Jeremy Corbyn as the Labour Party leader. Prime Minister David Cameron said he would chair his last cabinet meeting on Tuesday and appear at his last Prime Ministers Question Time in the House of Commons on Wednesday afternoon. Thereafter, he will go to Buckingham Palace to resign, paving the way for Mays installation in 10, Downing Street, by Wednesday evening. British politics has been in turmoil since the June 23 vote to exit the European Union, which resulted in Camerons resignation. His replacement was to be announced on September 9, but the process was expedited by Leadsoms withdrawal. The shortening of the process will be welcome news for business and finance, which suffered several shocks after the Brexit vote and clamoured for political certainty. The pound emerged stronger after Leadsom withdrew. Labour and Liberal Democrats demanded a mid-term election to settle several issues, including giving voters another chance to vote on the issue of Brexit. Besides a legal challenge, there is a strong demand that parliament should have the final say on Brexit. Jon Trickett, Labours election coordinator and a member of the shadow cabinet, said: It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected prime minister. I am now putting the whole of the party on a general election footing. Leadsoms withdrawal came after she faced abuse over the weekend for her comments to The Times that she had a better claim to prime ministership because she was a mother, unlike May, who does not have children. The level of abuse is said to have shocked Leadsom, who apologised to May, and finally decided to issue a statement on Monday outside her London house. She, however, did not refer to the row, and extended full support to May. Leadsom, who campaigned for Brexit, said: Strong leadership is needed urgently to begin the work of withdrawing from the European Union. A nine-week leadership campaign at such a critical moment is highly undesirable. She said May had the support of more than 60% of Conservative MPs and was ideally placed to implement Brexit on the best possible terms for the British people and she has promised she will do so. There is no greater privilege than to lead the Conservative Party in government and I would have been deeply honoured to do it. I have however concluded that the interests of our country are best served by the immediate appointment of a strong and well-supported prime minister. I am therefore withdrawing from the leadership election, and I wish Mrs May the very greatest success. SHARE THIS ARTICLE ON Interior minister Theresa May is set to become Britains Prime Minister on Wednesday with the task of steering its withdrawal from the European Union after her only rival abruptly pulled out. May, 59, will succeed David Cameron, who announced he was stepping down after Britons unexpectedly voted last month to quit the EU. Britains planned withdrawal has weakened the 28-nation bloc, created huge uncertainty over trade and investment, and shaken financial markets. May and energy minister Andrea Leadsom had been due to contest a ballot of around 150,000 Conservative party members, with the result to be declared by September 9. But Leadsom unexpectedly withdrew on Monday, removing the need for a nine-week leadership contest. Read: Theresa May to be next British PM as Andrea Leadsom quits race Cameron told reporters in front of his 10 Downing Street residence that he expected to chair his last cabinet meeting on Tuesday and take questions in parliament on Wednesday before tendering his resignation to Queen Elizabeth. So we will have a new prime minister in that building behind me by Wednesday evening, he said. May will become Britains second female prime minister after Margaret Thatcher. Her victory means that the complex process of extricating Britain from the EU will be led by someone who favoured a vote to Remain in last months membership referendum. She has said Britain needs time to work out its negotiating strategy and should not initiate formal divorce proceedings before the end of the year, but has also emphasised that Brexit means Brexit. In a speech early on Monday in the central city of Birmingham, May said there could be no second referendum and no attempt to rejoin the EU by the back door. As prime minister, I will make sure that we leave the European Union, she said. Relative Unknown Leadsom, 53, never served in cabinet and was barely known to the British public until she emerged as a prominent voice in the successful Leave campaign. She had been strongly criticised over a newspaper interview in which she appeared to suggest that being a mother meant she had more of a stake in the countrys future than May, who has no children. Some Conservatives said they were disgusted by the remarks, for which Leadsom later apologised, while others said they showed naivety and a lack of judgment. Leadsom told reporters she was pulling out of the race to avoid nine weeks of campaign uncertainty at a time when strong leadership was needed. She acknowledged that May had secured much greater backing in a vote of Conservative members of parliament last week. I have ... concluded that the interests of our country are best served by the immediate appointment of a strong and well supported prime minister, she said. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success. I assure her of my full support. Read | Theresa May gets backing from Indian origin MPs for UK PM post Graham Brady, head of the Conservative party committee in charge of the leadership contest, said there were still constitutional procedures to be observed before her appointment could be confirmed, but he aimed to make a confirmation announcement as soon as possible. Were not discussing coronations, were discussing a proper procedural process which should conclude very soon, he told reporters. The pound, which has hit 31-year lows since the June 23 referendum vote on concern about potential damage to the British economy, bounced briefly on the news that the Conservative leadership question would be resolved much sooner than expected. Welcome news we have 1 candidate with overwhelming support to be next PM. Theresa May has strength, integrity & determination to do the job, finance minister George Osborne tweeted. Forging New Role The 52-to-48 percent vote to quit the EU after 43 years of membership was a stunning rebuke to Britains political leaders and especially Cameron, who had argued that breaking away would bring economic disaster. Britons ignored his warnings, swayed by the arguments of the Leave campaign that Brexit would enable them to regain independence from Brussels and clamp down on high immigration, something hard to achieve under EU rules allowing people to live and work anywhere in the bloc. Mays leadership hopes had appeared at risk of being damaged by her failure, in six years as interior minister, to bring immigration down, and the fact she found herself on the losing side of the referendum campaign. But her two best-known rivals on the Leave side were felled by political back-stabbing when Justice Secretary Michael Gove brought down former London mayor Boris Johnson and was then punished for his perceived treachery by being eliminated from a ballot of Conservative MPs. In her speech in Birmingham on Monday, May set out her vision for the economy, calling for a country that works for everyone, not just the privileged few. In a pitch for the political centre, she said she would prioritise more house-building, a crackdown on tax evasion by individuals and companies, lower energy costs and a narrowing of the unhealthy gap between the pay of employees and corporate bosses. Under my leadership, the Conservative Party will put itself completely, absolutely, unequivocally, at the service of ordinary working people ... we will make Britain a country that works for everyone, she said. Labour Disarray More than 1,000 British lawyers said in a letter to Cameron that members of parliament, not lawyers, should decide whether Britain leaves the EU because the referendum was not legally binding. Opposition members of parliament, responding to the impending appointment of May, demanded a general election. It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected prime minister, Labour party election co-ordinator Jon Trickett said. Labour too has been thrown into upheaval by the referendum, with leader Jeremy Corbyn widely criticised for failing to make a sufficiently passionate case in favour of staying in the EU. Read | Over half UK shadow ministers quit in crisis for Labours Corbyn Minutes before Leadsoms announcement, Labour lawmaker Angela Eagle launched a leadership challenge to Corbyn. Jeremy Corbyn is unable to provide the leadership that this party needs -- I believe I can, Eagle said. Corbyn was elected last year with overwhelming support from grassroots Labour activists. He has ignored a vote of no confidence from the partys lawmakers, saying he has a responsibility to carry out that mandate. Read | Brexit means Brexit and will be success: UK PM candidate May News of the unrest in Jammu and Kashmir following the killing of Hizbul Mujahideen commander Burhan Wani dominated the Pakistani media on Monday, with reports suggesting the violence could worsen already strained India-Pakistan ties. Most English dailies reported the widespread violence that has claimed 22 lives in three days on their front page. The influential Dawn daily headlined its report FO terms Wanis killing, protestors deaths extrajudicial, referring to the Foreign Offices statement on Sunday that described Wani as a Kashmiri leader. Ties between the two countries hit a low point after the terror attack on Pathankot airbase in January that was blamed on Pakistan-based Jaish-e-Mohammed. The assault ended a thaw that followed back-to-back visits to Pakistan by external affairs minister Sushma Swaraj and Prime Minister Narendra Modi. READ: Playing drums in Tanzania not the problem, Modis silence on Kashmir is Kashmir is once again threatening to pit Pakistan and India against each other as the occupant forces have unleashed a wave of state-sponsored terrorism in Jammu and Kashmir, The Nation newspaper said in its report. The killing of Burhan Wani, who was a Hizbul Mujahideen militant commander, will now unleash another wave of militancy in the Valley where the danger of global terrorist groups feeding off the Hizbul Mujahideen cannot be ruled out, The News said in its front page report. READ: The Kashmir manifesto, Delhis policy playbook in the Valley The reports noted that Pakistans Foreign Office had strongly condemned the extrajudicial killing of Kashmiri leader Burhan Wani and scores of other innocent Kashmiris. Pakistan had also called for resolving the Kashmir issue through a fair and impartial plebiscite under UN auspices, the reports added. Prime Minister Nawaz Sharif, the reports said, had expressed deep shock at the killing of Wani and civilians. It is deplorable that excessive and unlawful force was used against the civilians who were protesting against the killing of Burhan Wani, Sharif said in a statement. A report in the Dawn quoted opposition Pakistan Peoples Party leader Bilawal Bhutto as saying that the Sharif-Modi friendship has caused irreparable damage to the Kashmir cause. He too condemned what he described as the killing of innocent Kashmiris. A police officer in US Kansas city was fired after he threatened an African-American womans five-year-old daughter on Facebook. Rodney Lee Wilson worked with Overland Park Police Department in Kansas, Buzzfeed reported on Monday. Well see how much her life matters soon. Better be careful leaving your info open where she can be found :). Better hold her close tonight, itll be the last time, Wilson posted below LaNaydra Williams picture of her daughter named India. It was obvious that our officer did not meet the standards of professionalism for the Overland Park Police Department. Overland Park has terminated the officer, effective immediately, read the statement from Overland Park Police Department. The department first thought that Wilsons Facebook account might have been hacked. But the inquiry led to the truth that it was Wilson who posted that comment. I want to assure our community, and those outside our community, that our highest priority is the safety and welfare of the citizens of Overland Park, Police Chief Frances Donchez Jr. was quoted as saying. I want to publicly apologise to those affected by our officers personal actions, Donchez added. A criminal probe was underway as a result of information discovered during the course of the personnel investigation. An African-American man Philando Castile, 32, was shot by a police officer after a traffic stop in Minnesota last week, which created a furore across the US and on social media. Castiles girlfriend went live on Facebook immediately after her fiance was shot by police in his car. Castiles death occurred within a day of the shooting of 37-year-old Alton Sterling in Baton Rouge, Louisiana. Sterling was killed during an altercation with two white police officers and a video of the incident recorded by a bystander on his smartphone triggered outcry on social media. US and coalition forces will use the newly retaken air base in Qayara as a staging hub, as Iraqi security forces move forward in the long-awaited battle to recapture Mosul from Islamic State militants, defense secretary Ash Carter said Monday as he arrived in Iraq. Carter, who landed in Baghdad on an unannounced visit, said US advisers are prepared to accompany Iraqi battalions if needed, as those units begin to encircle the key northern city. A senior defense official said its not clear when US advisers would begin accompanying the battalions closer to the battlefront. But it could be in the coming weeks and months. The US officials said a team of American troops went into Qayara for a quick site assessment Sunday and left. One job they could do would be to help the Iraqis troops use highly technical bridging capabilities to get across the river into Mosul. Carter laid out the US vision for Qayara for the first time, describing its recapture as a key strategic victory. Speaking to reporters before he arrived in Baghdad, he said the air base will be one of the hubs from which Iraqi Security Forces, accompanied and advised by us as needed, will complete the southern-most envelopment of Mosul. Thats its strategic role, and thats its strategic importance. Carter compared the role of Qayara to how forces used the eastern city of Makhmour. There, US troops set up a fire base for artillery to support advancing Iraqi units. Marine Staff Sgt. Louis F Cardin was killed at the fire base in March in an IS rocket attack. The point of seizing that (Qayara) airfield is to be able to establish a logistics and air hub in the immediate vicinity of Mosul, Carter told reporters. So, there will be US logistics support. Iraqi forces recaptured the air base from the Islamic State group on Saturday, in a victory hailed by Prime Minister Haider al-Abadi as a key step ahead of the Mosul fight. Residents of Mosul, Iraqs second largest city, should get ready for the liberation of their areas, he said. US officials said that American advisers have already been working at the brigade level with Iraqi special operations forces, but they have not yet accompanied Iraqi Army brigades, US officials said. The officials were not authorized to discuss the matter publicly, so spoke on condition of anonymity. President Barack Obama in April approved plans to allow US troops to assist Iraqi forces at the brigade and battalion level, where they could be at greater risk, closer to the battle, but still behind the front lines. They had previously been limited to advising at the headquarters and division levels, which are further from the battle. Carter is expected to meet al-Abadi and minister of defense Khalid al-Obeidi, as well as Lt Gen Sean MacFarland, the top US military commander for the Islamic State fight. The main topic, he said, will be the next steps in the military campaign, with a particular focus on Mosul. Mosul is considered crucial. It was captured by IS in the summer of 2014 and the extremist group has been using it as a main headquarters since. Carters daylong visit to Iraq comes on the heels of the two-day NATO summit where allies agreed to expand their military support for the war. This is Carters fourth trip to Iraq as Pentagon chief, and his second in three months, to assess the campaign to oust Islamic State militants from the country. Qayara is the latest in a string of successful operations by Iraqi forces, backed by coalition airstrikes. Government troops have also retaken the city of Ramadi and a number of towns along the route to Mosul. One of the defense officials said the Pentagon identified 10 initial goals for the Iraq fight earlier this year, and with the recapture of Qayara, all 10 have now been achieved. A number of those 10 included retaking some of those cities. Islamic State militants, however, still control large swaths of the country and continue to launch deadly attacks, including the massive suicide bombing July 3 at Baghdads bustling commercial area of Karada. As many as 186 died in the attack. And late Thursday, an attack at a Shiite shrine north of Baghdad killed 37 people. The Islamic State group claimed responsibility for both attacks. During his visit to Iraq in April, Carter unveiled a series of moves to provide additional military support to the Iraqis, including the deployment of 200 more US troops to Iraq, embedding advisers with Iraqi brigades and battalions, and using Apache attack helicopters at the request of the Iraqi government, when they were needed in battle. During the NATO summit this past weekend, allies also approved several moves to expand military aid in the fight. NATO will use surveillance aircraft to collect intelligence, and will begin training Iraqi forces inside the country. Until now, NATO has been training Iraqis in Jordan. President Barack Obama has authorized a US troop level of 4,087 in Iraq. JUBA: At least 272 people have died in fighting between South Sudans rival factions in the capital Juba, including 33 civilians, a government source said on Sunday, as heavy gunfire erupted again in the city and many residents sought shelter at a United Nations base. The fighting first broke out on Thursday and Friday between troops loyal to President Salva Kiir and soldiers who support vice president Riek Machar. The violence raises fears South Sudan could slide back into conflict after emerging from a two-year civil war, which began in December 2013 after Kiir sacked Machar as vice president. Neighbouring Kenya called for urgent action by the two leaders to move troops away from civilians and end the crisis. A government spokesman later said the situation had been brought under control. At present the situation is calm, Michael Makuei, minister of information, said in a broadcast by South Sudanese TV. He said the government was calling on people to go back to their homes and that the situation was under control. On Saturday, Juba had been calm, but on Sunday a Reuters witness and residents said gunfire was heard in Gudele and Jebel suburbs of Juba, near the military barracks that hosts troops loyal to Machar. For about 30 to 40 minutes we heard sounds of heavy artillery in the direction of Jebel area, an aid worker based in Juba who did not want to be named told Reuters. One resident, Daniel Samson, said he had seen a massive migration of people fleeing to calmer districts when there was a lull. The gun shooting has stopped now, he said. DHAKA: Bangladesh government on Sunday told schools, colleges and universities to report any missing students, in the wake of one of the countrys worst terror attacks. Prime Minister Sheikh Hasina called on every school, college and university to create a list of absent students and publish it, following reports that some of the militants behind the attack in Gulshan area were studying in elite universities and had been missing for months. We will be rigorous. We must uproot militancy and terrorism from Bangladesh, Hasina said. School authorities would now have to provide information on any students who have an unexplained absence of 10 days or more, education minister Nurul Islam Nahid said. At least three of the Gulshan attackers were educated, well-off members of society, leading to fears that Islamism has spread far beyond disenfranchised youngsters being radicalised in madrasas. Another university student participated in a deadly attack in northern Bangladesh on Thursday that killed at least three people at a huge prayer gathering marking the start of Eid. The five militants who killed 22 people, including an Indian, after attacking the Holey Artisan restaurant in upscale Gulshan on July 1 and whose photos the IS reportedly released, had been missing or not contacting their families for several months, their relatives said. The suspected attacker of Eid gathering, who was killed in a firefight, had also been missing since March, police said. Four of these six youths were students of top English medium schools in Dhaka. Two of them were students of private North South University and another of BRAC University. Police said both attacks were carried out by a banned local militant group, despite claims of responsibility by the IS. Authorities are urging parents to closely monitor their children. US assistant secretary of State Nisha Biswal is in Dhaka to discuss the security situation with Bangladesh foreign minister Mahmood Ali. We will continue our assistance in combating the global threat of terrorism that our countries both confront, she said. The decision to demand the lists of students was taken at a meeting of education ministry officials, chaired by minister Nurul Islam Nahid, at the secretariat. The ministry later issued an order in this regard, which said the institutions will have to make the lists of the absentee students and submit them to the Upazila education officers, reports said. GILGIT: Wang Jiangquo, a Chinese national, was sentenced to nine years of imprisonment for trying to smuggle weapons from Pakistan to China via Khunjrab border. On Thursday, the anti-terrorism court in Gilgit also imposed a ban on Wang from visiting Pakistan again. Judge Shahbaz Khan asked the Punjab inspector general of police to take action against an arms dealer who sold four pistols and hundreds of bullets to the Chinese man. SHARE THIS ARTICLE ON MEXICO CITY: Gunmen killed 14 people in northeastern Mexico on Saturday in two attacks likely sparked by gang wars in the state of Tamaulipas, the local government said. State interior minister Herminio Garza Palacios said the shootings took place in Ciudad Victoria, capital of Tamualipas, a state on the Gulf of Mexico that has been mired in violence between warring drug cartels for years. SHARE THIS ARTICLE ON TOKYO: Japanese Prime Minister Shinzo Abes ruling coalition was on track for a decisive victory in elections on Sunday, media projections showed, despite lukewarm public support for its policies. Abe, in power since late 2012, has yet to achieve a strong recovery in the worlds third-largest economy through measures centred on easy money and other steps so-called Abenomics. Voters have expressed misgivings about his cherished dream of amending the countrys war-renouncing constitution. SHARE THIS ARTICLE ON KATHMANDU: The Nepal government decided on Sunday to donate two pairs of one-horned rhinos to China. The decision was taken at a cabinet meeting held at Prime Minister KP Sharma Olis official residence. China had requested Nepal to gift two pairs of rhinos during forest minister Agni Sapkotas visit to the northern neighbour in January. The request was reiterated during Olis China trip in March. During Sapkotas trip, Beijing had agreed to provide financial and technical assistance to set up a forensic sciences laboratory near Kathmandu and also develop green economic corridors at two border points. Nepal has 645 one-horned rhinos and the pairs to be gifted to China will be selected from among the 605 in Chitwan National Park, which has the highest concentration of the species. SHARE THIS ARTICLE ON PHNOM PENH: A prominent Cambodian political analyst known for his trenchant criticism of the government was shot dead on Sunday morning at a convenience store, police said. The daylight slaying of Kem Ley comes at a time of heightened tensions between strongman premier Hun Sen and the countrys political opposition, who accuse the prime minister of launching a fresh crackdown against them. Police said Kem Ley, a popular commentator , was gunned down as he drank coffee at a convenience store attached to a gas station in Phnom Penh. SHARE THIS ARTICLE ON A rusted US-made World War 2 warship stands at The Philippines lonely outpost amid Chinas prowling battleships and frenetic island-building activities in the South China Sea. The Philippines deliberately grounded the BRP Sierra Madre in the late 1990s on the Second Thomas Shoal, a chain of islets around shallow waters, to mark its claims on the Spratly archipelago. China calls the archipelago Nansha islands and claims it along with nearly the entire SCS. Since 1999, the rat-infested warship has housed elite Filipino marine forces who have watched Chinese navy and coast guard ships becoming more aggressive over the decade and in recent years, reclaiming land and building artificial islands with airstrips. China, Philippines, Vietnam, Brunei, Malaysia and Taiwan (which China claims as a breakaway province) have claims and counter-claims over islands, shoals and reefs in the SCS. In the East China Sea, China is locked in separate but similar island-claiming dispute with Japan. US hasnt remained quiet. Armed with its military pact with the Philippines and better ties with Vietnam, Washington has not only repeatedly spoken on the freedom of navigation in the SCS but has also dispatched warships and aircraft to test how choppy the situation was in the region. In 2015, Manila realised that a crumbling warship will not be able to hold off the worlds largest armed forces and Beijings money-fuelled international diplomacy -- for long. It decided to march to the UN International Tribunal on the Law of the Sea (ITLOS) under the Permanent Court of Arbitration in The Hague with maps, copies of claims and complaints. The Permanent Court of Arbitration in The Hague is set to announce its final decision on Tuesday. The International Crisis Group said China claims all land features in the SC Sand its claims slices into the Philippines claimed (maritime) Exclusive Economic Zone (EEZ). The Philippines claims about 50 land features in the Spratly island chain and the Scarborough Shoal. Manila opted to sue China, firstly on the jurisdiction of ITLOS on the maritime dispute and secondly on the legality of the historical claim of Chinas nine-dash line. The legal track proceeded after a reality check on the part of the Philippines that it could not level off with the strength of a giant neighbour. There is an asymmetry of military capability between China as Goliath and the Philippines as David in the maritime dispute, Professor Chester Cabalza from-National Defence College of the Philippines told HT over email. Ahead of the verdict, China dismissed it, particularly as theres a possibility that it might go against Beijing. It is a sheer delusion to expect to force China into accepting the decision via diplomatic channels or public fanfare, foreign ministry spokesperson Hong Lei said. The arbitration was unilaterally initiated by the President (Benigno S) Aquino administration and distorts the United Nations Convention on the Law of the Sea (UNCLOS), challenges the dignity of the international law and undermines the rule of law in essence, Hong said. Zhu Feng from the China Center for Collaborative Studies of the SCS at Nanjing University told state media that the new Duterte government needs to refrain from hyping the arbitration ruling, not rely on it as the only basis for settling the disputes, and actively improve bilateral relations. The most expected action from the Duterte government ...would be to drop the case in the Permanent Court of Arbitration in The Hague. To China, thats the only way out because as Hong put it: China will never change its stance. The decision will be keenly followed. Global trade worth $5 trillion passes through the region annually, and SCS contains nearly 11 billion barrels of oil and 190 trillion cubic feet of natural gas in proved and probable reserves, as per US Energy Information Administration. If it is in favour of China, Beijing is likely to flex its diplomatic and strategic muscles more in the region. The increasing militarisation in the SCS definitely affects trade, commerce, diplomacy, ecological resources and power relations, Cabalza said. Late in the afternoon of July 1, 1863, after a full day of fierce fighting, Confederate troops finally drove the Union defenders from the fields west of Gettysburg. As the Union troops fled east toward the haven of Cemetery Hill, General Robert E. Lee sent the following order to Lieutenant General Richard S. Ewell, commander of the II Corps, whose men had gained victory that day: The enemy [is] retreating over those hills in great confusion. You only need press those people to secure possession of the heights .Do this, if possible. Legend tells us that, at that crucial moment, Old Bald Head lost his nerve. Instead of pursuing the fleeing Union soldiers, who were so panicked they could not defend themselves, Ewell held back, allowing the Federals to entrench atop Cemetery Hill. The advantage of holding the heights led to the Union victory at Gettysburg. Ewells indecision supposedly cost the South the battle. While this is an interesting story and one that has been repeated again and again in many books about the Civil War it is also a lie that libels Ewell. The story was concocted by Lees apologists in a postwar attempt to shift the blame for losing the battle from their hero onto Ewell. In truth, Lee sent no definitive orders directing Ewell to pursue the enemy when the Union lines broke at Gettysburg, and Ewell was not benumbed by indecision when he should have been chasing the Federals to prevent them from establishing an impregnable position on top of Cemetery Hill. The proof of this lies in a close study of the battle, including the location and strength of the opposing forces once the first days fighting had ended, and in how the key participants reacted to the changing events of the day. It all began because too many Rebels were barefoot. A large supply of shoes were stored in Gettysburg, but there was evidently a [Union] cavalry force occupying the town, Confederate Maj. Gen. Henry Heth stated, and [my] men reported the beat of drums, indicating infantry. There was always the risk of battle, but Heth went to his superior, Lt. Gen. A.P. Hill, chief of the III Corps in Lees Army of Northern Virginia. If there is no objection, I will march my division to Gettysburg, and secure those shoes, Heth requested. Do so! Hill replied. Heth started his column of 7,500 troops, including the infantry brigades led by Brig. Gens. James J. Archer, Joseph R. Davis, John M. Brockenbrough and James J. Pettigrew, down Chambersburg Pike toward Gettysburg at 5 a.m. on July 1. About three miles west of the small crossroads village, Heths advance was met by Federal skirmishers from Colonel William Gambles brigade of Maj. Gen. John Bufords cavalry division. This confrontation started about 5:30 a.m. Gambles objective was to delay the Rebels until Union infantry reached the field. The Union Army of the Potomac, under Maj. Gen. George C. Meade, was hurrying through Maryland to intercept the Confederates, who were concentrating just north of the border. When the Union pickets opened fire, Heth halted, formed into battle line and began to slowly probe his front to test the strength of the force that was blocking his way into Gettysburg. Two hours passed. When the Confederates finally climbed Herr Ridge, they saw ahead a meandering creek, Willoughby Run. On the opposite bank, the ground sloped upward to McPhersons Ridge, where Gambles 1,600 men were posted. Heth sent Archers and Davis brigades, totaling 3,800 troops, ahead to face the Union line. They exchanged fire from a distance with the Federal cavalry for two more hours. At about 10 a.m., Union Maj. Gen. John Reynolds infantry corps came marching into Gettysburg. Brigadier General James A. Wadsworths division, including the brigades led by Brig. Gens. Lysander Cutler and Solomon Meredith, arrived first and pressed forward to relieve Gambles exhausted troops, who were still aligned along McPhersons Ridge. The cavalry withdrew to the left, below the slope, as a reserve force. Just as Wadsworths men took their post, the Confederates under Archer and Davis charged. Both sides absorbed terrible losses in the one-hour melee. At first the Southerners prevailed, but a Northern counterattack pushed them back. The two sides then resumed their original positions, content to continue the battle by exchanging artillery fire. During the clash, Archer was captured and taken to the rear, where he was warmly greeted by Maj. Gen. Abner Doubleday, an old friend from prewar days, who had just taken command of I Corps after Reynolds had been killed by a sniper. Archer! Doubleday exclaimed on seeing him. I am glad to see you. Well, I am not glad to see you, Archer snarled, not by a damned sight, Doubleday! When the I Corps remaining divisions, led by Brig. Gens. John C. Robinson and Thomas Rowley, arrived at Gettysburg at 11 a.m., the latters two brigades pushed ahead to reinforce Wadsworth; Robinsons brigades were held in reserve in Gettysburg to face the enemys II Corps, reported to be approaching from the north. Ewell, with only Maj. Gen. Robert E. Rodes division in hand, arrived at Gettysburg at about noon. As he came out of the woods that crowned Oak Hill, Ewell saw the exposed Union flank below him and knew he had an unparalleled opportunity to rout the enemy. After giving Rodes orders to deploy his 8,125 soldiers for battle, Ewell sent Major Campbell Brown, his stepson and principal aide, to find Lee and tell him that Ewell meant to join the fray. Brown found Lee on Herr Ridge, where both he and Hill had come after hearing the bark of muskets and bellow of cannons. Lee sent Campbell back to Ewell with an astounding order: Do not charge; I want to avoid a general engagement. Had Stonewall Jackson sent Ewell those instructions, he would have meekly complied without question. Jackson, who had died in May, never granted his subordinates any discretion. Lee, however, was a different type of commander, one who expected his leaders to use their own judgment. He had, for example, told Ewell to bypass Winchester while heading through the Shenandoah Valley to Pennsylvania. When Ewell saw that he could rout the Yankees occupying the small village, he decided to disobey Lees orders, attacked the enemy and won a decisive victory over the Northern defenders. Lee did not reprove Ewell for disregarding his instructions at Winchester. Now Ewell saw a similar chance for glory at Gettysburg, and he again elected to flout Lees directive. Brown advised that now was not the time to disobey Lee. He described Lee as seething with anger,showing a querulous impatience I never saw before. Lees ire was the result of cavalry head Maj. Gen. J.E.B. Stuarts disregarding his instructions. Hes gone off around the Federal Army, Lee groused, failing to keep in constant communication with me. Despite Browns warning that Lee was in no mood to see his orders ignored, Ewell could not pass up the opportunity to assault the open Union flank. The enemy troops were so vulnerable that they could be quickly routed, which would not be a general engagement, Ewell reasoned. He decided to gamble his rank and career by proceeding with a charge. In giving the written order to his division commanders, Rodes and Maj. Gen. Jubal Early, Ewell stressed that, after driving the enemy from the ground, they must break off their engagement. This point was also verbally emphasized by the messengers dispatched to both Rodes and Early. Before he could launch his attack, Rodes had to switch from column into battle formation. He moved Brig. Gen. Junius Daniels brigade west to flank the Federals along McPhersons Ridge; Brig. Gen. Alfred Iversons men would slip behind the Union forces on the hill to take the enemy from the rear. Meanwhile, Brig. Gen. Edward A. ONeals troops would come down Oak Ridge, where they would be in a position to block a Union retreat. Brigadier General George Doles would guard Rodes left flank; Brig. Gen. Stephen Ramseurs brigade would be his reserve. As the Confederates filed into position, the Federals hastened to meet the enemy threat. Brigadier Generals Gabriel Paul and Henry Baxter rushed their troops, 2,600 men in all, out of Gettysburg and into a line facing northwest along Oak Ridge. About that same time, the Union XI Corps, led by Maj. Gen. Oliver O. Howard, reached the battlefield. Howards command included divisions under Brig. Gens. Carl Schurz, Francis C. Barlow and Adolph von Steinwehr. Schurzs two small brigades rushed into position on the right, northwest of Gettysburg; Barlows 3,400 men extended the line east to Rock Creek. The Union soldiers grimly awaited the arrival of Earlys division. Rodes alignment took much longer than he had expected, and the arrival of fresh Federal troops added desperation to his assault. As a result, when Rodes troops finally advanced at about 2 p.m., their charge was delivered piecemeal. ONeal had 1,800 soldiers at hand, and in his haste to attack the Federals he sent only three of his five regiments down Oak Ridge against Paul, who easily repulsed the charge. Iversons 1,500 troops moved ahead at about 2:30 p.m. As the men advanced, looking for the enemy on their right, they failed to spot Baxters force, hiding behind a stone wall to their left. The Federals waited until the Confederates were opposite their position, then rose and poured a savage salvo into Iversons flank. Five hundred men, a third of the brigade, fell from the withering fire of Union rifles. Almost 400 more were quickly captured. To the right, Daniel started his 2,300-man brigade down McPhersons Ridge. When they saw Iverson under assault near the stone wall, three of the five regiments swerved left to the rescue. They not only were too late to save Iverson but also left Daniel with just two regiments for his own assault. As a result, Daniel was easily repulsed. Heth, on Herr Ridge, saw Ewells attack falter. Turning to Lee, he asked if he should press Pettigrews and Brockenbroughs brigades into the fray. No, Lee curtly replied. I am not prepared to bring on a general engagement today. He was determined to shun battle that day, and no doubt was incensed at Ewell for having defied his orders. Atop Oak Hill, Ewell watched as Rodes attack disintegrated. His expectations of a quick victory had vanished, and he knew that Lees wrath was sure to come his way. Having drawn the Federals into battle, however, Ewell had no choice but to continue the fight. After ordering Rodes to gather his troops for a second charge, Ewell rushed east to check on Doles, who was posted north of Gettysburg. When he reached that front, he found Doles and his 1,500 Georgians under attack by two Union brigades, whose superior numbers enveloped both Confederate flanks. Ewell hurried back to his command post on Oak Hill to bring Ramseur, his only reserve, to Doles rescue. As he rode west, an enemy artillery shell crashed nearby, killing Ewells horse and throwing Ewell to the ground. Shaken but otherwise unhurt, the one-legged general gallantly mounted a spare mare and continued his dash back to Oak Hill. When Ewell finally reached his field headquarters, he was surprised to learn that the battle had shifted dramatically in his favor. Ramseur had taken his 1,100 men, plus a few of ONeals troops, and charged the Federals defending Oak Ridge. Both Paul and Baxter had been driven from the field, all the way back to Cemetery Hill. Ramseur was pursuing the fleeing Union soldiers. Meanwhile, at 4:15 p.m., Daniel had charged again into a railroad cut. He, too, had routed his adversary out of his strong position, and the Federals were reeling in retreat toward Gettysburg. The assault, however, had exhausted Daniels men, and they had halted along Oak Ridge. When Lee saw the tide of battle shift in his favor, he suddenly turned aggressive and ordered A.P. Hill to have Heths reserve brigades (Pettigrew and Brockenbrough) charge the Union line along McPhersons Ridge. The Federals greeted the attack with repeated salvos, dropping hundreds of Confederates, but Heths troops refused to falter. They clambered up the slope, pushing the enemy back to Seminary Ridge, an extension of Oak Ridge, below Chambersburg Pike. Their lines shattered, Pettigrew and Brockenbrough halted along McPhersons Ridge. Hill sent three of Maj. Gen. Dorsey Penders brigades chasing after the retiring Federals. To the east, the threat to Doles position had been reduced by the sudden appearance of Earlys division. He had arrived at about 3 p.m., but paused for half an hour so that his infantrymen could catch their breath after their hard march to the field. Early then charged out of the northeast, sending the brigades led by Brig. Gens. John B. Gordon, Isaac E. Avery and Harry T. Hays against the Union line. The Federals repelled the initial attack from Gordon out of the north, but when Hays came up from the east, the blue-clad troops broke and began running for Gettysburg. The retreat opened the flank to Gordon, who led his brigade toward the Federal ranks. The Union defense collapsed, and the soldiers rushed in disarray toward Gettysburg and the safety of Cemetery Hill. Gordons troops had exhausted their ammunition in the charge, and they halted above the town; both Hays and Avery pursued the fleeing enemy. Howard, attempting to stem the Southerners advance, rushed troops off Cemetery Hill to intercept the oncoming Confederates. As they moved north, however, the reinforcements collided with the Federals running for the rear. The new men panicked and turned to join their compatriots fleeing for the heights. When the Union right broke, the flank held by Brig. Gens. George von Amsberg and Wladimir Krzyanowski was exposed. They probably did not notice their problem, however, since they were busy dealing with the menace to their front. Doles had charged their line, and as the Federals braced for Doles blow, Ramseur suddenly exploded against their left flank and rear. The Union defense collapsed, and the troops under von Amsberg and Krzyanowski joined their XI Corps comrades in a desperate run for the haven of Cemetery Hill. Doles and Ramseur followed close on the heels of the enemy. Howard, upon seeing his corps routed, sent word to the I Corps on Seminary Ridge that the Rebels were coming across its rear, and the I Corps must retire before the Confederate troops closed the gap. The message was never received, and Doubledays soldiers held their ground, weathering several assaults on their front. At about 4:30 p.m., the Confederate superiority in numbers began to tell, and although Union fire opened gaping holes in their ranks, Hills men finally pushed the Federal I Corps off Seminary Ridge. With the Yankees in full retreat, both Rodes and Early called a halt to their pursuit, following the instructions issued by Ewell at the onset of the battle. No one disputes this detailed description of the first day of the Battle of Gettysburg. The controversy begins with the Federal retreat. Those who blame Ewell for losing the battle claim that when Lee saw the enemy fleeing the field, he sent Ewell orders to press those people [and] secure possession of the heights. They charge that Ewell lacked the courage to carry out Lees instructions, thus allowing the Federals to entrench on Cemetery Hill, the ultimate key to their victory. How true are the charges? At about 4:30 p.m., as the Union line began to break, Lee and Hill stood atop Seminary Ridge and watched the Federals retreating through Gettysburg and up Cemetery Hill. While they were thrilled by the Rebel success, they were also stunned by the cost of that victory. Hill had thrown seven brigades into the battle and suffered terrible losses. Archer and Davis, who opened the fray, had taken about 1,400 casualties, one-third of their original number. Their troops lay exhausted on Herr Ridge. Brockenbrough and Pettigrew had lost 648 men, 20 percent of the force that had charged the Union troops on McPhersons Ridge. Their brigades were strewn along the dearly bought ground. Brigadier Generals Abner Perrin, James H. Lane and Alfred Scales, who had pushed the attack against the Federals on Seminary Ridge, had seen more than 1,000 of their men, one-fourth of their commands, fall in the fight. Only Perrin had continued the pursuit of the enemy troops into Gettysburg. Lee, who was personally commanding Hills troops (he had at first refused to order them into battle, then changed his mind and sent them forward), decided at the time to accept what had been accomplished that afternoon. He did not instruct Ewell to mount a charge against Cemetery Hill. He allowed Perrin to return to Seminary Ridge. Had Lee wanted to deny the enemy the heights, he could have sent Maj. Gen. Richard Andersons division just now arriving and ready to fight ahead to Cemetery Hill. Instead, Lee told Anderson to prepare to camp for the night. When he wrote his report, Hill recalled Lees words, Prudence led me to be content with what had been gained [in the fight], and not push forward troops [who were] exhausted and necessarily disordered to encounter fresh troops from the enemy. Lees actions were sensible. He had just fought and won a punishing battle, during which he had committed every man available. Lieutenant General James Longstreet and his I Corps were approaching with reinforcements, but they were not expected to arrive before sunset. If Lee was to continue the fray, he would have to do so with the troops at hand, most of whom had spent all day in battle. At the same time, the entire Union Army was known to be rushing toward Gettysburg, and the lead elements had already arrived and offered battle. Were Meades other corps about to come into line? Lee did not know, but since more Federal infantry were apt to appear at any moment, he could not gamble on sending weary troops against Cemetery Hill, which was likely to be defended by fresh enemy troops. Ewells forces were in just as bad shape as Hills. Rodes had sent all five of his brigades into the battle, but only two, Doles and Ramseurs, were at the front and in position to continue the fighting. ONeal had lost almost 25 percent of his force, and most of his survivors (except the few who had joined Ramseurs charge) remained on Oak Hill. Daniel, too, had taken huge losses; almost 35 percent of his troops had fallen in battle. Iverson had suffered the most. His casualties exceeded 900 men, 60 percent of his brigade, and the remnants lay exhausted atop Oak Hill. And even though both Doles and Ramseur were ready for more action, their numbers, too, were diminished. They had entered into battle with 2,600 effectives; only about 2,000 remained. Only one of Earlys four brigades was still positioned for action. Averys 2,000 men had advanced to the base of Cemetery Hill, where they were still attracting the enemys attention. We were subject to galling fire, remembered Lieutenant Warren Jackson. I spent about two hours as miserably as I ever did in my life. Earlys other brigades were unavailable for Ewell to send into action. William Smiths men were posted east of the village, on the York Pike, guarding the corps flank; Gordons troops were north of Gettysburg, awaiting a resupply of ammunition; and Hays soldiers were in the town, encumbered with 3,000 Union prisoners. Ewell had no thought of continuing the battle, but his rationale for holding in place was not based on having fewer than 4,000 men available for action. He was more concerned over having disobeyed his orders. General Lee instructed me not to bring on a general engagement, he replied to the subordinates who urged an assault against Cemetery Hill. I will wait for those orders. While Ewells reasons for not challenging the Federals crowded on Cemetery Hill were perhaps wrong, was he right in not mounting an assault against the slope? Experts who have studied Gettysburg say yes. They base their analysis not only on the impotence of the Confederate forces but also on the strength of the Union forces. When the Federal lines collapsed north and west of Gettysburg, the Union troops drew back to Cemetery Hill, the designated haven in case of defeat. Colonel Orland Smiths 2,000-man brigade, supported by a battery of six guns, was atop the knoll, eager to greet any oncoming Rebels. As the fleeing Federals climbed the slopes, their officers guided them into imposing defensive positions. Gambles 1,500 troopers were sent south, in front of and along Cemetery Ridge, where they guarded the left flank from Confederate assault. Most of the I Corps fell in atop Cemetery Ridge behind the cavalry; Wadsworths division rushed to Culps Hill to protect the right flank; and Howards corps augmented Smiths men on Cemetery Hill. A total of about 12,000 Union soldiers were ready to defend the heights. Reinforcements were also at hand. Five hundred veterans from the 7th Indiana came forward, and Maj. Gen. Henry Slocums XII Corps had arrived. The leading columns of the 1st Division, led by Brig. Gen. Thomas Ruger, began filing into position behind Cemetery Hill at about 4:30 p.m. Brigadier General John W. Gearys 2nd Division reached Gettysburg about half an hour later. These 8,000 fresh troops brought the Union strength to about 20,000 soldiers. In addition to the reinforcements, the Federals had most of their artillery pieces, which they had salvaged during their retreat. Almost 40 cannons had joined Smiths six guns, and the entire array was emplaced, unlimbered and ready to fire, atop Cemetery Hill. Ewell, of course, saw the enemy digging in on Cemetery Hill. Although he no doubt suspected that the Union soldiers would be impossible to dislodge, he knew that sooner or later he would have to charge the heights. When Early urged an immediate assault, Ewell agreed, but insisted that Lee must approve their attack and Hill had to provide reinforcements. James Power Smith, an aide who had spent the afternoon with Lee and had just now come to Gettysburg (without bringing any orders from Lee to Ewell), was dispatched back to Lee with those two requests. Back on Seminary Ridge, when Lee saw that the Federals had aligned their guns shoulder to shoulder across the crest of Cemetery Hill, he also recognized that the Southerners would have to attack the heights perhaps better now than on the morrow. Lee had already recalled Hills men from the field; therefore only Ewells troops were available to dispute the enemys new front. After 5 p.m., just prior to Smiths arrival with Ewells proposal to charge Cemetery Hill and long after the Union retreat had started, Lee sent an aide, Colonel Walter Taylor, to Ewell with instructions to challenge the Federals. The enemy is retreating in great confusion, Lee said in his message. You only need to press those people to gain possession of the heights .Do this if possible. Lees order seemed to assume that it would be relatively easy for Ewell to dislodge the Federals from their post atop Cemetery Hill. After the Civil War, apologists for Lee ignored the fact that the Union position was virtually impregnable, and they used this order as proof that Lee was not responsible for the Southern defeat at Gettysburg. Ewell was to blame because he had failed to pursue the defeated Northern army, allowing them to entrench on the critical high ground. When Taylor found Ewell in Gettysburg and presented the message, Ewell made no comment. He may have been dumbfounded by Lees apparent assumption that the enemy could be easily pushed off Cemetery Hill; more likely, he knew that the note was meaningless. He could not move until he received Lees response to his plea for reinforcements. Years later, Taylor would claim that Ewells silence meant that he had agreed to charge Cemetery Hill, another attempt to clear Lee by discrediting Ewell. When Smith arrived at army headquarters, he handed Ewells request for reinforcements to Lee. Tell General Ewell I regret that my people are not [able] to support his attack, Lee responded, but I wish him to take Cemetery Hill if practicable. He then added an impossible condition should Ewell advance against the hill, he must avoid a general engagement. Smith returned to Gettysburg, where he found Ewell and gave him Lees instructions. Ewell saw at once that his new orders were paradoxical. He could not drive the enemy from the heights without reinforcements. The force at hand, 4,000 men, was no match for the hordes of Federals, backed by cannons, atop Cemetery Hill. To attack would bring disaster to his corps. And even if Ewell mounted the suicidal assault, how could he assure Lee that reopening the battle would not bring on a general engagement? He had no choice. Ewell dropped his plan for a direct charge against Cemetery Hill. In the fighting that followed on days two and three at Gettysburg, the Confederates had numerous chances to defeat the enemy, but in each instance, they failed to take advantage of their opportunities. Ewell blundered more than once, and he manfully admitted his errors. He was as much responsible for the Souths losing the battle as any of the other commanders involved. But Ewell was not frozen by indecision, unable to find the courage to charge the Union forces on Cemetery Hill on the first day. Lees order to press those people if possible was not sent during the Union retreat. He issued the directive after he recalled Perrins force from Gettysburg, after the Federals had fled the field and after the enemy troops had consolidated their position atop the heights. Ewell, refused the reinforcements he believed necessary for a successful attack on Cemetery Hill, elected not to charge, a good decision in retrospect, because the Federals were never really vulnerable to being driven off the high ground. Ewell did not lose Gettysburg by himself. For more great articles be sure to subscribe to Americas Civil War magazine today! Homecoming SUBMITTED BY THOMAS CARTWRIGHT, FRANKLIN, TENNESSEE NAME: Henry J. Walker DATES: February 19, 1842, to April 6, 1862 ALLEGIANCE: Confederate HIGHEST RANK: Private UNIT: 24th Tennessee Infantry, Company B SERVICE RECORD: Enlisted in the 24th Tennessee, Company B, on August 24, 1861. Served under Patrick Cleburne in early 1862 when his unit became part of General Albert Sidney Johnstons Army of the Mississippi. Killed on April 6, 1862, at the Battle of Shiloh, Tennessee. The war swept Henry J. Walker away. It carried him through his native Tennessee, into Kentucky, and, later, Mississippi. It carried him into two armies and onto the battlefield at Shiloh, back in his home state. And there it left him. Born on February 19, 1842, in Williamson County, Tennessee, Walker was the fourth of the six children of Henry Jeffreys and Elizabeth Owen Walker. He was 19 years old when he enlisted in the 24th Tennessee Infantrys Company B on August 24, 1861, at Camp Trousdale in neighboring Sumner County. Lieutenant John Smith swore the troops in for 10 months service, and the regiment was mustered in at the camp. The 24th Tennessees first move was a march to Bowling Green, Kentucky. There, by January 1862, the 24th became part of Colonel Patrick R. Cleburnes 2d Brigade in Major General William J. Hardees 1st Division of the Army of Central Kentucky. In February 1862, the army marched to Murfreesboro, Tennessee. From there, the Confederates marched to Corinth, Mississippi, where they were absorbed by General Albert Sidney Johnstons Army of the Mississippi. Walker and his comrades sensed a major fight was coming; their premonition came true on April 6 at Pittsburg Landing, Tennessee, near Shiloh Church, some 100 miles from Walkers native Williamson County. In the Battle of Shiloh, Walkers regiment saw vicious fighting and suffered heavy initial losses. Positioned on the left flank of Cleburnes brigade, the men of the 24th Tennessee charged against Federal infantry commanded by Brigadier General William T. Sherman. Walker and the 24th advanced unwavering through a swollen creek and up a ravine under murderous Union fire. The 24ths courage during the charge did not go unnoticed by Cleburne. Here the 24th Tennessee won a character for steady valor, he wrote after the battle. Walker, however, never reached the Union lines; Yankee bullets cut him down during the brigades first charge. He was one of 1,032 casualtiesout of 2,750 men engagedreported by Cleburne after the battle. The 24th Tennessee alone lost 52 men killed and 166 wounded; Company B lost three killed, one of whom was Walker. Federal soldiers buried Walker in a mass grave on the battlefield, his remains left unmarked and irretrievably lost to his family and loved ones. But Walker, though swept away, was not forgotten. Through the efforts of his brother, N.O. Walker, he was posthumously awarded a United Confederate Veterans Southern Cross of Honor, and 43 years after his death at Shiloh, a room at George Peabody College in Nashville, Tennessee, was dedicated in his honor. Just after midnight on May 1, 1898, the USS Olympia led the United Statess Asiatic Squadron quietly through the calm, glassy waters of the Boca Grande Channel, between the island of Corregidor and the coast of Luzon in the Philippines. The United States was at war with Spain, and the American squadron was preparing to attack a Spanish fleet in Manila Bay. As Sunday morning dawned hours later, the Olympias commander, Captain Charles Gridley, waited for the order to fire his ships guns. The order would come from the squadrons commander, Commodore George Dewey, who watched from atop the Olympias flying bridge as shore batteries fired harmlessly at the advancing column of American ships. At 5:40 A.M. Dewey finally hailed Gridley with the now-famous words, You may fire when you are ready, Gridley. The ensuing Battle of Manila Bay ended with the destruction of the Spanish fleet and the surrender of the Philippine capital of Manila. It signaled to the world that the United States was a major naval power and made Dewey a national hero. The pivotal sea battle also hastened the death of the terminally ill Captain Gridley. Though considered one of the best and brightest officers in the United States Navy at the time of his death, Gridley would probably be forgotten today if it werent for Deweys command. Charles Vernon Gridley was born in Logansport, Indiana, on November 24, 1844. When he was three, his father moved the family to Michigan. Thirteen years later Charles won an appointment from that state to the United States Naval Academy, graduating in 1863. Gridleys first assignment was aboard the nine-gun steam sloop Oneida during the Civil War. As part of the Union fleet commanded by Admiral David Farragut, the Oneida participated in the capture of the Confederate port of Mobile, Alabama, on August 5, 1864. It was the only action Gridley saw during the first 33 years of his career. He spent the remainder of the war on blockade duty. Gridley left the Oneida in 1866 and subsequently received a number of routine assignments, including service in the South Atlantic Station, a four-year stint as an instructor at the Naval Academy, and the command of two training ships. In May 1872, he married Harriet Frances Vincent, and they had three children. On July 28, 1897, the 52-year-old Gridley reached the pinnacle of his career when he was given command of the USS Olympia. Launched in 1892, the 5,870- ton protected cruiser carried four 8-inch guns, ten 5-inch guns, and fourteen 6-pounders and was manned by a crew of 34 officers and 440 enlisted men. Gridley was particularly pleased with this appointment. Not only was the Olympia the flagship of the Asiatic Squadron, but squadron commander George Dewey was a close friend. The only circumstance marring this professional achievement was an intense pain that Gridley had begun experiencing in his right side. The fleet surgeon was unable to find a cause for Gridleys discomfort or for the gradual weight loss that had taken him from a robust 200 pounds to 115. It is believed that he was probably suffering from liver cancer. ON FEBRUARY 15, 1898, the USS Maine exploded in Havana Harbor, killing more than 260 men and setting off a chain of events that would lead to armed conflict with Spain. As the international situation deteriorated, Commodore Dewey, stationed with his Asiatic Squadron in British-controlled Hong Kong, became increasingly concerned about the health of his flagship captain. With each passing day Gridley became weaker. He had lost his appetite and barely had the strength to move around the Olympia. Dewey knew that once war was declared, he would be ordered to attack the Spanish Pacific Fleet, and he didnt want the captain of his flagship debilitated by illness. On April 15, 1898, the fleet surgeon pronounced Gridley physically unfit for duty, and Dewey reluctantly ordered his old friend home. Gridley protested vehemently. He reminded Dewey that as the flagships captain, he was responsible for preparing the squadron for the coming battle. He argued that although he was weak from his illness, he was thoroughly familiar with the battle plan and able to carry out his duties. In the end Dewey relented, and Gridley continued as the Olympias captain. Ten days later news reached Hong Kong that the U.S. North Atlantic Squadron had blockaded Havana. Hong Kongs British governor, Major General Wilsone Black, sent notice that Great Britain had proclaimed neutrality, and that all Spanish and American warships had until 4:00 P.M. that day to leave Hong Kong Harbor. Despite his countrys position, Black penned, God knows, my dear Commodore, that it breaks my heart to send you this notification, beneath his official message to Dewey. Dewey moved his squadron to Mirs Bay, China, and there received the message he had been expecting. Navy Secretary John D. Long cabled: War has commenced between the United States and Spain. Proceed at once to Philippine Islands. Commence operations particularly against the Spanish fleet. You must capture vessels or destroy. Use utmost endeavor. Dewey waited the two days it took for U.S. Consul Oscar F. Williams to join the squadron from Manila before leaving for the Philippines. Williams brought news that the Spanish squadron was leaving Manila Bay for the more defensible Subic Bay, 25 miles north of Manila. On April 27, the anchor chains rattled up through the hawser holes, and the Olympia led the squadron out of Mirs Bay. She was followed by the heavy cruisers, Baltimore, Raleigh, and Boston, two gunboatsthe Petrel and the Concordand a Revenue Service cutter, the McCulloch. Two unarmed colliers, the Zafiro and Nanshan, completed the fleet. On the bridge of the Olympia Gridley ordered Lieutenant Carlos Calkins to set a course across the South China Sea to the Philippines. During the first day at sea, Gridley began the grim task of preparing his ship for combat. Sailors performed musket and cutlass drills, sanded the decks, and bound the masts with anchor chains. The crew also tossed overboard all wooden furniture, paneling, books, and even pinups to reduce the risk of fire during battle. On April 29, Gridley assembled his crew on the quarter deck and read from a proclamation the Spanish governor general of the Philippines had issued five days earlier. It warned the Filipinos that asquadron manned by foreigners, possessing neither instructions nor discipline, is preparing to come to this archipelago with the ruffianly intention of robbing us of all that means life, honor, and liberty. Pretending to be inspired by a courage of which they are incapable . . . [they] shall not profane the tombs of your fathers, they shall not gratify their lustful passions at the cost of your wives and daughters honor . . . prepare for the struggle . . . . Whatever effect the words had on the people of the Philippines, they ignited the anger of the American crewmen. Gridley reminded his men that their nearest point of supply was San Francisco, 7,000 miles across the Pacific, so he urged his gunners to do their best, aim carefully, and make every shot count. When he finished one of the assembled crewmen began to quietly sing the Star Spangled Banner. Before long every man in the crew was singing. In the middle of the afternoon of April 30, the squadron reached Subic Bay, only to learn that the Spanish fleet was not there. Admiral Patricio Montojo Y Parsarn, commander of Spanish naval forces in the Philippines, had arrived at Subic Bay only to find that the defenses there had been neglected and had returned to Manila Bay on April 28. The American fleet regrouped and headed south in pursuit. When the Asiatic Squadron arrived at the Boca Grande Channel in the early hours of May 1, Olympias crew hung battle lanterns, readied the ammunition hoists, and loosened the sea fastening on the cruisers guns. Lieutenant Corwin Rees turned to Gridley and said, Sir, the ship is cleared for action! Dewey knew that the strain of the long night had taken a terrible toll on the ailing captain of his flagship. He offered to excuse Gridley from duty and urged him to go below for some much needed sleep. Gridley refused. Thank you, Commodore, he said, but [the Olympia] is my ship and I will fight her. A mess attendant passed by with a steaming can of coffee. Gridley took a cup, and left for his battle station in the conning tower. The Battle of Manila Bay began at dawn and ended shortly after noon. The Olympia, firing her forward turret, led the Asiatic Squadron down along the shoreline in a close-order column headed directly for the Spanish ships. Except for the flagship Reina Cristina, all of the Spanish ships remained fixed to their moorings or at anchor. Closing on the enemy, Gridley swung the Olympia to the west and ran parallel to the Spanish line, adding the fire of the ships port batteries to the barrage. Behind him, at 200-yard intervals, the rest of the squadron formed a close ellipse and followed his every move. The Olympia led the American line in a series of U-turns that, with each pass, closed the distance between the themselves and the Spanish. Heavy black smoke covered the bay as the hapless Spanish ships received fire from alternating starboard and port guns. As the Olympia headed eastward to begin her fourth pass down the Spanish line, the Reina Cristina, maneuvered out of the smoke and headed straight for the American ship. The Spanish flagship was 1,200 yards from Gridleys ship when several hits forced the Reina Cristina to limp back to shoal waters. It was a gallant but futile effort. At 7:30 A.M. Dewey received word that the Olympias ammunition was low. Concerned that the rest of the squadron was in the same position, Dewey ordered his ships to withdraw and take stock of the situation. Not willing to alarm the crewmen, he gave breakfast as the reason for the withdrawal. One gunner remonstrated to Deweys chief of staff, For Gods sake, captain dont let us stop now! To hell with breakfast! At the captains conference that was called, all the news was good. Ammunition supplies were still ample, and though the squadron had taken a number of hits, damage was slight. Only six Americans had been wounded and there were no fatalities. Shortly after 11:00 A.M. the Asiatic Squadron regrouped to renew its assault on the Spanish fleet. Only the shore batteries and one small cruiser, Don Antonio de Ulloa, were still firing. By 12:30 P.M. the Ulloa had been sunk, and Montojo surrendered. Dewey had executed his orders to perfection. The Americans had sunk or destroyed seven warships. The Spanish had suffered 381 fatalities; the Americans, none. The battle, however, would claim one American life a month later. The searing heat and poor ventilation in the Olympias conning tower, combined with the strain of the battle, had proved too much for Gridley. At some point during the day he struck his side on the edge of the chart table, and when the battle was over Gridley had to be carried from his post. He never rose from his sickbed, and Benjamin Lamberton replaced him as captain of the Olympia. On June 5, Captain Charles Vernon Gridley died in the harbor of Kobe, Japan, on his way home aboard the passenger liner Coptic. Four days later, his casket was carried through the streets of Yokohama in an impressive funeral procession, accompanied by an honor guard of Imperial Japanese Marines. All foreign ships in the harbor flew their flags at half mast. Gridleys ashes were returned to the United States and interred at Lakeside National Cemetery in Erie, Pennsylvania, where four guns sent by the United States Navy from the Spanish Arsenal at Cavite in Manila Bay were placed on his grave. In March of 1918, the navy bestowed another honor on the Olympias late captain when his daughter Ruth helped launch a new destroyer, the USS Gridley. With the immortal words, You may fire when you are ready, Gridley, Commodore George Dewey honored his old friend by allowing him to lead the American squadrons charge against the Spanish. But the command did more than set the stage for the May 1, 1898, battle. Those eight words assured the dying captain of a place in American history. This article was written by Richard Harris and originally published in the February 1998 issue of American History Magazine. For more great articles, subscribe to American History magazine today! General William Westmorelands words to Major Norman Schwarzkopf left a lasting impression at Duc Co in 1965 Soldiers often form a strong opinion toward the news media, either positive or negative, from a first encounter. In August 1965, I may have been the unwitting influence on a U.S. Army officer in Vietnam who would go on to become the commanding officer of U.S. forces in the first Gulf War, General H. Norman Schwarzkopf. Major Schwarzkopf was an adviser to the South Vietnamese Airborne who were attempting to secure Duc Co, a strategic U.S. Special Forces camp west of Pleiku near the Cambodian border. The South Vietnamese forces had been holding off two full regiments of North Vietnamese regulars, a much larger and much better trained and equipped enemy than the two Viet Cong battalions they had expected to fight there. As a freelancer, just a few months in-country, I hitched a ride into the raging battle with a U.S. Army dustoff chopper going in to recover Army of the Republic of Vietnam (ARVN) casualties. It would be my baptism of fire in Vietnam. In several days of heavy and costly fighting, the South Vietnamese marines and ARVN managed to push the Communist troops back to their sanctuaries in Cambodia. I had been covering the ARVN forces for most of the battle until the siege of Duc Co was lifted on August 17. The following morning Military Assistance Command, Vietnam (MACV) commander General William Westmoreland, dressed in freshly pressed fatigues and shined boots, arrived by helicopter to personally review the success of an important engagement. He was introduced to the senior U.S. adviser to the victorious South Vietnamese troops, ostensibly to gather his observations and be briefed on the performance of our allies. While my coverage of Duc Coboth the stills and film footage I shotgot wide exposure and turned out to be my first of many exclusives in Vietnam, I had long forgotten about the young major who Westmoreland met with there. It wasnt until I read General Schwarzkopfs 1992 autobiography and his account of the battle for Duc Co, that I recalled taking those photos of Westmoreland and his meeting with American advisers there. And, according to Schwarzkopf in It Doesnt Take a Hero, what transpired was a most peculiar and somewhat disturbing encounter with the astute and esteemed General Westmoreland, one that stuck with him, and left a lasting negative impression. Schwarzkopf, while never identifying Westmoreland by name in his account, describes the encounter: The General came over and recoiled a little because I hadnt had a change of clothes in a week and had been handling bodies and stank. Meanwhile the camaramen had followed and several reporters came up with microphones. No, no, the General said. Please get the microphones out of here. I want to talk to this man. Im not sure what I expected him to say. Maybe something like, Are your men all right? How many people did you lose? or Good jobwere proud of you. Instead there was an awkward silence, and then he asked, Hows the chow been? The chow? For chrissakes, Id been eating rice and salt and raw jungle turnips that Sergeant Hung had risked his life to get! I was so stunned that all I could say was, Uh, fine sir. Have you been getting your mail regularly, inquired the General. All my mail had been going to my headquarters in Saigon and I assumed it was okay. So I said, Oh yes Sir. Good, fine job, lad. Lad? And with that he walked off. It was an obvious PR stunt. Hed waved off the microphones, but the cameras were still whirring away. At that moment I lost any respect Id ever had for that general. While this was happening, from a distance I took a number of photos and cranked off a couple of minutes of TV film of Westy, seemingly having an earnest conversation with the big American major in his torn and muddy fatigues. In his book, Schwarzkopf then goes on to describe how his mother watched my TV footage on the evening news the next nightand what her reaction was: The next night, back in New Jersey, the local TV station called my mother and told her that her son was going to be on the evening news. She watched the report, and until the day she died, she always spoke glowingly of the wonderful general shed seen talking to her son in Vietnam and bucking up his morale. In 1983 Maj. Gen. Schwarzkopf was senior adviser to the U.S. Joint Task Force in the invasion of the Caribbean island of Grenada. It was the first time in American history that journalists were barred from going in with our troops. Seven years later, in the 1990-91 Gulf War, as commander of the Coalition Forces, General Schwarzkopf laid down the most draconian restrictions against press coverage since World War I. Thus, the greatest armored assault since El Alamein, when American forces pushed into Iraq, did not have a single television crew to document it. Since putting the pieces of this story together, I have often wondered if my report on Schwarzkopfs strange briefing with General Westmoreland at Duc Cothat his mother so misinterpretedmay have soured him forever on having reporters at the front lines. Don North spent more than four years in Vietnam as a freelance photographer and correspondent for ABC and NBC News. He is a frequent contributor to Vietnam and VietnamMag.com. Hilton Worldwide (NYSE: HLT) today announced the opening of DoubleTree by Hilton Sighisoara - Cavaler. This opening marks the company's debut in Sighisoara and the sixth property in its portfolio in Romania. DoubleTree by Hilton Sighisoara - Cavaler joins 70 other DoubleTree by Hilton properties open across Europe.The refurbished, upscale hotel features 74 modern guest rooms including suites and loft rooms. It is located in Sighisoara, a sixteenth century medieval town in Transylvania, Romania. Sighisoara's historic centre is a World Heritage site and the town is a popular tourist destination due to its well-preserved medieval architecture, particularly its beautiful citadel. Visitors can explore the citadel's nine towers including the landmark Sighisoara Clock Tower, ornate churches and Vlad Dracula's house. DoubleTree by Hilton Sighisoara - Cavaler is within walking distance of the town's major attractions and is also easily accessible from Romania's international airports. Guests can dine at Terasa Domnitei restaurant which offers stunning views of the Citadel, have snacks and cocktails at the Lobby Bar, or taste traditional Romanian cuisine and international dishes at Don Quijote restaurant. In addition, the hotel's mulitfunctional meeting space can host up to 300 people. Natural light streams into the meeting space, which can also be made into a pillarless ballroom. "We are delighted to have opened this hotel which joins two other DoubleTree by Hilton properties in Romania," said Dianna Vaughan, senior vice president and global head, DoubleTree by Hilton and Curio - A Collection by Hilton. "DoubleTree by Hilton Sighisoara - Cavaler will offer the welcoming comforts and hospitality common to all DoubleTree by Hilton hotels, starting with a warm chocolate chip cookie welcome upon arrival and continuing with excellent service throughout a guest's stay." The hotel offers extensive leisure and business facilities. At the spa, guests can select from a range of treatments including Turkish Hammam massage. The spa features a relaxation area, luxurious changing rooms and a dry and wet sauna. Guests can also take a dip in the indoor pool overlooking the citadel, or exercise at the modern, 24-hour fitness center. "DoubleTree by Hilton Sighisoara - Cavaler is ideal for travellers to Sighisoara seeking a trusted international hotel brand," said Marius Tatar, general manager, DoubleTree by Hilton Sighisoara - Cavaler. "With its range of facilties, the hotel is perfectly placed to cater to both leisure and business travellers. We look forward to welcoming guests to the property." DoubleTree by Hilton Sighisoara - Cavaler participates in Hilton HHonors, the award-winning guest-loyalty program for Hilton Worldwide. The program is open to all guests and free to join. Hilton HHonors members who book directly through preferred Hilton channels have access to instant benefits, including an exclusive member rate that can't be found anywhere else, free standard Wi-Fi, digital check-in and HHonors Points. DoubleTree by Hilton Sighisoara - Cavaler is located at 6, Consiliul Europei St., Sighisoara, 545400, Romania. For more information, or to make a reservation, About Hilton Hilton (NYSE: HLT) is a leading global hospitality company with a portfolio of 18 world-class brands comprising more than 6,800 properties and more than 1 million rooms, in 122 countries and territories. Dedicated to fulfilling its founding vision to fill the earth with the light and warmth of hospitality, Hilton has welcomed more than 3 billion guests in its more than 100-year history, earned a top spot on the 2021 World's Best Workplaces list and been recognized as a global leader on the Dow Jones Sustainability Indices for five consecutive years. In 2021, in addition to opening more than one hotel a day, Hilton introduced several industry-leading technology enhancements to improve the guest experience, including Digital Key Share, automated complimentary room upgrades and the ability to book confirmed connecting rooms. Through the award-winning guest loyalty program Hilton Honors, the nearly 128 million members who book directly with Hilton can earn Points for hotel stays and experiences money can't buy. With the free Hilton Honors app, guests can book their stay, select their room, check in, unlock their door with a Digital Key and check out, all from their smartphone. Visit newsroom.hilton.com for more information, and connect with Hilton on Facebook, Twitter, LinkedIn, Instagram and YouTube. DALLAS DHISCO Inc., the world's leading hospitality distribution company, today announced it has been selected by the Abu Dhabi-based tour operator Nirvana Travel and Tourism LLC to power its global growth. The partnership is the latest in a series of new alliances DHISCO has forged to help the growing Middle East travel sector expand globally by ensuring companies like Nirvana Travel and Tourism have access to the best rates and room inventory around the world through its online booking system. Nirvana, the leading full-service tour operator in the United Arab Emirates, recently opened offices in China, Spain and Saudi Arabia. During the next year, it plans to expand to Germany, France, the United Kingdom and the United States. Nirvana said that partnering with DHISCO is key to achieving that growth and ensuring it is able to provide its clients with the best rates and trouble-free bookings at hotels around the globe. DHISCO CEO Toni Portmann said the company is pleased to be a part of Nirvana's expansion plans. "For many years, the online travel marketplace has been centered in the United States and Europe," she said. "That is quickly changing. And we are working to expand our reach to help both hotels and distributors across the Middle East and other emerging markets grow their businesses by providing the advanced technologies that connect them to the world." In recent months, DHISCO also announced partnerships with Kuwaiti travel wholesaler Easy Travel System, and the Dubai-based companies, BetterBooking.com and Darina Holidays. About DHISCO Inc. DHISCO Inc. is the world's original and leading hospitality distribution company, providing the most reliable and advanced technology to connect hotels around the world with online travel agencies, global distribution systems, metasearch engines and other travel partners. Since 1989, DHISCO has built its reputation on providing the most efficient and affordable means to market, capture and book hotel reservations. Today, it moves more than 9 billion transactions a month for more than 110,000 hotels. About Nirvana Travel and Tourism LLC Nirvana Travel & Tourism LLC, established in Abu Dhabi in 2007, is the leading tour operator in Abu Dhabi and the United Arab Emirates. It provides stateof-the-art services in ticketing, hotel reservations, transportation, visa, sightseeing and packages. The company has more than 30 offices in Abu Dhabi, Dubai, China, Spain and Saudi Arabia and has plans to expand to Germany, France, the United Kingdom and the United States by the end of 2016. Jeri Clausing PR Representative It looks like you've reached a page that doesnt exist (anymore). Please use the navigation or search above to find content on Hospitality Net. Go back to home Privacy Overview This website uses cookies so that we can provide you with the best user experience possible. Cookie information is stored in your browser and performs functions such as recognising you when you return to our website and helping our team to understand which sections of the website you find most interesting and useful. How to Create a Successful Customer Survey A good Customer Survey allows you to rapidly gather plenty of feedback. Learn about the basic principles and listen to what your customers have to say! The impact of a Customer Survey Customer satisfaction has become one of the strongest indicators for sustainable business success. As weve seen again and again, people trust the word of mouth and shared customer experience has become the holy grail for customer retention. It is up to hotels, and any other businesses, both to satisfy their guests and create ways of measuring and disseminating customer experiences. In the digital age, positive feedback not only helps hoteliers retain existing customers, but it also and maybe more importantly helps them gain new customers. Thats why business owners need to find good ways of effectively collecting guest reviews. This is when the customer survey comes into play. If well constructed, a customer survey can allow you to rapidly gather plenty of feedback on topics that are important to the success of your business. Dont worry if this sounds daunting creating effective surveys is easier than you might think. Just take your time, read on and try to implement our guidelines as accurately as possible. Interested in learning how to create a successful customer survey? About the company Customer Alliance is a young, Berlin-based company offering smart solutions for hotels. Customer Alliances Review Analytics is the official tool of the German Hotel Association (IHA) for hotel reputation management. More than 35.000 hotels in over 35 countries are already improving their workflow with the 360 reputation management. software. Review Analytics helps hoteliers get more authentic reviews to improve their online reputation. Hoteliers can keep track of how they are doing in relation to their competitors, as well as more effectively increase their sales by generating commission-free bookings through their own distribution channels. Since officially starting in 2009, the fast-growing company has become a 90+ person international team composed of members coming from various backgrounds in the tourism & hotel industry. Set to open in late 2018, the 353-room hotel will be adjacent to the Texas Medical Center, the world's largest medical complex with 50 million square feet of buildings on 1,345 acres, and comprising 21 hospitals which accommodate eight million patient visits annually. InterContinental Hotels Group (IHG) today announced the development of a new InterContinental Hotels & Resorts property in Houston by Medistar Corporation. Set to open in late 2018, the 353-room hotel will be adjacent to the Texas Medical Center, the world's largest medical complex with 50 million square feet of buildings on 1,345 acres, and comprising 21 hospitals which accommodate eight million patient visits annually. Joel Eisemann, Chief Development Officer, The Americas, IHG said: "We are very enthused about working with our partners at Medistar Corporation to bring the InterContinental Hotels & Resorts brand to Houston and the Texas Medical Center, which serves patients and visitors from all around the world. We appreciate Monzer Hourani's vision for and commitment to this outstanding project." The InterContinental Houston Medical Center will be owned by Medistar and managed by IHG. Medistar, one of the leading real estate development companies in the country, specializes in the design, development, financing, acquisition and construction of healthcare, commercial, hospitality and mixed-use projects nationwide. The hotel is the first full-service luxury hotel to be developed in the immediate area in several decades and will provide modern accommodations, amenities and guest services for domestic and international travelers. At 21 stories tall, the new property will feature a signature InterContinental Club Lounge, 37 suites, restaurant and bar, outdoor pool and fitness center. The hotel will feature 11,800 square feet of meeting space, including seven meeting and board rooms and a 7,800-square foot grand ballroom. Located next to the world-renowned Texas Medical Center, guests will enjoy easy, walkable access to the medical complex's 21 renowned hospitals, 14 support organizations, 10 academic institutions, eight academic and research institutions, seven nursing programs, three public health organizations, three medical schools, two pharmacy schools, and a dental school. Monzer Hourani, Founder and CEO, Medistar Corporation, said: "The development of the InterContinental Houston Medical Center will serve a critical need for guests and business travelers to the Houston area, especially those visiting and doing business at the Texas Medical Center. We are proud to partner with IHG to bring this project to life." This year marks the 70th anniversary of the InterContinental Hotels & Resorts brand, which is now twice the size of any competing luxury hotel brand globally. In January 2016, the landmark InterContinental London - The O2 hotel opened, and the InterContinental New York Barclay hotel recently reopened following an extensive renovation. There are three InterContinental properties currently under development in the U.S., in downtown Los Angeles, in the North Embarcadero area of downtown San Diego, and on the southwest waterfront in Washington, D.C. Yesterday (July 10), Drake revealed that Megan Flores, the adolescent girl to whom he dedicated his latest album, VIEWS, had lost her battle with cancer. Megan, known to fellow fans as OVO Megan, considered herself to be Drakes biggest admirer, and earlier this year, the Make-A-Wish Foundation set up a meeting between Drake and Megan in the Miami hospital in which she was being treated. Drake had been in Miami as a special guest on Rihannas ANTI tour, and Rihanna also came to the hospital to meet Megan and pose for pictures with her and Drake. Rihanna, too, paid tribute to Megan on social media upon hearing of her death. The opening slide in the VIEWS liner notes reads, This body of work is dedicated to Megan Flores. I pray for your well being every day. Yesterday, Drake shared a picture of Megan with a halo of flowers photoshopped onto her head. In the caption of his post, he wrote a touching eulogy: Rest in peace my angel. I know heaven is celebrating today. Thank you for the moments and emotions we share I am blessed to have known you in this lifetime. In her memorial Instagram post, Rihanna posted a joyous picture of her, Drake, and Megan from their hospital visit in March. She referred to Megan as an angel on earth and wrote: Its heavy news that I cant slide in your DMs anymore like I used to!! There was always a smile waiting for me there! You brightened any day! Rest up baby girl! We will miss you!! Drake We all know that Dwyane Wade will be donning a Chicago Bulls jersey next season, but what will his new signature sneakers be looking like? Glad you asked, because today we have our first look at the Li-Ning Way Of Wade 5 which, of course, Dwyane Wade will be lacing up at the United Center and beyond next season. Oddly enough, the first Way Of Wade 5 to be unveiled is decked out in a beaming Volt 14 colorway- something we wont be seeing paired up with his red and black Bulls uni. As a whole, the Way Of Wade 5 is similar to the previous Li-Ning Way Of Wade silhouettes, a mid-cut mesh constructed upper with Li-Ning branding in all the familiar places. Ive been a fan of Wades L-Ning line thus far and this sneaker is no different, its just a shame the kicks have been limited to overseas releases. Speaking of releases, a release date hasnt been announced but rumors suggest this Volt 14 Way Of Wade 5 will be launching during D-Wades Summer tour in China, with more colorways following shortly thereafter. Whatre your thoughts on Dwyane Wades 5th Li-Ning signature shoe? Item #1 Jordan Brand always closes out the year with a number of highly coveted releases for the Holiday Season, and this year will be no different. Joining classics like the Space Jam Air Jordan 11s and True Blue Air Jordan 3s is this Space Jam Air Jordan 9. This classic white, black and team red colorway originally released in 1993 and the 2016 edition looks just as slick as they did 23 years ago. However, this time around theyve been given the Space Jam moniker, as Jordan Brand continues to celebrate the films 20th anniversary. Some may recall that during the film Daffy Duck and Bugs Bunny had to go back to MJs house in 3D Land to retrieve his basketball gear, which included a pair of the OG Air Jordan 9s, which is why Jordan Brand is billing this release as a Space Jam sneaker. Check out that video clip below and mark December 3rd on your calendars if you like what you see- the kicks will retail for $190. Item #1 On May 26, Troy Ave was arrested in a Manhattan hospital, where he was being treated for gunshot wounds, after he was caught firing a gun on surveillance footage that had been taken the night before during a T.I. concert at the downtown venue Irving Plaza. Multiple shots were fired backstage, and the one casualty of the shooting was Troys longtime friend and bodyguard Ronald McPhatter aka B$B Banga. Troy was seen on camera entering a backstage room and shooting his pistol, though it was unclear whom he was aiming at and whether or not he was the first to open fire. He was arrested on charges of attempted murder and weapons possession. On June 20, it was reported that Troy was indicted on a total of five felonies relating to the Irving Plaza shooting, though the grand jury decided not to indict him for the murder of McPhatter, thus absolving him of a potential first-degree murder charge. After over six weeks at Rikers Island, Troy has finally been released on $500,000 bail. Now that hes out, New York authorities have already placed numerous stipulations upon the Brooklyn rapper. He has been given an 11pm curfew, and he is not permitted to attend, visit or enter clubs, bars, arenas, stadiums or the like. He is confined to the five boroughs of NYC, and he must wear and pay for an ankle bracelet at all times. Police are still trying to discover who fired the bullet that killed McPhatter. While he was locked up, Troy Ave dropped a mixtape, which has now proven to be a relatively successful initiative. Listen to Free Troy Ave here. Troy Ave There hasnt been a Waka project thus far into 2016. In January, just over a month after his Flockaveli 1.5 mixtape, Waka announced that he and Southside (who raps as Young Sizzle) would be dropping a tape later that month. Though he and Sizzle soon dropped two collabs, their joint tape still hasnt surfaced. Lucky for Waka fans, the Brick Squad Monopoly boss has just revealed his plans to drop two new mixtapes this month. The news broke yesterday on Wakas Instagram, as he posted screenshots of the iTunes tracklists of both LeBron Flocka James Pt. 4 and Flock Curry (DuFlockaRant Edition). Though he still hasnt given an update on his joint tape with Sizzle, the 808 Mafia leader will contribute heavily to LeBron Flocka James Pt. 4 as both a rapper and producer, as each of his aliases are seen on the tapes tracklist a combined eight times. The name Black Yo (Blac Youngsta, perhaps?) is cut off on the iTunes screen, and aside from that, there are no other features listed on LeBron Flocka James Pt. 4, which contains 15 tracks. The tape will included two of Wakas previously released freestyles his FlockMixes of Drakes Hype and Kanyes Real Friends. Two collabs with Sizzle that were released in January, New Year and One Eyed Shooters, also show up on the LBFJ4 tracklist. The DuFlockaRant edition of Flock Curry includes 17 tracks, only one of which Novembers Blue or Red has already dropped. The only guest features that can be seen via the screenshot are Chaz Gotti and Busta Rhymes. The collab with the latter veteran should be a wild one. Whos ready for two Flocka tapes? Guitarist now in stable condition Joe Perry collapsed onstage Sunday night while playing with Hollywood Vampires in Coney Island. The Aerosmith guitarist was rushed to the hospital after going into cardiac arrest. He was just two songs into his set. The band finished their set without him. Hollywood Vampires includes Perry, Johnny Depp, and Alice Cooper, who told the crowd that Perry had been "very sick before the show". Through Twitter, Cooper also confirmed this morning that Perry was in stable condition, surrounded by his family, and "under the best care". Superstar rockers Tom Morello, Nikki Sixx, and Kirk Hammett all tweeted their well wishes to Perry. Aerosmith had been weighing the option of a farewell tour next year; no word as of yet if Sunday's incident affects their plans. Goldie Hawn to co-star as her mother Amy Schumer will star in Katie Dippold's latest film project. Dippold, whose writing credits include Parks and Recreation, The Heat, and the new Ghostbusters movie, detailed the project in a recent interview. The movie is already in filming but currently untitled. The comedy concerns a mother and daughter lost in the Amazon rainforest trying to get to an American embassy. Goldie Hawn will co-star as the mother of Schumer's character. The film is Hawn's first acting credit since 2002. She has voiced enthusiasm about the project and said she is a fan of Schumer. Jonathan Levine (Warm Bodies, 50/50) is directing. Schumer will come to Dublin on August 26 to perform his first Irish stand-up gig. The thrilling artistic and gymnastics based theatrical experience is coming to the 3Arena Cirque du Soleil has announced its first-ever Irish and UK arena tour of its signature production, Varekai. The critically-acclaimed show has been updated and features new acts yet to be seen in Ireland. The word Varekai (pronounced veraykie) means wherever in the Romany language of the gypsiesthe universal wanderers. Directed by Dominic Champagne, this production pays tribute to the nomadic soul, to the spirit and art of the circus tradition, and to those who quest with infinite passion along the path that leads to Varekai. The jaw-dropping show will be at Dublin's 3Arena from February 8 to 12 2017. Advance tickets for Varekai go on sale online to Cirque Club members at 9.00am at Wednesday 13 July. Tickets will then go on sale to the general public at 9.00am this Friday 15 July 2016 at www.cirquedusoleil.com and www.ticketmaster.ie. Advance tickets are available from 40.05 including booking fee. Advertisement SHOW INFORMATION: 3Arena Dublin Wednesday 8 February, 8.00pm Thursday 9 February, 8.00pm Friday 10 February, 8.00pm Saturday 11 February, 4.30pm Saturday 11 February, 8.00pm Sunday 12 February, 5.00pm Despite the advice from the attorney General that the bill was unconstitutional a number of Government TDs supported the bill, which aimed to allow abortion in limited circumstances in Ireland. The Fatal Foetal Abnormalities Bill, put before the Dail by Wexford TD Mick Wallace (pictured), was defeated today. The final tally was 45 in favour and 95 against. This result followed a decision by the Taoiseach Enda Kenny, made under considerable pressure, to allow a free vote by independent members of the Government on the issue. Among those said to have voted in favour of the bill are Minister for Transport Shane Ross, Super Junior Minister in the Department of Health, Finian McGrath and Minister of State for Training and Skills, John Halligan. The bill was also supported by five Fianna Fail TDs Robert Troy, Niall Collins, Timmy Dooley, Fiona OLoughlin and Lisa Chambers. Had all of Fianna Fail voted against the government, the bill would have been passed. The Government had been advised by the Attorney General, Maire Whelan, that the bill is unconstitutional, on the basis that it conflicts with the provisions of the Eighth Amendment to the Constitution (1983). That advice and todays decision must surely increase the pressure on the government to repeal the Eighth Amendment. A recent Red C opinion poll found that 87% of Irish people of voting age are in favour of expended access to abortion in Ireland. The Dail debate and decision have to be seen against the backdrop of the experience of Amanda Mellet. In 2011, she discovered that her pregnancy of 21 weeks involved a case of fatal foetal impairment, as a result of Edwards Syndrome. Amanda wanted to have an abortion but that was not permitted in Ireland. Advertisement She travelled to England, to have a termination in Liverpool Womens Hospital, but after 36 hours of labour, she had to travel home without staying overnight at which stage she was still bleeding. Amanda subsequently made a complaint to the UN Human Rights Committee, which argued that Irelands failure to allow abortion represented a violation of her human rights. The determination made by the Committee in response to this complaint was absolutely clear: that Irelands prohibition on abortion had subjected Amanda Mellet to intense physical and mental suffering. It also stated that this countrys criminalisation of abortion had caused her shame and stigma, and that her suffering was made even worse by the barriers which make information about the healthcare options available to her difficult to access. The Committee also stated that Amanda had been subjected to cruel, inhuman or degrading treatment. And they concluded that her right to privacy had been violated. In addition, more generally, the Committee found that Ireland discriminates against women like Amanda, who choose to terminate pregnancies in cases of fatal foetal impairment, compared to women who decide to proceed with the pregnancy even after a fatal foetal impairment has been diagnosed. The latter receive public health care and insurance cover, whereas those like Amanda, who decide to have an abortion, have to bear the full cost themselves. All told, it is a damning assessment of Irelands treatment of women. The Eighth Amendment needs to go, Colm OGorman of Amnesty International says in an article in response, in the Irish Times today, and a legal and healthcare framework be put in place that respects womens and girls rights. The issue of the Eighth Amendment is also covered in an extraordinary interview with Trinity College Senator, Lynn Ruane, in the new issue of Hot Press. It must be an exciting day at TV3 HQ as today marks the day Red Rock airs on the BBC. TV3's IFTA-winning original soap has seen a meteoric rise in success since beginning in January of 2015. The show will be airing on BBC One today at 1.45pm. Based in a fictional town on Dublin seaside, Red Rock sees the fatal feuding between two rival families, the Kielys and the Hennessys. The town is torn in two as the Guards try to put an end to this war but they too get embroiled in the corrupt affairs. A proud product of TV3, Red Rock has a pedigree team of screenwriters, producers, cast and directors that enhance the show to become the success it is with Oscar-nominated producer Ed Guiney acting as an executive producer. As well as making its English debut, Red Rock is also making its impression Stateside as it was swooped up by Amazon Prime. Controller of Daytime TV at BBC, Dan McGolpin has every faith that Red Rock will be received as favorably in the UK as it has been here at home; I watched a few episodes of Red Rock and once Id watched two/three episodes, I was hooked. It was really high quality. The acting is fantastic, the plots, the characters, the storylines. Its just something I think our viewers will really enjoy. Its going to be fascinating to see how our viewers react. To see how well the Dublin culture and accent that is so imbued in Red Rock translates to English viewers will be the real test for the soap. However, with such a prestigious team of soap experts behind the soap, there's no doubt that Red Rock will be a suitable fit for the BBC. Red Rock will return to Irish TV's this September on TV3. This article can only be read with a Premium Account The Slipknot mainman has joined Beyonce and Don Henley in their antisocial media stand Corey Taylor took swift and decisive action last night when he spotted a fan texting during a Slipknot gig in the States. The frontman sprinted to the front of the stage and smashed the phone out of the gentleman in questions hand. Afterwards, the dude totally understood the fuck up and we laughed together, Taylor said afterwards. So many people have their faces glued to their phones and they're not paying attention, I point and laugh anytime I see somebody trip walking down the street, and I'm brutal - I mean, I'm brutal. It's loud, it's obnoxious, there's a lot of pointing, I'm asking people, 'Did you see that?' I love it. I think it's funny." With Beyonce and Don Henley both banning iPads from their Dublin shows and Jack White, Adele and Noel Gallagher also urging gig-goers to live in the moment rather than through a screen, the technological tide may be turning No additional Irish dates announced The 1975 have announced six December UK shows. The band had previously announced a December 16 show at the O2, which sold out within hours. The coming arena tour includes a second date at the O2. The band are currently on a world tour promoting this year's album I like it when you sleep, for you are so beautiful yet so unaware of it. The band will perform at this year's Electric Picnic. Check out their new dates and the video for 'UGH!' below. Tue December 13 2016 - MANCHESTER Arena Thu December 15 2016 - LONDON O2 Arena Fri December 16 2016 - LONDON O2 Arena Sat December 17 2016 - NEWCASTLE UPON TYNE Metro Radio Arena Mon December 19 2016 - GLASGOW SSE Hydro Wed December 21 2016 - BOURNEMOUTH BIC Thu December 22 2016 - CARDIFF Motorpoint Arena The police shootings last week of black men in Louisiana and Minnesota added strength to the national argument that the races aren't equal in the eyes of law enforcement. A new study found that's largely true -- but that in Houston things are a little different. The debate around the use of force by police has been clouded by a lack of comprehensive data. Law enforcement in America is split across 18,000 individual departments, with little information shared at the federal level. So to look at the question of whether there really are differences in how blacks and whites are treated by police, a young Harvard economist named Roland Fryer had to get creative. For a working paper published today with the National Bureau of Economic Research, Fryer studied a national survey on police interactions with the public, data from New York City's Stop-and-frisk program, event summaries from police departments in 10 major cities and counties, and -- most interestingly from our perspective -- a large data set from the Houston Police Department of police-civilian interactions in which lethal force might have been justified. The results showed a striking difference. In more minor events, such as grabbing civilians or pushing them against a wall, the stop-and-frisk data showed that blacks and Hispanics are 50 percent more likely to have an interaction with police that involves use of force. Federal data showed an even larger disparity. That's consistent with other research that shows people of color are more likely to be arrested than white people, and more likely to be on the receiving end of force. RELATED: A year later, Bland remembered with milestones, poetry But when Fryer looked at the Houston data, which was the most comprehensive set available, he found that in more dangerous situations -- such as aggravated robberies -- blacks were 23.8 percent less likely to be shot at by police than whites. "We cannot detect racial differences in officer-involved shootings on any dimension," Fryer wrote. Fryer is the first to admit that this might not be a representative sample of all police departments -- transparency is often a virtue of those with the least to be ashamed of. National but less comprehensive sources of information, such as the Washington Post's police shootings database and another by the Guardian, show that more unarmed black people have been shot than unarmed white people recently, and are more likely to die during routine traffic stops. And of course, this is one set of preliminary results about an incredibly complicated topic with many dimensions that have yet to be fully explored, so its results should be interpreted cautiously. We will have better national data soon, when the FBI starts collecting more information on officer-involved shootings. For now, though, Fryer sees some hope in the results: If the racial disparity is more limited to less dangerous incidents, perhaps there's greater possibility for reform. "Many arguments about police reform fall victim to the 'my life versus theirs, us versus them' mantra," he writes. "Holding officers accountable for the misuse of hands or pushing individuals to the ground is not likely a life or death situation and, as such, may be more amenable to policy change." However, it may be that this study isn't so much about police brutality nationally, and is more about Houston. That's certainly the conclusion of Capt. Greg Fremin, who spoke to a mostly black congregation in Humble on Sunday at the invitation of the Rev. Keion Henderson. "Because of the spiritual work that Pastor Henderson and many other spiritual leaders have done, Houston is one of the only major cities in the last 19 months that when Ferguson was happening, when Baltimore was happening, you didn't see mass chaos and disruption in this city, cars being overturned, burning," Fremin said. "We're better than other cities. We are," he continued. "Will we fall short from time to time? We will. But can we come together at the end of the day, and put our hands on each other as brothers in Christ and say 'we're gonna learn from it.'" Nationally, the share of people working from home has been growing steadily for years. Back in 2005, only 3.6 percent of American workers powered up their laptops in home offices; a decade later, the share was up to 4.49 percent. Here in Houston, there are several areas with an even larger contingent of stay-at-home employees. Take the 77098 ZIP code in Upper Kirby, for instance. Here, 7.5 percent of workers can forgo business casual in favor of bunny slippers as they log their work hours from home. Mayor Sylvester Turner has asked the U.S. Department of Justice to review a fatal weekend police shooting of an armed man who friends say was searching for a stolen horse overnight on Houston's south side. Alva Braziel, 38, was shot dead by Houston police early Saturday morning after waving a gun when he was approached by two officers, authorities said. "Because tensions are running high, I strongly recommend that all available video be released as soon as possible," Turner said in a statement Monday afternoon. WASHINGTON - A growing cadre of Republican dissidents hoping to block the nomination of Donald Trump started gathering Monday in Cleveland, where they opened an office and vowed to upend the celebrity businessman when the convention starts in seven days. "Together, we're going to have a first ballot surprise for Mr. Trump in Cleveland," said Jack Burkman, a prominent Washington lobbyist and syndicated radio show host who threw a party over the weekend at his suburban Virginia home to raise more than $500,000 for the stop-Trump effort. As Trump's opponents planted their flag in Cleveland, a federal judge in Richmond threw out a Virginia law binding all the state's delegates to Trump, a case brought by a Virginia campaign leader for Texas U.S. Sen. Ted Cruz. Though the odds remain long, two national groups who are organizing the anti-Trump campaign say they have the backing of at least 400 GOP delegates, including several dozen from Texas, and enough support from rank-and-file conservative activists to upset the image of party unity that Trump will need to project on prime-time television. Many also came to Cleveland to put their conservative stamp on platform committee meetings that began Monday, a prelude to a rules showdown later in the week in which the dissidents will seek to free delegates to vote as they choose, rather than by the results of their state caucuses and primaries. The first shots of the 2016 Republican National Convention were fired Sunday night in a national conference call of the movement's leaders. "This is the first time in 30 years where there's been a mass of people, literally thousands of people, saying 'Hey, I want to do this,'" said veteran political operative Dane Waters, a founder of the group Delegates Unbound. "It's an amazing, grass-roots, up-from-the-bottom movement of individuals who truly want to vote their conscience." Though many of the groups' leaders are backers of Cruz - who will enter the convention with 559 delegates of his own - the Texas Republican is staying in the background. His supporters are not. "Thank you Cruz crew," one of the phone conference participants said at the end of the call. "You guys rock." Cruz delegate Kendal Unruh, a Colorado activist and a leader of the group Free the Delegates, said in an interview that the coalition includes backers of all of Trump's primary rivals. "It's heavily weighted towards Cruz," she said, "but there's nobody's fingerprints on this." If not Trump, who? Opponents of the anti-Trump rebellion point to the lack of a consensus alternative as the movement's most glaring weakness. To Unruh, that has been its strength, distancing the effort from any particular candidate. "I call it the Vanna White effect," she said, referring to the hostess of TV's "Wheel of Fortune." "It wouldn't have worked to have a candidate involved." Unruh, a schoolteacher in suburban Denver, realizes they face an uphill fight this week. "From Day One," she said, "I knew I was up against the establishment on the Rules Committee. They are the picture in the dictionary next to 'establishment.' " The plan, laid out in Sunday's strategy session, is to press for a "conscience clause" for convention delegates when the GOP rules panel meets on Thursday and Friday. If they can persuade 28 of the 112 Rules Committee members to sign on, they can bring the measure to the floor on the first night of the convention Monday and force a vote of all the GOP delegates. It is an audacious play, though one that depends on a fourth of the Rules Committee, a body that skews heavily toward party leaders and national committee members who have been coalescing around Trump in the name of party unity. Various GOP factions dispute whether Trump's Republican foes will meet that threshold, but some insiders say it could be close. "It's a low bar," said Rules Committee member Steve Munisteri, a former Texas Republican Party chairman now working as an RNC adviser. Even so, Munisteri gives the anti-Trump insurgents little chance of prevailing in the end. "Even among delegates who support other candidates, the majority of them believe we went through a process," he said. "Trump got most of the votes and most of the delegates. So, absent some extraordinary or unforeseen event, you should give the nomination to the person who won the most delegates." Trump and his aides have played down the movement, though the campaign did dispatch lawyers to Richmond last week to fight the legal challenge to Virginia's law binding delegates to the state's winner-take-all rules. Though Monday's decision does not directly apply to other states or change RNC rules, it is expected to reverberate among the anti-Trump forces in 20 other states that bind delegates to their primary vote totals as a matter of law. The lead plaintiff in the Virginia case, GOP activist Beau Correll, a Cruz campaign worker, argued that the state had no right to tell him how to vote as a member of a private political party. "This is a grass fire waiting to get lit," Correll said. Majority still wavering Anti-Trump organizers emphasize that about two-thirds of Republican primary voters went for candidates other than Trump. "The silent majority of Republicans are still wavering about voting for Donald Trump," said Jonathan Davis, a Louisiana delegate who, at 23, will be one of the youngest at the convention. Leaders of the dump Trump movement argue that no matter what happens on the Rules Committee, the delegates retain the freedom to vote their conscience on all matters. A political tract prepared by activists Curly Haugland and Sean Parnell lays out the legal and historical case: "Delegates to the national convention are far more than observers who come to the host city for free food, sightseeing, and enjoyment of the speeches and spectacle that make up the convention. ... A delegate must assert that right." Davis and other stop-Trump insurgents say the presumptive nominee would be unlikely to survive a secret-ballot floor vote in Cleveland, a claim hotly disputed by Trump backers, who have been accused of threatening to revoke the credentials of anti-Trump dissidents. In either case, convention floor votes are not cast by secret ballot, and the proceedings, however messy, could play out on national television. While party leaders may be loath to allow a full-scale civil war to break out on the convention floor, the anti-Trump forces say no spectacle could be worse than the damage Trump already has done to with comments criticized as offensive to Mexicans, Muslims, African-Americans and women. "Eruptions into pandemonium could be good," said Burkman, a former Trump supporter. "Just ask our old friend Abe Lincoln. He had the most pandemonium of all in 1860. Pandemonium might be just what we're looking for. Pandemonium might just save the party." Near, far, wherever you are, there's no doubt that "Titanic" had some sort of impact on your life. And even if you don't recall Jack promising to "never let go" or the romantic "flying" scene at the front of the ship, you at least know the 1997 film's iconic theme song: Celine Dion's "My Heart Will Go On." And it looks like Dion's love for the movie does, indeed, go on, as she stepped out wearing a "Titanic" hoodie last week in a truly meta street style moment. Advertisement Legendary..... A photo posted by Law Roach (@luxurylaw) on Jul 8, 2016 at 10:52am PDT The 48-year-old Canadian songstress donned the black Vetements hoodie while out and about in Paris at the end of couture fashion week. Styled by Law Roach (the man who's also behind Zendaya's killer ensembles), Celine paired the hoodie with Saint Laurent jeans, a Tod's bag and Gucci heels. Full look... Vetements sweatshirt ,Saint Laurent Jeans ,Tods bag and Gucci shoes. #styledbyme #fLAWless #celinedion A photo posted by Law Roach (@luxurylaw) on Jul 8, 2016 at 1:02pm PDT And you can bet the Internet went wild over the "legendary" moment. The incomparable @celinedion in a Titanic hoodie might just be our favorite street style moment to date: https://t.co/fsRENes5jB Vogue Magazine (@voguemagazine) July 8, 2016 Advertisement 1- how did i not hear about this 2-why is this thing not in my closet already https://t.co/y00C29IzBs BALLIN' DISASTER (@berlindisaster) July 11, 2016 Celine Dion in a #Vetements Titanic hoodie = everything. Ellis Rose Crawshaw (@ellisrosec) July 10, 2016 The only good thing that happened this week was Celine Dion wearing the titanic Vetements hoodie smh daddy soooo rich (@sh0pgirl69) July 10, 2016 Celine Dion wearing Vetements' Titanic sweatshirt is the best kind of meta #myheartwillgoon#betterwithtitanicpic.twitter.com/BLj2ESfZbR Katie Rosseinsky (@Katierosseinsky) July 9, 2016 According to The Hollywood Reporter, Roach put the mother of three in the sweatshirt as a "little nod" to the 20th anniversary of "Titanic" next year. And Law says Celine is fearless when it comes to her style. Advertisement "There's nothing that scares her. Theres nothing that she wont try," he told The Hollywood Reporter. "Shes a dream come true for a stylist." Follow Huffington Post Canada Style on Pinterest, Facebook and Twitter! Also on HuffPost A Kansas police officer has been fired after he posted a threatening comment about a five-year-old girl on a stranger's Facebook account. The cop, who Fox 4 identified as Rodney Lee Wilson, was dismissed from Overland Park Police Friday after the department heard about the post made from his personal account. Advertisement A mom in Dallas, Texas, who said she has never met the cop, told KCTV 5 she noticed a new comment late Thursday on photos of her five-year-old daughter India from almost two years ago. "We'll see how much her life matters soon.. better be careful leaving your info open where she can be found :)," he wrote. "Hold her close tonight, it'll be the last time." Lanaydra Williams told the outlet when she clicked on his profile, she saw a picture of a police uniform. Advertisement "I felt horrified," she told KSHB. "For this guy to just come out of nowhere, just being disrespectful, how can you be an officer? How, how?" The remark was posted not long after a gunman killed five police officers in Dallas. Overland Park police chief Francis Donchez Jr. said in a statement Friday that an officer had been let go after the force received a report about a Facebook post made from his personal account. I immediately initiated an investigation into the officers actions and requested it be completed in a timely manner," Donchez Jr. said in the statement. Advertisement The cop was later fired, and the police chief confirmed a criminal investigation has been launched. "His actions do not represent the Overland Park Police Department in any way and no one should be subjected to any type of discrimination or this kind of behaviour." WIlliams told Fox 4 that she had no idea how the officer found her Facebook profile and she didn't believe Overland Park police when they initially told her that his account had been hacked. However, the outlet reported that her profile picture showed a person in black holding a knife to a cop's throat, and she said that the social networking site had deleted her account. Also on HuffPost Google Maps Downtown Edmonton residents are concerned over a proposal to open two massive nightclubs in their neighbourhood. The Downtown Edmonton Community League (DECL) was notified last week of two proposed developments, Metro News reported. The first would be a 600-seat bar on 104 Street and 102 Avenue, the second a nightclub that could hold 1,400 seats on 109 Street and 102 Avenue, Metro News reported. Advertisement Many Edmontonians consider 104 Street to be the heart of downtown. The area is home to cozy, historic apartment buildings and small shops and restaurants. "It's literally three to four times what exists on the street right now," Chris Buyze, president of the DECL, told CBC News. Edmonton's 104 Street might soon be home to a 600-seat bar. (Photo: Google Maps) Residents of the area took to social media to express their distaste for the projects. "Part of the reason my husband and I chose to live on this wonderful street is the uniqueness of shops and restaurants. This really seems to be a greedy money grab and will drain a lot of character from the street," wrote one commenter on Facebook. Advertisement "My wife and I live on 104 and we are horrified by the proposal. It would be absolutely horrible for the thousands of people that live immediately above," added another. The area is just blocks from Edmonton's currently under-construction Ice District, which Buyze says would be a better fit for the establishments. We expect those things at maybe Ice District or Jasper Avenue. But on 104 Street, where you literally have thousands of residents and new residential coming, something of that scale, its going to cannibalize whats happened on the street," he said in an interview with Global News. The DECL has submitted a letter asking the City of Edmonton to reject the proposal and is asking residents to do the same. Also on HuffPost: As members of Parliament dive into the contentious issue of electoral reform, a new poll suggests most Canadians want a referendum on any proposed change to their voting system. The numbers released Monday by Forum Research could give ammunition to Conservatives who argue any shift from the first-past-the-post system won't be legitimate unless Canadians get the final say. Advertisement According to the poll, 65 per cent of Canadians agree a national referendum is needed before MPs can change the way they are elected, while 18 per cent say such a step isn't necessary. Seventeen per cent told the firm they have no opinion on the matter. Democratic Institutions Minister Maryam Monsef appears as a witness at an electoral reform committee on Parliament Hill on July 6, 2016. (Photo: Sean Kilpatrick/The Canadian Press) Perhaps unsurprisingly, past Conservative voters were the most likely to support a referendum. Seventy-nine per cent of Tory supporters said they agree the move is necessary, while 10 per cent said it isn't. Advertisement However, 75 per cent of New Democrats also feel a referendum is warranted, while just eight per cent of that's party's supporters disagree. Fifty-six per cent of Liberal supporters also back a referendum, compared to 27 per cent who disagree. While agreement that a referendum is needed spreads across all regions, those in Alberta (75 per cent) and Atlantic Canada (70 per cent) were most likely to back a plebiscite. 'It is apparent where public sentiment sits' Dr. Lorne Bozinoff, president of Forum Research, says the numbers are conclusive. It is apparent where public sentiment sits on this complex issue. "There is a strong majority opinion in favour of a referendum on the way MPs are elected, and it spreads across all regions and socioeconomic groups," Bozinoff said in the poll summary. "It is apparent where public sentiment sits on this complex issue." But if the meetings last week at a special committee on electoral reform are any indication, it seems unlikely a referendum will be called. Advertisement Though Democratic Institutions Minister Maryam Monsef didn't rule a referendum out categorically, she argued a national vote isn't needed. Monsef suggested that since some 63 per cent of Canadian voters supported parties calling for electoral reform last fall Liberals, New Democrats and Greens MPs had a mandate to change the system. Liberals won't commit to national vote Monsef also appeared to hint at the so-called "Brexit" referendum in the United Kingdom to make her case that such votes can spark deep divisions that aren't easily healed." "Referenda do not easily lend themselves to effectively deciding complex issues," Monsef said. Canada's chief electoral officer also provided some insight into the costs and time needed to pull of a national referendum. Marc Mayrand told the committee that a referendum would cost "about $300 million" and Elections Canada would need at least six months to plan for such a vote. Advertisement Chief Electoral Officer Marc Mayrand appears as a witness at an electoral reform committee on Parliament Hill on July 7, 2016. (Photo: Sean Kilpatrick/The Canadian Press) He later told MPs a referendum could be done by mail "which would reduce costs considerably" but the Referendum Act doesn't currently allow for it. Prime Minister Justin Trudeau, who vowed the 2015 election would be the last under the first-past-the-post system, has already gone on record to say referenda are a good way to ensure the status quo. In recent years, voters in British Columbia, Ontario, and Prince Edward Island have all rejected changes to their voting systems when proposals on the matter have gone to a vote. Advertisement The poll, an interactive voice response telephone survey, was conducted on July 5 among 1,429 randomly selected Canadian adults. Forum says the poll has a margin of error of three percentage points, 19 times out of 20. With earlier files from Atlhia Raj ALSO ON HUFFPOST: Defense manufacturer Lockheed Martin has renewed its warning that it will move investment out of Canada if the federal government decides not to buy its problem-riddled F-35 fighter jet. Jeff Babione, the project lead on the F-35, told media at the Royal International Air Tattoo a military air show in the U.K. that the company is looking for alternatives to Canada as a site for F-35 production, a move that could reduce employment by nearly 10,000 jobs. Advertisement Canada is an industrial partner in the development of the F-35. Lockheed Martin said earlier this year that Canadas share of the work would amount to about $10 billion for some 110 companies in the country over the course of the project. The company warned earlier it would pull $825 million in already existing contracts if the federal government opted against buying the jets. The federal Liberals campaigned last fall on a promise to scrap the F-35 contract, which the previous Conservative government had put on hold. In a telling sign, the Liberals earlier this year missed the deadline for a payment required to keep Canada as part of the F-35 project. Advertisement But Defense Minister Harjit Sajjan announced a fresh round of consultations last week to find a replacement for Canadas aging CF-18 jets. The Liberals had previously contemplated buying Boeings F/A-18 Super Hornet jets without a competitive process, so the news that the government would still consider alternatives was welcome at Lockheed. Certainly Canada remains an important partner, Babione said, as quoted at FlightGlobal. But he noted that buying the jets is a condition of landing contracts to build the jet. Were concerned that Canada would not be able to do that, Babione said. We would rather Canada purchase the aircraft. But there is a timeline where we may have to pass work elsewhere. Frank Kendall, the Pentagons senior weapons buyer, said there is no process in place for what do when a country backs out of a large project like this. Advertisement But he suggested the other countries involved would not want to have Canada participating if its not buying the jets. I think there would be pretty strong reaction amongst the rest of the partners to continuing to provide work share to a country thats not participating in buying aircraft, he said, as quoted at Defense News. Killer ejection seat The F-35 program has been plagued with delays and cost overruns, and the latest of these involves the ejection seats used in the aircraft. The U.S. Air Force is pressuring Lockheed Martin to change ejection seats after tests last year found the model used in the F-35 can potentially break the neck of a pilot that weighs less than 136 lbs., and poses an "elevated level of risk" to any pilot under 165 lbs. Advertisement The Air Force is looking at alternative ejection seat models, but says the existing model could also be fixed, according to Defense News. Also on HuffPost Remember when Leslie Jones was having a difficult time finding designers to dress her for the premiere of her new film, "Ghostbusters"? Well, let's just say that everything worked out in the end with a little help from Mr. Christian Siriano. After Jones tweeted out her frustration that brands refused to dress her because she was not a sample size, one designer stepped in to save the day in true "Ghostbusters" style. Advertisement It's so funny how there are no designers wanting to help me with a premiere dress for movie. Hmmm that will change and I remember everything Leslie Jones (@Lesdoggg) June 28, 2016 Who you gonna call? Christian Siriano. The acclaimed designer and the "Saturday Night Live" actress then worked together to serve up a dress that would basically translate into a big "eff you" to all those brands who turned Leslie and her non-sample size body down. Advertisement And the red carpet look did just that. Jones stepped out in front of cameras in a scarlet red column dress featuring a thigh-high slit and off-the-shoulder neckline designed by Siriano himself on Saturday evening in Los Angeles. The old Hollywood look was perfect for the 48-year-old who donned statement jewelry, including a stunning Dannijo collar necklace. Oh how sweet revenge is! Flaunting metallic emerald eye shadow, a glossy magenta lip and a slicked updo, Jones told E! News' Erin Lim that she wants to change the way fashion designers treat "real women." "It takes a real designer to design for real women," Jones told Lim about working with Siriano. "That's what I was saying. I'll always say that." Now that's how you do it! You look stunning Leslie! Simple, elegant, powerful and chic!! @lesdogggg at the premiere for her new film wearing Siriano #ghostbusters A photo posted by Christian Siriano (@csiriano) on Jul 10, 2016 at 10:37am PDT Advertisement And Siriano gave Jones love right back, writing in an Instagram post, "Now that's how you do it! You look stunning Leslie! Simple, elegant, powerful and chic!!" Leslie Jones, making every designer that turned her down regret their decision since 2016. Follow Huffington Post Canada Style on Pinterest, Facebook and Twitter! Also on HuffPost The Calgary Stampede's barrel racing competition is an old hat to Mary Burger. For three days in a row, the 67-year-old Oklahoma grandmother has taken the top prize in the barrel racing competition, winning herself a total of $16,500 and a spot in Sunday's finals. Burger began competing in 1984. She's the world champion barrel racer, with 39 rodeos under her belt and almost $100,000 in earnings this year alone. Advertisement You know, several years back I used to think, Well, Ive got a little age on me, Burger told Global News. But Ive had so many people come up and say youre my inspiration. When I hear the crowd go, I just get goosebumps all over, and Im really, really proud. Mary Burger and her horse Mo compete at the Calgary Stampede on Saturday. (Photo: Mike Ridewood/Calgary Stampede) After racing around the course in just 18.08 seconds on Sunday, the crowd rose for a standing ovation. But Burger admitted she was oblivious to the grand gesture. Advertisement I knew the crowd went wild. I knew that they were noisy and I knew they were yelling for me, but I had no idea it was a standing ovation," she said in an interview with the Calgary Herald. According to the stampede's official blog, she credits her horse Mo as one of the big secrets behind her success. My horse just really tries to please me, and he knows his job. He set a little bit hard on that first barrel, and popped my stirrup, so I was just hanging on, saying go boy, do your thing! And he did it," she said. Also on HuffPost: It's so hot that the door to The Depanneur restaurant is propped open for air circulation. The smell of frying onions and then stewed chicken wafts outside into the steamy Toronto afternoon. It's hard not to stop and peer at what's going on inside the crowded open kitchen in the back. About a dozen women some wearing headscarves, others in jeans are busy cooking a three-course meal. But none are staff; they're Syrian refugees who have been in their new home of Canada for just three months. Advertisement "I like the smell of cooking," said Majda Mafalani. "It feels great to be cooking again. I feel that I was born again." So far removed from their homeland torn apart by civil war, this natural and simple act of cooking has given them back a semblance of community and identity, and an unexpected foray into entrepreneurship. Newcomer Kitchen, as it's known, started informally in March, when The Depanneur's owner discovered many Syrian refugees were being housed temporarily in Toronto-area hotels without access to kitchens to cook for their families. Advertisement "Since I was used to the idea of other people using my kitchen, the idea came up: why not let these people come in and use the kitchen when we are not using it," said Len Senater. A few weeks later, with the help of a passionate group of volunteers, the first Syrian women stood around The Depanneur's stainless steel kitchen counter, wondering what was going to happen. "We said well, we're going to cook today," recalled Cara Benjamin-Pace. "They looked around and at first, there was silence. And all of a sudden, they leapt up and all this chatter broke out and the chopping started. And within an hour and a half the most amazing spread of food happened. We all sat down, we all started to talk to one another." They began cooking every Thursday. At first, just enough for themselves and to bring meals back to the hotels. Advertisement One of the women told Benjamin-Price about a word in Arabic: "It means blessing on the food, and that the gathering together will spread forward and give blessings into the future. And that was the moment for us when we knew something really important had started." Once the women and their families got settled into permanent homes, the cooking continued. And it's become a business. Every week, they create 48 meals which are sold through The Depanneur's website. Usually, the three-course meal at $20 is already sold out before the evening's pickup time. In a few short months, the venture now brings in enough revenue to cover ingredients, kitchen costs, and about $15/hour for each woman. Advertisement And now, Newcomer Kitchen is in high demand. They recently cooked 1,200 meals over a weekend at the Luminato Festival, and were invited to cater a VIP Canada Day iftar meal hosted by media executive Kirstine Stewart and her actor husband Zaib Shaikh. Among the guests were Toronto Mayor John Tory. But it's certainly not easy; in fact, "a lot harder than we ever imagined," said Senater. The project is driven by volunteers from non-profit groups like The Arab Community Centre of Toronto. They're needed for co-ordination, transportation (the refugees are scattered throughout the vast Toronto region), child care and translation. People like Roula Ali Ajib, who left Syria two decades ago, are key. Ajib initially volunteered as a translator but she now helps negotiate between the women who hail from different provinces what will be on each week's menu. She isn't paid for the countless hours she has poured into the project. The group is determined to sustain the project and turn it a stand-alone, non-profit entity. It's launched a crowd-funding campaign with a goal of $25,000. Advertisement None of the money will go to The Depanneur. The proceeds would pay some of the volunteer liaisons and help develop a playbook to "inspire and guide other restaurants to open their kitchens to the incredible potential of newcomer cooks." Rahaf Alakabni is trying to stir a time-sensitive semolina pastry in a huge pot, while also explaining the recipe for halawet el jibn to a video producer holding a microphone next to her. Syrian music on the speakers competes with the din of pots and pans. "The food is very important to show the history, the culture, the traditional norms," she explained. "In our country, every city has a different recipe so it's very good to know the others. We share the experiences." Advertisement Fluent in English, Alakabni is one of the younger members of Newcomer Kitchen. She and her husband, who has a background in social work, became the glue for the refugees who were housed in a hotel for three months. Now that everyone is settling into their own homes, Alakabni said the project allows them to get together on a regular basis. "Its a place for them to cook and have fun and meet new people that help facilitate them to a new job," she told HuffPost Canada. A few weeks ago, one woman showed the group how to make a traditional regional dish that her grandmother made. Another cook had never heard of it, even though she lived a couple of hundred kilometres away. Advertisement Senater said: "She said to me, 'I like coming here because I am learning new recipes and learning more about being Syrian' and I said 'I like having you guys here because I learn more about being Canadian." With files from Kait Howell Also on HuffPost Life gave Eliza and Adela Andrews lemons for selling lemonade. Life in this case, however, was the National Capital Commission, the government agency that manages federally-owned lands in Ottawa and Gatineau, Que. On July 3, the two Ottawa sisters were told they had to shut down a lemonade stand they had set up to raise cash to go to summer camp. An agency officer told them they needed a permit to sell on NCC property. Advertisement Thank goodness the NCC is protecting us from the anarchy of unregulated children's lemonade stands. 1/3 https://t.co/VNn4zCbIQM Pierre Poilievre (@PierrePoilievre) July 3, 2016 But now the sisters are back in business. CBC News reports the Andrews sisters have received a permit and are donating all funds raised to Camp Quality, a charitable group that provides kids with cancer free camping experiences. "We like going to camp," Eliza told the broadcaster. "The kids that have cancer, they need help so we decided to raise money for that." Ottawa Mayor Jim Watson was one of the customers at the now-officially-allowed-to-exist lemonade stand, according to the Ottawa Citizen. Advertisement "The mayor dropped a 20 (dollar bill)," the girls' dad Kurtis told the paper. Former Tory cabinet minister Pierre Poilievre weighed in on a kids' lemonade stand shut down by the National Capital Commission. (Photo: The Canadian Press/Jupiter Images) The NCC apologized to the girls' family after it shut down the stand. It says the junior conservation officer who saw the stand acted in good faith in enforcing federal land use rules. The agency also offered to help the girls reach their summer camp fund raising goal. The decision to stop lemonade sales led to a wave of outrage online and off. Tory MP Pierre Poilievre and Conservative leadership candidate Maxime Bernier called it out as an example of how government can stifle entrepreneurship. We might as well teach our children that if they ever start a business, some busybody bureaucrats will stand in the way 3/3 Pierre Poilievre (@PierrePoilievre) July 3, 2016 Advertisement "We might as well teach our children that if they ever start a business, some busybody bureaucrats will stand in the way," Poilievre said. "BIG GOVERNMENT won't let those two young and entrepreneurial girls sell their lemonade," Bernier wrote on Facebook. The rebirth of the lemonade stand isn't the only good news to come out from the story. A donor in B.C. donated $1,500 to Camp Quality after hearing about the girls' ordeal, according to the Citizen. With files from Ryan Maloney and The Canadian Press Also On HuffPost: OTTAWA Former cabinet minister Tony Clement will announce Tuesday he is entering the Conservative leadership race. The four-time Tory MP for Parry SoundMuskoka invited friends on Facebook last week to a "special announcement" at the Royal Canadian Legion's Streetsville branch in Mississauga Tuesday evening. Advertisement Clement told The Huffington Post Canada on Sunday that he is "very" excited. Treasury Board President Tony Clement delivers a speech to the Economic Club of Canada in Ottawa on Thursday, October 9, 2014. (Photo: Sean Kilpatrick/CP) The 55-year-old has been planning his leadership run for months. He pushed back his announcement last week to allow the news cycle to focus on colleague Jason Kenney's plans to join the Progressive Conservative race in Alberta in a unite-the-right campaign, rather than the federal leadership contest. Clement is the biggest name to join the Tory race so far. Official candidates include: former labour minister Kellie Leitch, Ontario MP Michael Chong, and former small business, industry, and foreign affairs minister Maxime Bernier. Advertisement MPs Lisa Raitt, Andrew Scheer, Brad Trost and Deepak Obhrai are also mulling leadership bids, as are TV personality Kevin O'Leary and, the likely front-runner should he chose to join former cabinet minister Peter MacKay. MacKay stepped down from politics last year citing the need to spend more time with his family. He is now working for a Toronto law firm. First elected to Parliament in 2006, Clement served as health minister, industry minister, and Treasury Board president under prime minister Stephen Harper. Last February, he told a gathering of like-minded Conservatives that the party had failed to put forward an effective counter-argument during the election to challenge the push for change, and he sided with grassroots members who'd voiced similar concerns. "It's time we listen and learn from our members, many of whom have more election experience and plain common sense than the central campaign exhibited," Clement told the Manning Centre Conference's panel "If I run, here's how I'd do it" series. Advertisement Conservatives needed to re-evaluate and adapt to the shifting Canadian political landscape, he said. That doesn't mean revisiting the Tories' stance on limited government, affordable taxes, a strong military, and support for the private choices of individuals and markets to generate growth, Clement said. But it means something to say on climate change, on poverty reduction, and on ensuring individual privacy rights against big government creep online, he said. Called for scrapping CBC subsidy "Conservatism must be broader and deeper in these issues in the futureto appeal to city dwellers, millennial, and to new arrivals." Clement's biggest applause from the mostly white and mostly male crowd came when he called for the scrapping of CBC's billion-dollar subsidy. "It is out-dated policy that should be replaced," he said, in what can be perceived as his first campaign pledge. Clement trumpeted his experience in reducing government spending and helping balance the books. He also noted his past as a party organizer, someone who'd led renewal efforts with the Progressive Conservative party in Ontario and on the federal scene, as the founding president of the Canadian Alliance. Advertisement The Conservative party lost its advantage in the last election, Clement said, because it did not devote enough attention to training, candidate support, communications, advertising, social media, and the leader's tour. "Frankly our message and campaign were MIA using many of the modern techniques," Clement said, as he volunteered himself to lead a social media initiative to crowdsource good ideas. He promised he would launch an "online feature app" soon. A new look ahead of launching bid Clement is very active on social media, on Instagram especially, but increasingly also on Snapchat. He took to Facebook to get public opinion on his choice of new specs, he told The Hill Times. In an interview published last week, he said he refreshed his look to prepare for his leadership bid by getting a new glasses, a new hair-do, and new clothes. "I'd be lying to you if I said it was completely irrelevant," he is quoted saying. "I think it is relevant, because people see themselves in their politicians now. So it's who they want to project onto, their political choices." Advertisement Before running for office federally, Clement spent eight years at Queen's Park and held various cabinet portfolios with Mike Harris' government. Tony Clement is shown walking with former prime minister Stephen Harper in Huntsville, Ont. in June 2008. (Photo: Adrian Wyld/CP) He ran unsuccessfully to replace Harris in the PC leadership race in 2002, coming in third. He lost his provincial seat a year after. In 2003, Clement ran for the leadership of the newly formed Conservative Party of Canada. He came in third. He then lost a 2004 bid for a federal seat in Brampton, the area he had represented provincially. Colleagues often quip that there isn't a race Clement doesn't want to join. But he is described by those who have worked with him as authentic, earnest and very capable. Advertisement His biggest controversy occurred in 2011 when Auditor General Sheila Fraser found the government had misled Parliament and diverted about $50 million in border infrastructure funding cash to ease up congestion to Clement's riding for a G8 Legacy Infrastructure Fund. More than $45 million was spent on a community complex and various street improvement projects, such as park upgrades, new lights, picnic shelters, outdoor furniture, and a gazebo, in communities that were often far away from the actual site of the G8 gathering. Fraser also found that the departments were not involved in the review process and could not explain how funding decisions had been made. Clement's riding is some 330 kilometres away from the closest border with the United States. ALSO ON HUFFPOST: Also on HuffPost Mark Taylor/The Canadian Press Welcome back to Alberta, Jason Kenney. A lot has changed here since you left for Ottawa in 1997. Here are the Coles Notes. Almost 980,000 people have moved here since you were first elected as a 29-year old Reform Party MP. That's a city bigger than Edmonton that's moved to Alberta in the past 19 years and it's all thanks to massive amounts of international and inter-provincial migration. Alberta is now one of the youngest, most educated and most urban provinces in all of Canada. Advertisement And this new mass of Albertans does not have a deep connection to traditional conservative Alberta politics. When you compare Alberta to the rest of Canada we are a demographic anomaly. In Alberta there are more millenials and generation Xers than baby boomers. Alberta's population has also become more educated over the past 20 years. In 2014 almost 26 per cent of Alberta had a university degree, double the amount in 1991. And in a December 2015 poll done by Abacus Data and commissioned by Progress Alberta, you will find that two out of three people with a university degree view themselves as progressive. David Coletto of Abacus Data analyzed the data and found that "those living in either Edmonton or Calgary were more likely to self-identify as progressive than those living in other regions of the province. Progressive identifiers were also more likely to have higher levels of education, and more likely to live in urban communities." Values have also shifted. The proof is in long-term polling data from Faron Ellis at Lethbridge College. He has asked the same six questions since 2009 and you see a genuine movement towards progressive values. Advertisement Support for gay marriage having the same legal status as traditional marriage has increased from 65.7 per cent in 2009 to 81.7 per cent in 2015 Support for legalized doctor-assisted suicide went from 64.3 per cent in 2009 to 80.6 per cent in 2015. Support for decriminalization of marijuana has gone from 36.5 per cent in 2009 to 51.1 per cent in 2015. Where do you think Jason Kenney stands on these issues? Perhaps most importantly, more Albertans identify as progressive than conservative. When we asked Albertans to rank themselves on an ideological scale, 38 per cent identified as progressive, 31 per cent identified as centrist and 30 per cent identified as conservative. When we asked respondents to rank "Albertans in general" on the same scale the conservative number came out at 53 per cent. Alberta is more progressive as you think. And it's not like you need to dig through the polling and demographic data to find this out -- look to the latest election results. The same centrist/progressive/urban voting coalition that voted in Redford in 2012 voted for Notley in 2015 and they're not going away. And while the Kenney hype train is currently at full speed, there are a few downsides to his candidacy that you should keep in mind. He's never had to face a real opponent. He's spent the majority of his career in the back room. His social conservative politics play terribly in Alberta. And a Jason Kenney led government would be directly responsible for service delivery of both education and health care. Think of abortion, GSAs, trans rights and the like. Advertisement He's also a tremendously divisive figure within the PC party. This is a man who openly campaigned against the PCs for the last two provincial elections. Kenney despises red Tories and progressives more than any politician around. Kenney fancies himself as the one man who can unite the right. And he may very well be the great uniter -- it's just far more likely that the left and the centre unite to defeat him than the other way around. While conservatives in Alberta are certainly very well funded, well connected and very vocal, they're not the majority. The progressive and centrist vote in Alberta is the new quiet majority. A lot can change in 19 years. Welcome back, Jason. Follow HuffPost Canada Blogs on Facebook MORE ON HUFFPOST: Irwin Cotler It is a humbling and moving moment to participate in this remembrance of Professor Elie Wiesel - where Elie Wiesel's life's work, indeed his life, is a source of learning and inspiration for us all. For we are here to remember, and celebrate the life of a tzaddik, an authentic righteous person who has come to symbolize and embody the conscience of humanity - not only by and for Jews, but by and for humanity as a whole. Indeed, when the Nobel Prize Committee awarded Elie the Nobel Peace Prize in 1986 the choice was greeted with international acclaim, for it is difficult to imagine any other person in the world who had so commanded the respect of political leaders and the people themselves. As for myself, Elie has always been my teacher, mentor, role model, inspiration, and friend of 50 years - in a word, the most remarkable human being I have ever encountered and had the honour to work with in common cause. Advertisement Elie wrote, as the title of one of his works suggest, as a "Soul on Fire". That flame not only animated the literary imagination - and had he received the Nobel Prize for Literature the acclaim would have been no less - but it ignited the struggle for peace and justice worldwide. His eloquence was all the more remarkable, because as he would often put it, the Holocaust was beyond vocabulary. Yet the man who felt that Auschwitz and Buchenwald were beyond communication and comprehension not only conveyed the particularity of things too terrible to be believed but not too terrible to have happened, but also transmitted the universality of the messages - the lessons - that we continue to ignore at our peril, including: -The danger of forgetting and the imperative of remembrance. As Elie put it in his first classic work, Night, "to forget would not only be dangerous but offensive; to forget the dead would be akin to killing them a second time" -The danger of silence in the face of evil - the imperative of standing up against injustice. As Elie put it in his 1986 Nobel Prize lecture, "We must always take sides. Neutrality helps the oppressor never the victim, silence encourages the tormentor never the tormented... wherever men or women are persecuted because of their race, religion or political views that place must - at that moment - become the centre of the universe." And he added: "there may be times when we are powerless to prevent injustice, but there must never be a time where we fail to protest against injustice." -The danger of state sanctioned cultures of hate - the responsibility to prevent. The enduring lessons of the holocaust, and the genocides that followed in Srebrenica, Rwanda and Darfur - where Elie sounded the alarm again and again - is that the Shoah, and these genocides, occurred not only because of the machinery of death but because of state sanctioned ideologies of hate. It is this teaching of contempt, this demonizing of the other, this is where it all begins. Advertisement -The danger of indifference and inaction in the face of mass atrocity and genocide - the responsibility to protect. What made the Holocaust and the genocide in Rwanda so unspeakable was not only the horror of the genocides themselves but that these genocides were preventable. We knew and we did not act, just as we knew and did not act in the genocide in Darfur, and just as we know and have not acted in the mass atrocities in Syria. As Elie warned us again and again, indifference in the face of evil is acquiescence with evil itself - it is complicity with evil. -And as Elie would add: "It is our responsibility to confront evil, as Raoul Wallenberg did, to resist it, to expose it - particularly when evil masks itself under the cover of law." For let us not forget, on this the 80th anniversary of the Nuremberg Race Laws and the 70th anniversary of the Nuremberg Trials, that the Nazis committed mass murder under the cover of law, aided and abetted by the Nuremberg elites - doctors, lawyers, judges, educators - la trahison des clercs. -The danger of anti-Semitism; simply put - 1.3 million people were deported to Auschwitz, 1.1 million of them were Jews, of which Elie was one. One million of them were murdered, including Elie's parents and sister. But let there be no mistake about it. Jews died at Auschwitz because of anti-semitism, but anti-semitism itself did not die. And Jew-hatred remains the canary in the mineshaft of global evil that threatens us all. May I close on a personal note: As my colleague John Roth once wrote - "in allowing me to enter his life, Elie has given meaning to mine." Elie Wiesel as a conscience of humanity has impacted on all of humanity - not as an abstraction but on people individually in their daily lives - as he has in my own life and work. -Where as a student I became involved in the struggle for Soviet Jewry on reading Elie's classic work in 1965 on "The Jews of Silence," where he was referring not to the Jews silenced in the former Soviet Union, but to the silence of Jews in the free world who were not standing up to that injustice; and where his clarion call mobilized generations in that struggle, and where we had our first of lifelong encounters. Advertisement -Where as a law professor, I was profoundly influenced by Elie's writings, suffused as they were with the pursuit of justice; -Where as a human rights lawyer I was inspired by his passion and commitment to tikkun olam - the betterment of the human condition - in the best sense of the word. -Where as counsel to prisoners of conscience, Eli's voice and testimony was our most powerful ally; -Where as an MP he was for me as for parliamentarians worldwide, an inspiration in our work. And so, on this occasion, the best remembrance, and the best tribute we can pay to Elie Wiesel, is to commit to action, such as that which found expression in the "Never Again Declaration" that we adopted in the International Legal Symposium at Jagiellonian University in May 2016 where Elie acted as our Honourary Chair, one of his last public acts. The closing excerpt from this Declaration is as follows: "...That never again will we be indifferent to incitement and hate. That never again will we be silent in the face of evil. That never again will we indulge racism and anti-Semitism. That never again will we be indifferent to the plight of the vulnerable. That never again will we be indifferent to mass atrocity and impunity. But we will speak and we will act against racism, against hate, against anti-Semitism, against mass atrocity, against injustice - and against the crime of crimes we should even shudder to mention - genocide." And yes, as Elie would remind us, always against indifference. For in what we say, and more importantly in what we do, we make a statement about ourselves as a people, we make a statement about ourselves as people. For now more than ever, "qui s'excuse, s'accuse". Whoever remains indifferent indicts himself or herself. Advertisement WOLFRAM KASTL via Getty Images Protestors hold a banner reading 'Black Lives Matter' during a demonstration in Berlin, on July 10, 2016 with the motto 'Black Lives Matter - No Justice = No Peace' as protest over the deaths of two black men at the hands of police last week. / AFP / dpa / Wolfram Kastl / Germany OUT (Photo credit should read WOLFRAM KASTL/AFP/Getty Images) Protesters hold a banner reading 'Black Lives Matter' during a demonstration on July 10, 2016. (Photo credit: WOLFRAM KASTL/AFP/Getty Images) Race is always a tricky subject to talk about. In the wake of more dead black civilians at the hands of police officers, as well as the five dead police officers at the hands of a black civilian, it's difficult not to see the world in black and white these days. Advertisement We're more than polarized in North America. We're also constantly being urged to pick sides. Any nuance inside our conversations is being met with accusations of racism, or inciting violence, or both. Case in point; Black Lives Matter. I personally believe the protest group is an important ally in racial justice for people of colour. They have raised awareness in a way that speaks towards possible transformational changes in how black people see themselves, and also how other races see black people. In Toronto, when the group stopped the Toronto Gay Pride parade, at first I was against the action. I thought it was cheap to be invited by Pride as an honoured guest, only to hijack that hospitality and make demands before allowing the parade to proceed. For me it wasn't really about the 25 minutes the parade was delayed, it was the way in which they accepted an invite, only to flip the table when they arrived. But since the dust has settled I have had a slight change of heart. I learned more about the history of Pride and how aggressive protest tactics had actually helped shape the civil rights of the gay community in Toronto, especially during the AIDS crisis. Pride may seem like a celebration these days, a well funded one at that, but at its roots it is still a political movement. I'm not completely sold that it was the right thing to do, but my opinion has at least softened given a more thorough look at the history of Pride. Advertisement They have constructed a force field around them that makes them feel infallible... I've seen that lack of self-examination before, that exact same brand of unaccountability among a group of people who feel that since their group is built to help others, that they can do no wrong. There is a massive problem nobody seems to be discussing right now. Call it thoughtfulness. Call it nuance. While I believe Black Lives Matter is important, I also believe they have constructed a force field around them that makes them feel infallible. I don't use that term lightly either. I've seen that lack of self-examination before, that exact same brand of unaccountability among a group of people who feel that since their group is built to help others, that they can do no wrong. This group is law enforcement professionals. The police and Black Lives Matter might see the world differently, but by having this one element in common -- the tendency to not take responsibility for any negative action a member of their group may perpetrate -- make the divisions in our society much, much worse. This position does not sit well with many people, I know. That's because we're polarized. That's because whenever we introduce nuance to the conversation people see it as derailing, or hijacking the main issue of police brutality. Add to that the fact that I'm white and, well, the chorus of radical activists wanting me to shut up and listen, or stop whitesplaining, is deafening. It's also absurd. Recently, a gay black writer for The Toronto Star wrote a piece about how he thought Black Lives Matter was wrong to hijack the parade. When you contrast what he wrote with what straight, white activists insist is the right position on this issue you start to realize how muddy the waters really are. Advertisement But there is also an opportunity to value differences rather than celebrate a herd mentality. Black people, white people, gay people, straight people, or a combination of any of those groups - none of them are monolithic. A greater care needs to be taken when we make declarations about social issues, or we risk alienating potential allies. For example, I do not agree with the term "All Lives Matter" as a suitable response to people chanting "black lives matter." It seems obvious to me that responding that way misses the point. But while scrolling through my newsfeed the other day I came across several black Facebook friends who did post "all lives matter." If I kept scrolling I could see white activists saying that anyone who says "all lives matter" are racists and should be called out. I think it would be interesting to see those two kinds of people engaged in a face-to-face conversation. In fact, I believe those are the most important conversations, and we don't seem to be having them at all. We must remember that not everything is a point for or against one side or the other. Both sides would be best served if they learned from their mistakes, or admitted that they made some in the first place. Again, we are not clones, and we need to get out of the habit of creating labels through the simplicity of spotting keywords we've deemed unacceptable and pounce as if we have spotted an ideological enemy. Not only is it a woefully lazy way of social activism, it's also an impediment to real progress. The Internet, and specifically social media, for all its upsides like unearthing statistics and uncovering the injustices people face, is in need of a serious overhaul in how it endlessly passes judgment on those who use the wrong language to express otherwise innocent opinions. Because even in cases that involve race, police brutality and the way those two worlds often collide, we must remember that not everything is a point for or against one side or the other. Both sides would be best served if they learned from their mistakes, or admitted that they made some in the first place. Advertisement Black lives do matter, but not everything is black and white, and at the end of the day, after the dust settles and the smoke clears, after the bullets stop flying through the air and after the protest signs have been lowered, remembering that old adage might be what matters most of all. ALSO ON HUFFPOST: Suto Norbert via Getty Images address bar on computer screen One of the first online business decisions you have to make in Canada is whether or not to use a .ca domain name. Even if you're not ready to go live with a website, your own domain name can be used for custom email addresses, redirecting to your social media profile and protecting your brand. Selecting a domain name can be an intimidating process. Some considerations include: Choosing between your business name or keywords. If you decide on keywords, you'll have to research the popularity of relevant search terms and phrases, then find that perfect medium between popularity and saturation. If you use a company name, you'll have to determine if it will be misspelled often enough to justify additional domain name registrations. The URL should be short, yet descriptive. If the name you want isn't available, you may consider the use of hyphens. If that isn't overwhelming enough, Canadians also agonize over using .com, .ca, or any of the other domain extensions. A .ca domain offers many benefits to the right business, but it's also a choice you may regret in the future. Following are a few factors to consider before deciding to register a .ca domain name for your Canadian business. Geographical Factor Is your target market Canadian or local? Country code top level domains (ccTLDs) like .ca are extensions that correspond to the name of a country. The .ca domain tells people instantly that they'll be ordering from a Canadian company. That alone may result in your link being clicked more often in search engine results. Advertisement Additionally, search engines recognize the .ca domain as a Canadian website when Canadians are searching for businesses in this country. That means you'll rank higher in their search results by default. However, if you intend to target markets outside of Canada, you may want to go with a .com domain so you're found by international searchers. You're also free to register .com or other domains in addition to .ca. That will allow you to forward one domain to the other or keep them separate to serve each location individually. Language Factor French characters and ligatures (e, e, e, e, a, a, , o, , u, u, u, c, i, i, y) are permitted by the Canadian Internet Registration Authority (CIRA). Not all registrars accommodate it, however, so it's important to check before registering. The French characters option is usually found under "Advanced Services" during the registration process, but you can always send an email to multiple registrars before you choose one. Memory Factor When typing in a URL (website address) from memory, .com is often assumed. To ensure that you get all traffic from either a .com or .ca guess, register your domain name with both extensions. You can easily forward one domain to the other. You can also help people remember it by making the .ca extension part of your online brand if your company name is in the URL. Whenever you mention your brand online, include the ".ca". For example, instead of referring to your brand as Canadian Business Supplies, you can use CanadianBusinessSupplies.ca. Availability Factor Since .ca domains are reserved for Canadians, it's more likely the domain name you want will be available with the .ca extension than with a .com extension. Advertisement Trademark Factor Even if you do go with a .com or other domain extension as your primary URL, you may want to register the .ca variation to protect your trademark/brand. That said, you will also have to ensure you're not stomping on another trademark. In the days of the wild web, you could choose any available domain name. Many people made a lot of money buying up famous trademark URL's and then selling the domain back to the trademark owner. These days, the law is on the trademark holder's side when it comes to URL's, but it can be as tricky to prove as any other trademark infringement. Red Tape Factor Domain registrations with the .ca extension are managed by CIRA. To register a .ca domain name, you must meet all of their requirements. The application and approval process takes additional time, whereas you can register a .com domain instantly. Apparently the red tape isn't too difficult to overcome, since CIRA reports almost 2.5 million .ca domain names are currently registered. Community Factor CIRA does some important digital work in Canadian communities. So far, over 3 million dollars has gone to support Canadian communities through education, research and non-profit initiatives. "A portion of the proceeds of each .CA registered goes toward funding digital literacy, online community investment and Canada's ongoing stewardship of global Internet governance," CIRA boasts. Then What? You can register your domain before choosing a website host, or select a host at the same time. Many website hosting packages include free domain name registration. If you need help with choosing a Canadian-friendly website host, the checklist Choosing a Website Host for your Canadian Business will guide you through it. Advertisement Did you choose a .ca domain name or did you decide on another domain extension? Do you have any regrets, advice or questions to share? Follow HuffPost Canada Blogs on Facebook Chris So via Getty Images TORONTO, ON - MARCH 10: Mayor John Tory makes a case that the voters voted to keep taxes below the rate of inflation and urged that the city need to look at controlling cost overruns like the Spadina subway prior to asking the tax payers for more money. (Chris So/Toronto Star via Getty Images) "Essentially, all models are wrong, but some are useful." This sage advice by George E. P. Box is lost on politicians busy allocating tens of billions in infrastructure spending. McKinsey Global Institute estimates $57 trillion are needed globally in the next 15 years for building and maintaining infrastructure to support the economic growth. As countries embark on infrastructure spend, they run the risk of throwing good money on bad infrastructure. The risk of wasteful spending is even higher for public transit infrastructure where inferior projects are likely to be built instead of those that will attract significant transit ridership. Advertisement Smart decision making on investments in public transit infrastructure requires one to be critical of the transit ridership projections. That all forecasts are wrong and hence should not be the sole justification for billions in spending is a lesson one cannot ignore. Also, the governments should avoid making one-off announcements that do not explain why a particular transit project will be funded and, more importantly, what other competing alternatives are being denied funding, and for what reasons. After decades of neglect in Canada, infrastructure spending has emerged a top priority for all tiers of government. The federal government promised a record $120 billion in infrastructure spending over the next 10-years. Ontario, Canada's most populous province, also has 10-year $130 billion plan for investments in infrastructure. Politicians across Canada trot out transit ridership forecasts that support their favourite projects. In the pretext of following evidence-based decision making, many equate projections with evidence. They are sadly mistaken. Forecasts and the models that generate them are always wrong and must not be confused with evidence. Professor Box formulated the Box-Jenkins algorithm, which is a staple in the forecasting world. He cautioned against the Fallacy of Reification. All predictive models are essentially abstractions or mere approximations and should not be confused with concrete entities. Advertisement Politicians, however, are eager to ignore these caveats. John Tory, the mayor of Canada's most populous city, Toronto, is pushing for a $3.2-billion, one-stop subway extension that is forecasted to carry a piddly 7,300 riders during peak hours some 15 years down the road. The 7,300 passenger count is in fact half of what the proponents forecasted earlier. If this misguided project proceeds, it will be a blatant abuse of public funds because the preference for a subway ignores far less expensive transit alternatives. Even more alarming is the fact that the ridership forecast to justify the costly subway is a mere approximation. It could be off by 30 to 50 per cent as previous research has shown. Martin Wachs is a professor emeritus of urban planning at the UCLA Luskin School of Public Affairs. He has been gravely concerned at the inflated ridership forecasts. His advice to forecasters: "Never put a number and a date in the same sentence.'' Recall that 7,300 peak-hour riders were predicted for 2031 in Toronto! Writing in Transportation, David Hartgen reviewed the 50-year history of inflated ridership forecasts, which were often produced to justify vested interest projects. He found the forecasts to be off by 30 per cent, at a minimum. Mr. Hartgen believes that the fundamental problems behind inflated projections are not technical, but institutional. When money rains from federal and provincial skies, municipal prudence takes cover. With the bulk of capital costs covered by higher tiers of government, local politicians often put special interest projects ahead of those with higher demonstrated transit ridership. The political meddling in the scientific exercise of generating forecasts could put the taxpayers on the hook for billions of dollars. Professor Wachs cautions that the planner who submits to vested interests is deemed the most effective one because she "can cloak advocacy in the guise of scientific or technical rationality." The disregard of planning principles hardly serve the public interest. Advertisement The Canadian government's preference for greater local autonomy in infrastructure spending is welcome for strengthening grassroots democracy. However, the federal government needs to have a stronger role. It should help establish national guidelines for best practices in travel demand forecasting to prevent fabricated estimates from being used for vested or political interests. At the same time, taxpayers should assume more responsibility than being mere spectators to pseudo evidence-making. They should demand that governments explain how sensitive their forecasts are to the stated and unstated assumptions, which are effectively the columns on which every prediction rests. They should also ask the governments to reveal what other competing projects were considered and the reasons for not funding them. The taxpayers should be prepared to push back if they find their representatives are serving not theirs but others' interests. The billions being invested in infrastructure today are borrowed funds, which the future generation of Canadians will have to return with interest. These investments are being made to secure the promise of an equitable and flourishing future. We should guard against shoddy forecasts and the political self-interest that could harm our hopes for a prosperous tomorrow. Follow HuffPost Canada Blogs on Facebook MORE ON HUFFPOST: by Niloufer Mavalvala Dhundar Patio; Golden Lentils and a Prawn Chutney served with Rice. Originating from what was once the Persian Empire, Parsis are the followers of Prophet Zarathushtra, who was born in Airyana Vaeja in the foothills of the mountains in Central Asia. Zoroastrianism was revealed 3,500 years ago by this prophet and was the first monotheistic religion known to mankind. In spite of its being so old, it is a living religion for about 200,000 Zarathustis who practice their faith around the world. Many fled Persia and migrated to India 1,200 years ago in the 9th century. They landed on the shores of Gujarat where they were accepted on the strict condition that they were not allowed to seek converts, and there they began a new chapter for themselves. This small community of Zarthustis were then called Parsis by the locals. Today, as the Parsi community the world over faces extinction, it is also facing questions from within its community on how to ensure the continuation of their religion and culture. Advertisement Ravo on a sagun ni thali; Semolina pudding flavoured with cardamom Parsi cooking has been shaped by two ancient cultures-- Persia, where Parsis originated, and India, where they later settled. This unusual historical background gives Parsi foods a distinct and unique flavor. Recipes with nuts, dry fruits and shirini (sweet) within them originated in Persia, while ginger, garlic, chilies and spice add Indian flair. Centuries-old foods like saffron, jaggery and vinegar as well as ginger, cinnamon and turmeric-- all staples in Parsi cooking--are celebrated in modern times for their health benefits. Although its population is small, the Parsi community has a high number of achievers in all walks of life. Doctors, lawyers, philanthropists, accountants and activists, government officials and teachers, have left an indelible mark, especially in India. Parsis, well known for their love of art and music, include the late Freddy Mercury of the band "Queen" and Zubin Mehta of the Israel Philharmonic Orchestra. Bollywood has also been entered into by the Parsi community, with entrepreneur Ronnie Screwvala leading it into a firm bond with Hollywood. Advertisement With the world migration of Parsis at its highest in the past 50 years, members of our community have spread themselves in small numbers all over the world. Most have relocated to North America with large numbers in Canada (particularly Ontario and British Columbia) and the USA (particularly, New York, California and Texas, as well as Massachusetts and Illinois). Australia and New Zealand also have a fairly large Parsi population. Most of these community pockets have built themselves large Zoroastrian Centers in recent years, a defining sign of a permanent settlement anticipating generations to come. Home is also where the food is. Meat and eggs are two favorites Parsi foods, and garlic, ginger, onion and tomato can be found in most recipes. Spices include cinnamon, turmeric, cumin, and chilies, and jaggery (unrefined brown sugar) and vinegar give a finishing touch. Tikhu-Khatu-Mithu (Spicy-Sour- Sweet) is considered the Holy Trinity of Parsi cooking, and perfecting its balance is the key to any Parsi dish. Exactly two weeks ago today, I had to say a final goodbye to somebody very special to me. He passed away in my arms, in our living room, while I whispered gentle words of love and gratitude into his ears. He took his last breath a mere two seconds following the lethal injection I authorized his doctor - who was sitting on my living room area rug a foot away from us, along with her attending nurse - to give to him. "I love you," I whispered to him, between kisses, "I'm so grateful to you for all the love you showed me. Now go Home. Find the Light. Find your loved ones in Spirit. They'll take care of you. You'll always be in my heart." Advertisement The doctor used her stethoscope to listen to his heart a few heartbeats after the injection, and quietly said to me "He's gone." That's when all the strength I had been stoically holding onto for my loved one's sake dissolved into the ether, and I burst into tears while burying my face into his neck. His nurse and doctor also began tearing up, watching this sombre scene of finality. Between sobs, I asked "What time is it?" "8:10 PM," they both replied in unison. Maybe it's all the mindfulness that I practice and teach, but in that moment, I desperately needed an anchor to keep me tethered to this earth, rather than getting lost in my thoughts of worry for him as he embarked on his new journey without me to care for him as I always had. Feel his softness, and the weight of his emaciated body in my arms, I said to myself. Be with the hollowness in the pit of my stomach, and the tightness in my chest. Feel the wetness of my cheeks from all the sobbing. Look at this lifeless form you're clinging to; all essence of my beloved now - so suddenly - vanished. Pay attention to the song and lyrics softly playing in the background, on shuffle, on my iTunes playlist. Fittingly, it's The Tragically Hip. The verse in question: "Rain falls in real time and rain fell through the night. No dress rehearsal, this is our life." Advertisement This is death. This is the heartbreak that inevitably comes for all of us when we open our hearts to receive love from another sentient being. From someone we showered with affection from the moment we first met. From someone who shared so much of our joys, sorrows, and laughter, and was ever supportive of us, unconditionally. From someone who we will miss with every fibre of our being from this moment on. Grief is grief, whether the deceased was human or animal. 8:10 PM. June 27. This is the exact moment my world lost some of its vital sparkle. It was at this precise time that I lost my happy. My loved one's name was Happy. He weighed 8 pounds, had four legs, and sported the softest cottony white fur. He was a gentle and playful fur ball of love who genuinely lived up to his name. The nurse in the scene above is a veterinary technician. The doctor was his longtime vet, who euthanized him at my home in Toronto upon my request because I wanted him surrounded by everything he knew and loved, especially after he had just been released from a six day stay at a Montreal-area hospital where everyone only spoke to him in French, a language he didn't understand. I wanted his final moments to be comfortable, serene and tender. I like to think this was my parting gift to him -- a moment of abundant gratitude for his 8 years of dedicated and unconditionally loving service to me. Happy may have been "just a dog" to many, but he was my fur baby the instant I met him at three weeks of age. I was his pet parent the moment I brought him home at nine weeks, when he only weighed 2.3 pounds and fit into the palm of my hands. He was my responsibility when I house trained him, when I cooked for him and bathed him, when I diligently socialized him at the dog park daily, and when I attended puppy school with him. He was my baby at only six months of age, when I began an eight year journey with anticipatory grief, after his vet diagnosed him with congenital chronic renal failure and told me he likely wouldn't live to see his second birthday. He was eight and a half when he died, baffling his doctors at every checkup along the way. "Just a pet" to some. To me though, Happy was my happy. It was as his mom on June 27, when I made the most difficult decision anyone has to face when I called his vet after 5 PM, and tearfully said to her "Happy is ready to go. Please come over and help him." Advertisement "Just a pet" to some. To me though, Happy was my happy. He was my first pet, and someone who opened my heart like a flower and filled it with unconditional love. Death and grief are certainties in life. When a cherished pet dies, the experience of grief and bereavement are no different than mourning the death of a person we have loved. Pet loss is real, significant, and heart wrenching. The feelings of sadness, loneliness, guilt, or anger don't discriminate because the deceased was a pet. Our society's customs around human loss are well established - we have funerals, religious ceremonies, and we are encouraged to share our stories and memories of the deceased. In Judaism for instance, the bereaved formally mourn for seven days of shiva, during which the bereaved is surrounded by, and lifted up, by their community. Similarly, in Hinduism, the mourning period is over 13 days. We've all heard of Irish wakes. Yet there are no such established norms for pet loss. We're not exactly encouraged to share our feelings. While most employers offer bereavement leave for the loss of human family members or friends, few do the same for pet loss. Unfortunately too, many pet owners may be faced with well-meaning but insensitive individuals who often cannot appreciate the deep bonds we have with our companion animals, nor the magnitude of their deaths. Some non-pet people may be shocked by the intensity of the grief felt by bereaved pet owners, and may minimize the experience with thoughtless remarks about it being "just an animal" or that we can get over our sadness by "just adopting another dog." To me, comments such as these are no different than callously telling a woman who may be struggling with infertility or who has just miscarried to simply "adopt" or "try again next time." If you are grieving for your beloved pet, I encourage you to read Martha Tousley's Bill of Rights for Grieving Animal Lovers. It was shared with me at a recent pet bereavement support group I attended, and I have found it comforting as I navigate through the loss of my Happy. Give yourself the sacred space in which to grieve your companion fully and completely. Educate yourself about pet bereavement, and try to find a pet loss support group or counsellor, if you can. Surround yourself with people who understand. Acknowledge your feelings, and understand that some days, it may feel as though you're navigating powerful waves of sadness crashing all over you, while other days may feel gentler in their stillness. Allow yourself to remember your pet in life; hopefully you have lots of photos and videos to help you with this. Advertisement To all the pet parents who are reading this, I'd like to share one piece of advice I've been telling as many pet friends as possible the past two weeks: please take lots of pictures and video snippets, and upload them to your social media (even if set to "private") as a back-up! This shouldn't be too hard, given most of us have great cameras on our smartphones. But trust me, videos will be precious keepsakes in your moments of sorrow. They've lifted me up during my sleepless nights since Happy's transition. And finally, to those of you who don't consider yourselves "pet people", and have made it this far in reading this blog post: thank you for allowing me this platform to share with you that grief is grief, whether the deceased was human or animal. We are all sentient beings who are walking sometimes difficult roads along this journey of Life. No dress rehearsal; this is our life. It has been estimated that $750 billion will be inherited in the next decade by the Baby Boomer Generation. Within those same ten years, the Boomer Generation will also approach retirement age and the consequences of this tremendous wealth transfer will be significant. It is projected that most of the wealth expected to be transferred in the coming years will be in the form of real property. Appropriate estate planning can reduce the risk of litigation resulting from the transfer of wealth from Baby Boomers to the next generation. It is crucial that aging parents of the Baby Boomer Generation have estate plans in place. Baby Boomers themselves, if they have not already done so, should also turn their attention to their own estate planning, whether or not it is expected that they will benefit from the upcoming transfer of wealth. The earlier that estate planning is contemplated, the more capable that it may be of addressing problems or changes in circumstances that may arise in the future. Statistics Canada estimates that over 16 per cent% of Canadians were over the age of 65 last year and that this demographic outnumbers those aged 14 and under. The elderly population continues to increase steadily. As this segment of the population continues to age, challenges to the validity of Last Wills and Testaments and property transfers are expected to become more frequent. Task-specific degrees of mental capacity are necessary to validly execute documents relating to an estate plan or otherwise dispose of property. Alzheimers disease and other progressive medical conditions associated with both aging and dementia provide fertile ground for estate litigation. Such illnesses can deprive someone of the capacity to dispose of property and, potentially, invalidate parts or all of an estate plan made during alleged mental incapacity. Creating a will and other testamentary documents prior to the onset of symptoms of these illnesses affecting capacity will play an increasingly important role in preventing litigation. In estate litigation, court cases frequently involve disputes over capacity and allegations of incapacity appear to be on the rise. This trend will only increase as the population ages. Advertisement A power of attorney is a crucial document to supplement ones estate plan. A person who is named as an attorney for property, personal care, or both, acts with legal authority on behalf of the person who executed the document. Powers of attorney for personal care should address end-of-life care so that the named attorney is provided with an understanding of the grantors wishes. The named person makes decisions corresponding to the powers given in the document and the Substitute Decisions Act. Appointing people we trust as attorneys for property and personal care can help protect against elder abuse during a subsequent period of vulnerability. Powers of attorney for both property and personal care should be executed well in advance of the onset of capacity issues. Absent incapacity planning, guardianship proceedings may be required in order to determine who can make decisions on an incapable persons behalf or personal care decisions may be made by family members who would not otherwise be selected as substitute decision makers. Sometimes, the Public Guardian and Trustee may become involved and make decisions on behalf of the incapable person. When one fails to ensure that valid powers of attorney are in place, he or she risks having a judge decide who will look after his or her affairs. The person whom the judge appoints as guardian may not have been the same person that the incapable person would have chosen him or herself. Within the context of our aging population, attorneyship and guardianship disputes have emerged in greater numbers. Advertisement In the coming years, cases involving predatory marriages and financial elder abuse will also increase in number. Predatory marriages can be especially problematic. In Ontario, marriage normally has the effect of revoking a persons will. Financial elder abuse sees the exploitation of fear or the fact that the elderly may be more likely than the population at large to be dependent on one or more family members or friends and isolated from others, and seniors especially may be pressured into transferring large sums of money for fear of harm or neglect. Often this abuse goes unreported because of the fear or shame associated with being a victim of elder abuse. Older adults who experience capacity issues may be more vulnerable to such abuse. The effect of the upcoming demographic shift is already being felt in the court system. Ontario is an exceptionally busy, but underfunded, jurisdiction. As the population grows, this problem will be exacerbated across the province. Estates matters, with the exception of the Estates List (now merged with the Commercial List) in Toronto, are generally heard as if any other civil matter. There is otherwise no separate system by which estate matters are heard. Proper estate and incapacity planning provide an opportunity to streamline any process that necessitates the involvement of the court. It also allows those who plan ahead to increase their chances of avoiding costly and lengthy litigation relating to their estate or the administration of their affairs during incapacity. Ian Hull and Suzana Popovic-Montag are partners at Hull & Hull LLP, an innovative law firm that practices exclusively in estate, trust and capacity litigation. To watch more Hull & Hull TV episodes, please visit our Hull & Hull TV page. Shutterstock This week, the government released its response to the findings of the Committee for Women and Equality's report on transgender equality - and it was deeply disappointing for the trans community. The Committee's report was highly promising, with a number of well-researched and forward-looking recommendations which would make a real difference to the lives of trans and non-binary people in the UK - but it now seems unlikely that many of these will lead to genuine change. Advertisement One positive outcome of the government's response is the announcement of a review of the Gender Recognition Act. This is urgently needed: as the transgender equality report recognised, the medical and 'quasi-judicial' system of gender recognition currently in place is deeply damaging to those seeking to change their legal gender. Instead, we need a system based on self-definition: we alone know our gender, not a doctor or a legal panel, and it's crucial that trans and non-binary individuals are not forced to fight to have their gender recognised. It's also good news that the government will look into legal recognition for non-binary individuals - but it is crucial that this recognition is granted, and fast. It may be hard for those who do identify simply as 'man' or 'woman' to imagine the torment that being forced to tick 'male' or 'female' on official documents causes to those who don't, but that discomfort is very real and is felt on an almost-daily basis by many. Any action taken by the government to ensure that non-binary identities are recognised as real and valid will, for thousands, be life-changing. Overall, the government's response to the committee report is at best lukewarm. In far too many places, they have simply agreed to doing the very bare minimum to meet recommendations - or have asked for more evidence, despite the mountains of evidence used and numbers of experts consulted in the creation of the report. Particularly disappointing is the government's lack of support for allowing younger people to define their own gender. It is simply not good enough to equivocate and delay in this way when lives are on the line: a report last year found that almost half of young trans people had attempted suicide, and in May it was found that delays in treatment for trans people are leading to self-harm and suicide. Far, far more needs to be done. If we are to create a society in which transgender and non-binary people enjoy the same rights as their cisgender friends, a number of changes must urgently take place. Advertisement First, trans and non-binary people must be clearly protected under the equalities act. Second, PSCE which includes education on gender identity must be made mandatory. Finally, trans and non-binary people of all ages must be given the right to legal recognition. We now have an almost mirror image across the pond of the Brexit battle that just took place in the UK. But is that being cognized and re-cognized? To put it simply: privileged, wealthy menfolk, following their star of personal ambition for power, positon and fame, incense a populace already distrusting of government and the 'establishment' that ignores the needs of 'ordinary people'. Fuel this with the cry of "Take Our Country Back" and "Being Great Again." Toss in a lethal overdose of fear of the 'other', with plans for building walls and filling moats, instant ill-thought out cures for economic revival, and vague notions of policies for the future. Then see and read the results: Boris and Farage, ashen-faced after their unexpected victory, jump ship due to the fact, some say, that they never planned to get this far in the first place, to win and to deliver on their false promises. Nigel Farage has resigned from UKIP, not prepared to die in a bunker built from his own falsifications over immigration and the NHS. "I want my life back", he said, having done his best to take away ours, a national life built on hope for harmony, internationalism, unity in diversity. Advertisement Some say The Donald also began his campaign with no intention to get this far, to actually become the new leader of the free world. It's no longer a laughing matter to consider what team of anti-Experts (as per Gove) he can possibly assemble in the Oval Office. And of course, there's momentum, which no true political ego can then turn its back on, letting the worst kind of genie out of the bottle, one which will never go back. If the UK has seen a 525% rise in hate crimes since the referendum result, it does not bear thinking what can happen in the land of the free and the home of the brave when it is angry, armed and dangerous. So stop and think. As happened here in the UK, whilst the parties fought amongst themselves, there was a worse demon slipping in the back door, stealing both the baby and the bathwater. Every minute the U.S. does not unite behind one candidate, is a minute towards more momentum for a Trump presidency. Every vote not cast for a Democratic candidate is a vote for Trump. It can't happen there? It happened, here. And it can happen to you, too. Can Hillary win? If so, every distracting media report of 'mistrust' and 'dislike' of her candidacy, more talk about those emails, her obvious carelessness, with, note, "no criminal intent", is diverting a vote to Trump. Can Bernie win? Then he and his supporters need to realize that in order to "transform America" and "fight for the working class", he needs first to save America from the worst force of fear and division it faces now. Advertisement It was already too late when the #AnyoneButBoris hashtag hit the airwaves. #AnyoneButTrump should be trending now. There is no time for mealy-mouthed platitudes from anyone, including Paul Ryan and his Republicans. If they are true patriots, they would also be hitting that hashtag, #AnyoneButTrump. The media, seeking their scoops and giving their free air time to the voice of division, should support it, aware that extreme nationalist and isolationist parties are sweeping the world. The monster? He's now at your front door. I was born into a musical family in North Carolina. Music ushered me into life quite literally because my dad was playing the Irish fiddle in the delivery room when I was born. I began singing in our family band The Tune Mammals at the age of 2. When my dad gave me my first guitar lesson at the age of 12, I immediately started making up my own songs. I never took to the piano, but when I picked up the guitar, I found a vehicle to express my inner voice as a songwriter. I kept all my ideas, lyrics, and scribblings in notebooks from the age of 12 right up to college. I recently counted around 50 notebooks and I still keep them all. My parents raised us on great music and theatre. I was watching and participating in Shakespeare productions from as early as 5. I grew up with Shakespeare and the rhythmic flow and lulling sounds he created in his verse. The context didn't make much sense at that age, but I was still fascinated and soaked the sounds in like a sponge. It became a constant in my life growing up. I couldn't know then how much more deeply The Bard would resonate with me later in life. Advertisement I read some Shakespeare in my teens, both on my own and in school and I went deepest into it around six years ago when an old friend called out of the blue saying his leading lady had backed out and he needed a Rosalind for his Adirondack Shakespeare production of 'As You Like It' (Shakespeare's largest role for a woman!). I ended up learning my part and rushing in to play Rosalind at the last minute. It was terrifying and utterly thrilling as I hadn't done that kind of thing for a while, but incredibly inspiring to realise what I was still capable of, and definitely a pivotal moment in my life. Rosalind speaks mostly in prose rather than verse. I recorded my lines to a metronome and found my own hidden meter in her prose. I was able to break it down in bizarre ways and would practice walking in time on a treadmill, speaking aloud like a crazy lady at the gym. I found myself falling in love with Shakespeare even more deeply than ever before. A year later I wrote the music for the Adirondack Shakespeare company's "Twelfth Night", setting texts like 'Come Away Death' and 'Oh Mistress Mine'. 'Be Still' was another song I wrote around that time. The words "Be still my heart" popped into my head when I was searching for words for my chorus. They were familiar enough to me to know I didn't write them. I did a little research and remembered it was none other than our guy Shakespeare. I must have retained the lines from my childhood... or maybe it was that time I played Hamlet at my high school production of Dogg's Hamlet, reciting Shakespeare backwards! I hear music in all of Shakespeare's works. It is some of the most beautiful text on the planet and it begs to be sung! It's amazing how much music is crammed into any given Shakespeare play. It's written like song lyrics. His female roles were ahead of their time. For example Rosalind, who spends most of the play disguised as a man is a forward thinking, free speaking, strong female role. Lady Macbeth was another female character who many would argue is pulling all the strings in Macbeth. Shakespeare's strong female characters and Queen Elizabeth I were empowering women in a time when that wasn't commonplace. Advertisement When beginning to write the music for my upcoming album 'Regina', my inspiration was sparked by my fascination for Shakespeare, Queen Elizabeth I, and the Elizabethan era. Queen Elizabeth I is someone I've been fascinated with for a while; the mysteries surrounding her life, her virginity, and her supposed love affair with Robert Dudley. She and Shakespeare lived at the same time, and I've always wondered if his female roles were at all inspired by her, and her strength. I wrote a song called 'The Letter', inspired by Queen Elizabeth I and the love between her and Robert Dudley. This letter from Dudley was an actual thing that was found after she died in her most prized possessions. This "Liz 1" theme quickly broadened to being a "queen theme", which over time became Regina, who has developed into my songwriting alter ego, muse, and imaginary friend. Regina is a divine queen, and a strong feminine force. When I write with her, I can get my ego out the way and get into a more productive and connected creative zone. She is someone who helps guide the way, and a voice I can trust, like an anchor, or an extension of my truest self. I am about 16 songs deep in my writing collaboration with this new writing platform, and going strong! I wrote a song called 'Ophelia', inspired by Shakespeare's Ophelia from Hamlet, mixed with the Brazilian queen Moema, and aspects of Irish damsels from traditional Irish folk music. I also did a setting of Mercutio's 'Queen Mab' speech from Shakespeare's 'Romeo & Juliet'. Advertisement There is something about the female perspective in music that has always resonated with me. I feel full female self-expression has been rather controlled over the years, not just in music but also the arts. Men can express themselves freely, but often women are encouraged and expected to play up their sexuality in order to sell their art. Thankfully, women like Bjork, Joni Mitchell, and many of my heroes have made careers for themselves drawing from sexuality as a choice rather than a necessity for success. These women are respected firstly because of their art. They are queens, and that's a really important inspiration to have not just as a little girl, but as a woman. Becca Stevens plays the Sam Wanamaker Playhouse on Monday 18th July as part of Lauren Laverne's 'Wonder Women' series of summer gigs with The Globe. In the past week, social media in Indonesia has been buzzing with news of a student who filed a criminal lawsuit against his teacher for pinching him. The lawsuit itself has been withdrawn and the dispute resolution was achieved through 'a settlement in familial manner'. There were harmful consequences that demonstrate an aggressive and paternalistic society: the boy was turned into a social media meme, labelling him as sissy, weak and spoiled and local schools refused to admit him as a new student. Many Indonesians normalise the act as a justified disciplinary tool - demonstrating a near universal social acceptance of corporal punishment in childrearing and a culture where physical punishment is considered as fabric of society. In recent months, we have seen many cases on child abuse in debates on child protection in the media -- although the comments I have heard so far come from adults rather than from the children themselves. While many may consider pinching as a trivial act, I am writing this to argue that in a wider social context (without analysing the detailed facts of the legal case in particular), eliminating corporal punishment is a crucial step in reducing child abuse and in recognising that children are full human beings with inherent rights to protection, dignity and integrity. My goal is not to prosecute and put teachers in prison (especially considering the guiding principles of best interest of the child), but to prevent further violence against children. It is also to emphasise the need to see children as 'beings rather than becomings': that they have a place and a value equal to that of adults and that we need to build a violence-free Indonesia for the younger generation to develop in. Advertisement Pinching as a Corporal Punishment Indonesia is a party to the UNCRC. Article 19 of the UNCRC talks about the protection of children from abuse and 'all forms of physical or mental violence'. Pinching itself is a form of the old habit of corporal punishment. GRC GC8 defines corporal punishment as "any punishment in which physical force is used and intended to cause some degree of pain or discomfort, however light... it can also involve ... pinching...". The Committee on the Rights of the Child has stated that corporal punishment is incompatible with the Convention and that it should be prohibited. Legal experts such as Freeman and Saunders agree that corporal punishment cannot be morally justified. At a global level, over 49 States have prohibited all corporal punishment of children. Sweden was the first nation to abolish corporal punishment through a legal reform in 1979. While protests initially rose, as time passes there is a growing public acceptance of the harm of corporal punishment. France recently banned corporal punishment in familial settings and 29 Member States of the Council of Europe have adopted full prohibition. While Indonesia has laws to protect children such as Law Number 35 Year 2014 on Child Protection that prohibits violence in schools, it is said that provisions against violence and abuse in Indonesian laws are not interpreted as prohibiting all forms of corporal punishment. The Strong Ties between Corporal Punishment and Child Abuse and the Importance of Respecting and Promoting Children's Rights There is a strong tie between corporal punishment and abuse. Freeman said, "Much child abuse, we know, is physical punishment gone awfully wrong". A meta-analytic and theoretical review on corporal punishment and associated child behaviour concluded that corporal punishment causes negative child outcomes such as increased aggression, lack of moral internalisation, delinquent and antisocial behaviour, poor mental health, and increased potential to be a victim of physical abuse. Children who develop in a healthy environment are less likely to commit violent acts and thus preventing violence in one generation would also prevent the likelihood in the next (we see often the historical and on-going tolerance in the violent pattern of ospek (school orientation programmes) in Indonesia). Studies also show that physical punishment may reduce the brain's grey matter, an integral part of the central nervous system that is associated with intelligence and learning abilities, thus slowing cognitive development and academic achievement -- we need to implement effective alternatives to manage children's undesirable behaviour. Advertisement The government should create a violence-free environment for children to develop as socially responsible and contributing citizens in the Indonesian society. An implementation of the UNCRC through domestic laws and comprehensive social initiatives are needed to achieve this. Last year, The Minister of Education and Cultural Affairs released Regulation No. 82/2015 that prohibits violence in schools and regulates sanction for students by oral and written notice and educational interventions through counselling. In addition to enforce this regulation, they should interpret in domestic laws that corporal punishment is a form of violence, no matter how light, and not just the ones causing serious harm and injury. Furthermore, we need more education on the harm of corporal punishment, educational interventions for parents and teachers on positive parenting and most importantly, give room for children to speak their own minds and voices. Scientific research and evidence as well as international laws should be translated into guidance to culturally shift and evolve public attitudes and beliefs toward corporal punishment and unequal power in adult-child relationships. As concerns about children's welfare become more prominent in human rights law, we need to recognise and empower children and their rights. An Asia Foundation survey reported a lack of Indonesian citizens' knowledge of fundamental rights - 56 per cent of respondents were unable to name a single fundamental human right. We need to teach children their rights to raise awareness of their right and to empower them to speak up against child abuse. If we want to build Indonesia for and with children, we need to create a nurturing and empowering environment that will be conducive their fully development. Let us make schools a space for positive development where learning is enjoyable and violence, in any form, is not tolerated. Acknowledgment The purpose of the Chilcot enquiry into the Iraq War was to find lessons for the future from the mistakes of the past. It found plenty of mistakes but few lessons. But, at least, it has provided a degree of closure for families bereaved by the war. Two of the lessons were obvious soon after the allied military success in April 2003. The first was that the UK does not always need to fight alongside its US ally to sustain the special Anglo-American relationship. Harold Wilson stayed out of the Vietnam war. George W. Bush, seeing the strong public opposition in UK, phoned Tony Blair to let him know that he did not have to proceed. The special relationship had some influence over the US in obtaining UN resolutions but also had something of a romantic pretence about it. Second, and this is taught in police academies around the world, if a suspect's guilt is assumed too early in an investigation, without enough firm evidence, you tend inadvertently to close your mind to other possibilities. A mindset develops that discards contrary indications and overvalues unsubstantiated corroborative evidence. The intelligence source Curveball could have featured in a spoof spy comedy. The US and UK's security services as well as Prime Minister Blair appear to have fallen into a crude trap. Advertisement A closed mindset prevailed in the case of Saddam Hussein's supposed weapons of mass destruction. Though there was also exaggeration of the import of spurious intelligence. The Chilcot report delivered the humiliation of Tony Blair foretold, but many others joined him on the pyre of public opprobrium. Most significant, Chilcot exonerated him from charges of deception and lying. A more puzzling - unanswered - question is why did Tony Blair and his key ministers - with the exception of Robin Cooke - choose to ignore the weight of expert opinion about the likely results of military intervention in Iraq. I remember before the war a near consensus amongst experts that the removal of Saddam Hussein and his brutal system of repression would result in the disintegration of the country, already debilitated by years of sanctions. Iraq like Syria was held together by state terrorism. On the matter of politicians' attitude to experts, Mr. Gove is of help here. You shouldn't listen to them, he told Britain. They often get it wrong. But as Iraq illustrated, they often get it right. Military interventions promoted by Tony Blair had, until Iraq, gone rather well. Sierra Leone was a mini-triumph. I worked for eight years in the Faith Foundation of which he is patron. I had several people in Sierra Leone say to me, quite spontaneously, and out of his earshot: "That man saved our country". I have walked down streets in Kosovo named after him. The initial post-9/11 removal of the Taliban government was also a military success, albeit temporary. Iraq was the intervention too far. Advertisement The catastrophe in Iraq was made more certain by having no effective plan of action after victory in the field, by believing the army could be disbanded, the Baathists removed and that a collapsing state would somehow regenerate. To think as if this were the post war de-nazification of Germany was folly. Under such circumstances the disintegration of Iraq's national politics into religious and ethnic blocs contending in a vacuum for power and dominance became well nigh inevitable. It is unclear what control Britain had over this "nation-building" fiasco. Chilcot gives the impression that it was very little. The Chilcot enquiry, however judicious its findings, has inevitably played in into a very British blame-game whilst the USA, holding 95% of the military assets, has brushed aside all guilt. But Iraqi political leaders cannot escape responsibility so easily. Successive Iraqi governments failed to strive for national unity, falling back on brutal ethnic and religious patrimonialism, generating civil war from entrenched Sunni-Shi'a sectarianism. Iran and other Middle Eastern States fed the fire. ISIS in Syria and Iraq would not have gained momentum without armed, and unarmed, Sunni support. Sunnis had much to fear from Shi'a militias and much to resent in Shi'a majoritarian government. Many saw Isis 'protection' as a lesser of two evils. Just chart the road paved with sectarian graves from Al Qaida's Abu Musa al-Zarqawi to ISIS leader Abu-Bakr al-Baghdadi. Then came the Arab Spring, rapidly becoming an Arab Winter and a secondary cause of recruitment to terrorist organisations. The massacre of Muslim Brotherhood supporters in Rabi'a al-'Adawiyya Square on 14 August 2013, sanctioned by the Egyptian Ministry of the Interior, was one of the largest killings of demonstrators in recent history. Over 800 people died at the hands of Egyptian security forces. The massacre gave a clear message to Islamists around the world that peaceful, democratic, paths to state power end in tragedy. Western governments' support for Abdul-Fattah al-Sisi reinforced the message from terrorist organisations that takfiri jihad was the only way forward; the "far enemy" had to be fought as well as the "near enemy". Yet the USA had initially tried to support the inept Islamist government of Mohammed Morsi; the continuation of military rule in Egypt cannot fairly to placed at the door of the West. None of this was a consequence of the Iraq war. Advertisement The urge today to blame all the ills of the Middle East on the Iraq war, to account for Da'esh as a product of the Iraq war, is overwhelming. The temptation is to take a sequence of apparent consequences too far, leaping a decade, in order to find blame for the contemporary terrorist threat from ISIS and the continuing existence of Al-Qaida and its regional franchises and affiliates. altamira83 via Getty Images The 23 June was not independence day for Britain - it was the day the UK shot itself in its foot. Brexit will hugely damage our economy, our businesses, our citizens, our stability and our standing in the world. While European economies have been stagnating, we have seen a cumulative growth of 62% since the single market started in 1993. Advertisement We have taken for granted that 1.2million of our citizens are living in the EU, and we have three million EU citizens living here. They must be permitted to stay in the UK; these are people who have put in five times more than they took out and contributed hugely to our economy? We must take stock to examine what has happened, and how we must now move forward. We have 500,000 international students in this country and 170,000 of them are from the European Union. We need to send out a message that they will always be welcome here. Already, we as a country have lost our AAA rating and now eight of our universities have lost their credit ratings. Our universities receive 1billion from the EU in research funding, and will now suffer. Looking at the finance sector - big banks have already begun to make plans to move staff out. Stocks in the Royal Bank of Scotland have lost 8billion in share value. That is more than the UK puts into the EU every year, and it is taxpayers' money! Advertisement The Vote Leave campaign misled the British public. The biggest lie was "We send the EU 350 million a week" emblazoned on the Brexit bus, along with: "Let's fund our NHS instead". This was completely misleading, as the net contribution of the UK to the EU according to IFS figures is 8 billion a year, 1 per cent of our annual government expenditure of 770million per year. That is not going to shift the needle, let alone save the NHS. The IFS has in fact projected that leaving the EU would cause a hit to public finances of between 20billion and 40billion between 2019-20. I question what the Electoral Commission was doing. I have met people who have said: "I voted to leave to save the NHS". We need to take a careful look at the commission, which frankly, was asleep on the job. We now have a situation where 72% of voters under 25 voted to remain in the EU, but just over one-third of them turned out to vote. I hope that Britain's younger generations have learnt a painful lesson that they must all always exercise their precious right to vote for their futures. Advertisement This country has come a long way since the 1980s when I came to this country as a student from India, when there existed a glass ceiling for foreigners, and the UK was the sick man of Europe. Today anyone can get anywhere, regardless of race, religion and background. Yet today we hear of these awful hate crimes, attacks against migrants and discrimination, which I have experienced myself. Do we want to wind the clock back? Great cracks are now appearing in our union as both Scotland and Northern Ireland, having voted to remain, begin to consider a future apart from the UK and within the EU. Is it not a gut-wrenching sight to see Nigel Farage, who was so responsible for creating the mess that we are in, resigning as leader of Ukip and this weekend wearing Union Jack shoes when he could be responsible for breaking up our union? People who voted to leave were putting their trust in people who have been stabbing each other in the back - Boris stabbing the Prime Minister, Andrea Leadsom stabbing Boris, Gove stabbing Boris and Nigel leaving within days! Advertisement What a disaster, these pied pipers of Hamelin have conned, deceived and misled people. Ironically, the chief Brexiteer publication, The Sun - 'wot won it' - published a poll just this weekend showing that 67% believed the priority of the new Prime Minister should be steadying the economy, while only 28% of them want tackling immigration to be a priority. Our country is crying out for stability. Yet the UK is now facing great uncertainty, a weaker currency, looser monetary policy and lower growth. Our airport expansion has been delayed. The Governor of the Bank of England is already talking of economic post-traumatic stress disorder. The Economist Intelligence Unit projects a 6% contraction in the economy by 2020. Was the campaign to remain in the EU really Project Fear? It was Project Reality. So how can we find the best path forward? There is now a strong legal case that Article 50 cannot be triggered until Parliament votes on it. With such a narrow outcome of 52:48, and considering the lies, the deceit, the treachery and the turmoil that has been caused, the question must be raised whether a responsible Parliament can affirm the referendum result built on such shaky ground. Hindsight suggests that a decision as important as this should have had a two-thirds hurdle. For example, to change the fixed term parliament rule, the commons needs a two thirds majority, and to change the Indian constitution, you need a two-thirds majority, to provide a definitive result. Advertisement A petition for holding a second referendum has now been signed by four million people. There is no legal obstacle to holding a second referendum, and a general election could even be treated as a proxy second referendum on this issue. A recent Market & Opinion Research International poll shows that 48% of voters agree that there should be a general election before Britain begins formal Brexit negotiations. In the midst of political in-fighting and backstabbing we currently lack strong leadership, which is exactly what this country now needs the most. We need to negotiate with the European Union before getting anywhere near Article 50. Then - whether the decision is for staying in the European Economic Area with restricted movement of people, or staying in the EU with restricted movement of people - we can go to the nation through a general election, properly supervised by an effective Electoral Commission. We need to give people the chance to make an informed decision about our children's and our grandchildren's future, with young people turning out in full force. In the words of the Leave campaign, it is time for this country to "take back control" from the disastrous mess they have created. Advertisement Evgeny Gromov via Getty Images After a hard fought referendum, the Great British Public have voted to leave the European Union. The referendum was bitterly contested, but the arguments for democracy, sovereignty and control won the day. Unfortunately, this has left many people feeling hard done by. Despite this, the democratic choice of the people must be respected and calls for a second referendum should be treated with contempt. We at Get Britain Out believe the best way to unite the country after this hard-won victory is to assuage any fears members of the public may have over the consequences of our leaving of the EU. One of the main concerns which has been raised is the status of EU migrants currently living in the UK. Advertisement Some unpleasant individuals have been treating these people in a despicable manner - which is not worthy of the hospitable spirit of the people of Great Britain. It is necessary to point out, these are a tiny minority of people who are merely looking for an excuse to fight - they do not reflect the beliefs of Leave campaigners in any sense. Currently the favourite to become the next Leader of the Conservative Party and therefore Prime Minister is the current Home Secretary, Theresa May. Mrs May has refused to rule out sending EU citizens currently living in the UK back to the EU. Andrea Leadsom, her leadership rival, has been unequivocal in supporting the rights of EU migrants living in the UK. In fact, all the main Brexit figures have supported Leadsom in this regard, whether it be Nigel Farage, Boris Johnson or Michael Gove. Philip Hammond, the Foreign Secretary, will be holding provisional talks in Brussels to discuss the rights of both EU migrants living in the UK and UK migrants living in the rest of the EU. When negotiating the UK's exit from the EU, this subject will obviously be covered - as it was when Greenland left the EU. The consequence of Brexit in relation to migration is for the UK's democratically elected representatives to have control over who comes to this country in the future, and not to remove those who are already living and working here. EU migrants who live and work in the UK see this country as their home, and who are we to say they must go? Advertisement EU migrants who currently live in the UK, have - under international law - certain 'acquired rights'. This essentially means the rights you enjoyed before a certain event occurred will continue to be enjoyed afterwards. The right in question concerns the residence of EU citizens currently living and working in the UK, and the event which would terminate these rights without this provision is of course Brexit. These rights can only be taken away if the parties agree to terminate or amend these rights. There is not a snowball's chance in hell of the EU and the UK wishing to terminate the rights of both EU migrants in the UK - or UK expats living in the EU. For those legal eagles out there, this piece of international law is covered under Article 70 of the Vienna Convention on the Law of Treaties 1969. Neither the EU nor the UK will wish to become a pariah state by ignoring international law, despite the fierce rhetoric in the referendum campaign. The UK has always been an open and tolerant nation, and will continue to be outside the EU. Many scare stories were thrown around before the referendum, and this one is demonstrably false. We at Get Britain Out would like to reassure all readers your right of residency will not be removed, as to do so would not only be immoral, but also illegal. The Chilcot report has laid bare the US-British plot to remove Saddam. This is the second time (that we know of) where the US and the UK have conspired to remove a Middle Eastern leader. "The world is safer" claimed former British Prime Minister Tony Blair in justifying the removal of Iraqi president Saddam Hussein. More than a decade after the event and following the seven year wait for the Chilcot report, it is too early to say whether this is the case. What can be said is that the Saddam's removal has parallels with an earlier US-British removal of a Middle Eastern leader: the Iranian Prime Minister Mohammed Mossadeq who in 1953 was removed in a coup d'etat backed by the US Central Intelligence Agency and the UK's Secret Intelligence Service. Mossadeq, who had been democratically elected, championed social and political reform including curbing the Shah's powers and reducing foreign control over Iranian affairs, especially the oil industry. US and British concern over losing control over Iranian oil prompted the governments to launch a campaign against Mossadeq which ultimately resulted in the coup. The circumstances surrounding Mossadeq's removal bear some striking similarities to that of Saddam. Access to oil was a central concern for the US and British governments. In the run up to the 2003 invasion of Iraq, a propaganda war was staged against Saddam which Chilcot describes in detail. Similarly in the early 1950s, the US and British staged a campaign to paint Mossadeq as a threat to international order. As it turned out, it was the removal of Mossadeq which ultimately created a more significant threat to world order and regional stability. Advertisement While the US and British did not launch a military invasion of Iran in 1953, they did then proceed to "invade" Iran with military advisors and entangled the Shah's regime in a series of military procurement, oil and other trade deals that made Iran a virtual vassal state. At the same time, the Shah turned his back on any social and political reforms. The ground had been prepared and the seeds sown for the growth of the hardline, anti-US movement that eventually came to power in the 1979 revolution. The result was the Khomeini regime dedicated to exporting its revolution and supporting terrorists groups in Lebanon and the Palestinian territories. While it is not inevitable that the removal of Saddam will lead to a similar challenge to international order and regional stability, the signs are not good. The chaos that immediately followed Saddam's removal due to lack of planning (and highlighted by Chilcot) ploughed up the Iraqi political system and created fertile conditions for the growth of extremist groups including Daesh (so-called Islamic State). The Iraqi government's ability to overcome these challenges, including neutralising malicious foreign interference, will go a long way to shaping the ultimate political outcome from Saddam's removal. It may be another ten years or more before the full implications of events in 2003 become apparent. On Monday of this week MPs acted on the wishes of over 102,000 petition signatories to debate the exclusion of expressive arts subjects from the English Baccalaureate [EBacc]. On the eve of the debate a friend and education campaigner took to social media to share his fear that the EBacc, "is literally going to kill creativity, coding and the arts in England." Barbaric though the omission may be, it's hard to accept it might prove fatal. It felt ironic that just a few days earlier the same friend had posted pictures of himself at a talk which John Lydon gave as part of Punk London: 40 years of subversive culture (my italics.) You can imagine how the original punk iconoclasts might have reacted if told schooling was essential to creative expression. Compare and contrast with punk's DIY attitude: "Here-are-3-chords, now-form-a-band." In truth, I suspect that for many of us who grew up in the 70's, creativity happened in spite of and not because of school. Moreover creativity found voice then and continues to find voice through pursuits that owe nothing to the so-called creative subjects. One of the most creative of my old school mates is a theoretical physicist. But there's a bigger and more important point to be made here. Whether we speak in terms of the expressive arts or more broadly of creativity, neither is inherently positive. Take the inaptly named Futurists and their slogan, "Fiat ars - pereat mundus" ("Let art be created. Let the world perish.") These artists may have produced some of the early twentieth century's most arresting images, but their manifesto, published 5 years before the outbreak of the Great War was chilling: Advertisement "We will glorify war--the world's only hygiene--militarism, patriotism, the destructive gesture of freedom-bringers, beautiful ideas worth dying for, and scorn for woman. We will destroy the museums, libraries, academies of every kind, will fight moralism, feminism, every opportunistic or utilitarian cowardice." It's tempting, perhaps, to dismiss The Futurists as talented yet provocatively malignant exceptions. But do we then deny the feedback loops involving our twenty-first century lives and those strands of contemporary culture that trade in violence and misogyny? In any case the philosopher and literary critic George Steiner warned that all art holds potential to deaden our moral senses even where its impulse is benevolent. Desensitising immersion in the "fictive abstractions" of serious literature, drama and film, he wrote, can not only "accompany bestiality and oppression and despotism but at certain points foster it." (The Paris Review, Winter, 1995) Asked how this apprehension implicated his work as a teacher, Steiner replied: "I'd love to be remembered as a good teacher of reading, and I mean remedial reading in a deeply moral sense: the reading should commit us to a vision, should engage our humanity, should make us less capable of passing by." I'm pleased Westminster was persuaded to question the demotion of the expressive arts. And I'm happy that Catherine McKinnell MP made the point that, "Access to cultural education is a matter of social justice." But I'd be a good deal happier had the debate revealed a deeper consideration of what that might mean; of how it might make us, "less capable of passing by." The assertion by the Conservative's David Warburton that, "It is testimony to the unifying moral power of music that both the Taliban and ISIS, or Daesh, have banned it" implied the same depth of thinking that shaped the facile Fundamental British Values. And the predictable bundling by Tristram Hunt of creative skills, future job prospects and social mobility was underpinned by commitment to economic growth, a model that is unsustainable and increasingly at odds with social justice. Advertisement In September 2015 UN member states formally adopted the Sustainable Development Goals (SDGs) to plot a course to 2030. The fourth of these Goals commits each country to, "ensure inclusive and equitable quality education and promote lifelong learning opportunities for all." Subsection 4.7 specifies the need for, "education for sustainable development and sustainable lifestyles, human rights, gender equality, promotion of a culture of peace and non-violence, global citizenship and appreciation of cultural diversity and of culture's contribution to sustainable development." But this aspiration will prove worthless if these things remain bolt-ons; if in all other respects, we hold fast to our faith that human creativity must be given free rein. To fetishise our species' itch to mold and remold our environment is an act of profound hubris that actually will prove fatal. For sure, our world is urgently in need of creative solutions. We face a vast constellation of supremely complex problems. But let's not forget that most of these problems have been created by our creativity. All hope hinges on our willingness and capacity to attend to the moral purpose of the full range of human creativity. Unless educators accept their critical role in this - and we could start by following Steiner in asking hard questions about how we wish to be remembered - we will only succeed in equipping our students to grow and multiply existing problems. The principle reason for such widespread objection to Ms Spielman's appointment as chief inspector for schools is the fact that her previous experience does not include teaching experience of any variety; an attribute that she shares with Ms Morgan. However, in the strange 'Through the Looking Glass' milieu of Ms Morgan's Department for Education it seems that parents and teachers are no longer considered to have 'the right skills' to make judgements about appropriate care and education for the nation's children; what is apparently needed above all else is an accountant and market analyst. As the Acting General Secretary of the National Union of Teachers comments 'It is a sad indictment of this government's attitude to education that they place such little value on the experience of teachers and head teachers, that they would not consider such a background necessary for the chief inspector's role.' Advertisement While the current Chief Inspector Sir Michael Wilshaw demonstrated in post that he knew very little about the devekopment and learning of young children, as an experienced teacher he did at least know what the day to day job entails within the wonderful helter skelter world of classroom teaching. He reiterates an amusing example that every teacher can relate to; the girl who asked him "Sir, have you thought of doing something else with your life?" My own fondest classroom memory is that of a lively, deeply dyslexic seventeen year old boy in one of my Year 13 sociology classes commenting 'you know, Miss, I always had a shed-load of opinions, but this subject has taught me how to tell people about them'. As a teacher of over twenty years standing, my highly varied classroom experience has convinced me that the balancing act that those who provide education and care for the nation's children need to perform does not relate to neat rows of figures on a spreadsheet, but to deal with each arising situation with a carefully considered fusion of subject knowledge, teaching skills and emotional intelligence. Advertisement Nearly three years ago, when Mr Gove was Secretary of State for Education, children's author and parent Michael Rosen wrote him an open letter, commenting: 'Your legacy is the near-complete destruction of local democratic running of schools.....You cite Tony Blair, who talked of schools being "freed" from "politically correct interference from state or municipality", but then you forget to tell us that this new freedom is controlled by a political interference from somewhere else: from one person - the education secretary'. National events over the past few weeks have now largely determined a damning legacy for both Michael Gove and Tony Blair, but the fate of England's schools still hangs in the balance. Eid marks the end of the fasting month of Ramadan. Celebrated by Muslims in the UK and around the world, it is a time when families and friends come together and offer thanks to God Almighty for having given them the strength and will to observe fast, devote more time to worship and give to charity. While Eid marks the end of the holy month, it also symbolises a new beginning for Muslims, many of whom will feel refreshed and reinvigorated after exercising their will power, determination and resolve to come through this intense spiritual experience. Among British Muslims, Ramadan revives our sense of community spirit, and imbues us with a strong desire to carry forward these lessons learned throughout the year. In reflection, this Ramadan has been particularly challenging for British Muslims, both spiritually and emotionally. At home far-right extremist groups have sought to hijack national debates over our place in Europe as an opportunity to promote anti-Muslim and anti-ethnic minority hatred as well as stoke fear among the public of those they wrongly portray as the 'other'. Advertisement In other parts of the world, we have seen hundreds of our Muslim brothers and sisters slaughtered by terrorists supposedly in name of Islam. In Baghdad, an attack by Daesh terrorists killed over 200 people including many young children and those preparing for the Eid celebration. In Saudi Arabia, a suicide bomber killed four people and injured at least five others in an attack in Medina, the holiest city in Islam after Mecca. This attack in particular, targeting Muslims in a holy place at a holy time of year, was not just an attack during Ramadan, but an attack on Ramadan, and on Islam more generally. Despite all the negative news headlines, this Ramadan has also brought many powerful and moving moments. One poignant and touching occasion was when Sadiq Khan, Mayor of London, broke his 19-hour Ramadan fast at an event that watched by millions around the globe. At Lambeth Palace, the Archbishop of Canterbury hosted an Iftar event, which brought together people of different faiths, including the Chief Rabbi. Community Iftar events were held across the country, at homes, mosques, churches, synagogues and community centres, as well as at the Savoy Hotel for the very first time. Advertisement These community Iftars were aimed at connecting people from different cultures and backgrounds, providing opportunities to make new friendships and experience the feeling of community and generosity -that is, after all, what Ramadan, Eid, and Islam are all about. As we mark Eid in Britain this year, our thoughts and prayers are with all those who over the last month have been affected by various brands of terrorism and extremism as well as those without food, water and shelter around the world due to conflict, violence or natural disasters. However, we must also celebrate with optimism and positivity, and shine a light on the examples that show that despite all the challenges we have faced over the last month, community spirit not only survives but in some ways is stronger than ever. Atrocities have been done in our country, even now I don't even know if my family are alive or dead because there is no contact with them. I try to go to work every day - I go to work in the school as a kitchen assistant - but in the back of my mind think about my family and the way they are living in South Sudan. That's why I want this peace to come. It doesn't matter how it is going to come but it has to come because the poorest, they are the ones who are suffering. Being South Sudanese is a great gift. I am here today living in the UK. I was not born in Britain but they respected me as a citizen. Where I am living now, I've got a lot of friends from different ethnic backgrounds, they could be Bangladeshi, British, from the midlands, Yorkshire - anywhere and they are still my friend because we are sharing and living in the same country and we have respect between us. That's what unites us as human beings. You respect me and I respect you. For me the peace is very important to come. That's how I see it. Maybe one day if we come together and unite together as community, the peace will come. In 2015, more than 60 million people were forced to flee their homes - a record high. This means that one in 122 humans are displaced. Refugee numbers fleeing their country of origin are soaring, but the number of internally displaced people is even higher. As United Nations Secretary-General Ban Ki-moon stated in 2015: "With nearly 60 million individuals having fled conflict or disaster, women and adolescent girls are particularly vulnerable. Violent extremists and armed groups are committing terrible abuses that result in trauma, unintended pregnancy and infection with HIV and other diseases." It is no coincidence that, at the same time, human numbers have now grown beyond seven billion. This pressure contributes to conflict, poverty, climate change and the impact of natural disasters, all factors underlying large scale migration. Advertisement World Population Day, celebrated each year on July 11th, was established in 1989 to create a greater awareness of the impact of population growth on sustainable development. It arose from an appreciation that the world's ever growing population poses serious threats to mankind and the environment alike. Natural resources become depleted, natural amenities are polluted and the wellbeing of ever more people is threatened. This year's theme for the day is 'Investing in teenage girls'. Women are disproportionally affected by conflict and disaster. Their generally disadvantaged position makes them more likely to suffer from poverty, lack of access to education and employment. They are, moreover, often reduced to a purely childrearing role. Poor access to reproductive services places their health at risk. Moreover, many couples want to avoid pregnancy in times of crisis, but lack the means to do so. It is estimated that one in five women of childbearing age in crisis situations is pregnant. There is hope for a better future. Globally, the number of schools in which equal numbers of boys and girls attend has increased by three quarters since 2000, Nepal has reduced its maternal mortality sharply since the early 1990s, and the position of women in Tunisia has improved greatly since the Arab Spring. Such gains should be seen as a sign that greater empowerment of women, improved family planning facilities and better sex and reproductive health education in school really makes a difference. It is not simply a human right to have access to these services: they are also the key towards smaller populations. Smaller families are a necessary condition for long-term poverty alleviation, reduced conflict and sustainable development, all part of the 17 sustainable development goals adopted by the UN which came into force on 1 January 2016. In the context of sustainability and environmental conservation, each additional human consumes and pollutes. While we have the ability to develop technologies and strategies to reduce our ecological footprint, our existence will always leave marks on the environment. As we grow more numerous, we must harvest more crops. Not only does that cause a dilemma of space - there is only so much land available - but it also creates competing demands where other scarce resources are concerned. Fresh water shortages in particular will affect more than half of humanity by 2050 if we do not actively protect and conserve life's most vital ingredient. Thirst and hunger would cause serious political tensions and likely force the movement of ever greater numbers of environmental refugees. Advertisement We know that population growth exacerbates every challenge we face. To address that, population growth, which is continuing at 80 million a year, should no longer be accepted as inevitable. Instead, we should mark World Population Day by calling on people to have smaller families and advocating for policies that support them, both in the UK and abroad, so that we can build a sustainable future with a healthy environment and decent living standards for all. We are living in very interesting times. With Britain's exit from the European Union, the Prime Minister and party leaders standing down, we are all experiencing massive shifts that are leaving some of us feeling misplaced, disorientated and in total upheaval. Some will have heard me talk of the global rise in female consciousness and how feminine energies are coming to the fore. So it's no surprise to me that we have two female contenders for the leadership of the Conservative Party, one of which will ultimately become our new Prime Minister. Now hear me out. I'm not banging on about feminism or who will inherit the seat at No 10 (and they'll be no fear of one particular seat being left up for sure); it's more a case of looking at what's happening on a bigger scale. Ruth Davidson heads the Scottish Conservatives, Nicola Sturgeon is the Scottish First Minister, Arlene Foster is Northern Ireland's, Christine Lagarde is MD of the International Monetary Fund, Angela Merkel is ever powerful in Europe and Hilary Clinton is running for the presidency of the United States. You could argue that it's sheer coincidence that these women have come together at the same time or are they all the best of a bad bunch? I was alarmed to hear recently that it will take 70 years for there to be equal representation in the Houses of Parliament. Correct me if I'm wrong, I won't be around to verify or otherwise. Suffice to say women are making great strides in the political and other arenas but not quickly enough. Advertisement What I will say is the old paradigms aren't working any more. It feels like the decks are being cleared. It feels like a massive shift is taking place. I for one am feeling it in that my physical body is taking it's time in calibrating with the phenomenal pace at which mother earth and the universe is moving. I tell people that I'm sure I'm going to meet myself coming the other way soon and I'm feeling pretty shattered by it in the process. Not all women are nurturers or good listeners (and I'm not being stereo-typical here) and not all women are born leaders but I feel mother earth is yearning for a feminine paradigm and leadership of a different kind. We were all born from mothers so let's see what these women give birth to in the coming years. We don't need a woman in trousers with balls in her handbag baying for 'handbags at dawn' to demonstrate her masculine leadership. It's her strong but loving heart that will move us forward, her resilience, her focus and her determination. We need fresh insight and honesty. We need something different bringing to the table and the table itself is in want of a good polish to rid itself of sticky, imprinted fingers. Let's not assume that will fall to the job of a woman either. Whatever your political bias, we can't ignore the fact that a lot of the most powerful people on the world's stage will be women. The Dalai Lama himself said that The world will be saved by the western woman. Advertisement Let the cards fall where they may. Whether you feel it's the best of times or the worst of times, the winds of change and transformation are a'comin. Interesting times indeed. I'll leave the last word to Lacey McMillan. She put out her family's wheelie bin as her local dustmen refused to collect it because it was too heavy. Lacey is nine years old. Enough said. Dan Kitwood via Getty Images It's a whole month since I wrote for this very website about Southern Rail. At that point, six weeks in, Southern Rail had cancelled, delayed and mocked its way towards serving up a grade A commuter hell. Things couldn't get any worse. Could they? Eleven weeks have now passed and the delays, cancellations and severe overcrowding have continued. Commuters rocking forwards and backwards at the prospect of being late for work, missing client meetings or not making it back on time to put kids to bed. Advertisement 11 weeks. It has been miserable. A process of emotions that started with frustration, built to anger, then pounded us enough - like Winston Smith in Room 101 - that we've been left, as individuals, a hollow shell of a person, virtually writing off weekdays as an exercise in the futility of modern life. It has been, every single way, a summer of massive discontent. So where do we go from here? Well, as of today, Southern have introduced a 'remedial plan'. You may of heard of it. Its purpose is to strip the network of 341 trains per day in the faint and desperate hope that passengers will be able to plan their journeys better if they aren't waiting for a cancelled train. Train staff levels - essentially the reason for most of this mess - would, they say, remain consistent enough that all services should be able to run. Should. The cynic in us sees this differently. Southern and parent company Govia have to protect their bottom line. It's the reason they are here and frustratingly will be the reason they stay - backed by Government collusion. Compensation claims - the many thousands they receive a day - dramatically affect this. So, in reaction, they have effectively taken away many passengers' ability to claim a single penny. If the train doesn't exist, it can't be claimed for. I'm sure the Southern PR machine will click into gear here but at this point it becomes quite easy to see through the fog. Still, in the scheme of things, compensation means very little. For most on the worst affected routes - such as London Victoria - Brighton - receiving a few quid is like a big, fat slap across the face as parents miss seeing their children or disabled passengers are unable to fit onto a packed train. Personally I couldn't give a damn about compensation. They can keep their 5.41, I just want my life back. Advertisement Still, as very ordinary people we at least try to do something about it. Back on June 14th we 'organised' a protest at Brighton station with the sole intention of bringing those who are angry at Southern together in a show of dissatisfaction. It worked. We organised it on the Friday and by Tuesday approximately 250 and camera crews had turned up. People were angry - pushed into spending their evening with strangers on a scruffy train station rather than seeing their families. Since then, through the power of social media, people have come together. Strangers exchanging ideas and emails, new friends sharing resources and expertise. Being British, we might only ever muster up enough energy to tut and grumble but in the face of adversity we can and we do give many thousand shits. About three weeks ago we set up the snazzily titled Association of British Commuters. There are many commuter groups around but we needed something to bring people affected by this unprecedented issue together and try to push for real change. We needed a central place for angry commuters to share stories, talk to each other and campaign for what is fast becoming the worst rail issues this country has ever seen. On top of all this a second protest has been organised. By the time you read this it may have passed but after protesting in Brighton our eyes inevitably turned towards the capital and the hundreds of thousands of commuters who, on a daily basis, have been met with cancellations, delays and some of the worst conditions - both in the carriages and on the platforms - ever faced. We needed a protest that hit the very heart of the Southern network. London Victoria is set. But will it make a difference? Since the June 14th protest, some MPs have started to take these issues - mainly because it involves most of their constituents - very seriously. Peter Kyle, Maria Caulfield and Caroline Lucas - amongst others - have taken the fight to Parliament and have been hugely vocal in their anger towards what they see is an unacceptable service, with calls for the franchise to be stripped. Advertisement Public meetings have been held. Both Caroline Lucas and Jeremy Quin have hosted their own with senior representatives of Southern. In both cases, with the public demanding answers to quite basic questions, Southern have found themselves to be clearly out of their depth with finding the required solutions to these issues. In Brighton, one audience member, Greg Madison noted: "Southern management started the evening looking arrogant and bureaucratic, finished looking chastened if not a bit scared. Their excuses did not wash." It is something that has been played out across the region. In Horsham, where MP Jeremy Quinn hosted anther public meeting, Ross Pudney attended where he saw Alex Foulds, Passenger Services Director answering questions. He noted: "Based on this meeting, I've come to the conclusion that Mr Foulds is a significant part of the problem. He was dismissive, unwilling to concede ground and failed to answer any questions. He should be considering his position." Across the board, straddling every political party from Peter Kyle (Labour) to Maria Cauldfield (Conservatives) anger is running high. It cannot go on. Advertisement Think of any other walk of life, a school for example. Would that school be allowed to cancel lessons without just reason? Would a hospital be allowed to fundamentally change the role of their front line staff without consultation, putting patient safety at risk? But these are frontline services I hear you shout. True. But a mass disruption of lives on this scale is arguably a bigger threat to public health than sugary drinks. As an ordinary passenger it is difficult to decipher between what is quite obviously a complicated industrial dispute. However, what is clear, from talking to Southern employees across the region, is the punitive and Victorian management style that Southern that has exacerbated the dispute with the RMT has destroyed any good will from their employees. Over the past few weeks I have amassed over 20 separate emails from Southern employees - mostly Conductors but some drivers - all praising the action we are taking and giving their own horrific accounts of the line being taken from the Southern management. It has and continues to be a truly sickening set of circumstances. So here we are. Petitions defied, resignation calls ignored with passenger protests the last in a small pool of legitimate routes we can take towards change. In fact the last petition to be defied ended with this lonely paragraph: "Whilst we understand the frustration felt by passengers affected by disruption, we would like to assure you that the Department for Transport is determined to see further improvements and for GTR to provide the service that passengers expect." Advertisement In one sentence providing the public everything we need to know about this ongoing battle. That Southern and Govia continue to drown in a pool of desperate measures and public outrage whilst at the top Claire Perry and the Department of Transport continue to wring their hands of the issue at hand. It's hard not to think of the wider picture during this battle. There are, as you know, much worse things happening in the world right now. But as a country we seem to face these issues - which are being seen for the exploitative and unjust issues that they are - with apathy and laziness, preferring to tut and grumble than make a stand; at worst, looking on at protestors with derision. Well to that I would say this: we aren't politicised, we straddle the economic and class spectrum and if you define us, we would be some of the most normal people you could ever meet. We just want to get home. Getty Liar liar pants on fire! Liar liar pants on fire is an expression I would expect to hear from my four year old daughter but not Members of Parliament either aimed at the opposition or even party colleagues. The referendum has brought out the worst in politicians and the two campaigns have without question been divisive. However, what worries me most is the way in which politicians are so quick to brand each other liars. Advertisement Calling a fellow member a liar or even implying it in the House of Commons chamber is strictly not allowed and would be challenged by the Speaker as un-parliamentary language. In fact, Erskine May (the bible of parliamentary procedure and etiquette) considers the imputation of false motives, charges of lying or the misrepresentation of the words of another as un-parliamentary. If I genuinely believe something to be the case, that does not make me a liar, it may make me wrong, but not a liar. In my view this represents sloppy and unsophisticated campaigning and has elements of childishness. Politics should be about robust debate where we dissect our opponents' arguments in a polite and courteous way. In my view some politicians have become too quick to brand opponents that hold differing views as liars calling into question whether the fellow politician is in fact honourable. When I first entered Parliament little over a year ago, it took some getting used to referring to colleagues in the third person and adding 'honourable' to their titles. By branding each other liars or continually suggesting that if we hold a differing position we somehow do not care or are lacking compassion, we do each other and this nation a great disservice. How can we expect the general public to respect politicians when we have and display no respect for each other? Politics must be better than this. We owe it to the public we serve not to act like this and insult their intelligence. I fear that if we don't, we will only be adding to the malaise the public feel towards political discourse. Advertisement I openly confess that I have a number of Labour members of parliament that I consider to be good friends. I appreciate that the Government Whips may hold a different view but I see no problem or issue with this. Ultimately I believe that all British politicians want the same thing, we just have differing views on how to achieve it. Those who hold differing views are not the enemy but the opposition and as the late Jo Cox MP said, we have more in common than that which divides us. Perhaps I am being naive, perhaps British politics is too polarised and perhaps we will always be fighting across the political divide. But surely we can be civilised? Surely we can be respectful? Surely we can drop the name calling and the labelling as liars. I think it is time to bring back honourable members. To accept and respect that we have differing views and to embrace it. If we are honourable then we genuinely believe what we are saying then whether we be right or wrong, we are not liars. If we start to respect each other, then maybe, just maybe we can earn the respect of the people we endeavour to represent. Monday morning was the first time that I felt uncomfortable in a protest that was under the banner of #BlackLivesMatter. It was organized by Philly Showing Up for Racial Justice , a chapter of a national group that promotes white activism for the cause. At 8am, about 50 activists, almost exclusively white, came together in front of the Philadelphia City Hall. The most common sign: "white silence is white violence." The first thing that caught my eye, aside of the lack of non-white organizers or protestors, was the lack of police. Aside from a few police officers on bicycles, no police were around. Less than 12 hours before, I was marching in the exact same location with the Philly Coalition for REAL Justice, a Black led organization, in a protest that was predominantly Black. Throughout the protest there were more than twenty police vehicles surrounding us at all times. Both protests were peaceful, but that is an assumption that the Philly PD makes before the protest only when the organizers are white. The protest took to the street quickly, and the organizers with the mic read the names of Black men who were killed by police. After every name they said something about the victim. "He was a good person", "he wanted to help his community", "he was a good father." Here I started being very uncomfortable. I started to feel that the protest is feeding to a false narrative of solidarity that perpetuates white supremacy. Why should it matter if Brandon Tate Brown wanted to help his community? Or that Alton Sterling was a good father? It is indeed heartbreaking to hear, but there is an implicit subtext that these positive characteristics make these killings unjust and that the victims deserved due process because they were good members of the community. Because, using the dichotomy of prominent sociologist Elijah Anderson, they were "decent" and not "street." But being "decent" is not what grants the right to not be deprived of life without due process by the 5th and 14th amendments. This right is the right of "any person" regardless to how "decent" or "street" he or she is. After the name reading a white organizer read a short statement. Black lives matter, but apparently Black voices don't. Advertisement At some point I started talking to one of the only Black marchers. He didn't know about the action but was around city hall and decided to join. He told me that he hopes that this is a part of a learning experience. "They come here, where they are comfortable, and maybe tomorrow when I'll ask them to join my action they will come." But these are pressing times. We have to ask ourselves how long? How long will it be until we, white people, stop allowing ourselves to continue and gather courage? How long will we, white people, fear to be uncomfortable? This goes to the heart of the racial divide in America, even northeast liberal America. The only difference between the content of the march yesterday and the March today was that the people leading the way were white. As long as we, white people, are uncomfortable with Black leadership, change is impossible. This movement is not about the conscious development of white America. That is a means, not an end. It is about the day-to-day struggle of millions of Black and Brown Americans, and many immigrants, who feel threatened by agents of the state. It's about institutional racism that takes its tool with Black and Brown lives. At some point the crowd chanted "I believe that we will win." But this is not our battle to win. More than that, it's for sure not our battle to risk losing. It is the battle of the affected communities to win. It is the battle to end our privilege. The battle to end our fear of Black leadership, the same fear that leads police officers to fear Black men. There is no need to create safe-space for white protestors, white spaces, because most places are white spaces. There is no reason to promote white leadership because all power is white by default. One of the signs read, "white people, what will you do to change our legacy of violence?" Here is my answer: listening, supporting and marching with Black organizations, and resisting the urge to be comfortable are the first steps. In Life insurance insurtech innovation: no longer an oxymoron, expect an uphill climb, I provided categories within which to organize the innovation players within life insurance. Both startups and legacy businesses are pursuing solutions to industry pain points. Attention is being paid to distribution, product, client experience, speed, productivity, big data, compliance and other areas within the life category where inefficiency exists or where client needs are not met today. The very complexity of life insurance will be a deterrent, at least in the near-term, to the volume of innovations versus what we have seen in other areas of insur-tech. Much of the innovation, including the examples presented here in my April post, aim at specific issues with the current model for life insurance, versus taking a clean-sheet approach. Entrants into the space aim to solve advisor problems, or become the new intermediaries between the carriers and the client, or assist the carriers themselves. For their part, carriers are funding and/or leading transformation efforts. They know they must adapt, but since it's almost impossible to drive massive change from within an established business model and culture, it is likely that startups creating differentiated value that avoid becoming mired in complexity can do well. Advertisement Here are examples of opportunities. Advisor conversations move from the kitchen table to digital channels The Global Insurance Accelerator aims to drive innovation in the insurance industry. Of note in GIA's 2016 cohort is Serious Social Media, a tiered offering that automates advisor participation in social media. Based on a user-defined profile, advisors are provided with algorithm-driven content distributed via their social media identities. Hearsay Social is a more evolved startup also enabling advisor social media. The Company boasts relationships with seven out of 10 of the largest global financial services companies, among these New York Life, Pacific Life, Farmers and AXA. Hearsay addresses the compliance requirements carriers have so their advisors can participate in social media: (1) archiving every instance of social media communication, and (2) monitoring all advisor social conversations, and intercepting compliance breaches. While not sexy, this capability is critical and commands c-suite attention. An early-days market entrant also targeting advisor digital presence, LifeDrip claims to offer an automated marketing platform, including a personalized agent site, targeted content, signals on client readiness to buy, and product recommendations. Advisors as intermediaries are unlikely to disappear any time soon, but their role, engagement approach and capabilities must be more tech-savvy to appeal to virtually any consumer segment in this market with buying power. Expect additional new entrants that continue not to write off live intermediaries, and bring to market solutions to reshape the advisor relationship. Advertisement The new intermediaries are digital Smart Asset promises to simplify big financial decisions including the purchase of life insurance, with an orientation towards how people make these decisions vs. pushing product. Shoppers can input data to a calculator and determine a coverage target; they are then encouraged to request a quote from New York Life. Smart Asset's experience will be more credible when it includes multiple providers. It will require marketing investment to scale participation. Its basic approach could appeal to a large segment that will demand simple, low-cost product. Policy Genius has developed a consumer-friendly interface including instant quotes for life, as well as pet, renters and long-term disability insurance, following completion of an "insurance checkup." As with other startups, this is a data-gathering exercise undoubtedly important to the company's business model. AXA is an investor in Policy Genius; the site promotes several major carriers as product providers. Slice Labs is worth calling out because it is a direct-to-consumer play defining itself against a specific, important market segment - the 1099 workforce whose growth is being stimulated by the "on-demand economy." Think not only about the Uber and Airbnb phenomena, but also the reality of more Americans moving away from traditional employer relationships where automatic access to benefits was a given. Viewing carriers as startup clients All of the companies mentioned already focus in and around the acquisition of new clients. InforcePro offers an automated solution for agents and carriers providing proactive insights into sales opportunities and potential risks that exist within their current books. Why does this matter? Insurance contracts are inordinately complex - even for the experts. Carriers and agents, particularly in recent years, have been forced to focus more heavily on maximizing the performance of the policies they have issued, versus just trying to sell more. The focus on the relationship with the policyholder has been skimpy. Life insurance policyholders can cancel a policy but cannot be "fired," and represent ongoing exposure as their future claims can be on the carrier's balance sheet for decades. With the risks and potential value now more obvious, in-force management has become a priority for focus and investment. Advertisement Carriers driving efforts to innovate beyond incremental moves Haven Life owned by Mass Mutual but operated separately is a digital business whose product line is term life up to a $1-million benefit. The company operates in over 40 states and represents a bold move for a 165-year old carrier. Nerdwallet rates Haven's pricing as "competitive" - not the cheapest but well within range. What is interesting about Haven is that it is not just implementing a shift of the same old approach to digital channels: quotes are available in minutes and coverage can become effective immediately, with the proviso that medical testing be completed within 90 days of policy issuance. In this space, this approach represents meaningful experience innovation. Last year John Hancock initiated an exclusive relationship in the US with Vitality, marketing a program that gives rewards to clients who demonstrate healthy habits such as having proactive health screenings, demonstrating nutritious eating habits, getting flu shots, and engaging in regular exercise. Rewards range from cash back on groceries to premium reductions. This program is strategically significant because it aims at prevention, not just protection, linking preventative behaviors that clients control to cost savings. Numerous carriers are participating in innovation accelerators, establishing their own incubators, and/or forming dedicated venturing and innovation units. It remains to be seen which of these are what a colleague refers to as "innovation theater" and which are for real - drivers of new business opportunity. As with any early-stage plays, their stories will emerge over years, not quarters. This piece will be running in the major newspapers in Virginia's 6th congressional district, which Mr. Goodlatte represents in the U.S. Congress. Republicans outnumber Democrats in this District by an approximately 2:1 margin. I, too, live in that District, and in 2011-2012 I was inspired, at the age of 65-66, to run for political office for the first time in my life as the Democratic nominee challenging Bob Goodlatte for that seat in Congress. I felt that I couldn't let Mr. Goodlatte - and his Republican con job on the voters of the district - go unchallenged, which is why I ran under the slogan, 'Truth. For a Change." Mr. Goodlatte is, of course, still in Congress. And after our contest he was made Chairman of the House Judiciary Committee. ***************** Dear Congressman Goodlatte, If there's one thing people can count on you for, it's that you will put your party's interests ahead of the good of the nation. Fortunately, there's something you can do now to redeem yourself. It won't be with your second-guessing FBI Director James Comey, who determined that Hillary Clinton's email fiasco doesn't warrant bringing charges. Advertisement You probably knew there would be no indictment, since most legal authorities had reached that same conclusion. And surely you know that Mr. Comey -- appointee of George W. Bush, and contributor to the presidential campaigns of McCain and Romney - has no partisan motive to help the presumptive Democratic nominee for president. But as the obedient servant of the Republican Party, which has rewarded you with the chairmanship of the House Judiciary Committee, you sprang into action to keep the public's attention focused on Hillary Clinton's shortcomings With your colleagues' efforts to find a scandal in Benghazi failed -- and even exposed as politically motivated -- it's not surprising that your party would not let go of the email issue, where Hillary made some clearly bad choices. But all your efforts to besmirch Hillary can do is help make Donald Trump president. If you think he's fit to be president, let's hear you make the case. And if you don't, are you such a partisan that you'd help elect someone -- whom leading Republicans have called a con man, a fraud, a purveyor of bigotry - simply because he's the Republican nominee? Advertisement Too bad you're not as eager to move forward on national issues needing attention. Instead, again doing your party's bidding, you've made your committee the place where bills go to die. No action on immigration reform, letting the problem fester, even though the measure that passed the Senate with a two-thirds vote would pass the House if it were brought to the floor for a vote; And no action on sensible gun restrictions, even though gun-related deaths in the U.S. are 25 times as great as in other nations, and even though some measures you refuse to move forward are supported by big majorities even of NRA members. You've been a foot-soldier advancing your party's strategy of across-the-board obstructionism. "If the president was for it, we were supposed to be against it," were the marching orders, according to former Republican Senator from Ohio, George Voinovich. Those marching orders -- which you've followed consistently -- are fundamentally un-American. Not for a moment would America's founders have considered it legitimate for the people's representatives to put making the president fail, in order to gain partisan advantage, ahead of doing the people's business. This obstructionism is a betrayal of our founders' vision for America. Advertisement Your party has now taken this obstructionism to a new level: refusing to allow a president to appoint anyone to fill a Supreme Court vacancy. It could not be clearer that this Republican blockade is purely about partisan power. All the justifications offered were readily shown to be hollow. And all the precedents cited were not comparable. Throughout American history, there have been 103 instances like the present one, according to a study by law professors at the University of Chicago and the University of Illinois. And "in all 103 cases, the president was able to both nominate and appoint a replacement justice, by and with the advice and consent of the Senate." Surely, Merrick Garland should now be on the court. He has been nominated by a sitting president in a timely way and is by all accounts an exemplary judge in every way -- professionally and personally -- that American tradition has considered relevant to confirmation. (Beyond which, Judge Garland is ideologically moderate.) Your party's obstruction threatens America's future by degrading the norms created by generations of American lawmakers. Advertisement But you can redeem yourself. You are the counterpart to the chairman of the Senate Judiciary Committee--Senator Grassley, who has spearheaded this unprecedented obstructionism. This gives you standing to write an open letter calling for him to at last put nation above party, saying: "We Republicans have politicized the court enough. It's not right to help our party in the short run by setting a precedent that can damage America in the long run. It's time to do what every Senate has done before in this situation: hold hearings and, if the nominee proves to be qualified, confirm him. Our system works only if we all accept that sometimes things don't go our way." It's time for you, Mr. Goodlatte, to put country ahead of party. Packaging matters. It contradicts the "looks aren't everything" proverb, but it's a salient truth--looks can be just as important as content. As media evolves, creative industries are growing more finicky, and design and content alone are no longer enough to reach success. Since the oversaturation of the digital atmosphere, consumers have become skeptical, if not totally immune, to conventional marketing tactics. It's detachment amid total connection - a phenomenon that's prompted media generators to reevaluate how they approach social media. Platforms like Instagram, Twitter, and Facebook are today's ultimate communication tools. The crux of the problem is not the apps themselves, but the way they are used. The mere notion of connection is banal, and churning out contrived images and gimmicky sentiments is ineffectual. In order to stir interest, consumers need genuine engagement--after all, consumers are people, and people crave interaction. Advertisement Photo courtesy of Chelsea Matthew Having recognized this shift in consumer attitude and the need for authenticity in the industry, Chelsea Matthews, part-time instructor at Academy of Art University's recently launched Social Media Center, took it upon herself to re-imagine a new breed of marketing. Thus came the birth of Matte Black, a culture-marketing firm based in Los Angeles and London that specializes in building honest, unaffected brand-consumer engagement. Matte Black works with lifestyle companies like TOMS, OPI, L'Agence, and G-Star to develop brand cultures that emphasize narrative, experience, and community. In tandem to launching Matte Black, Matthews also created the Shape Shift report, a digital culture and trend publication, and Social Derby, a one-day social media workshop held in Los Angeles, New York, and Toronto. In her new course PRO/LA 317: Social Media Management, students will master the fundamental tools, practices, and strategies of social media, and learn how to effectively implement them in personal and professional applications. By lending her media expertise and marketing acumen, Matthews will teach students how to use digital channels as tools (rather than toys), and how to make the most out of their online presences. Advertisement Photo courtesy of Chelsea Matthew Angela Han: You have years of experience in the fashion industry. How did you get into fashion and marketing? Chelsea Matthews: I actually started in offline events and experiential marketing. I interned for a small events company in San Diego that hosted local shopping events, and that spawned a series of jobs into the industry. AH: You founded Matte Black in 2013. What prompted you to launch your own culture-marketing firm? CM: Honestly, it was just a matter of time! Both my parents are entrepreneurs, so in some ways it's in my DNA. I knew it was really about the time and place, and building strong connections and relationships, so I made sure to nurture those even through my other post-college jobs until I started my business. AH: Is there a reason why you chose to set up shop in L.A. and London? You've also worked in New York and San Francisco - how do these regional sensibilities differ from one another? CM: There is so much optimism and opportunity in Los Angeles! If I look back 6+ years ago when I was starting my first business, it really came down to the fact that Los Angeles was an affordable place to start a business. Rents weren't too high, overhead was minimal, and there were a lot of great ways to connect and network with industry professionals--so I felt I could achieve a level of authenticity from the start by merely having my own office space. The expansion to London over New York was a hard one, but it ultimately came down to treading waters, per se, in another country. I found that many of our clients and projects in New York had no preference of us being local. The majority of what we do only requires internet access, a laptop and a cell phone, so it's easy for us to be just about anywhere. I lived in London when I was 23, and my husband is British, so it felt natural to try to crack their code by opening up shop in the U.K. AH: Your firm is all about honest, holistic connections. Can you elaborate on this philosophy? Why is consumer action just as imperative as consumer reaction? CM: This plays into how we look to partner with our clients and how we look to position our clients to their consumers. We seek to partner with the companies we align with. As such, we don't do things like clock hours, or say 'yes' when we feel pretty confident about a 'no'. It's about always having the client's best interest at heart and treating their companies like they are our own. Advertisement With consumers, it's about speaking their language--not marketing speak, their speak. The brands that are successfully reaching millennial audiences are doing so by being honest and transparent. Take Everlane; from day one, they opened their doors to their consumer base with a transparent pricing model, and they've been really successful with it. Their team has weekly chats on Snapchat, and they regularly share imagery of what's happening on the inside of their offices to their consumers. The new consumer audiences are hungry for this type of transparency and access! AH: In conjunction with Matte Black, you also started a free digital trend publication, Shape Shift Report. What inspired you to create your own magazine? CM: The Shape Shift Report actually started as an internal document for our clients, but I always intended to open it up to a wider audience. Our passion for good design and great content is really the basis for our 'why,' but it also had to do with the fact that the available information on trends was quite lofty. We wanted to create something that we gave away for free and that would ultimately help build our credibility as an agency--it's sort of a 'practice what you preach' scenario. AH: Marketing, especially in the digital era, is an ever-changing tapestry. How has the rise of technology and social media changed the marketing methodologies? Is it easier or harder now to attract and engage consumers? CM: Honestly, it's both easier and harder. It's easier to target people for much less money, but at the same time, the digital atmosphere is cluttered and difficult to crack. Consumers are that much more hesitant to trust brands, so you really have to prove your value in a way that isn't just about the features or the benefits--it's about the lifestyle. Technology has forced brands to care more about their own story and visual direction. AH: Can you tell us about your new Social Media Management course? How will students benefit from taking your course? CM: Ultimately, the course is centered around learning the fundamental tools, insights and strategies one will need to apply on a daily basis in a Community Manager role. My hope is that any student interested in exploring a role in social media will acquire a strong grasp on the types of campaigns and tactics needed for success, as well as becoming versed in key terminology, tools and practices. They didn't teach social media when I was in school. Everything was self-taught, so from my perspective, this would have been the ultimate opportunity to set me off on the right foot. Advertisement AH: Besides Instagram, what digital platforms are reshaping the industry? CM: 1000% Snapchat and Facebook Live Video (or Periscope) are changing our industry. People are hungry for raw and real time! AH: Do you have a favorite social media platform? If so, why? CM: Snapchat--I find it so entertaining, almost like watching TV. Plus, their filters are a time-suck! AH: What advice would you give to students who are in the process of cultivating their own brand/image and want to stand out from the rest? CM: Intern! Get as much experience as you can before you embark on your own. Prepare to be humbled. Just because you know how to run your own social media accounts doesn't mean you'll be able to for a brand or company. Think smart when it comes to designing your resume. If you're applying to a creative company that's design minded, your resume needs to be visually appealing. This interview is part of a series on Trailblazing Women role models (Entrepreneurs and Leaders) from around the world and first appeared on Global Invest Her. You have to see what you can be. "Be confident, Dream Big, Embrace your risks. And don't forget to have fun." Claire Calmejane, is charged with test driving tomorrow's banking to best suit Lloyds' 30 million customers. The computer engineer joined the bank in October 2012 as Head of Digital Delivery and became Head of the Digital Centre of Excellence, Innovation and Partnerships in 2014, helping to devise a strategy to digitise one of the largest banks in Europe. She set up the Lloyds Banking Group (LBG) Innovation Labs, an internal sandbox enabling senior executives to de-risk strategic investments through a test and learn approach and other techniques from the start-up world. With the HR team, she created the Digital Academy accessible to 75,000 Lloyds Banking Group employees and the UK's first digital graduate scheme. French-born Calmejane is a board member and mentor at Startupbootcamp FinTech, having helped to drive Lloyds' backing of the accelerator programme. Before joining the bank, she has worked in the London office of Capgemini Consulting and has served as a visiting scientist at the MIT Center for Digital Business in Boston. Learn more about Claire on the Lloyds Digital Banking Digital Group Hub, her Linked In profile and follow her on Twitter @ccalmeja Advertisement Who is your role model as a leader? Before Sheryl Sandberg and Marissa Meyer, for a long time, we had few women leaders in technology that I could really look up to and aspire to. Today, I am proud to be surrounded by diverse leaders driven by the same desire to deliver global economic growth through digital and making services easier, simpler and more accessible across industries, and especially for me in financial services. Yet, there is still much to do at senior level, where despite lists flourishing on the Internet, we are lacking diverse representation on boards with more CEOs named John than women CEOs in the US. This is our responsibility to be the trailblazer and pathfinder; to nurture new talent such as Sophie Bialaszewski, who leads our Innovation movement and Women in Fintech effort at LBG or Krissy Bordas, the co-founder of the start-up Swave, a simple mobile solution to encourage savings. With other senior directors at LBG, such as Andy Clarke our Risk Director and Jo Brown our Customer Experience Director, I sponsor the Digital Breakthrough network, an initiative encompassing our women's network and targeted internal and external activities to ensure our workforce represent our customers, 51% of them being female. As an example, I mentor the #50/50Tech Challenge to increase from 10 to 50% women CEOs in tech in the UK and we champion the FemTechLeaders which unleash role models in the industry. What is your greatest achievement to date? I think that we are at a unique moment in the fourth industrial revolution named the digital age, succeeding steam, electricity and automation revolutions. The speed of current breakthroughs has no precedent. Whilst it is true that start-ups are disrupting parts of the financial services value chain, traditional banks are not standing still. I have gained great enjoyment out of the transformation of a 250-year-old organisation that has had such a positive impact for our customers. The team has delivered projects bringing banking closer to our day to day digital life, such as self-identification in a couple of clicks to open an account, instant video collaboration with advisors, and testing new forms of authentication that could eventually see us getting rid of passwords altogether. We created a sandbox where start-ups and banks can innovate together, through our Startupbootcamp partnership. Some of the known examples are with WoraPay and Swave. There is still much to be done and we cannot stand still, we have to continue to adapt and meet the demand of our customers. In this journey, I have the unique opportunity with the digital team to work with the UK regulator, the UK government and other peers to shape the next generation of financial services rails, 'Open Banking'. This aims to enable customers to access their data at any point in time through approved third parties. This has the potential to fundamentally change Financial Services in the next few years and , with what I'm seeing in the bank, I'm confident LBG is well prepared to be the best bank for customers in this new world. On a more personal note, living in four different countries gave me the opportunity to grow and develop differently, thinking more inclusively and embracing diversity. Ten years ago I was travelling in India, where the IT industry was booming. I met a General Manager of a GE BU who had an impressive Ivy league US background. One of the things he told me was: 'if you have an opportunity for a double culture in life, just go for it.' This resonated with me, and when I had the choice after Boston of going back to Paris or London, I embraced the different culture and went to London. What has been your biggest challenge as a woman leader? Advertisement I graduated from IT engineering, amongst only 1% of women in my class. Today that has risen to around 10%. I have had great sponsors in my career and luckily, I have made gender not a barrier, but a differentiator. I have worked hard and made some difficult choices, such as changing country and moving away from my family and this led me to the job I have today. It is true that I needed to develop a thick skin and a lot of 'franc-parler' (learning to speak frankly), as well as facing unconscious bias. Most of my challenges today are common to senior executives and not gender specific. Our CEO, Antonio Horta-Osorio made a commitment to have 40% of senior positions filled by women by 2020. With sponsorship from the top and Lloyds 'Manbassadors', we achieved our 2015 target to have 31% of senior positions filled by women, up from 28% two years ago. And I make sure to always have a great boss! How do you grow people in your organization? When it comes to digital talent, it is a mindset change for most of our colleagues rather than about recruiting millennials. When you say 'I want to buy a house', how many of you will go and search on the Internet versus walking to the branch? There is no silver bullet, and as Digital is increasingly becoming a key point of contact with our customers, we created a corporate culture programme with seven operating principles centred on them. The principles show the qualities and behaviours that digital employees should operate by to help our strategy succeed. One of the principles is 'we make each other successful' and we do that by collaborating and working across teams and divisions to harness the collective expertise we have together. Another of our principles 'we follow the data' means that we are fact-based, not opinion-based in shaping services for our customers. No matter what your level is in the organisation, as long as you have prepared your arguments with the right data, people will make the space and listen to you. Our diverse role models and culture squads spread these operating principles across the organisation and the Digital Academy trains people on how to follow through on those principles through their behaviours and increasing skills. More here. If you could do one thing differently, what would it be? It would be to help everyone understand the benefits of being online and accessing the Internet. There are 12.6 million people in the UK who lack basic digital skills and nearly 6 million who have never been online. The Internet created the most successful companies of today - Google, Apple, Uber, Amazon, Facebook, Alibaba. This year we launched our Lloyds Bank UK Digital Consumer Index and found that people who are online, can save an average of 744 more than those who are not online, by shopping around for the best deals. That makes a huge difference for many people. I would like to do even more and faster! Leigh Smyth, our head of Digital Inclusion, is doing tremendous work with a UK charity called 'DotEveryone'. At Lloyds Banking Group we have pledged, through our Helping Britain Prosper Plan, to create 20,000 Digital Champions by 2017. What differences do you notice between men and women's leadership styles? Advertisement Personally, I don't see a lot of differences between men and women's leadership styles. I think we all have our own special individual leadership traits and experiences rather than from gender being the primary difference. Alice Eagly, Professor of Psychology from Northwestern University, wrote an interesting report for Harvard Business School on Gender at Work where she points out some of the key gender differences on what makes a good leader. I think that both genders are equally talented and more diversity is the key to a more effective workforce. How would you describe your leadership style? I am a practical person and pragmatic, I am not afraid to challenge the status quo or give my opinion. I know where I want to get to, leveraging my energy to connect people and ideas to build a bank that is simpler, easier and more accessible for customers. Collaboration and inspiring the team to go the extra miles for our customers are my drivers, as well as enabling our talent to embrace the numerous opportunities open up by digital. I am an optimistic, enjoy life and suffer from Nomophobia (phobia of being out of mobile phone reach). I try to be the leader I aspire to be, and recently started to integrate Headspace exercises as part of my daily routine to practice mindfulness. What advice would you give to your younger self? Stay confident, trust yourself and have fun in what you do. Don't forget to have fun. What would you like to achieve in the next 5 years? Supporting governments on harnessing digital to enable better, simpler and more efficient industries and societies on strategic topics such as customer-led innovations, data privacy, cyber-security, setting-up and running businesses, efficient financial services rails and education systems. There is a big opportunity that we shouldn't miss out on. Lloyds Banking Group is on its way to becoming the best bank for customers by, amongst other things, shaping the way Britain banks. We are very involved in the startup accelerator system. When you are the biggest bank in the UK, you have an important role to play. We have already helped create 40 start-ups with innovative solutions for our customers and are nurturing employment in different areas in our economy. That is something I would like to continue and grow 10-fold over the next 10 years. Enabling female talent to lead companies as C-level executives will remain part of my agenda, research show that companies led by a diverse workforce perform better and this is not a women fight but about making organisation more modern and reflecting their customer base. 3 key words to describe yourself? Advertisement Daring Persistent Inclusive ----------------------------- Watch Anne Ravanona's TEDx talk on Investing in Women Entrepreneurs. President Rodrigo Duterte, the new leader of the Philippines is out of his mind. His method in solving the drug war is simple, curb demand and supply by killing off drug dealers. What if he continues his madness and wipes out thousands of suspected dealers and suddenly illegal drugs disappear from the streets of that country? A former prosecutor who ruled the court room with an iron fist, he easily scored a victory in May when he defeated his opponents and won the presidency of the Philippines. According to news reports his political platform was simple, he promised his people that he would look the other way and give law enforcement the power to kill criminals, especially suspected drug dealers. In the weeks following his victory cops went on a rampage and have murdered more than 100 people, mostly drug dealers. He has admitted that his way of dealing with crime might be on the borderline of being illegal, but the 71 year old President is making waves across the world with his methods. Not all Phillipinos agree with his vigilante tactics waged against criminals, especially from a group of concerned lawyers. What if he continues his madness and wipes out thousands of suspected dealers and suddenly illegal drugs start to disappear from the streets of that country? Would this behavior escalate and continue to be used? The government could go a step further and create purging holidays, where certain days, citizens could join in on the action and kill all illegal drug dealers. I think not, but you never know. Advertisement We have seen various types of get tough polices on crime in the past by politicians in the United States, especially in the war on drugs. Maybe they are not as tough as President Duterte, but used for political ploy and personal gain. Some politicians here have even called for the death penalty for drug dealers. This is nothing new, over thirty other countries have advocated for the use of the death penalty for drug smuggling according to the Economist. These include China, Iran and Indonesia. In my personal experience as a convicted drug dealer who was sentenced to two 15-year-to-life sentences for a non-violent crime under the draconian Rockefeller Drug Laws of New York State, I clearly see that killing drug dealers is not the answer to solving the problems associated with crime and the war on drugs. Individuals will always seek to get high on drugs, legal or illegal to escape reality in order to cope with their lives. And drug dealers will always be around to peddle their goods. The creation of very tough laws including the death penalty and vigilantism against drug dealers do not work. For example, in New York State, the Rockefeller Drug Laws were created in 1973 by then Governor Rockefeller who had political intentions to become president of the United States. The legislative intent at that time was to capture kingpins and curb the drug epidemic. But after thirty-five years of imprisoning hundreds of thousands of low level offenders, mostly Black and Latino, these laws were shown to be cost ineffective and a complete failure.These laws were historically revised in 2009 by then New York Governor David Paterson. I heard mention of the Jesse Williams, BET speech and "Black Lives Matter" but had no clue what it was about. I didn't desire to pull myself away from chasing my dream to learn more about it. My belief was that we fueled hate by giving it a voice and segregating this issue into only a black one. I believed one of the best ways to end racism was to stop talking about it. I mean, of course, black lives matter ... all lives matter. Do we really need to call attention to the fact that "Black" lives matter? Ninety minutes ago [on Friday, July 8], I was reading the news and was horrified to learn about all that is going on in the country I love so dearly. I was saddened to learn of the hate going on in Dallas where snipers are killing police officers, and law enforcement is killing citizens. I'm embarrassed that I've been lost in my world and was unaware of the shootings in Minnesota or Louisiana until I learned of the above standoff. Perhaps it is a sign of our times that I first learnt of the passing away of Professor Elie Wiesel on Facebook. After the initial shock had worn off, and the sadness had subsided somewhat, clouds of reminiscences began to coalesce on the horizon of memory. Three moments stand out: I had now managed to secure an appointment with Professor Elie Wiesel in Boston, after years of trying. As he bade me sit down that afternoon he said: "I am backed up, Dr. Sharma. You have one minute to interest me in whatever you want to see me about." So I began in earnest: "We started a project in 1998, which marked the fiftieth anniversary of the adoption of the Universal Declaration of Human Rights. The goal of this project is to move in the direction of formulating a Universal Declaration of Human Rights by the World's Religions. Our rationale is twofold: If the religions of the world come together to produce such a document, it would help bridge the gulf between the secular and the religious, as human rights discourse is usually considered secular in nature. And if the various religions come together to do so, they would also be overcoming their own differences in the process. We would like you to be a patron of the project. Four other Nobel Peace laureates have given us their blessings." Advertisement Having said my piece I looked at him, my body language doing the job of asking him if I was holding his interest. He said quietly: "I am listening." Then he listened some more, and, to my considerable joy, accepted our request, and made some useful suggestions regarding how we could go about our business. My previous meeting had taken place at Boston University, where he taught at an institute named after him. This time we met at the Sofitel in Montreal. He had a striking presence I noted as he walked toward me in the reception area. "We meet again," he said. "And not in the best of circumstances," I said and continued: "The professor from Villanova University informed me that the project to get the religious leaders from the various religions to come together and make a joint plea against religious violence had to be called off." I fell silent as the context in which this had happened wasn't exactly pleasant. Professor Wiesel and many others had virtually lost their life's savings in a notorious scam which had made headlines in New York Times. This crisis had led to the initiative being called off. Advertisement That this should happen to someone who had been through the Holocaust boggled the mind. "Some people are just evil," he said. I was amazed at the total absence of any bitterness. I was reminded of the remark made by a Buddhist monk to the Dalai Lama, when he met him in India after his release from Chinese custody in Tibet. He was asked by the Dalai Lama: "Was your life ever in danger?" And he replied: "Once or twice. I almost felt like hating the Chinese." Hatred can be worse than death. My purpose in seeing Professor Wiesel at this meeting, was to invite him to the second global conference on World's Religions After September 11 in Montreal, which the Dalai Lama had agreed to inaugurate. Professor Wiesel agreed, subject to his health. Closer to the event, however, it became clear that he would not be coming. I was disappointed. It so happened that the conference was attended by a German friend of mine, whom I had not seen for many years. When I met him at the conference he said to me: "I have a message for you from Professor Elie Wiesel." I was taken by complete surprise, and told him how we had hoped to have him at the conference. He said: "It is about that. A very close friend of his called me to convey to you the following message, which comes directly from him: That he deeply regrets not being able to make it to the conference." His regrets put an end to any regret I may have had about Professor Wiesel not being able to join us. Good can come out of evil. Extraordinary suffering can harden the heart, or can lead to extraordinary sensitivity. Professor Wiesel's example will continue to guide us like light from a distant star which continues to illumine even after its source has ceased to be. Advertisement Arvind Sharma Birks Professor of Comparative Religion McGill University Six weeks ago the Bahrain launched a new, surprising, wave of repression, and the kingdom's few remaining voices of dissent have now largely been silenced. Since May 30 the main opposition group Al Wefaq has been suspended, its leader Sheikh Ali Salman has had his jail sentence increased from four to nine years, activists have been prevented from attending the United Nations Human Rights Council in Geneva, Bahrain's Foreign Minister lashed out angrily at senior U.N. and U.S. officials, leading dissident Zainab al Khawaja was forced out the country, and prominent human rights defender Nabeel Rajab was arrested and taken into custody. It's time for President Obama to take a series of steps to reverse the dangerous decline. At the very least he should distance his administration from the crackdown. Here are four things President Obama can do immediately to take a stand on Bahrain: Advertisement 1. Say something. This should be a no-brainer. Obama has made public statements about Bahrain several times, but not for a couple of years, and not since the political crisis began to freefall. On June 30 Vice President Joe Biden called the king of Bahrain "to express the United States' strong concerns regarding recent negative developments...". This was a good move, but if the United States is to signal that it cares about the situation, the president has to say something publicly. Would it help? It could. In 2011 Obama publicly called out the Bahraini government for demolishing Shia mosques. The attacks stopped within days of his statement. 2. Reinstate the arms embargo. Last year, the State Department lifted the administration's hold on selling arms to Bahrain's military, citing largely imaginary "meaningful progress on human rights reforms." Bipartisan legislation in both chambers of Congress proposes banning the sale of small arms that could be used against protestors until real reform is achieved. Obama should immediately and publicly do what the legislation calls for. Would it help? Yes. While Bahrain can get these sorts of weapons elsewhere (and is fast becoming a favorite customer of Russian state arms dealer Rosoboronexport), the United States should not be seen as complicit in the repression. It would at least challenge Washington's appalling reputation as an enabler of Bahrain's state violence. Advertisement 3. Direct U.S. Ambassador William Roebuck to attend the trial of human rights defender Nabeel Rajab. The administration has expressed "deep concern" about the case against Rajab, one of the region's most prominent human rights activists, whose trial for tweeting criticism of the regime opens tomorrow. Having the American ambassador sitting in the courtroom sends a signal of disapproval of the proceedings. An even stronger one would be if the ambassador publicly stated afterwards whether, in his view, the hearing met international legal standards. Would it help? Maybe. It would distance the administration from Bahrain's appallingly unfair political trials. Human rights defenders have complained that U.S. embassy observers at their trials didn't speak out about the unjust hearings, leaving the impression that perhaps embassy officials approved of the sham proceedings. Having Ambassador Roebuck speak out would help dispel this notion, and encourage a fairer trial. 4. Impose visa bans on Bahraini officials suspected of human rights violations. Former senior State Department official Steve Seche resurrected this idea last week in a Boston Globe opinion piece, and it's a good one. By suspending visas and access to the U.S. banking system for those credibly linked to abuses Obama would be showing real, immediate consequences for the repression. When Volkswagen engineers were challenged to enable small diesel engines to meet stringent U.S. standards for nitrogen oxide pollution, they tried, tried, and failing -- cheated. Now VW has agreed to one of the largest pollution penalties in history -- whose hidden underside is that the engineers are still failing. Of the $15 billion VW has so far committed, $5 billion is to balance the environmental harm done by the 500,000 cheat-cars - as you might expect. The other $10 billion, $20,000 per vehicle, designated to deal with the cars, not the air, will not, however, fix the vehicles. Even given an effectively unlimited budget, engineers have not yet figured out how to make these cars emit less NOx pollution without creating an equally disastrous increase in CO2 emissions. If such a solution ever emerges, owners of these cars can get their vehicles cleaned up -- but that seems unlikely. Meanwhile, owners can drive the cars for another two years, then turn them in to be scrapped. They get paid the value of the car not on the day they turn it in, but on the day, perhaps years earlier, when the cheating as revealed. So their incentives are to drive the car until the final deadline, and then sell it back for more than its value. During these two years the pollution continues. Advertisement Given the complexities of the Clean Air Act, and the threat of litigation by the purchasers of the cars (who are not the real victims, those who breathe the pollution are) this wasteful use of $10 billion may have been forced on regulators. What the settlements makes crystal clear, however, is that VW's engineers, with an unlimited budget, could not produce diesel engines that met U.S. NOx standards, and retained the fuel efficiency that makes automakers (and customers) love diesels. Auto and truck makers have made remarkable progress in cleaning up soot, hydrocarbons, sulfur, and carbon monoxide from internal combustion engines, while making those engines more efficient so that carbon pollution per passenger or ton mile could be lowered. Nitrogen oxide (NOx) pollutants from gas powered engines can also be cleaned up. Auto-makers like diesels because they squeeze more energy out of fuel -- but they also make it much harder to control NOx emissions. VW didn't cheat to save a few dollars -- it cheated because it couldn't make its small diesel cars meet U.S. standards. (Large diesels deal with NOx with a cumbersome, bulky urea injection system, which cannot be accommodated in smaller models.) This engineering limit on diesels is running into a global revolution of attitudes about air pollution. Deaths from air pollution are becoming a larger and larger catastrophe and a bigger and bigger political issue. New studies from the International Energy Agency calculated that 6.5 million people each year die from air pollution; similar studies emerge regularly from the World Health Organization. Governments and business can no longer conceal the death toll, and publics are unwilling to tolerate it. Governments are acting. The VW settlement is not the only regulatory crackdown on internal combustion engines. The Chinese government has drastically modified its entire development strategy to respond to citizen pressure about lethal pollution. The Indian government is scrambling to deal with growing public anger. In Europe, where auto manufacturers have been massively manipulating emission testing results, and urban air quality degrading as a result, public outcry has led cities to begin banning significant segments of the European auto fleet. New EU pollution testing systems will make it much more difficult and expensive for auto manufacturers to game emission tests, leaving diesel vehicles in particular at risk. Advertisement Climate concerns and fuel efficiency standards are also making internal combustion an outmoded technology. The US is moving forward with new heavy duty fuel efficiency and pollution standards for diesels. Countries like India and China are passing more stringent pollution rules and eliminating fuel subsidies. U.S. auto companies are complaining -- falsely -- that they cannot meet the current round of fuel economy standards; they are rightly concerned that the next round of post 2021 standards, is likely to exceed the capacity of internal combustion engines to meet. This will force a rapid increase in market share for electric cars. As shared fleet transportation companies like Uber and Lyft seize more and more market share, electric vehicles become more and more and more competitive. Vehicles which drive 100,000 miles a year recover the purchase price of an EV from savings on fuel and maintenance six times faster than a car driven only 15,000 miles. Oil powered transportation is becoming the most important climate threat. For both the U.S. and Europe, 2015 was the year in which climate pollution from transportation exceeded emissions from electricity. Oil, not coal, is now the biggest danger. That means that advocacy, philanthropic and political energy that has focused on emissions from coal is going to take a closer look at oil. This closer look will increase the pressure on the internal combustion engine, which stands out as the main technology sustaining demand for oil. Governments all over the world -- California, the Netherlands, Britain, Germany among them -- are considering outright bans on the sale of internal combustion engines. (A month ago Norway almost implemented its proposed 2025 ban.) More immediately, Germany, South Korea, Sweden and China are aggressively increasing incentives for EV's. India's car manufacturers have joined with the government to phase out IC passenger vehicles by 2030. Elon Musk has dubbed the internal combustion engine, powered as it is by thousands of small explosions inside its cylinders, a "remarkable kludge." Automotive engineers have indeed made modern gasoline and diesel engines perform remarkably -- but now the limits are being reached. Advertisement In 1969 the California State Senate rejected -- by one vote -- a bill by then State Senator, later Congressman, Anthony Beilenson, to ban the sale of cars powered by internal combustion engines. Beilenson's bill, motivated by a conviction that California's critical air pollution crisis could not be solved by gasoline powered autos, has stood for almost half a century as an example of environmental over-reach. On a trip to Hyannis, Mass., in 1960. By Thomas Feyer I was born Feher Tamas in Budapest, Hungary, in 1953. My parents, who had survived Nazism and lived under Communism, escaped from Hungary in December 1956, after the short-lived Hungarian uprising was crushed by Soviet tanks. My father carried me on his shoulders through a swamp across the Hungarian border in the dead of night to freedom in Austria. I was three and a half years old, and my parents had to give me a sedative so I wouldn't cry and alert the border guards. We made our way to Vienna, where my mother got a job at the American Legation. In April 1957, after living in Vienna for four months, we secured passage to America. We arrived at Camp Kilmer in New Jersey and began our new lives in the New World, settling in Manhattan. My parents spoke English, so they were able to get jobs quickly -- my father at J.P. Stevens, and my mother at American Express. My father, then already in his fifties, also enrolled in night school at New York University, receiving a BA in marketing research, cum laude, in less than four years. I attended public school, first in Manhattan and then in Jackson Heights, Queens, where we moved in 1960. We became American citizens in 1962. (In the naturalization process, we changed the spelling of our last name from Feher to Feyer. My dad thought it would be easier to pronounce. He was wrong about that! For the record, it's FAY-er.) I was nearly four years old when I arrived in this country and knew no English. One day I came home from preschool and uttered what were apparently my first words in (broken) English. At first it sounded like gibberish, but my parents finally deciphered what I was saying: "I'm not your friend." That was some kind of welcome from another little boy! But eventually I did make friends and I started to fit in. Advertisement And I worked hard at catching up. At home, my parents spoke only English some days, alternating with Hungarian on other days, to help me improve in my new language while retaining my old one. I eventually became a good student: salutatorian at Joseph Pulitzer (another Hungarian immigrant) Junior High School 145 in Jackson Heights, and top of my class at Birch Wathen, a Manhattan private school that I attended on a full scholarship. I was fortunate enough to attend Princeton University as a National Merit Scholar, graduating with a B.A. in history, magna cum laude, in 1975. The next year I graduated from Columbia University Graduate School of Journalism. In a little less than two decades, I had gone from young refugee to Ivy League graduate. I've been a journalist for 40 years, at The Associated Press (1976-80), and then at The New York Times, where I have been the letters editor since 1999. In that time, I estimate that I've read more than three million letters to the editor. It's a fascinating, challenging job that's never dull. Here is one of my essays about my work. I'll always be grateful to my parents, who are no longer alive, for making the monumental decision to leave Hungary when they were already middle aged, mainly for my sake. And thank you, America, for taking us in, along with so many others, and giving us a chance. I try in my work to repay you -- to contribute to society by promoting a free and robust exchange of ideas, so crucial in our democracy. Thomas Feyer is the letters editor of The New York Times. You know the conversation. You are sitting in a conference room with some female colleagues before a meeting begins and you talk in hushed voices about the challenges of balancing work and family, about your Saturday morning breakdowns, about how your boss just asked you to travel on Mother's Day, how you have not seen the kids or that you left one of them with a fever, or how you just wish you could work in the company's office closer to home, or work part of the day from home, you wonder how much longer you can do this. Just then, the attendees arrive, your conversation ends, you stiffen and straighten up and carry on as if nothing but the work matters. Underneath, you know nothing could be (or should be) farther from the truth. I spent 20 years in the corporate world; most of them at the executive level with large, global luxury brand. I have lived on the other side, peddling beautiful yet unnecessary objects to women and men, believing that we were selling substance and quality, in love with them ourselves, but at the heart of all of it was the power of aspirational things that came in a blue box. During that time, I was a working mother, a wife, an employee, I traveled, I commuted three hours a day (because my employer would not allow me to work in the headquarters an easy 30-minute drive from home), and because of those extra two hours I spent on the train, I saw my children for only two hours each day, most of which time I was cooking dinner and preparing their things for the next day. It was miserable, and yet I did not conclude this was a ridiculous paradigm. I concluded I was simply not cut out for it, while the others I worked with seemed more hip, flexible, modern, something. Advertisement But the truth is that it was not me, and it is not you. The false images of perfect life, and by extension of the ingredients of a happy life, sold to women in the 1950s after WWII are not all that dissimilar to the false imagery pushed at women today by mass media and marketing, only it is now a half century smarter, slicker and with boatloads of help from technology. We are bombarded with images and discourse showing us how we should live, what we should be able to do, accomplish and master, how we should be able to juggle work, family, fitness, marriage, self and whatever else comes with grace and ease. The unattainable ideal of the superwoman has not faded, it has gotten worse. Despite our intellectual awareness that this ideal is unrealistic, the messages about what today's working, supposedly equal, and "leaning in" woman should want and be capable of are deeply seated in our thinking and emotions and they drive our expectations and assessments of ourselves. This ad from Organic Valley is a much needed and refreshing break from the ridiculous mass marketing depictions of what a working woman's life is like, even if just for a moment. But the truth is, despite it's humorous take on a working woman's day, it is not at all funny. Let's review. We still don't have equal pay, women's health is declining from chronic, unrelenting stress and the pressures of role overwhelm, our traditional family roles and the division of labor at home has not changed significantly enough to support balance, and workplace polices continue to exploit women and men at the expense of the family... oh, and you are getting paid only 77 cents on each dollar paid to the men who just walked into the room. Why does this continue? I'll tell you. It is because you allow it to. The real question is why are you silent? Advertisement I get that we are not in the middle of the women's revolution anymore, but the push for equality remains unfinished until women are able to meaningfully change the work environment, structure and schedule in order to create a healthy and sustainable model for men, women and families that supports the unique (but equal) needs of women. But organizations will not give up their position of power in this relationship willingly, it has to be demanded by the workers, by society, by you. So how do you do it? No, you will not want to show up at your place of work with a picket sign or walk off the job. I am definitely NOT suggesting you do that. But, I am suggesting that, using the same intelligence and strategic thinking with which you approach your work initiatives, you can create a movement in your workplace that may bring positive change. 1.Don't go it alone. Get the women you talk with in hushed voices together for an informal lunch, maybe create a lunch group that meets once a week. You may just need to share and vent at first, but then you will discover you can do something more. If you can get one at a management/senior level to sponsor or join your group, even better. 2.Discuss what would help you bring balance, be specific. Bring in evidence-based research that supports your goals, whether they be flexible schedules, shorter days, or family related policy, such as paid maternity leave. Demonstrating how family and "well-being" friendly policies result in many benefits to both employee and organization including health, productivity and attendance will make your case compelling and harder to ignore, because who would not want those things? 3.Create a proposal that you can share. Invite your HR team in to one of your gatherings to hear what all of you as a group have to say. If you work for a high profile company, and you have enough people involved, the ease with which you can bring work practices to light in the media may compel them to listen a little harder. 4.Here is one last idea to chew on for the real activists out there: Sweden is leading the way in social policy with this test: Sweden to Experiment with 6-hour work day . Interestingly, if you do some quick math, a 6-hour workday is 75% of the usual 8-hour day, which as it happens would bring women very close to the 77% of pay that they currently receive for their work. Now that's a movement I would love to see - 77% of the time for 77% of the pay. And you know what, I believe we (men and women) would still get the job done - 100%. 5. If that doesn't work, maybe we paint some picket signs. Let's face it, a weekend of protesting might be less stressful than a typical work day. Revolutionary. That's how Erin English, Director of Blended and Online Learning and principal of Vista Visions Academy in the Vista Unified School District of California, describes her district's use of Open Educational Resources (OER). Vista is a #GoOpen district, meaning it participates in the sharing of OER, and English has been charged with making sure the district follows the #GoOpen Future Ready district guidelines: to replace one textbook with openly licensed educational resources within one year. OER are teaching, learning and research resources that are freely accessible and openly licensed. These resources can include full courses, software, streaming videos, print articles and more. Advertisement At the Council of Chief State School Officers (CCSSO) we agree with English that OER has the potential to change classrooms through the infusion of more, high-quality content. That's why our team is working to advance the understanding, adoption and implementation of OER practices at state and district levels. We have joined with the State Education Technology Directors Association (SETDA) and the U.S. Department of Education's Office of Educational Technology (Ed. Tech.), as well as other educational organizations, to provide leadership, support, education, professional development and expertise around the #GoOpen Initiative. The first #GoOpen cohort is comprised of 14 states, each pledging to fulfill five commitment areas around OER -- including the development of a statewide repository of OER materials. The goal is for each state to have access to high-quality OER that they can re-purpose to align with the standards of their state and freely share across district and state lines. In Maryland, a #GoOpen state, Valerie Emrich, Director of Instructional Technology at the Maryland State Department of Education, appreciates the collaborative side of OER. "The number one thing is that it allows for sharing across states [and] within states, which is something we don't always have," said Emrich. "Sharing reduces the redundancy of efforts." Advertisement States sharing high-quality materials hones state resources and saves time and money, which is recycled back into the classroom. Paul Drescher, Education Technology Coordinator who heads the #GoOpen initiative in Vermont, finds that "teachers can quickly and easily update and include new findings, new incidents and events." "That's the exciting part for me, we're moving to a place for students where the material has become really relevant to their everyday life," he said. For OER to successfully reach each classroom, we have to educate the educators about OER, licensing standards and accessibility, and equip them with support in conducting these tasks. At CCSSO, we're encouraged by the number of states and districts adopting OER policies and practices. Of course, with any new educational resource, we recognize the importance of providing teachers with the support they need to incorporate OER into classroom learning. Advertisement In the Vista Unified School District, English recognized the importance of professional development in implementing OER. District principals, library media techs and teachers on special assignment were trained during a four-month program on curating content and determining how OER should be used in the classroom. To provide more support, the Vista district implemented Teacher's Institutes led by professionals that understood the pedagogy and standards of student learning. The institutes help teachers use OER in the classroom. Snowden: No way Hillarys private server was secureBy Nick Gass| 09/03/15 01:14 PM EDTEdward Snowden blasted Hillary Clinton's assertion that her State Department emails were secure on a private server, calling the notion "completely ridiculous" in excerpts of an interview with Al Jazeera English published Thursday.This is a problem because anyone who has the clearances that the Secretary of State has, or the director of any top level agency has, knows how classified information should be handled," the former NSA contractor who leaked thousands of classified national-security documents said in an interview with the network's "UpFront" program.Story Continued BelowSnowden continued his blistering criticism of the former secretary of state and current Democratic front-runner, concluding that if a typical employee at the State Department or the Central Intelligence Agency "were sending details about the security of embassies which is alleged to be in her email, meetings with private government officials, foreign government officials and the statements that were made to them in confidence over unclassified email systems, they would not only lose their jobs and lose their clearance, they would very likely face prosecution for it.When the unclassified systems of the United States government, which has a full-time information security staff regularly gets hacked, the idea that someone keeping a private server in the renovated bathroom of a server farm in Colorado, is more secure is completely ridiculous," Snowden said, referring to the physical location of the server hosted by Denver-based Platte River Networks.Totally hack-able classified info on Hillary's private server.Nick Gass | [email protected] | @NickGassRead more: Snowden: No way Hillary?s private server was secure - POLITICO Follow us: @politico on Twitter | Politico on Facebook The Oversight and Government Reform Committee of the House of Representatives in Washington has a stated mission to "exercise effective oversight over the federal government" and work "proactively to investigate and expose waste, fraud, and abuse," but really it exists to investigate the results of investigations that don't meet the Republican majority's political narrative. Look at what they did with Benghazi. After eight investigations into the killing of four Americans in Benghazi, Libya, House Republicans on the Committee spent two years and $7 million of taxpayer money on a ninth investigation only to reach the same conclusion -- namely that Hillary Clinton committed no wrongdoing as secretary of state. Now, on the heels of an exhaustive FBI investigation into Clinton's use of emails as secretary of state that ended with a recommendation by FBI Director James Comey not to bring any criminal charges against her, these guys are at it again. They are going to investigate Comey's investigation to see if they can come up with some more dirt -- as if this presidential election isn't coarse enough. Advertisement Never mind that Americans are grappling with the horror of a racially motivated ambush that killed five police officers in Dallas while they worked to protect the peaceful protest of two more black men killed at the hands of police in Louisiana and Minnesota. Never mind the world economy is still feeling the aftershocks of the Brexit vote. Forget the sinister metastasis of Islamic State and other terrorists, the Zika virus, an immigration crisis and rising tensions with North Korea, House Republicans' priority is to spend more of their time and our money on another political witch hunt. They are obsessed with Hillary Clinton and refuse to let go of her and let the election take its course. They stalk her and it's weird -- like the spooky resemblance of Trey Gowdy and Draco Malfoy. House Republicans on the Oversight and Government Reform Committee think if they pull every little "gotcha" string, the Clinton mantle will unravel. They desperately insist nothing will turn into something, so here we are again. Clinton told committee members in an epic 11-hour hearing on Benghazi on Oct. 22, 2015, that "there was nothing marked classified on my emails, either sent or received." On July 7, 2016, Comey said the FBI found three of Clinton's emails -- out of more than 30,000 emails reviewed -- that contained a classification mark, a "(C)," within the document by certain paragraphs, but had no classification marks in the header of the document, where they were also supposed to be. Advertisement NOT ACTUALLY CLASSIFIED And here's the kicker: A State Department spokesman said Wednesday that the letter markings on those three emails resulted from "human error" and never belonged in the emails in the first place, as the material wasn't actually classified. Comey's conclusion there was no evidence of criminal intent and that Clinton should not be prosecuted was despite his personal opinion that she and State Department staff were extremely careless. No reasonable prosecutor would bring charges with the facts, he said. Clinton reasonably believed some of the disputed emails were unclassified because they weren't properly marked. So, Clinton believed some of the emails weren't classified because they weren't properly marked, and further believed she didn't send or receive marked classified information, and in fact some of the emails were improperly marked and the three in question that she sent or received were improperly marked classified and were not classified. And we need another investigation? In the course of an 11-hour hearing the uttered words, given these facts, "there was nothing marked classified on my emails, either sent or received" will again be the focus of the committee charged with government oversight? Talk about waste, fraud and abuse. Hillary Clinton is running to be elected president of the United States, not the virgin queen mother. The notion that she is expected to be lily white and pure is as offensive as the apparent belief by committee members that a regular campaign against her by their party's nominee can't win without the assist of a bunch of partisan hacks on our dime. Advertisement House Republicans don't get it. They think Americans are sitting around at home cheering for them as they sit in their fancy seats with their fancy clothes on, playing Perry Mason. They think they are heroes for unearthing little inconsistencies and picking at little scabs when really nobody with a family that's struggling to get by and stay safe gives two hoots about their purity ball. FBI Director Comey spoke publicly of his agency's thorough investigation of Clinton and her homebrew email server and concluded no reasonable prosecutor would bring a criminal case against her and he's a Republican. He has clout and credibility across the aisle - or at least he did until he announced the decision not to recommend prosecution and killed the Hillary-in-a-prison-jumpsuit fantasy. IN LIEU OF GOVERNING Now House Republicans panting for more power are beside themselves more than ever about the possibility an old lady in a pantsuit becoming commander in chief so they decided again to investigate in lieu of governing. Again House Republicans are placing their own bizarre personal hatred of a powerful woman over the needs of the American people, applying their all-too-familiar double standards and hypocrisy. Remember the investigation when James Clapper was asked by Congress if the NSA spies on millions of Americans, and he said, "No"? Me neither. FBI Director James Comey gave a press conference on Tuesday, July 5th, discussing in surprising detail the three main aspects of the investigation: What they did (a lot). What they found (she broke the law and jeopardized national security). What they recommend (nothing). The following day, Attorney General Loretta Lynch made it official by accepting the recommendation and closing the case. The Clinton email-gate saga has finally ended but its conclusion has raised more questions than answers, at least it has for me. Below is a recreation of some thoughts other Average Americans may have shared while listening to James Comey's remarks, enjoy! Advertisement What They Did: After a brief introduction, Comey states the FBI was looking into "whether classified information was improperly stored or transmitted on [Clinton's] personal system in violation of the Federal statute that makes it a felony to mishandle classified information either intentionally or in a grossly negligent way; or a second statute making it a misdemeanor to knowingly remove classified information from appropriate systems or storage facilities." Average American: Brilliant! If any of the leaked emails over the past few months have any truth to them, we can pretty much be assured that she broke both those statues, if not intentionally, then through negligence, which is still a violation...It says it right in the statute! With the information that must have been gained for Pagliano to be granted an immunity deal, she's toast! Then Comey brings up the additional national security concerns and investigation into whether there's "evidence of computer intrusion by nation states or by hostile actors of any kind." Average American: Whoa, they're really going to get her on that one! They extradited Guccifer, the Romanian hacker, months ago. He claimed to have gained access to Clinton's emails by hacking a former Clinton White House aide's personal account, saying "it was easy". Between him and Putin claiming to be in possession of her emails, there's no way she skates on that! Advertisement Comey goes on to explain one of the exhaustive methods the FBI used to retrieve and piece together fragments of some of Clinton's emails from decommissioned servers. He also talks about the process of referring any emails found possibly containing classified information to the "owning agency" to determine whether or not that information was classified when it was sent or received, or if it should be "up-classified" retroactively. Average American: Ok, ok, you checked and double-checked and made sure to get the facts straight, thank you Mr. Comey. I'm concerned but not really surprised that you couldn't recover all of the emails from the many private servers Hillary used during her SoS tenure, but let's get on with what you found! What They Found: Of the approximately 30,000 emails Hillary Clinton turned over to State in 2014, the FBI found "110 emails, in 52 email chains, have been determined by the owning agency to contain classified information at the time they were sent or received. Eight of those chains contained information that was 'Top Secret' at the time they were sent; 36 of those chains contained 'Secret' information at the time; eight contained 'Confidential' information at the time." Average American: This already shows mishandling of classified information. 44 email chains were "Top Secret" or "Secret" at the time they were sent, meaning she, being the Secretary of State, should have been competent enough to know better than to be sending classified information over unsecured, personal, in-home servers. #HillarySoQualified "Separate from those, about 2,000 additional emails were up-classified to make them confidential...FBI also discovered several thousands of work-related emails" that were not turned into State in 2014. Comey goes on to say the FBI found no evidence that those work-related emails had been "intentionally deleted", positing that, like many emails users, Sec. Clinton would periodically delete emails and/or purge emails when devices were changed. Or emails may have been deleted as "personal", again unintentionally, by her lawyers who only used the header information as search terms to determine what was to be deleted and did not view their contents, as the FBI did. Advertisement Average American: Is anyone else seeing the pattern that he's stressing intent? Didn't he just quote the statute to say "mishandling of classified information either intentionally or in a grossly negligent way"? Maybe it's just me, but it seems the statute is worded in exactly such a way as to make clear the mishandling of classified information itself is the crime, regardless of intent to do so. Apart from that, up-classified emails are a grey-area, the real focus should be on the fact that there's no true way to know how many emails were deleted because there's no record! "But because she was not using a government account, or even a commercial account like Gmail, there is no archiving at all of her emails." Average American: LOL! Exactly! Once they were deleted off her private server the only way to retrieve them would be 1. through the emails of those she corresponded with which may be difficult or near impossible if that contact is in a foreign government, or 2. to sift through the decommissioned servers for fragments of data, both of which the FBI did and they still don't know if they found all or even most of the deleted emails. Comey goes on to say that the FBI has done many interviews and a lot of work has been put into the different aspects of this investigation, ending with, "That's what we've done, now let me tell you what we found." Average American: Hang on there, James, weren't you just telling us what you've found? Never mind, if there's more, I can't wait! Advertisement "Although we did not find clear evidence that Secretary Clinton or her colleagues intended to violate laws governing the handling of classified information, there is evidence that they were extremely careless in their handling of very sensitive, highly classified information. For example, seven email chains were classified at the 'Top Secret Special Access Program' at the time they were sent and received...There is evidence to support the conclusion that any reasonable person in Secretary Clinton's position, or in the positions of those with whom she was corresponding about those matters, should have known an unclassified system was no place for that conversation." Average American: Well, there it is! If Clinton and her colleagues were "extremely careless" in their handling of classified information and there is a reasonable assumption of responsibility for government officials to protect that information (the reason for the statute!), then this is clearly a case of mishandling classified information in a grossly negligent way, the felony charge. What does grossly negligent mean if not extremely careless? "None of these emails should have been on any unclassified system, but their presence is especially concerning because all of these emails were housed on unclassified, personal servers not even supported by full-time security staff like those found at agencies and departments in the United States government, or even with a commercial email service like Gmail." Comey then explained that the initial marking of emails as classified or not is irrelevant. "[Email] participants who know or should know that the subject matter is classified, are still obligated to protect it." Average American: This shows the depth of the security risk her personal server potentially posed since there was no one there to verify if a breach had occurred and classified information had been compromised. She clearly should have known better. Another mark in the Hillary bad judgement column. After a scathing indictment of the lack of security for classified information at the State Department, Comey moved on to the subject of national security. Advertisement "With respect to potential computer intrusion by hostile actors, we did not find any direct evidence that Secretary Clinton's personal email domain, in its various configurations since 2009, was hacked successfully. But given the nature of the system and the actors potentially involved, we assess we would be unlikely to see such direct evidence. We do assess that hostile actors gained access to the private, commercial email accounts of people with whom Secretary Clinton was in regular contact from her personal account." Average American: So you're saying because her server was private with no safeguards or support staff to verify whether or not she was hacked, you therefore have no direct proof that she was hacked? And you know people she was in regular contact with from her personal account were hacked?! Even if her server wasn't "directly" hacked that doesn't mean the classified information in it wasn't accessed. That's exactly what Guccifer did, hacked a proxy server to gain access! Doesn't that still count as "evidence of computer intrusion by a hostile actor"? "We also assess that Secretary Clinton's personal email domain was both known by a large number of people and readily apparent. She also used her personal email extensively while outside the United States including sending and receiving work-related emails in the territory of sophisticated adversaries. Given that combination of factors, we assess it is possible hostile actors gained access to Secretary Clinton's personal email account. So, that's what we found." Average American: Sounds to me like you found a very likely case that her server was indeed hacked by hostile actors but are prevented from being definitive because there's no direct evidence, precisely because her servers were set up not to leave any records except those they chose not to delete. Is that about right? Why do you need direct evidence of a hack when there is clear indirect evidence, i.e. classified information was accessed from her email through a proxy? AND she was using that personal email "in the territory of sophisticated adversaries" who would more likely than not be actively trying to gain access to it. The phrase "jeopardized national security" never had such apt representation as Hillary Clinton and her Mr. Magoo-like operation at the State Department. Let's just be done with her and recommend indictment already. What They Recommend: "Although there is evidence of potential violations of the statutes regarding the handling of classified information, our judgement is that no reasonable prosecutor would bring such a case." Average American: Wait what?! WTF?! "Prosecutors necessarily weigh a number of factors before deciding whether to bring charges. There are obvious considerations like the strength of the evidence, especially regarding intent. Responsible decisions also consider the context of a person's actions and how similar situations have been handled in the past." Average American: There's that "intent" word again...alright, I'm a little pissed but I'll hear you out. You're saying three factors need to be weighed in a decision like this: evidence, context and precedence. This should be good. "In looking back into investigations into the mishandling or removal of classified information, we cannot find a case that would support bringing criminal charges on these facts. All the cases prosecuted involved some combination of 1. clearly intentional and willful mishandling of classified information or 2. vast quantities of information exposed in such a way as to support an inference of intentional misconduct or 3. indications of disloyalty to the United States or 4. efforts to obstruct justice. We do not see those things here." Average American: Forget the fact that one of her messages specifically indicated she wanted to protect her personal emails from FOIA requests, number four on the list you just read off, why are you only concerned with the precedence factor and not the evidence of mishandled classified information and national security risks? Why are you repeating the "intent" argument over and over without once arguing the "grossly negligent" angle?! That's part of the statute too! Advertisement "To be clear, this is not to suggest that in similar circumstances a person who engaged in this activity would face no consequences. To the contrary, those individuals are often subject to security or administrative sanctions, but that's not what we're deciding now. As a result, although the Department of Justice makes final decisions on matters like this, we are expressing to Justice our view that no charges are appropriate in this case." Average American: No shit there would be consequences! Tell it to Chelsea Manning or Edward Snowden or anyone else who has released classified information for the public benefit who've since been branded a traitor and punished to the fullest extent of the law. You not only ignored the evidence of negligence (first factor), but by your own statement you destroy the second factor of your decision-making in this case: context. If anyone else were discovered doing the same as she was there would be consequences meaning that, in a similar context, another individual would be prosecuted, but not her. Since when does not having precedence for charging a blatant violation of a clearly worded federal statute ever prevented a prosecution? There's a first for everything right? You have evidence she mishandled classified information in a grossly negligent way, she and her colleagues destroyed State Department-related communications in the same manner, she jeopardized national security and was probably hacked, either directly or indirectly, all things any other citizen would be punished for, but you recommend NO CHARGES?! WTF FBI?! The post co-authored with Bhaskar Deol After eight years of heated deliberations, countries are finally coming close to an agreement to phase down the super-potent heat-trapping chemicals called hydrofluorocarbons (HFCs) under the Montreal Protocol. Talks towards an HFC deal resume next week in Vienna, and expectations are rising. HFC use is growing rapidly in air conditioning, refrigeration and other sectors, especially in rapidly growing developing nations. Pound for pound, the climate impact of HFCs is thousands of times greater than that of carbon dioxide. Replacing HFCs with climate-friendlier alternatives can help avoid 0.5C of global warming by the turn of the century. Following 2015s historic Paris Climate Agreement, an HFC amendment to the Montreal Protocol will help countries meet and deepen their commitments to curb climate-changing pollution and would be another big win for the Montreal Protocol. Advertisement Through the Montreal Protocol, every nation on earth has eliminated the production and import of ozone-destroying chlorofluorocarbons (CFCs). All nations will soon complete the phase-out of second set of ozone-depleters, called hydrochlorofluorocarbons (HCFCs). New research confirms that the Antarctic ozone hole, first identified thirty years ago, is healing as a result of actions taken under this treaty. Phasing out CFCs and HCFCs has also delivered huge climate protection benefits, because these chemicals are also extremely powerful greenhouse gases. HFCs were adopted as replacements for CFCs and HCFCs. HFCs dont deplete ozone and pack a smaller heat-trapping punch than CFCs. But if HFC use continues growing, it will block our chances of meeting the Paris goal of holding global warming below a 2C increase. Now that better alternativesboth fluorocarbons and non-fluorocarbonsare available, its time to move on from HFCs. Developing countries can enlist the Protocols help to leapfrog to solutions that are better for the climate and for business. Much progress has been made since the first HFC amendment proposals were tabled eight years ago. The European Union, the United States, Japan, Australia, the state of California, and other jurisdictions are implementing stringent HFC reduction policies. A suite of environmentally preferable alternatives are being developed by chemical companies, deployed by appliance manufacturers, and purchased by customers. For example, HFO-1234yfa refrigerant with less than 1/1000th the heat-trapping potency of the HFC it replacesis already being used in millions of car air conditioners across the world. Consumers have purchased millions of room air conditioners that use viable, energy efficient alternative refrigerants such as HFC-32 or HC-290 (propane). Building chillers are being commercialized with energy efficient, lower-potency refrigerants such as HFO-1233zd, HFO-1234ze, HC-290. Some sectors, such as insulating foams, are skipping HFCs and jumping directly to HFOs and hydrocarbons. As alternative chemicals and products reach maturity, their costs come down. The transition pathways for industries in both developed and developing countries are becoming clearer and better understood. Advertisement When the Montreal Protocol parties meet again in Vienna next week, they must build upon the progress and momentum generated last year in Dubai and this April in Geneva. They can use the 10-day Vienna meeting to start writing the details of the HFC phase-down agreement. If negotiators do their job, the long-sought treaty amendment can be signed when they meet next in Kigali, Rwanda this October. Here is what Parties need to achieve in Vienna this month: In Geneva in April, negotiators largely resolved concerns about assuring workable alternatives for countries with the worlds highest temperatures, such as in the Middle East. They also started working out criteria for funding assistance for the technology transition in developing countries. In Vienna, parties need to move quickly to resolve concerns about access to patented technologies and the availability of financing. As one concrete step towards closing the deal, the parties can task their Technology and Economic Assessment Panel (TEAP) to further analyze transition costs, ahead of the Kigali meeting. The parties also must come closer together on the dates for freezing and reducing HFC production and import, and on formulas for country baselines. An early freeze date is critical to avoid unnecessary HFC growth and to speed the transition to next-generation alternatives. Three of the amendment proposals include freeze dates in the early 2020s for developing countries, while Indias proposal, introduced a year ago, would postpone a freeze for more than a decade. Theres a growing consensus, however, that it would make little sense for countries like India to build up their air conditioning industries using outmoded chemicals and product designs, only to find themselves stuck in a technological backwater. Developing countries need strong assurances, however, that developed countries will take the lead in cutting their own HFCs; that they will continue commercializing making available safer alternatives; and that they will contribute sufficient resources to the Multilateral Fund to help developing country industries with the costs of transition. The U.S., the European Union, Japan, and other donor countries have pledged to contribute those added resources. Now its time to for countries to negotiate and firm up their mutual commitments to phase-down schedules and Multilateral Fund support. Thats the proven path to past agreements under the Montreal Protocol. There are many signs that countries are ready to do the deal on HFCs this year. Commitments to adopt a Montreal Protocol HFC amendment this year are now a regular feature of G-7 and G-20 communiques, as well as many bilateral meetings. In June, for example, Indian Prime Minister Modi and U.S. President Obama pledged to work for a Montreal Protocol HFC amendment this year with an ambitious phasedown schedule for all countries and increased financial support to the Protocols Multilateral Fund to help developing countries with implementation. The same day, the U.S. and China reaffirmed their joint commitment to completing an ambitious HFC deal this year, in a communique from the annual U.S.-China Strategic and Economic Dialogue. I don't want to hear any more about Pokemon Go. Really, I don't. Yes, it's about to surpass Twitter in daily app users on Android. It's stupid. If there's a silver lining to its success, however, it is that we are getting closer to a 'gamified' point where a Bitcoin-integrated app could probably become very addictive. Think about it: 30 to 40 year old men are running around at 3am because their Pokemon Go app tells them to... imagine when you tie a real economic incentive (money, that is) to all this geolocation real world immersion insanity that our apps and games are moving toward at breakneck speed. If it helped pay the rent, I'd be catching Pokemon among the best of them. Speaking of stupid, however. It's 2016 and the Drug War still rages on unabated: as 'enlightened' citizens in states like California, Colorado, Washington, Oregon, Alaska and even in the nation's capital have gotten used to varying degrees of legalization... cozy with its convenience, comfortable with the closer relationships to police forces it engenders... as that's gone down quietly with little aftertaste in these states, citizens in less 'awakened' states especially on the East Coast and in parts of the great South are subjected to imprisonment, fines, and career loss - strikes me as macroeconomically inefficient, cruel - beyond time we push for full legalization, in my view. It's a plant. We can't be held forever responsible for the mistaken beliefs, corporate media driven hysteria, and dishonest policy actions of an administration that enacted the Drug War more than 40 years ago, with dubious intentions at the time. Advertisement In 2006 when Sarah Palin was elected governor of Alaska she was given a state email account. But rather than using it exclusively as she was instructed to do, Sarah created gov.sarah@yahoo.com, a private account that she directed members of her senior staff and her cabinet to use when they needed to email the governor. In 2008 Andree McLeod, a local community activist, discovered that the governor was using gov.sarah@yahoo.com to conduct state business. Representing Ms. McLeod, I sued Sarah to establish the legal principle that emails sent or received by a state official on the official's private email account and whose content involves state business are "public records" within the meaning of that term in the Alaska Public Records Act. In 2012 the Alaska Supreme Court agreed with Andree McLeod and me that those emails are "public records." Advertisement By the time the Court did so Sarah was long gone, having resigned as governor three years earlier to make her fortune as a political commentator for Fox News and right-of-Republican Tea Party maven. But before the McLeod v. Palin lawsuit reached the Alaska Supreme Court, in 2009 when Lieutenant Governor Sean Parnell succeeded Sarah, one of his first acts as governor was to prohibit state employees from using their private email accounts to conduct state business. At the time, I was quite pleased with how my lawsuit had worked out. But the ongoing dust-up over former Secretary of State Hillary Clinton's use of a private computer server to conduct State Department business has caused me to reconsider the underlying policy objective. Secretary Clinton's cover story is that she set up her private email communication system for reasons of personal convenience. But it is reasonable to assume that she actually set it up for the same reason Sarah created gov.sarah@yahoo.com, which was to keep her email communications private and, in Clinton's case, to prevent them from being available for public inspection pursuant to the Freedom of Information Act. Whatever her motivation, Secretary Clinton now says she should not have done what she did. But setting aside the issue of how she handled (or mishandled) classified information, is it really in the nation's interest to subject the email messages of federal employees, from the secretary of state on down, to public disclosure? Advertisement I pose the question because email has become the Internet equivalent of the telephone call. Presidents John Kennedy and Lyndon Johnson installed taping systems in the Oval Office that allowed them to record their telephone calls. But they had no legal obligation to do so. And no one - including Representative Jason Chaffetz, the Republican chairman of the House Committee on Oversight and Government Reform who is trying to use the manner Secretary Clinton handled classified material on her private computer server to damage her presidential campaign - has ever suggested that federal employees should be required to record their telephone calls. Because no one has, the 1.4 million civilian employees of the federal government routinely conduct government business on the telephone. And unless someone participating in a call decides to take notes, when the call is over there is no record of what was said. Why should email messages those same employees send and receive whose content involves that same government business be treated differently? Maybe there is a policy rationale for treating them differently. And maybe there is not. But the subject merits public discussion and debate. Transparency in government is an important societal value. But the federal government could not operate if every federal employee knows that every word he or she utters on the telephone during the workday is subject to public disclosure. Nor could the rest of us live our lives that way. Where the line between the need for government transparency and the equally important need for government confidentiality should be drawn when a federal employee sends or receives an email message instead of making or receiving a telephone call is a matter about which reasonable people can have differing views. Advertisement James Comey speaks, and speaks, and speaks some more -- before the press, Congress, and via media, us. FBI Director intends by his speaking to reassure and confirm his own personal integrity and the conclusion of his long inquiry into the email practice of the former Secretary of State. Months ago, well before Director Comey's unfortunate prosecutorial filibuster, Mrs. Clinton indicated that routing her work email to her home server was a mistake or human error. The problem? The hopelessly distorting nature of Donald Trump. Full disclosure: I am a supporter of Mrs. Clinton for president, and a former United States ambassador whose emails to state.gov were transferred to Mrs. Clinton's private server at an address I did not know then or now. I was delighted to learn, but not surprised as a veteran of the Reagan Justice Department and Obama State Department, that no criminal charges were to be recommended, nor pursued, with regard to the former Secretary's email practices. Mrs. Clinton's email practice was not well considered and it put personal privacy and a desire for confidentiality with regard to personal matters above the general interest. Long ago, Mrs. Clinton acknowledged that mistake. Gratefully, there is no evidence that the mistake led to the endangerment of a single American citizen. That, of course, was just luck and it is why both the Inspector General and the FBI director are correct in their separate suggestions that the email practices of the Department of State with respect classified information need to be carefully reconsidered and re-examined. Advertisement I dare say that if one examines the handling of classified information generally throughout the government will readily find regulatory gaps and unclarity that undermines the purpose of classification. The Inspector General, an internal watchdog chose not to address departmental practice generally, but instead focused on Mrs. Clinton's email practice. The IG asserted that she lacked approval for her email practice, and had she sought it, it would've been disapproved. Perhaps, but it is contrary to fact and entirely hindsight and not entirely accurate. In particular, the Inspector General did not point to, and could not point to, any specific section addressing the email arrangement adopted by the Secretary. There are provisions admonishing care in the handling of classified materials, but that merely begs the question. It cannot be assumed that Mrs. Clinton was in the wrong. Why? First off, because in the most common photograph of Mrs. Clinton using her email system, the legal advisor of the Department of State is seated directly behind her smiling, and certainly not manifesting alarm. While I'm not prepared to infer formal approval of the Secretary's email practice from the unobjecting presence of the top lawyer in the State Department (a former Yale law dean), if the matter was as reckless or as disregarding of departmental standard as Mrs. Clinton's opponents suggest, would there not have been a duty on the part of the highest-ranking legal officer of the Department of State not merely to smile benignly at such practice but to intercede and stop it? Advertisement There is a second reason why one cannot presume that Mrs. Clinton's email practice would've been disapproved as contrary to the foreign affairs regulations. Perhaps some reading this will think it only logical that the government's computer system would be more secure in terms of cyber infrastructure, but after the massive WikiLeaks disclosure of State Department cables that cannot seriously be maintained. My own experience in government, regardless of which party is in office, is that governmental equipment is always almost second-best to that which is available in the private market and used by business and university. For this reason, it could well have been reasonable for Mrs. Clinton to conclude that private email servers would not only serve her interest better, but also the interests of the United States in so far as encryption technology or other cyber security measures would be more up-to-date in the equipment not generally available to the government bureaucracy. In any event, the essential point is not that Mrs. Clinton's practice did not have the IG's blessing, but that the practice was seemingly known to the IG and the Legal Advisor and not objected to. That was neither approval nor disapproval. The rule of law demands that rules be announced in advance. It is not special treatment for Mrs. Clinton or any employee in the Department to ask that rules to which they are expected to comply be promulgated in advance. Hindsight is 20/20; it is also contrary to the most basic principle of common law - the prohibition of ex-post facto legislation - that is, the punishment of innocent behavior after it has already been done. The FBI director's conclusion that Mrs. Clinton did not willfully mishandle classified documents is matched by his expected finding that she did not intentionally obstruct the government's inquiry. Willful misconduct is a specific intent criterion, and as the FBI Director, Mr. Comey, suggested--- in the area of classified information, criminal violation demands more than mistake or oversight. Merely knowing that you are using a private server without more is not enough to satisfy what a reasonable prosecutor would need to prove beyond a reasonable doubt: namely, a flagrant and intentional disregard of security protocols. Except to the most one-sided of Clinton detractors, the obstruction of justice inquiry also found nothing. As evidenced by Mrs. Clinton's cooperation throughout the inquiry and her anxious desire to speak with the Justice Department and the FBI, which finally occurred last weekend for some three hours, the Secretary could hardly be said to be obstructing justice. The fact that a small number of emails were disclosed by her staff rather than herself in response to the request for documents is neither here nor there since there's no evidence that she was deliberately withholding these from the over 30,000 produced by Mrs. Clinton directly. Moreover, Mr. Comey's statement suggests that except for a handful of email chains, none implicated even innocent disclosure. Here's where the rules need to be clarified. Who bears the ultimate responsibility for proper classification, or in light of subsequent events, reclassification: the sender or the recipient? It is not clear. What departmental practices need to be put in place to ensure that unsolicited materials don't contain improper reference classified matters? Active ambassadors can avoid this question because they are given access to a dedicated high-security computer system known affectionately as the "high side." But this computer equipment is not available to others who may have properly had access to classified information and may have need to discuss it subsequently with the Secretary or others with proper authority to discuss it. A person given the privilege to serve publicly has continuing duties upon return to the privare sector. Classified information practice does not facilitate that duty. It should. Advertisement On balance, both the IG and the FBI director disposed of their inquiry into Mrs. Clinton's practice fairly, and their call for institutional re-examination and reform well taken. What was unfortunate, and will be unfortunately abused and distorted by Mr. Trump, whose acquaintance with truth or fairness is at best fleeting, was Mr. Comey's gratuitous commentary about Mrs. Clinton being reckless in her handling of these materials and his suggestion that were she still a member of the Department of State that discipline would be in order. That comes close to suggesting a double standard, since if Mr. Comey actually believed administrative punishment warranted, he should have said so without equivocation. He did not since the department's rules would, as suggested above, not support it. It didn't take long for the predictable. Loose-lipped former Illinois congressman Joe Walsh frothed at President Obama in the aftermath of the slaying of five Dallas police officers and the wounding of several others. Presumptive GOP presidential candidate Donald Trump couldn't tweet fast enough denouncing the murders. Needless to say Walsh and Trump were stone silent on the police slaying of Philando Castile in Minnesota and Alton Sterling in Louisiana. The idiotic threat and veiled blame of Obama for the killings by Walsh, and the empty tear denunciation by Trump are the exact reasons that a number of civil rights groups quickly condemned the Dallas police killings and conveyed heartfelt sympathy for the slain officers and their families. This is not new. After the slaying of two police officers in New York City in 2014, nearly every activist organization in the forefront of the protests over the police killings of Michael Brown, Eric Garner, Tamir Rice, and Ezell Ford as well as their family members, instantly issued a strong condemnation of the killings. The Brown and Garner family members went further and expressed outrage at the killer's alleged Instagram rants that claimed he killed the officers in revenge for the Brown and Garner killings. In Dallas, no less, the report that the alleged gunman, Micah Xavier Johnson, targeted "white police officers" should stir the same outrage. Killing police in the crackpot, misguided belief that this somehow is payback for the police killings of innocent blacks is dangerous and delusionary. This is the very thing that gives Trump, Walsh, some police groups and conservative talking heads an even bigger pile of ammunition to scream that civil rights groups, police violence protestors, and even Obama, have the officers blood on their hands. Advertisement But this is only part of reason that civil rights groups condemn all violence whether committed by or against the men and women in blue. There's the recognition that the overwhelming majority of police officers are dedicated, conscientious public servants who genuinely are committed to protecting communities from crime and violence -- black lives do matter to them. This was evident in Dallas. Police officials there were hands on in working with community groups the planning of a peaceful protest rally held moments before the killings. There is also the recognition that officers do face real dangers. The National Law Enforcement Officers Memorial Fund notes that there was a sharp increase in the number of law enforcement fatalities in 2014. This was cause for alarm. The number killed had jumped to roughly 120 and this represented a nearly 25 percent increase over 2013. It reversed a trend of the past decade where the number of officers killed in the line of duty had decreased. There also was the real fear that all it takes is the crazed act of one unhinged individual to derail the growing recognition on the part of a wide body of the public and many public officials that police violence is a major legal and public policy issue that cannot be ignored. The first steps have been taken toward opening a national dialogue between law enforcement officials, the Obama administration, the Justice Department, and many city and state officials on reforms that could be made to address the problem. They include the full authorization and use of body cameras, a grand jury system overhaul, the systematic tracking of the number of civilians killed by police officers, the appointment of independent investigators and prosecutors in officer-involved shootings, and a revamp of policies and procedures on the use of and punishment of excessive force violations by officers. The real danger is that a nut act like the Dallas police killings can wipe that progress off the board. Advertisement There's more. The killings heighten tensions between police, many of whom are already on edge, and minority communities. The killings can harden the attitudes of some police officers, thicken the thin blue line into a siege mentality of "us versus them." This can have deadly consequences on the streets and put even more civilians in harm's way if police officers feel that their only recourse in a conflict situation, no matter how innocuous it may seem, is to resort to deadly force. The killing of a police officer always stirs anger, outrage and fear among many officers who instantly identify with and feel the pain of a slain officer. This would escalate the vicious cycle of violence and more violence as the accepted way to handle police-civilian encounters. The best and most effective way to ensure officer safety is still to strengthen proactive, positive police-community dialogue, outreach and engagement. It was telling that even in the backdrop of the heinous murder of the officers, Dallas Police Chief David Brown, an African-American, had this thoughtful and sobering plea, "All I know is that this must stop -- this divisiveness between our police and our citizens." This is a plea that we must heed as passionately when the victims of police violence are Sterling and Castile, or unarmed blacks anywhere, or the victims of violence are police officers in Dallas or anywhere else. The violence against both are our worst nightmares. According to the Education Law Center, schools in the 31 impoverished districts covered by the Abbott rulings now receive adequate K-12 foundational funding, universal preschool for all 3- and 4-year old children, supplemental or at-risk programs and funding, and school-by-school reform of curriculum and instruction. University of California education policy expert David Kirp has written extensively about the remarkable success of the Union City school district, one of those supported by Abbott funding. His research and that of the Education Law Center and others indicate that New Jersey's Abbott-based system of school funding is a national model for supporting equity of opportunity in our increasingly inequitable society. The U.S. Department of Education's National Assessment of Educational Progress (NAEP) is the standard measure of educational achievement. During the decade from 2003 to 2013, the percentage of New Jersey eighth-grade students eligible for national lunch programs - that is, those from impoverished families - scoring "proficient" and "advanced" on NAEP's reading test increased from 16% to 27%, while the percentage scoring "below basic" fell from 44% to 26%. The gap between Black and White students narrowed from 28 points to 20. This would appear to be good evidence that the Abbott model is effective. The reason that additional funding for the education of children living in poverty is needed is that their families are poor: they cannot afford to pay for the sort of educational opportunities that wealthier families take for granted: high quality preschool, houses full of books and computers, private lessons after school, tutoring, trips to Europe. Abbott funding does not cover trips to Europe, but it does pay for "a thorough and efficient education," or something close to it. Governor Christie now wishes to redistribute the funds used to level the playing field for New Jersey students living in poverty to districts enrolling students from wealthier families. He calls this "fairness." Governor Christie is married to an investment banker. As a lawyer and lobbyist, he represented utility companies and the securities industry. This allows Christie's children to benefit from life among the multi-million dollar houses in Mendham, New Jersey, where the population is 96% White and 1% Black. The average family in Christie's neighborhood has an annual income over $150,000. The student-teacher ratio in the primary schools is 12-1, rising to only 14-to-1 in the nearby high school. Under Christie's plan, average property taxes in his own town would fall by about 20% and average per student state support would rise about 300%. In the Abbott district of Newark, for example, per student spending would be cut by more than half. Such is "fairness" in Christie's New Jersey. The Christie children are growing up in an environment of significant privilege, as befits the children of a governor and an investment banker. Each child is set to go through life with the social resources of a network of similarly situated friends and with the cultural and educational resources typified by their town's Westmont Montessori School, where education starts at age 15 months. One would think that a public servant would wish that even the poorest of the children in his official care would have the opportunities available to his own children and those of his friends. Apparently not. How much is too much? How much pain must Americans endure before we act? How many lives must be lost, how many children, adults, church members and party goers must die? This week, our country witnessed the murder of two innocent young black men by police officers, caught on video, in Baton Rouge, Louisiana and St. Paul, Minnesota. In Dallas, Texas, we lost five police officers, with nine others, including two civilians, injured after a lone gunman, a former Army reservist, during a peaceful protest went on a terrorist rampage to take justice in his own hands -- "to kill white people." The spike in gun violence and the police killings of innocent young African-American men has reached an all-time high. What does it take for Congress to Act? Civil War? What does it take for Americans to stand up and demand that Congress control access to guns? America speak up! Action to do more to curb gun violence, and respecting the Second Amendment can co-exist. Dr. Martin Luther King, Jr. said, "In the end, we will remember not the words of our enemies, but the silence of our friends." It's time for the silent majority of Americans to stand up, your silence is as deafening as the aftermath of those we have lost to gun violence. Importantly, we should all be disgusted with the number of African-American men who are killed at the hands of police officers. It begs the question, are there no other methods of restraint than murder? Surely, police officers in this nation are better trained and more culturally sensitive than to "shoot first and ask questions later." The most recent callous killings of African-American men are sadly "just names" added to the list of hundreds of African-American males killed by police officers in our country with little to no accountability. Again, our hearts are broken and saddened that this continues with little consequence. We know that the vast majority of police officers are honorable and hard-working, yet there seems to be a systematic problem with the interactions of police officers and young African-American men, and in the case of Sandra Bland, even African-American women. Our republic was created to be a government for the people, by the people, and yet this system of governance to keep us all safe, is broken and fails people of color, particularly African-American men. Furthermore, the divisiveness, hateful political rhetoric and the rancor of partisanship in Washington fails all of us in being a nation that fulfills its promise to be a representative government. The answer to the massive police murders of African-American men, is not shooting police. The answer to violence is not more violence. The members of the Congressional Black Caucus are right, we need a serious, national conversation about the interaction of police officers and communities of color, but that conversation must be more than words, we must change the system by which African-Americans and other minorities are hunted down like animals. In many instances, communities like Dallas, have greatly improved relations with the community they serve, and that is particularly heartbreaking that a psychotic lone gunman acted out his mental instability on a police force that could share best practices with other departments across the country. Congressman John Lewis eloquently said, "We will live together as brothers and sisters or die as fools." The opponents of gun control often point to "black on black" killings as a scapegoat to the real issue. Gun violence no matter if it's on the streets of Chicago, the mass shootings we witnessed in Newton, Connecticut or the systematic police killings perpetrated on African American males, it is all a stain on America's character. Policymakers and community leaders must stop seeing African American males as expendable, rather than potential contributors of our society. America is and will continue to pay a high price for their indifference to these acts of violence with communities wrought with dysfunction. Studies have shown that less violence exists where job security exists. The pressure in the U.S. Senate by Senate Democrats and the leadership of the Congressional Black Caucus in the U.S. House of Representatives needs the support of more Americans. I pray that we have the courage to do what is right, to speak up, to show a little humanity, and reaffirm that we are all Americans, including black males, with the right to live and the opportunity to thrive. I am a pastor, in New York City with my wife, on a few days of summer leave. Over a 24-hour period my phone, social media channels, and email blew up. Your attention was arrested too. My colleagues and clergy friends are frustrated and fearful. We want to talk, and then preach, but many of us don't know what to say. Here's some of what I've been hearing: "I feel helpless and lost in all this, like I'm far removed, but I don't want to be." "I want to be part of making change, not to just sit idle. " "As a white pastor, what are my boundaries? What is off limits and what is not?" "At what point do we take a risk?" "How do we know if our 'constructive conversations' are helpful, or just more noise?" "I serve a small church in a rural, white community. I'm feeling called to do something here, but I need guidance to know where to start." "I'm concerned about the reality of fear and mistrust that my students are growing up into," (from a youth pastor). "I'm struggling with how to make this real for my people. They shake their heads and feel empathy, but they see no real need for action or change." Today my preacher friends are asking, "What can I--should I--tell our people this weekend?" It's a hard question. And not just for preachers. Truth is, we all have 'people,' those in our sphere of influence--neighbors, co-workers, friends, our children. What can we say to them? Advertisement Take a look at chapter 4 in the biblical book of Esther. The entire Jewish community was in a perilous place. People feared for their lives. Leaders are in disagreement and disarray. Finally, one leader, Mordecai, tells another, Esther, this is not the time to quibble, be quiet, or quit. "Maybe it was for a moment like this that you came to be part of the royal family" (Esther 4:14 CEB). Before we consider what to do, it's helpful to know what not to do. Don't Quibble. In the biblical story, Esther was going back and forth, not accomplishing anything. In a moment of crisis we realize that we no longer have time for debates, for arguing over slogans, over whose lives matter, over perceived failures in media coverage. We can no longer spend time disputing the fact that racism is in us, and is killing black men, women, and children. We can no longer 'other' each other to death, over more than just race. We don't have time to spend critiquing the individual lives and motives of victims or police officers or public officials. We have been "majoring in the minors," as Martin Luther King, Jr said. Don't be Quiet. Esther scurried around hoping to silently manipulate an outcome. It doesn't work. Our prayer vigils and moments of silence have become shallow, easy alternatives to actually using our voices as God calls us to do. We can no longer merely host and organize prayer vigils for reconciling that which we have never experienced. We can't keep preying on each other and then pray for forgiveness. We can't pray for God to do what we are unwilling to do ourselves. Quiet is safer, sure. A pastor friend in Nashville told me recently, "I'm learning to better engage in conversations about race. I know I will make blunders, but I won't be quiet any longer." Don't Quit. "For a moment like this" translates as now. We can't sit around in our ashes, thinking the time to act has passed, or not yet arrived. It is not a singular time. It is always, every time. It is life in Christ--not a single event or action but ceaseless, constant, ongoing. Anne Frank said, "How wonderful it is that nobody need wait a single moment before starting to improve the world." So, the pressure is off! We no longer need to find the right time. It is always now. Advertisement It is helpful to know what to do.: Acknowledge that everything is not right, with ourselves first, and then with our systems and our world. Affirm one another's pain, understanding that it is real, and that the other's pain is also our own pain. Act in ways that bring healing and hope to those places of pain. Acknowledge that all is not right, and do it truthfully. Don't be afraid to say "I don't know." The scriptures don't even do much to explain why. The Bible shows us how to get through life, but it rarely if ever answers why. Be transparent. If you don't know something for sure, say so. Don't make things up. Don't say things that sound good but have nothing behind them. Affirm that the pain--yours and mine--is real, and that it is connected. There's a scientific theory that illustrates this idea: quantum entanglement. "At the simplest level, the idea of entanglement is just the idea that two things that are separated in space can still be the same thing," says NPR Science Reporter Geoff Brumfiel. "You can have an object that exists in two different spaces and is still the same object." (http://www.npr.org/2015/01/30/382453493/mirror-touch). What has newly been discovered is that these particles within objects still remain connected even though they are physically apart--when one particle becomes excited, so does the other. What moves me, moves you. What hurts me, hurts you. What inspires me, inspires you. In affirming another's pain, we affirm that we are entangled in it. When we become entangled, we are changed. In order to affirm we must listen, and model listening for our people. Affirm that others' experiences are real, even if they are unreal to you. Emanuel Cleaver III, a pastor in Kansas City, expresses the conundrum of black parental and pastoral counseling. "If you are a black man and you are stopped by the police," he says, "here is what you do: Comply with everything they tell you and then pray they don't shoot you anyway." This is reality for millions of people. Make space, time, and place for listening and affirmation in your community. Pastor Traci Blackmon says "we must hold open a space for grief" for people to process their pain as part of community. I don't know what that will look like for you, but I believe you can figure it out. Advertisement Thursday night I sat on the pavement with a crowd of protestors in New York. That's an action, sure. But then what? As a pastor or faith leader, there is more for me (and you) to do. It is "go time." We are to ACT in ways that bring healing and hope. There is no escaping our responsibility. None of us are exempt, no matter our political bent or church setting or social location. No matter that we feel helpless and lost. Not knowing what to say or do is a shared experience, from pulpit to pew to parking lot. Many pastors are afraid to risk with their congregations; and congregations are afraid to let their pastors be real, let alone be prophetic. When a man asked Jesus to heal his son, he said, "I have faith," and then "help my lack of faith." (Mark 9:24 CEB) You can have faith and still wonder why or when. What's never in question is who. It is clear who needs to help, who will be the source of help and hope. That's Jesus, through us, by our action. What to do, exactly? 1. Get out of the micro. Move to the macro. Preach grace. What can you do in your community to get everybody under the canopy of grace? What steps can you take to realign yourself and those in your influence with the fact that grace is real, unmerited, for all, for always? 2. Be relational, move in, get closer to the points of pain. Talk with people you don't know. Get to know them and their reality. Now is not the time to sit back. 3. Find ways to bind people together, to facilitate quantum entanglement in your community. 4. Examine the civic structures and policies and systems that need to change. Work cooperatively and productively with others to change them. Advertisement Examine the structures and policies and systems in your denominational connection that need to change. Work cooperatively and productively with others to change them. Invite others--your people--to join you in this work. 5. Speak out and work proactively to change gun laws. No more quibbling, no more. 6. Bring light and life. Every day, do things in your personal and public spaces to lift yourself and others up. Enjoy creation. Love life. Make joy. Don't stay in the heavy. Turn off the TV. Wherever we are, I pray we are holding up our corners, lifting hurting people to Jesus, lifting the hope of Jesus for others to see. Like the old hymn says, '"if I be lifted up I'll draw all people unto me." --------------- Lebanon restaurant makes wine magazines list New Lebanon restaurant 1847 Bar & Grill, 505 Mullins Drive, is on Wine Spectator magazines list of eateries around the world that offer the best wine selections. Thirty Oregon establishments made the list. The complete Wine Spectator 2016 Restaurant Awards will appear in the magazines August issue, which hits newsstands on July 19. The restaurant is part of the Boulder Falls Inn, which opened in May 2015 on the Samaritan Health Sciences Medical Campus in Lebanon. On 1847s menu are plenty of fine dining options, but there also are cheeseburgers and beers. For more information, go to www.boulderfallsinn.com/1847-restaurant. Local barbecue company invited to Jamboree The Oregon Barbecue Company, 744 Old Salem Road, will be one of the vendors at the Oregon Jamboree in Sweet Home later this month, and the owners of the business are thrilled about the exposure theyll receive from the multi-day country music event. We are very flattered to be asked to join the Jamboree, wrote Nathan Pendley, in an e-mail to the Democrat-Herald. The event draws anywhere from 13,000 to 15,000 people a day, so this will certainly allow us to serve many folks in the Albany and surrounding areas. Pendley and fellow West Albany High School graduate Tanner Poff opened up the barbecue joint more than a year ago. We have far surpassed our short term goals and milestones for no other reason than the incredible people in our city, Pendley wrote. For more information, go to www.bbqoregon.com/. This post was originally published by Waging Nonviolence. The video filled my Facebook feed Thursday, but I didn't watch it. And then stills from Diamond Sterling's live stream were published at the top of The New York Times tossed on our front walk yesterday morning. I sat outside and read all I could and sobbed. I watched my neighborhood wake up and tried to greet people as though it were just another morning. I wondered how I could meet a black person's eyes without crying and apologizing. I cringed internally at that mental picture -- how white and blubbery that would be, how pathetic and unwelcome that would be. But maybe that is part of what is necessary. I don't know. My husband Patrick and I had gone to our church's vigil the night before and sang "This Little Light of Mine" and "Lift Every Voice and Sing." It was lovely. It gathered many people in. The picture on the front page of our local paper showed a group holding our big yellow "Black Lives Matter" banner, chatting and smiling. Confronted with the image of Philando Castile bloodied in the passenger seat of his car, his eyes open but vacant, I found myself wishing our vigil had been more solemn and resolute. Advertisement And then we heard about Dallas, about the five police officers shot and killed during a peaceful protest of the police killings of Castile and Alton Sterling in Baton Rouge. If we lived in Dallas, that's where we would have been, I thought, as I listened to a witness describe how Shetamia Taylor pushed her 15-year-old son to the ground and lay on top of him to shield him from sniper fire. She was shot in the leg, one of two civilians wounded. Taylor was at the march with her four sons, ages 12-17, to peacefully express their outrage. I read about how police officers continued to do their jobs under fire, protecting people using their training to safeguard the innocent even as their colleagues were killed in cold blood. As I tried to absorb this new wave of horror and carnage, I kept thinking about Diamond's video. "I don't need to see it," I thought. I was pulled over by a police officer a few weeks ago. I was going too fast -- 85, the officer told me. Patrick rooted around in multiple tote bags before producing my wallet. My hands were shaking just a little when I pulled out my ID. Our kids were asleep in the back seat. The officer, an older white man, came back a few minutes later, gave me a warning: "Slow down, ma'am." "I will, officer, I am sorry. Thank you, sir." I gritted my teeth and watched the video. "People live this," I told myself. When I was pulled over, I was worried about getting a ticket: full stop. Nothing else. For Philando Castile, a busted taillight was a death sentence. Reynolds had the self possession to press record in the midst of this harrowing experience. I needed to see it. Diamond uses the word "sir" at least a dozen times in her 10 minute video. It is a talisman or evidence of good home training, a reminder to the officer screaming "Fuck" in the background of shared humanity, a handle to pull herself back into "normality," a signal to her daughter that it's going to be OK (even though it will never be OK again). Diamond Sterling's little girl sits in the backseat as gun blasts fill the car, and then separated from her mother during this indelible episode. Heartbreak. Hot anger. She is just a little older than my son Seamus. She is only in the video for a few seconds, her eyes serious and her ear translucent against the Minnesota sky. I hear her voice, her plaintive and then soothing invocation of the word "Mommy." Advertisement At intervals throughout the video you can hear someone screaming "Fuck" in the distance. The voice seems to belong to the officer who killed Philando Castile. His freakout is at such odds with Diamond Reynolds' preternatural calm. As I watched the video, I thought: Whoever thinks guns are cool needs to hear the sounds a human being makes when they kill another human being. It is the kind of aftermath of killing that is never celebrated in the movies or police procedurals. It sounded real. Fear is toxic, and armed fear is lethal. So, how do we get out of it? Where does it end? Without the guns, it is just fear and hatred and racism. Without the guns, we have a chance to listen, to change. As long as there are guns there is killing. Again and again and again. How many people have been killed in the United States since that night in the middle of June when Latin techno was interrupted by gunfire and screams -- when 49 people were killed and another 50 injured at the Pulse nightclub in Orlando? I found the Gun Violence Archive and I started counting. Working backwards, I reached 300 by the first of this month. Orlando happened on June 12. I could not keep counting. We are not at war. Not here, right? We are told all the time that we are fighting terrorists "over there" so we don't have to fight them "here." In this country we have a lot of ways to push this uncomfortable, brutal truth away: We point out the tragedy of black-on-black violence, we discredit and smear the victims, we nimby it out of existence by moving further and further into segregated enclaves, and we use the language of war. It didn't take long after Dallas for the language of war to obviate racism, dull nuance and ennoble every clumsy effort. The other effect of casting these events as a war -- between Black Lives Matter and "real America," between blacks and whites, between Obama and police officers -- is that it allows for lots of reckless escalation and massive collateral damage. But, my head went there too. Someone who lived through the Dallas demonstration and sniping called the experience a "little war." How can that be? Ask the people of Dallas who were out to say "Black Lives Matter" and "Stop the killing" if it felt like a war. Ask black people just about anywhere in this country if they feel like they are under siege. Micah Johnson, the man taking aim at the police officers on that hot night, was an Army veteran who served in Afghanistan. He was killed by an armed robot. He was at war. Advertisement From the moment we are born, all we seem to need is the basic TLC, which we hope our family structure will want to give us: security, care, and love to boot! If we are lucky, these needs are met within the first few hours of our birth. A father and mother cooing over us as if we are the biggest miracle the world has ever seen. Believe it or not, we start communicating instantly with our surroundings outside of the family circle, thus exposing us to failure, rejection, or acceptance, depending on how cute we are... Advertisement All children use their antennas to measure the world and the people around us. We learn to navigate through conformity and the expectations of others. Our basic instinct is "wanting to please and fit in." However, along the way there are many hurdles that break down our natural optimism and trust in others. Which often raises more questions than it provides answers... For example: Am I good enough? Will they like me? Can I belong? And last but not least... Am I loved? Can I be my funny, quirky, and quickly tempered self? Or is my environment and my culture going to dictate who and what I should be from an early age? Some of us wake up at 40 wondering what happened. When was the last time we rolled on the floor with laughter and without a care in the world? Who the heck did I become? What my family told me to! What was expected of me! But what did I lose... and at what price? Advertisement During the last 40 years, this individual has learned to guard themselves from others, especially from strangers and worst of all from life. We have become comatose, numbed beyond belief! Why? Killings, war, police brutality, ban burkas, Black Lives Matter, another suicide bomber has... I don't really know what I believe. I let it all wash over me. It does not really touch me. We are desensitized, numb... Let me take another happy pill so that I don't have to feel or do something... it will help me deal with who I have become. Please just leave me be, in my nice little comfortable life that I have created for my family. If for one crazy moment, I feel something, I remember that I had a Voice... But instead I am a bystander letting the world spin out of control pretending it does not concern or touch me! I want to defend the woman in a burka walking down the street that my buddies are shouting at. But the price to reach out and help her is too high! I would end up being the outcast myself, the pariah of my community, bumped off my social pedestal! Advertisement One moment in each and everyone's lives... We have all experienced what it is like to be shunned, humiliated, lonely, not fitting in, an outcast or a pariah... That is why I stay in my shell and hide... Daesh may be losing ground on several fronts, but there is a danger less spoken of in the western media which will have just as great an existential impact on the nations of the Near and Middle East and maybe even beyond: the precarious state of health of the leaders of the region, particularly those who are Shiites. Shia Iran and the complex succession of the Supreme Leader of the Islamic Revolution Ali Khamenei, the Supreme Leader of the Islamic Revolution, the highest authority of The Islamic Republic of Iran, is reported to have widespread cancer and when he passes away, there is likely to be a harsh battle for power between the two largest clans in the Persian political arena: the hardline ultraconservatives and the more moderate reformists. From an ideological standpoint, there is little difference between the policy of the defence of the regime, as enforced by the successor of the Ayatollah Khomeiny and that of Hassan Rohani, the current Iranian president. However, in practice, their positions are markedly different. One of the plainest examples of this is the recent agreement on nuclear power, which was lambasted by the regime's hardliners, including the Pasdarans, the core of the Guardians of the Islamic Revolution. There are two reasons which explain such a divergence. The first is ideological and refuses any concessions made to the the West which might be construed as a weakness both on an internal and on a regional level. The second is economic. Indeed, a more open approach could result in the redistribution of the control of both resources and the military-industrial apparatus. If such were to be the case, the Pasdarans would stand to lose the most. Advertisement As for the wider Persian society, it yearns for more freedom. There has recently been a drastic clampdown on social media via numerous measures, the goal being to silence the clamor of public opinion. The criticism pertains most particularly to the regime's role in the Syrian crisis, which has led to great loses, including many in the ranks of high-ranked officers. The country's minorities, particularly the Kurds are also voicing their opinions. The latter are growing increasingly frustrated with having to live under such tight control whereas their Iraqi and Syrian brothers are enjoying an increasing degree of participation and autonomy. In the absence of popular, charismatic figures, the Iran of today, albeit seen by some as a pole of stability, is quite likely to experience a period of unsettlement, as its neighbors have. The Lebanese Hezbollah and the succession of Nasrallah Over the last few years, the Shiite movement, which is a veritable state within the state of Lebanon and the armed wing of Iran, has seen all the potential successors to its leader, Hassan Nasrallah die in suspicious circumstances. This is all the more serious as Nasrallah, like Khamenei, has cancer which is at an advanced stage. Although Israel was immediately accused of the deaths, internal accomplices would have been necessary to accomplish such acts. This could indicate the existence of strong divergences within the Islamist militia, the main causes of which are to be found in its external operations. Advertisement To begin with, the consequences of the second Lebanese war against Israel in 2006 were disastrous both for the Land of the Cedar and Hezbollah, despite official rhetoric declaring a divine victory. Indeed, the Shia militia, following orders from Iran and without the go-ahead of the Lebanese government, decided to declare war on Israel. And the country's infrastructures as well as the militia still bear the scars. The non-Shiite country's leaders and population have not forgotten. In addition, the militiamen of Hezbollah are on the front lines in Syria and are paying with their lives to do what even the Iranian Pasdarans are unwilling to do. Now, a growing fringe within the movement is voicing its opposition to the current policy and the unreserved submission to the Persian mullahs, even if the majority of their resources come from Teheran, as they were recently forced to acknowledge. It is more than likely that as Nasrallah's health declines, there will be an increase in the confrontation between those who on the one hand, aspire to being a political force in the Lebanese landscape and on the other hand, those who are staunchly aligned with the Islamic Republic. The impossible succession to Mahmoud Abbas at the head of the Palestinian Authority? Although he is a non Shiite, Mahmoud Abbas, the president of the Palestinian Authority is trapped in the age-old conflict which the two main components of Islam have been fighting out. At the age of 81, he is the successor of Yasser Arafat, elected in 2005 for 4 years and whose mandate was prolonged, without consulting the people after the coup d'Etat by Hamas in Gaza in 2007. Since then, the West Bank and the narrow coastal strip have been living apart like two distinct, rival entities. Despite his weakening state of health, the Palestinian leader has not prepared his succession in his party, the Fatah, nor that within the Palestinian Authority. Thus today, there are numerous candidates to succeed him, be they officially declared or not. As for Hamas, it has experienced irreconcilable divisions between its political wing and its armed wing in Gaza as well as the ramifications of the latter in the West Bank and its "exiled political leadership". Since the last conflict in 2014, in order to stay in power, the political wing of Hamas in Gaza has been avoiding an escalation with its Israeli neighbor by controlling the excesses of the other factions. The reason for this is that another confrontation would most likely sound its death knell. The armed wing of the Islamic militia on the other hand, as well as the Islamic jihad, continue to obey orders from Teheran and are seeking confrontation not only with Israel, but also with the political wing of Hamas in Gaza and with the Palestinian Authority. Advertisement There are all the elements in this family struggle to deprive the Palestinian people for many years to come of the independence they dream of. What is to be the outcome for the Shia leaders and their troops? It is to be feared that as these various crises all have internal sources, the resolution of the question of power will be found by designating a common enemy, as is unfortunately often the case. Here, the enemy is obvious: Israel and the Sunni nations. That said, there is a clear awareness among certain leaders that they have a lot to lose: a rash act could well enflame the region, starting off with the northern borders of Israel (Golan, Lebanon) et those in the south (Gaza, Sinai). Indeed, the Iranian regime's hardliners via the Lebanese Hezbollah and their support in Gaza as well as in the Shia arc , could thus shift attention elsewhere in order to strengthen their power and that of their partners, thus supplanting the more moderate movements A veritable threat anticipated by Sunni rulers and Russia? The recent protocol by Egypt for the return of two islands in the Straits of Tiran to their legitimate owner, Saudi Arabia, which had ceded them in 1950 to fight the Zionist entity , offers new, important perspectives. If the official version is the construction of a bridge by the Wahhabi kingdom in the Red Sea to link the two countries, consulting Israel on the project and obtaining her approval of it clearly indicates that hostilities have officially ceased with Riyad. It is also part of a wider scheme, albeit discreet, which has seen cooperation between the Sunni nations and Israel to counteract both Sunni jihad supporters and unrivalled Persian influence on policy. Furthermore, Turkey and Israel have also signed an agreement re-establishing their relationship after a fall-out which lasted a number of years after the boarding of the Mavi Marmara which was attempting to bypass the blockade of Gaza. This new relationship contributes to reinforcing a united front to face shared challenges, which the Arab Sunni nations are also confronted with. Advertisement Similarly, the strategic viewpoints which Russia and Israel share concerning the Syrian crisis and which can be seen increasingly on the ground, have a shared goal, whereas Moscow is officially a close ally of Theheran. This shows a shared willingness to control a potentially explosive situation, particularly in preventing the transfer of sophisticated weaponry to Hezbollah by Bachar el-Assad, all under the supervision of Iran. The end of the 1916 Sykes-Picot agreement? The 1916 Sykes-Picot saw France and The UK dividing the remains of the Ottoman Empire out between themselves. Despite the promises of independence, including notably the pledge made to the Kurds, the Near and Middle East were divided up into zones of influence in which nations were created artificially, without the slightest thought going towards the local socio cultural factors, where the notions of tribes and clans prevail. Since then, this region with its rich history and resources has constantly been sought after and has been the scene of numerous conflicts involving the planet's most powerful nations, which have used those of the region to strengthen their power. With the arrival of Daesh (the Arab acronym of the Islamic State or ISIS), several states yielding from the sharing out of the region -Syria and Iraq- have already lost their identities. But Iran is also responsible here, as it sees itself as the protector of the Shia populations wherever they may be, for example in Iraq, Lebanon, Syria and Yemen. To be added to this is the longtime-subdued desire for independence of the Kurds, who are an essential tool in the fight against the jihad-driven Sunnis, and whose peoples are dispersed notably in Turkey, Syria, Iraq and Iran. The well-intended "Western Doctors" Thus, neither international peace conferences, UN resolutions nor occasional alliances will offer a lasting solution to a conflict which is as inextricable as its genesis is complex. He also offered some advice on how to break the habit "so you will not have temptation in hand." This nation is currently in crisis -- one so terribly familiar from previous centuries, and one that we must act upon now... before it grows even more severe than it already is. Despite the black community's desperate calls for justice in the wake of record killings of unarmed men and women -- from young men like Sean Bell on the morning of his wedding back in 2006 to other citizens such as Sandra Bland, Alton Sterling, and Philando Castile on ordinary days in 2016 -- police officers who commit crimes have remained above the law. Indeed, not since the turn of the century, when lynching headlines were all too regular, has this nation taken so little action to remedy the killing of African American citizens at the hands of those with privilege, power and the authority of a badge. And, once again, just as it did in that last century as well, African Americans have utterly lost faith that justice can be found in the legal system. Too many African American mothers, fathers, sisters, brothers, and children can barely breathe under the weight of what has become a bone-deep sense of frustration and hopelessness. In this terrible moment as in the last, a catastrophic loss of faith has inspired entire communities across America to gather together, and to march -- peacefully but forcefully -- in the hope that the killings will stop. They have prayed that their sheer numbers in the streets, and the sheer depth of their collective pain, will finally move someone to stop the killings. Advertisement And tragically, in this historical moment just like the last as well, a tiny few who have lost of faith have turned to reactive and retributive violence. And so now the families of seven officers are also in grips of agonizing, horrific, despair. The nation is now at a crossroads. Only one question now really matters. Will this new level of collective trauma--now suffered so acutely not only by many hundreds of black families America, but also by law enforcement families in cities such as Dallas--lead to real change in this country, or will this national crisis just deepen? So much death. Apparently, ISIS decided that the month of Ramadan was an extra special time for killing. A spokesman urged followers to "make it, with God's permission, a month of pain for infidels everywhere." Another statement--which included an instructional guide on how to use poisons--reminded its readers: "Dont forget Ramadan is close, the month of victories." Let's start by expressing deepest condolences for and solidarity with all those who lost someone to an attack either ordered or inspired by ISIS. That includes, just in the last few weeks, not only the victims in Orlando, but hundreds of other people from Iraq to Bangladesh to Turkey to Saudi Arabia, the majority of whom are Muslim--as is the case overall for victims of ISIS. It is vitally important that we in the West recognize and stand with ISIS' Muslim victims, as so many in Muslim communities worldwide stood with the victims of the attacks in Brussels and Paris, for example. In addition to sympathy, however, I felt anger. Anger at those who would intentionally kill innocent people simply to show that they could, in order to strike terror in the hearts of anyone, anywhere, who might want to go to a public place or travel through an airport. These acts seek to stop people from being fully human, from doing things that we once took for granted, but which are part of what makes life worth living--namely, experiencing the world around us. Advertisement Before going any further, let's be clear about something: The moral responsibility for these murders lies solely with those who carried them out, those who ordered them, and those who encouraged them. But beyond responsibility lies the question of how ISIS came to be, and what we can learn from this history. Let's assume none of you are unaware of the fact that George W. Bush, by ordering the invasion of Iraq, is the individual who most directly gave birth to ISIS. That invasion has been back in the news this week, with the release of letters in which Tony Blair told President Bush that, on this matter, "I will be with you, whatever," and predicted that "[Saddam's] departure would free up the region." Unsurprisingly, Blair continues to maintain that the world is better off because of the Iraq invasion. So does Bush. There really is no rational response to such sentiments. But the deeper roots of ISIS go much further back. There would have been no invasion of Iraq in 2003 had the first Gulf War not taken place. Yes, Saddam Hussein was a brutal dictator who in 1990 invaded a sovereign country, Kuwait, without any provocation. But let's get real. The world cared about that invasion enough to organize a huge coalition to overturn it--as opposed to so many others--because of a three letter word: oil. Taking and holding Kuwait would have given Saddam control of about 20 percent of the world's then-known oil reserves, double what they had previously. This was not acceptable to the United States. As part of the preparation for attacking the Iraqi Army in Kuwait, the U.S. and other coalition countries put troops in Saudi Arabia, troops that remained in that country until 2003. Osama bin Laden cited the presence of so-called infidel soldiers in that country's holy lands as a primary justification for the 9/11 attacks. The Bush administration, among other reasons--we all remember the mushroom cloud--cited the non-existent connection between bin Laden and Saddam Hussein to justify his 2003 invasion of Iraq. Whether or not the administration actually believed it, it is highly questionable whether Bush could have garnered the necessary support for an unprovoked attack on that country had 9/11 not occurred. Advertisement The roots go even deeper. Until Saddam's troops rolled into Kuwait, the U.S. considered him a friend, backing Iraq to the tune of billions of dollars in its war against Iran from 1980 to 1988. Although the Iran-Contra scandal revealed that some U.S. funds went to Iran as well, Ted Koppel provided the bigger picture: Throughout the '80s and into the '90s, U.S. assistance to Saddam Hussein and the government of Iraq dwarfed anything this country did for Iran....The Reagan/Bush administrations permitted -- and frequently encouraged -- the flow of money, agricultural credits, dual-use technology, chemicals, and weapons to Iraq. What we didn't fully understand was how those programs fit into the larger Washington/Baghdad alliance against Iran. We didn't know, for example, that there were actually U.S. contingency plans for an attack against the Iranian mainland. [snip] One reason why that U.S. attack against Iran never became necessary is because the Iraqis were able to do the job. But they did it with a great deal of American help. Iran had been one of our staunchest enemies since Ayatollah Khomeini came to power in 1979. His regime held 52 U.S. diplomatic personnel hostage for 444 days. The U.S. and Iran opposed each other all over the globe in the years after the 1979 revolution that overthrew the Shah. But why was the Shah in power in the first place? Again, three little letters: oil. Although larger Cold War power politics also played a role, a major reason why the U.S., in cooperation with Britain, overthrew the elected Iranian government of Mohammed Mossadegh in 1953 was because it had nationalized the oil industry. We backed the Shah and he spent the next years crushing the democratic, secular opposition while oppressing his people. When they rose up to overthrow him in 1979, it's no surprise that theocrats ultimately grabbed power. It's worth noting that the Shah initially also supported the nationalization of his country's oil, but changed his mind when the CIA told him that we'd find someone else to take power if he didn't change his mind. Had we not overthrown Mossadegh in 1953, by the 1980s Iran could well have been a secular democracy along the lines of India. Instead, our government felt it had to back Saddam against them. If not for oil, everything might have been different. This isn't about blaming the U.S. for the murderous attacks committed or inspired by ISIS. Murderers are responsible for their murders. Additionally, Muslim societies have some soul searching to do on ISIS as well, given that disturbingly significant minorities of the population in a recent survey--approximately one-quarter of Jordanians and Palestinians, and fifteen percent of Saudis, Bahrainis, and Kuwaitis (much smaller numbers, thankfully, in Egypt, Morocco and the UAE)--when asked "to what extent ... are groups or movements like ISIS or Al-Qaeda a perversion of the teachings of Islam," replied either that they are "mostly right, but I disagree with some of their words and actions," or that they are "not at a perversion at all." Even more concerning is that a majority of those who picked one of the two picked the latter. It is fair to say that while a strong majority of Muslims in the Middle East oppose ISIS, many do not. Advertisement Elroy Dimson Professor of Finance, Cambridge Judge Business School and London Business School * *In conjunction with Paul Marsh and Mike Staunton,London Business School. Some investors simply buy shares where the financial rewards seem most compelling, and ignore social, environmental and ethical issues. But this laissez faire approach is losing ground: The UN-supported Principles for Responsible Investment today lists 1,385 signatories with investment assets of US59 trillion The Global Sustainable Investment Alliance estimates that, worldwide, portfolios valued at over US21 trillion incorporate environmental, social and governance concerns. The UN Global Compact reports that 13,026 organisations in 170 countries have now committed to responsible and sustainable practices. Investors who follow a more inclusive policy are driven by one, or more, of at least three motivations. They recognise that: As owners they are complicit: they share responsibility for a firm's actions. This is the notion that underpins the screening processes followed, among others, by the Norwegian Government Pension Fund and by faithbased investors who exclude certain companies or industries the activities of which offend the investor. Investors can influence companies. They can use their leverage to persuade the executives of businesses they own - or their regulators, judiciary or other influencers - to improve company behavior based on their beliefs about social justice or in the interests of stakeholders. Long-run returns may be enhanced by taking advantage of the 'universal ownership' of major investors, who are exposed to almost every company and have long investment horizons. Indeed, since many people invest through mutual funds or pension providers, the managers of globally diversified pooled funds often engage with companies in the interests of more modest investors, thus less wealthy individuals may also be universal owners. Universal owners cannot escape costly, company-specific factors. If one firm benefits at the expense of others, there may be no net gain to a diversified asset owner. Universal owners should, then, strive to increase the aggregate value of all corporations. Aggregate value is destroyed when a business maximises its profits by imposing externalities on competitors and society. When it comes to responding to unacceptable corporate behaviour, investors have a choice between exit or voice. Exit - sometimes termed the 'Wall Street Walk' - involves excluding 78 the shares of companies, industries or countries with unattractive attributes. Sometimes this will have little overall effect. However, in the case of an active owner, the very fact of exit may apply pressure on a company or industry. This pressure is heightened when a number of investors divest together. Advertisement Voice involves engaging with the company or pursuing other methods for amending the behavior of the firm. Paradoxically, much of the evidence suggests that 'sin' pays, that investment in less responsible companies, industries, and countries has tended to outperform. This runs counter to the stance of many ethical investors who emphasise 'doing well by doing good'. Ironically, the actions of ethical investors may compound this problem. If, through exit, responsible investors depress the share prices of noxious businesses, these 'sin stocks' may become attractive to investors who are relatively untroubled by ethical considerations. Expected financial returns are likely to be higher (due to the relatively low price) which can compensate for the emotional burden of owning offensive companies. A corollary is that just buying shares in responsibly and sustainably managed companies cannot be seen as a winning investment strategy. Investors therefore face a challenge: should they divest from objectionable stocks, or should they use voice to make target companies more acceptable? The decision depends upon a number of factors including: loyalty - how strongly they feel about retaining their stake in the company; the potential return loss and reduced diversification from divesting objectionable stocks; and the scope for changing businesses for the better. I recently spoke with Shazi Visram who is truly changing the baby food industry with her company Happy Family. Since she started her company, Inc. Magazine called Happy Family the second fastest growing food company in the country, President Obama honored her at a White House ceremony, and American Express featured her story in a Super Bowl commercial. Here are the four lessons from this amazing entrepreneur that can hopefully help you with your own entrepreneurial dreams. 1. Find people who believe in you A big part of Shazi's success was finding the right mentors who provided her with great advice, while also introducing her to the right people. One of her early mentors was Seth Goldman, co-founder and CEO of Honest Tea, who introduced her to several people in the food and beverage industry that provided her with the support she needed. She also received mentorship from John Mackey, CEO of Whole Foods. When John was speaking at Columbia Business School, she spoke with him after his speech about what she was trying to achieve with her business. She was pleasantly surprised to find that he was very receptive and ended up introducing her to key people within Whole Foods who helped her along her journey. Sometimes we focus so much on the product or the technology that we forget how important it is to find the right people to support your idea. Every successful person I know has some version of this story - a mentor or that right person who believed in them and helped them out. Advertisement 2. You have to be very different from your competitors Since the 1930s, baby food has been delivered in a jar loaded with all kinds of bad ingredients because it's cheap and efficient. When Shazi created Happy Family, she created a baby food product that she would want to feed her own children: organic, less sugar, and packaged in a shelf-stable pouch that's convenient for on the go lifestyles. Rather than doing what was industry standard at the time, Shazi took a radically different approach that resonated with mothers who wanted to feed their babies with the same healthy food they would want to eat themselves. One downside of being very different from your competitors is that it takes longer for the public to understand and accept what you're offering. It took Shazi almost 6 years from starting her company to gaining mass appeal. However, once the public understood the quality behind her products, sales grew exponentially. 3. Don't make fear based decisions At one point, Shazi had doubts about her own ability to take her company to the success she envisioned. In this moment of doubt, she looked into taking an outside investor's money, which would've likely meant losing full control of Happy Family. She ended up rejecting this investor and credits this as one of her best decisions and one that almost happened because of fear. Very shortly after this, she found out that American Express was going to air her story as part of its 2009 Shine a Light campaign, which aired during the Super Bowl and the Golden Globes. Additionally, Happy Family had become the #2, #3, and #4 best-selling baby food products at Target. It was at this point Happy Family really started to take off. If she had let her fears take over her decision-making and accepted the investor's money, she would have lost control of the vision and mission of Happy Family and missed out on this amazing success. Advertisement 4. Perseverance pays off This is what impressed me most about Shazi and her story. The idea behind Happy Family began in 2003 when Shazi was in business school. The company launched in 2006, but it wasn't until 2009 when she really started to see significant success, and those 6 years from inception to success were extremely challenging. In fact, Shazi felt broke all the time. She had maxed out most of her credit cards and fundraising became a big part of her job. Money became so scarce that her husband would celebrate when he won $200 at a local bar's pool tournament! But she credits this to her success because it was the hard times that made her stronger and forced her to rely on creativity, rather than money to innovate. Shazi also questioned whether she could impact the baby food industry when sales were low, but because she knew deep down that there was a need for her product, she persevered. Conclusion When I saw the breaking news that another African-American man was gunned down at POINT-BLANK range by a police officer, whom he and his partner was able to tackle this man down and restrain him, my reaction unfortunately... is blank. Now I know for those who aren't African-American (and even those who are of my race) who may be reading this may ask why do I have a blank reaction? Well the answer is very simple. In my 30 years of life on this Earth thus far, I have seen this "breaking news" many times before and the very sad and UNFORTUNATE outcome from each time I am fed this "Breaking News" is one outcome: The officers are indicted and are found not guilty or acquitted of ALL CHARGES. What is there to say? What is there to feel? Let's take a walk in my shoes. I am less than 20 days away from graduating from the National Urban Fellows Graduate Program at Baruch College with my Masters in Public Administration. I will be graduating on July 28th, and yet I am nervous as ever before to make it to such a milestone. I am nervous and scared; not because of my academics, not because of my financial status, but because I am a Black Man. Advertisement A few weeks ago, the Supreme Court in a 5-3 decision in the case of Utah v. Strieff, ruled that although the stop was unlawful, the evidence can be obtained from an unlawful stop can me permitted on a circumstantial basis. U.S. Supreme Court Justice Sonia Sotomayor, provided one of the most powerful dissents I have ever read that really advocated for people of color as to what this decision will bring. I am going to highlight the final paragraph of her dissent: But it is no secret that people of color are disproportionate victims of this type of scrutiny. See M. Alexander, The New Jim Crow 95-136 (2010). For generations, black and brown parents have given their children "the talk"- instructing them never to run down the street; always keep your hands where they can be seen; do not even think of talking back to a stranger-all out of fear of how an officer with a gun will react to them. See, e.g., W. E. B. Du Bois, The Souls of Black Folk (1903); J. Baldwin, The Fire Next Time (1963); T. Coates, Between the World and Me (2015). By legitimizing the conduct that produces this double consciousness, this case tells everyone, white and black, guilty and innocent, that an officer can verify your legal status at any time. It says that your body is subject to invasion while courts excuse the violation of your rights. It implies that you are not a citizen of a democracy but the subject of a carceral state, just waiting to be cataloged. We must not pretend that the countless people who are routinely targeted by police are "isolated." They are the canaries in the coal mine whose deaths, civil and literal, warn us that no one can breathe in this atmosphere. See L. Guinier & G. Torres, The Miner's Canary 274-283 (2002). They are the ones who recognize that unlawful police stops corrode all our civil liberties and threaten all our lives. Until their voices matter too, our justice system will continue to be anything but. I dissent." [1] So think about this being in my shoes; law enforcement officers can legally abuse the procedure of stop and frisk and now have the ability to obtain (or plant) evidences that they may find on me even if it is obtained unlawfully. What this means for me is that I have to literally watch my back not only from the people in my community, but from the people who are supposed to "protect and serve" me. Now take a look in shoes. The past two days two of my brothers who look like me, being citizens of this great country, who were the head of their families; whose children, and even members of the community had admired, loved and respected them, were gunned down by officers who truly abused their sworn duty to uphold the law and to protect and serve the citizens of our communities without any bias towards any one or any group that resides in those communities. Advertisement A Black man, who was selling CD's was tackled down, restrained and shot down in point-blank range. Another Black Man, was gunned down at a traffic stop, in front of his girlfriend and his child and was literally left for dead on a livestream feed on Facebook for the world to see. Look at this in my eyes; I feel like I have been shot down, I felt like I took those bullets and it is beyond painful and heartbreaking. Look at this in my shoes, I walk down the street and officers look at me like I am a zebra in the wild and they are smelling blood and are salivating at the opportunity to either stop, frisk or find any reason to pull out their gun to take me off this planet because in the eyes of these rogue, bigot and maverick officers, nothing would make them happier to see me dead. Look at this in my shoes, I am attacked socially SOLELY because on the color of my skin, which is such a threat and an intimidating factor that they would explicitly show hatred towards me. Society doesn't even care that I am now 31 years old, I have an Associates of Arts Degree from the Borough of Manhattan Community College; a Bachelor of Arts Degree from Brooklyn College; and in 20 days a Master's Degree from the National Urban Fellows program at Baruch College. Society doesn't see me as the future President of the United States of America, they don't see me as a future PhD graduate from either the CUNY Grad Center, Columbia, NYU, St. Johns or Fordham; I am simply seen as a Black man and I am therefore a target by those who want to eliminate me. White women clinch their purses when I am on the train or bus; The white community (those who are not about the true meaning of what the United States of America is about) give me looks of subliminal superiority when I attend events that they are not used to seeing Black men attend; I have to constantly watch my back everywhere I go; I have to be careful of my next steps if I walk into a store that may be closing for the day; I am followed in every store, whether I dress up or dress down; If I am driving outside the city, I have to dress up because if I dress down, state troopers may think I am smuggling drugs or a fugitive of the law; I have to deal with looks of intimidation because people who feel they are superior to me don't think I should speak intelligently or empower my fellow brothers of my community. These are just some of the things I had and have to face every day in my life and every day that I excel in higher education, advocate and represent my church, my schools, my communities is making me more of a target because, as in the great words of DJ Khaled: "They don't want us to be successful". Being a Black man in America is, in my opinion a very stressful and strenuous 24-hour job; however, I would never change my identity and who I am for nothing and no one. I am proud to be a Black Man and the UNITED States of America is just going to have to deal with it. I live on to honor my brothers who have fallen by these rouge and abusive officers of the law. I dedicate my Master's degree to you. Marching on 'til victory is won!! ... House arrived the night of June 15 to find himself outnumbered -- and on the defensive. [Colorado Attorney General Cynthia] Coffman was joined by Tom Tancredo, a firebrand former congressman, and Becky Mizel, a Pueblo County chairwoman. Three months earlier, these three had been his biggest supporters when he challenged and beat the incumbent party chairman -- but now, suddenly, they wanted him out. They ticked off a litany of grievances: House's bookkeeping habits, his communication style, his refusal to hire one of their allies as executive director. "Is that all?" House asked after each point, in an exchange recalled by Tancredo and confirmed by House's office. "Well, there's Julie," Coffman said. "I know three Julies," House said. Come on, said Coffman -- who was he trying to kid? "Are you accusing me of having an affair?" House asked. "Well," Coffman said, "are you?" The state of Oregon last week launched the first steps of a campaign aimed at preventing marijuana use among youth. It's about time, although this is only a pilot campaign aimed first at youths in the Portland metro area and two counties in southern Oregon, Josephine and Jackson. The small-scale rollout is by design: Legislators allocated about $4 million to the Oregon Health Authority to develop, pilot and evaluate a youth marijuana use prevention campaign in a rural and an urban area of the state. There likely was little doubt from the first that the Portland area would be the urban area selected, and it makes sense to run the program in Jackson and Josephine counties, near the state's so-called "Golden Triangle" of marijuana-growing operations. The Legislature approved the prevention campaign in the wake of the statewide vote to legalize recreational marijuana. One point on which both legalization supporters and foes agreed during the lively election campaign on legalization is that kids shouldn't be using marijuana. The medical evidence to date is reasonably clear that marijuana use can have an outsized effect on developing brains. But how to get that message out to young people who likely have picked up on the fact that recreational pot now is legal in Oregon? The Health Authority says it conducted audience research and convened 28 focus groups in Portland, Bend, Medford and Pendleton with 260 youths and young adults between the ages of 14 and 20. The campaign, which has been saddled with the nondescript name "Stay True to You," includes some interesting parts that suggests that Oregon's youth are approaching the issue of marijuana with at least a little bit of thought. The campaign includes: Testimonials from adults who had experience with marijuana as youth and young adults. (The Health Authority says that the most common request from focus group participants was to hear from real people who had used marijuana and could talk about their experiences; an encouraging signal that youths weighing marijuana use want to hear from credible sources.) A message to older youths that their actions regarding marijuana might provide a role model for younger children, including siblings. Facts on brain development and marijuana's effect on learning, based on reviews of the current science by the Health Authority's Retail Marijuana Scientific Advisory Committee.' Questions and answers about marijuana use. (You can check out a sample of the campaign materials at this web address: https://www.staytruetoyou.org/materials. The password is 12345.) The bulk of the advertising will take place on digital and streaming video. Other campaign elements include mall and movie theater ads, a social media presence (#StayTrueOregon), a website (StayTrueToYou.org), radio advertising, and outreach to organizations where youths gather. The Health Authority plans a secondary campaign aimed at parents, teachers and school administrators in the fall. The trial campaign will be evaluated twice for effectiveness in November and May 2015, with reports due to the Legislature next year. The campaign's success will hinge on its credibility and even-handed tone: If kids start to question the accuracy of the information or sense that they're being preached at or condescended to, that will be a fatal blow and it won't take long for that word to spread on social media. But even if "Stay True to You" fizzles in this trial, we still should be able to learn something important from failure. And the message we want to get out about marijuana use and youths is so important that it merits continued efforts to get the word out in a way that its target audience finds persuasive. (mm) Image of woman using laptop while sitting at her desk. Young african american businesswoman sitting in the office and working on laptop. What does the future of work look like for women? At a moment when high-profile women are leading change and leaning in as never before in the political and business worlds, there's still a long, long way to go in achieving gender equality at the leadership levels of the workplace and in the boardroom. What have employers got to say for themselves? How are they paving the way for women to succeed? The conversation is getting louder, at least, and picking up momentum, and there's some evidence that we're starting to talk about the right things. A recent gathering in Washington, DC found leaders from large global organizations like Cisco and AXA, along with such voices of advocacy as the Everest Project and Council of Women World Leaders, exploring the realities facing women in the workplace. Advertisement There's already data that points to greater business success among companies that employ more women at the top, but how to get them there remains a challenge for too many companies. Maybe it's time to stop looking at how many women companies employ and start focusing on what they're actually doing - in other words, are women occupying the right workforce roles? The future of work for women Over the next 12 months, according to Mercer's 2016 Global Talent Trends survey, a whopping 91% of organizations expect an increase in the competition for talent. Core skills will change dramatically and filling jobs will be tough. Increasingly, women are going to fill them. "One area where women will be especially impactful is in office and administrative roles, where women hold 54% of the slots," said Ilya Bonic, Senior Partner and President of Mercer's Talent business. That's significant, because as more women fill the administrative sector in which they already dominate, more women are available for development and promotion into managerial and ultimately leadership roles. Advertisement Moreover, Mercer's 2016 When Women Thrive Global Report discusses how companies are leveraging women's key skill sets, which include flexibility and adaptability (39% attribute those skills to women, vs. 20% who say men have those strengths); inclusive team management (43% vs. 20%); and emotional intelligence (24% vs. 5%). So what are companies doing to prepare? Replacing Mentorship with Sponsorship - AXA's Christiane Bisanzio, Head of Diversity and Inclusion, said her priority is to make AXA an employer of choice by focusing not on mentoring, but sponsoring programs. "Women are over-mentored and under-sponsored," she declared. Sponsors or leaders at her organization choose who they want to support and guide, rather than being assigned someone. The company is also providing resilience training as a part of leadership development. So far, she says the program is working, with the vast majority of promotions at AXA benefiting women who went through the sponsorship program. Moving Women into Innovation - Pamela Carlton, co-founder of the Everest Project, said "relational skills" are the key to women's success. "Women are building cultures of innovation, sustainable ones, and doing it with relational skills that are well known but unseen," said Ms. Carlton. "I'm on a mission to get companies to focus on them." Carlton's organization conducted a research project focused on 132 women executives across industries and ethnic backgrounds, along with their senior colleagues. She said relational skills included taking smart risks, collaborating across the organization, and using women's differences as an advantage. She added that role modeling and inviting others to share their differences can be a competitive advantage. Advertisement Requiring Men Commit... to Diversity - Mike Kaufmann, CFO of Cardinal Health, said men are critical in advancing women's roles. "Men and women think differently about promotions, but you can't change things without real training for men. It can't be a quick seminar that's just the flavor of the day - it has to be an immersive session that captures the heart." Striving for Pay Equity -- Elaine La Roche, Marsh & McLennan board member, talked about the role of the board member as it relates to women and gender in the workplace. "Why is pay equity not as important on proxy statements as CEO pay?" she asked. "Shareholders need to care and understand that this is good for business and increasing shareholder value. This is an easy thing to change." But driving change in the working world is never an easy thing, and fostering commitment to gender equality, pay equity, and the development of women in roles that have been dominated by men - in everything from research and engineering to middle and upper management, P&L and boardroom empowerment - is going to make all the difference. The companies that help women thrive today will themselves thrive in tomorrow's economy. The duopoly that serves as our 'democracy' is again poised to install another corporatist into the White House. Oh goody. If you believe corporate media, there's no point in resisting. And yes, we'd better support Clinton to keep Terrible Trump from becoming president. Especially now that, according to MSM sources, Bernie will endorse Hillary for president this week. I hope they're dead wrong. The Green Party and Jill Stein have made it clear they would welcome Bernie with open arms, putting him at the top of their ticket. He would be the Green Party nominee for president. Millions of us who have joined together to embrace Bernie's Revolution hold this as our dream ticket. Advertisement But if sources are true that Mr. Sanders will endorse Ms. Clinton this Tuesday, on that day the Revolution begins to leave Bernie in search of a new leader. Maybe it will be Jill, who has all of Bernie's progressive positions and then some. She's a clear advocate for peace. In her recent article about the need for a Second American Revolution, she states: " And the founders' fear about the dangers of a standing army were discarded after WWII, leading to the creation of the most powerful war machine the planet has ever seen, accumulating an increasing share of the nation's political power and resources." She understands the vast inequality we face, the urgency of addressing global weather chaos, our messed up electoral process, and all the other tenants that we who are awakening see. With the unpopularity of the duopoly, Clinton and Trump, a Green Party candidate with the support of Independents has the chance to dramatically change the system. And because in such a scenario we are no longer beholden to the Democratic Party, we are no longer under the thumb of Neoliberalism as well. Which likely means we could take greater strides in creating the Second American Revolution. Advertisement If Bernie endorses Hillary, he loses us. It was laughable when President Obama this week said: "There has never been any man or woman more qualified for this office than Hillary Clinton." Oh reeeeaaaallly??? This revolution is not about Bernie Sanders, as he readily admits - #notmeus. The question isn't even what happens to this revolution if Bernie abandons it in favor a candidate who's integrity is, well, she has none. We will move forward with the energy and leadership available to us. Jill Stein and the Greens are certainly part of it. Occupy, Black Lives Matter, truly progressive unions, and disaffected Americans across this great and wounded land are all part of it. We don't know yet the forms our revolution will take - political, social, media or otherwise. But we are not going away, and we are not going back. The question of the moment is Bernie's choice. As this is a revolution of peace and love, there will be no animosity if Bernie endorses Hillary. Disappointment, yes, but not anger or hate for his decision. It's his to make. Still, this blog post is a last plea for him to continue to lead us. A last plea to be part of the Revolution. A last plea not to give into a corrupt and broken system. Go Green, Bernie! We've all been there, all experienced it, all had our fair share of despair and disruption during the middle of the week when we are faced with a daunting three more days of WORK! Wednesday signals the mid-point of the average workweek. Two days completed; two more days to finish the week, as long as you don't count Wednesday. Some people refer to Hump Day as Slump Day - the down day, the day nothing gets accomplished or, as Urban Dictionary defines it, "climbing the proverbial hill to get through a tough week." If you are on the glass half-empty side of Hump Day, you may be suffering from low energy, wasting your Wednesday away and sinking further into the depths of yet another fruitless work-week. However, if you're in the glass-half-full mindset, Hump Day is a day to re-organize, re-convene and restructure, heading full steam ahead towards the weekend fueled by fresh inspiration and creativity! Advertisement If you want to keep positive momentum in the workplace, use Hump Day as a tool to stay on track and excel! Wednesday is the ideal day to assess your work situation and leave the "But, I don't feel like it" syndrome," behind. Here are 5 ways to turn Hump Day into a get up and jump day: 1. Prepare For Hump Day On Tuesday A new US report shows Tuesday is the most productive day of the week. "Many workers spend Monday catching up from the previous week and planning the one ahead," said Max Messmer, chairman of Accountemps. "On Tuesday, employees begin to focus on individual tasks and become more productive. The goal [is] to maintain the positive momentum established on Tuesday throughout the week." So, make sure you clean off your desk before you go home on Tuesday, which will ensure a positive start to your Wednesday. 2. Hump Day Means Getting To The Office Earlier Make Hump Day a special day. Put more mental and physical effort into making your Wednesday productive. Arriving at your office earlier than your co-workers gives you a head start on generating more energy at the top of the day. In the blog Careerealism, Ariella Combs noted she is generally more relaxed and productive when she enters a quiet office. Not to mention, all the successful people do it." http://www.careerealism.com/quick-tips-better-productivity-hump-day/ 3. Hump Day Begins With Silence And A 5-10 Minute Meditation Wednesdays define the tone and style of your work-week. Take Wednesday seriously by honoring the day as you walk into your office. Enjoy the silence of your work space. Sit behind your immaculate desk and meditate for at least 5 to 10 minutes. As thoughts come into your mind, let them go. Your meditation will work to clear the mind as it removes emotional and intellectual barriers to productivity. Remember to breathe deeply to increase your energy. Advertisement 4. Hump Day Begins With Creative Ideas Changing your mindset on Hump Day will enhance your overall performance for the duration of the week. Just think: Hump Day can set you up for three more productive days. Again, according to Max Messmer, chairman of Accountemps, "Thursday and Friday are the least productive days of the week, so a positive attitude mid-week sets you up for increased output and enhanced creativity as your week comes to a close." Be sure to keep a Hump Day journal to incentivize your Wednesday. Write down your overall intention for the day and set individual intentions for the rest of the week. Keep a list of creative ideas, emails to write and calls to return. 5. Hump Day Includes A Break Some days seem longer than others. Some foods taste better than others. Treat yourself to a few little gifts on Hump Day: be sure to take a walk at lunch; eat tasty and energizing foods (i.e., fruits) once or twice during the day; and stretch at your desk when your spirit moves you. There is no better way to recharge your neurotransmitters than to hang upside down, either from your chair or in a corner of your office. Sitting at your desk for 8 hours a day without moving is a recipe for physical and mental disaster. Click on this link from Forbes and check out the best exercises to do at your desk. They are amazing and will make Hump Day and everyday at work a joy. http://www.forbes.com/sites/jacquelynsmith/2013/02/06/the-10-best-exercises-to-do-at-your-desk/ It's an easy call to make Hump Day the best day of your week. Apply these 5 Hump Day tips mid-week and change your Wednesday mindset and start to see the glass half-full. Joan Moran is a keynote speaker, commanding the stage with her delightful humor, raw energy, and wealth of life experiences. She is an expert on wellness and is passionate about addressing the problems of mental inertia. A yoga instructor and an Argentine tango dancer, Joan is the author of 60, Sex, & Tango, Confessions of a Beatnik Boomer. Her new book, I'm The Boss of Me! Stay Sexy, Strong & Smart at Any Age, is now on Amazon. People gather during a vigil outside The Stonewall Inn remembering the victims of the Orlando massacre in New York, U.S., June 13, 2016. REUTERS/Shannon Stapleton One month after a deadly attack against the LGBT community in Orlando, Republican Leadership in the House of Representatives will mark this week's anniversary in an ugly way: by considering a piece of legislation that would bar the federal government from taking action when individuals or businesses attempt to deny, discriminate against or otherwise dehumanize LGBT Americans. Beneath the partisan politicking that will undoubtedly capture headlines as the so-called First Amendment Defense Act (FADA) is given a hearing this week, there is an eerily familiar argument: the religious beliefs of some can trump the basic civil rights of others. From race and gender to sexual orientation and gender identity, it is a dangerous road our country has traveled down before. Still, today we see efforts at every level of government to distort our country's sacred promise of religious freedom and use it to codify laws that protect some Americans but not others. Advertisement As practicing Catholics in public service, we have watched these efforts with heavy hearts. As the first openly gay congressman from New York, one of us has felt them as a direct threat to his own family. We believe religious liberty and equal protection are not mutually exclusive. In a nation built as a beacon for those facing religious persecution and state-sponsored discrimination, the American commitment to religious liberty was crafted, above all else, as a statement of tolerance. It is a promise to protect and accept the marginalized and the minority, the voiceless and the victimized In order to keep that promise for every American, however, the First Amendment established a simple boundary: your rights extend so far as they don't infringe on mine or cause me serious harm. My freedom of faith cannot be used to undermine yours. A commitment to this basic balance has fueled a growing federal response to those attempting to justify -- and codify -- discrimination towards the LGBT community. Last year, the Supreme Court in Obergefell v. Hodges recognized same-sex marriage under federal law. The Equality Act would add sexual orientation and gender identity as protected classes under the Civil Rights Act. The Obama administration's new guidelines for public schools and health providers regarding transgender persons make it clear that there can be no exceptions to equal protection. Last month, the House of Representatives saw bipartisan support for the Maloney amendment, which would bar federal contractors from discriminating against LGBT Americans. Advertisement Still, a powerful obstacle remains. The Religious Freedom Restoration Act became federal law in 1993 with broad, bipartisan support. Crafted to protect religious minorities, it was a clear statement by the American people and their elected representatives that protection and recourse is needed when the law unintentionally infringes upon free exercise. Jewish children should be allowed to wear yarmulkes in public schools that otherwise prohibit headwear. Fire department restrictions on facial hair should contain exceptions for those of Muslim faith. Over the past 23 years, however, RFRA has been contorted into a tool for employers to undermine basic workplace protections, organizations to stonewall child labor investigations, and health providers to deny needed care for victims of sexual abuse. The Supreme Court's 2014 ruling in Burwell v. Hobby Lobby Stores opened these floodgates even further, providing a path for corporations to cite faith in discriminating against employees. Worse yet, where the Supreme Court led state and federal governments have followed. In Congress, over 170 Republican members have leant their name to FADA. In our states, more than 25 so-called "religious liberty" bills were introduced in 2015. These bills lay the groundwork for a pregnant worker to be fired because her employer doesn't believe in premarital sex or for a transgender child to be refused health care because a provider doesn't accept the child's gender identity. It is with these circumstances in mind that the Do No Harm Act was filed earlier this year in the House of Representatives, with Congressman Bobby Scott. This bill would preserve the original purpose of RFRA -- to serve as a protective shield for religious minorities -- and clarify that no one can claim religious exemption from laws that protect against discrimination, govern wages and collective bargaining, prohibit child labor and abuse, provide access to health care, regulate public accommodations, or provide social services through government contracts. Advertisement As men of faith, the ability to freely and fully exercise sincerely-held religious beliefs in this country is a liberty we cherish. Across the nation, religious principle inspires countless families, organizations and communities to champion economic justice, human dignity and common decency. But there is a difference between exercising religious beliefs and imposing them on others. Our Constitution fiercely protects the former and expressly prohibits the latter. It's the 100th Anniversary of the National Parks Service, so Pam and I invited our friend Judy to join us on a 10-day road trip to the Four Corners where Utah, Colorado, Arizona, and New Mexico meet. We spent two nights each in Mesa Verde National Park, Canyon de Chelly, Bluff, Utah, and then headed north to Capitol Reef National Park. Each place is rich in ancient cliff dwellings and thousand-year-old rock art. The trip combined scenery, culture, nice lodging, and, at times, unusual shopping experiences. It's an ideal journey for seniors who are fit and who don't expect super luxe or expensive hotels. The longest leg was the six-hour drive from Salt Lake City to Mesa Verde, but the views and a few interesting stops along the way made it go by quickly. We picked Judy up at Salt Lake International Airport and headed south to Mesa Verde National Park. Midway we stopped in Moab for lunch. Moab is classic tourist town and gateway to Arches and Canyonlands, two of Utah's five national parks. Both are worth exploring but during the cooler months. This was mid-June and temps were headed toward uncomfortable. A few hours later, we drove through Cortez, Colorado and into Mesa Verde National Park. Early Spaniards named the place. A couple of ranchers discovered its trove of cliff dwellings in the late Nineteenth Century. Advertisement Teddy Roosevelt gave Mesa Verde national park status in 1906. Today its 55,000 acres are both national park and World Heritage Site. It's a magnet for people from around the globe. As we approached the entrance gate, I realized I had left my lifetime National Park Senior Pass at home. It was one of my first purchases when I turned 62, For a one-time purchase of $10 you and those in your car have access to all parks in the system. Pam purchased one at the gate and placed it in the glove compartment; better there than in a file folder. The next 45 minutes we climbed across slopes and canyons until arriving at appropriately named Far View Lodge with its pleasant rooms and terraces overlooking a panorama of ever-receding buttes and mesas. Far View is perfectly located to explore the park, and early next morning we boarded a bus for the 700 Years Tour , a must-do four-hour orientation ($46. per person). Along the way we learned about Ancient Puebloan or Anasazi culture, their habitations and underground kivas. At the final stop we were led down a trail to Cliff Palace, a series of ruins from the XII Century built mid-cliff in an arched cave. We walked past kivas, rooms, and a multitude of storage facilities, virtually the same as when they were photographed in the late 1800s and brought to the attention of the world. Advertisement After the tour we hiked Petroglyph Trail, a two hour up and down walk to an underwhelming rock art panel, followed by a taxing vertical climb to a level walk back to the car. It's a beautiful hike with memorable canyon views; excellent preparation for a good night's sleep. Next morning we drove another hour to remote Wetherill Mesa where a guide ($4.00 per person) led the short hike to Long House, another large village tucked into a massive alcove filled with rooms and kivas and the occasional petroglyph (images pecked into stone) and pictograph (images painted onto stone). The drive out of the park took almost an hour and it was lunchtime when we reached Cortez. The Farm Bistro was a delicious choice with an interesting selection of dishes made from locally sourced organic foods. After lunch we headed to Chinle, Arizona in the heart of the Navajo Nation and the gateway to Canyon de Chelly National Monument. We checked in at Thunderbird Lodge, where rooms are adequate, staff friendly, and cafeteria-style dining surprisingly good. Navajos have inhabited scenic Canyon de Chelly for centuries as subsistence farmers and sheepherders. Before that, Anasazi relied on its streams and vegetation. Ruins are visible from the canyon rim and can be visited from the canyon floor when accompanied by a Navajo guide. The one exception is White House Ruin, at the end of a well-marked 45-minute walk through tunnels, across cliffs, and around massive boulders. For those with knees and wind, it is not to be missed! Advertisement Later we toured portions of the canyon in an aging vehicle with Navajo guide Winnie Henry. The three-hour tour was enough to enjoy great scenery and Winnie's stories of growing up in a hogan (the traditional hexagonal one-room structure) with her sister and eight brothers. She works for Beauty Way Tours. The three-hour tour for three people cost $175. Our next night was in tiny Bluff, Utah. To get there we drove through Monument Valley, the dramatic landscape of monoliths and buttes that played a starring role in John Wayne westerns. Local tour operators use open-sided vehicles to take visitors on the 17-mile loop to iconic panoramas. We opted for the self-guided tour ($20.00 per car) and were glad we did. None of us wanted to be riding on a hot day in an open vehicle, breathing through clouds of dust. About 30 minutes north of Monument Valley is tiny Mexican Hat, Utah, named for a nearby balancing rock. It's a putting in place for river rafters who come there from all over the world. The international nature of the place is evident in Valle's, a small eatery, where diners have papered the walls with personal notes and their home-country currency. The food is refreshingly tasty for a place with four tables and a wall lined with cold beer. A short drive north, and we were in Bluff. We had booked a day of exploration with Vaughn Hadenfeldt of Far Out Expeditions the area's go-to guide for exploring this archaeologically rich area. Despite the heat we visited numerous treasures including two cliff dwellings and multiple rock art sites, including one with pictures of mastodons, thought by some researchers to be the oldest known rock art in North America. Comb Ridge Cafe is a charming restaurant with a first rate menu. Another is Cottonwood Steak House where meals are served in a frontier-themed courtyard. Duke's, a recently added dining option was overpriced and disappointing. Advertisement The drive to Capitol Reef National Park took us across Cedar Mesa. Route 95 has many turn offs and side roads leading to cliff dwellings and rock art panels. Kane Gulch Ranger Station on Highway 261 is the place to pick up maps and get visitor permits to the more exotic sites. A few hours later we entered Capitol Reef, the least visited and, possibly, most beautiful of Utah's national parks. Its quarter million acres form a North-South corridor of natural arches, slot canyons, monoliths and spectacularly endless views. Like the rest of the places visited, Capitol Reef is known for its dark skies. If visiting on a moonless night, the Milky Way and constellations dot the heavens with pinpoint clarity. Lodging and dining are available in nearby Torrey, Utah with Castle Rock Coffee Shop a good choice for breakfast and lunch and Cafe Diablo for dinner. If the moon is rising, dine on the deck of the Rimrock Restaurant. You'll be amply rewarded. The drive from Torrey to Salt Lake City is three and a half hours, much of it through gorgeous landscape and quaint rural towns. As we left we grabbed a bite at the Sunglow Restaurant in Bicknell. The pinto bean and pickle pies were a surprisingly tasty way to start the last leg of our journey. Earlier on Huff/Post50: Protesters shout slogans against the law as they march on the street during a rally in New York, November 24, 2014, after the grand jury decided not to charge a Ferguson police officer in the death of 18-year-old Michael Brown in Ferguson, Missouri. Outrage over the decision fueled what had been mostly peaceful protests across the U.S. on Monday, including in New York City where marchers chanting "Black lives matter" snarled traffic on Broadway through Times Square. REUTERS/Eduardo Munoz (UNITED STATES - Tags: CRIME LAW CIVIL UNREST) Part 1 Kamel Ibrahim Al-Najjar, survivor of the 2014 Israeli invasion of Gaza, spoke about his experience, "We were safe at home when the bombs rained down on us for no reason. It was just me and my family in the house. There was a lot of shelling. We needed to get shelter under anything, anywhere. We went to our neighbor's house, and we found out that they had a basement. The basement was full, about a hundred people. The next day at 6:00 a.m., an F-16 strike hit us. Shrapnel fragments entered the basement through the windows, and the basement collapsed. There were 120 people in the basement; ninety percent were women and children. There were only about ten men. I didn't know where I was. Shrapnel went into my eye and my head. I couldn't see anything because of the smoke from the F-16 strike." "Shrapnel in the basement"--sudden destruction we cannot predict, in a place we were convinced was safe. A catastrophe wrapped in a shockingly unanticipated violation. There is first the horrific literal reality of the shrapnel embedded in the bodies of the wounded and dead. Then there are the metaphoric reverberations, the buried "emotional shrapnel" they, their families and their communities have to deal with for decades. Advertisement Sound familiar? A few years ago I met a group of social workers and other helping professionals from Gaza to share our experiences with trauma: the kind that comes with serving in the military in Iraq and Afghanistan (something I had experience with) and living and working in an occupied territory with frequent wars (something our guests had experience with). We spoke about how we understood and worked with the individual and collective impacts of war, and our personal experiences of working therapeutically military veterans, civilians, and their families. When Israel invaded Gaza in August 2014, I was especially sensitized to the added strain felt by the Gazan therapists at the very moment their material and emotional resources were spread most thin. But I did not anticipate that one of those I had connected with, Hassan al-Zeyada, would lose three of his brothers, his mother, his nephew, and his sister-in-law, when an Israeli bomb hit their house. Zeyada articulated what many survivors of massive trauma experience: "I am so afraid in this building. They may hit it at any time. There is no safe place. Psychologically, that is the problem." His family had had "absolute faith" that Israel would warn them if their house was going to be bombed, but there was no warning. Thus the attack on their home and the sudden losses created a breach that was completely unexpected. Zeyada noted that his young daughters have now experienced three wars. "Can you imagine what that means to the new generation? Scared parents cannot assure or secure scared children." Advertisement Today our own cities are rife with similar accounts of the terrifying impacts of the recent spate of officer-involved killings (not to mention years of continuous mass shootings). The resulting mental health impacts in our communities are trenchantly discussed by here Marc Lamont Hill and Mychal Denzel Smith. At a Black Lives Matter vigil yesterday in Santa Barbara, speakers confirmed just how many people could not attend for the simple reason that they literally could not get out of bed. Terror-filled expectations of harm have become so second-nature that when things go off-script, well, listen: James Armstrong, Dallas pastor and community leader said today that a black teenager told him about entering a store and seeing a white policeman inside. The boy said he felt "awkward." The white policeman said to the black teen, "You okay?" The boy was silent. When the policeman said, "No, really, you okay," the boy began to cry. What a relief, "I get to live." It's a low bar for a positive interaction, but it is a start. A start to relearning that mutual trust is not a life-threatening miscalculation, that some of those tasked to protect and serve will do just that. Veterans from Iraq, Afghanistan and from all wars, know well two kinds of PTSD. One, which I call PTsxD, represents a cluster of debilitating anxiety symptoms with roots in a nervous system dysregulated by cumulative exposure to war-related trauma. Lower case "sx" refers to psycho-neurobiological symptoms that reflect shifts in arousal and reactivity such as increased irritability and anger, reckless behavior, hypervigilance, exaggerated startle response, concentration problems, and sleep disturbances. The "D" in PTsxD does not refer to a disorder, though lived and felt experience can certainly become disordered. It does not refer to a psychiatric disturbance, although experience can become quite disturbed and disturbing to others. Rather the "D" here relates to dysregulation, the body and brain's way of adapting to overwhelming traumatic experience in order to survive. In the second form of PTSD, which I call PTspD, the "sp" refers to impacts to a person's soul or spirit. This week people have often described the cumulative impacts of the many killings as soul-crushing. In this form of often unseen injury, sometimes called "moral injury," the "D" does not refer to a psychiatric disorder or disturbance. Rather it speaks to the dismantling of the natural connectedness among all registers. One is rent apart, the center cannot hold, the pain is too great; fragmentation and dissociation or even total collapse are the result. Not being able to get out of bed falls into this category. One element of moral injury is the blow that results when leaders or institutions tasked with helping and protecting betray their trust. While the experiences of service members in a war zone, civilians caught in repressive occupation by another country, and those suffering war-like conditions in our own cities have their differences, the overlaps are evident. Note the collapse of assumed safety and the resulting prevalence of dread. This breeds mutual suspicion, helpless rage and despair -- a toxic brew. Not to mention pure pain that feels unbearable. "I can't continue to live in a world like this -- it's too painful," said 19-year-old Taylor Quattlebaum during a Friday protest in Washington. "I can't continue to cry every night before I go to sleep. It hurts too much." Note that in one study, veterans reported that the driving force for their attempting suicide was emotional pain. Jennifer Jones, a 20-year-old student at Davidson College in North Carolina, standing outside the White House, said, "I don't want to become the oppressor. I don't want to become the enemy. I don't want to become the murderer." Then she added: "I want to be the person that can stand up and talk and fight for the right thing to happen." The best "treatment" for the post-traumatic shockwaves generated by recent homegrown terrorizing events is to put an end to the violence, to create conditions of peace, safety, and mutual respect. That means finding solutions to conflict that don't involve killing one another in military or civilian war zones. It means actually being protected rather than betrayed by those who would protect us. It means standing up, speaking out and being vigorously, relentlessly, peacefully pro-active. It also means activating tenacious, good faith efforts to reflect on what is going on and to understand the "other," whomever he or she is. Advertisement This kind of systemic change will be slow in coming. Waking up is hard to do. Who knows, maybe joining the "watch list" of three countries who are warning their citizens about the dangers here for people of color, may shake us up a bit. The fight Jennifer Jones talks about is good and right to engage; it can also be adaptive for our mental health. But let's remember we're here, now. And we have learned what provides more immediate healing for these disabling impacts, what relieves the post-traumatic suffering of survivors and families of the dead and wounded, and the suffering we all feel to some degree. The answer is hidden in plain sight. Read about that in Part 2, Transforming The Wounds Of War At Home, coming soon. Last week's Curios covered China's ghost cities, Denmark's massive Fourth of July celebration, and that time John Fogerty got sued for plagiarizing himself. Curio No. 1081 | If you build it, they might not come After the end of the cultural revolution, the Chinese government was faced with a problem. They wanted more citizens to live in urban areas, but the existing cities didn't have enough space. Their solution? Build new ones. Since 1980, the Chinese government has funded the construction of over 50 (that we know of) "ghost cities" -- fully functional urban developments designed to house millions of new city dwellers. They're called ghost cities because, right now, they're pretty empty. Take Kangbashi New Area, a development just outside the Milwaukee-sized Ordos City. Intended to house over one million people, it is currently home to about 30,000. Tianducheng, a smaller development, has a capacity of about 10,000; only a couple thousand live there... keep reading. Advertisement Curio No. 1080 | The perils of looking at your smartphone in bed In Curio #1071, we learned that deer can be blinded by headlights. Well, it appears doctors have found a similar effect with humans and cell phones. In the New England Journal of Medicine, doctors recounted two cases of patients being temporarily blinded for up to 15 minutes at a time by ritual smartphone use in bed. Now, before you get stressed out about your own habits, it's important to note that the temporary blindness only occurred because the patients were looking at their phones with one eye covered. So, why can't we look at our phones with one eye? It all comes down to how our eyes adjust to light... keep reading. Curio No. 1079 | The real sixth sense I see magnets! According to scientists, humans may have a sixth sense that relies on the Earth's magnetic field. It's called magnetoreception, and it may partially explain our innate sense of direction. Members of just about every branch of the animal kingdom have shown evidence of magnetoreception, including birds, fish, worms, mice, and deer. Even some bacteria react to magnets. Dogs have even been known to poop along the north-south axis of Earth's magnetic field. But until recently, hard evidence of magnetoreception in humans has been hard to come by. In a 1980 study, scientists blindfolded a group of students, drove them out to a remote location, and asked them to point in the quadrant of their starting point. The students were generally able to indicate the right direction, except when they wore a bar magnet in their blindfolds... keep reading. Curio No. 1078 | Fogerty v. Fogerty You might know John Fogerty from his old band Creedence Clearwater Revival, or CCR. From 1967 to 1972, CCR rattled off seven studio albums, six of which went platinum, and they came to be known as the best impersonators of southern rock ever to come out of the San Francisco Bay Area. But to the judicial system, Fogerty is known mostly for his 1993 Supreme Court case, Fogerty v. Fantasy, Inc. The case started when CCR's former label, Fantasy, sued Fogerty for ripping off one of his own songs. The offending track was "The Old Man Down the Road," off of Fogerty's successful 1985 solo album, Centerfield. Fantasy claimed the song sounded derivative of "Run Through the Jungle," one of CCR's biggest hits. The songs do share a similar guitar riff and vocal melody, but that's to be expected, considering they were written by the same guy whose band has been labeled one of the most popular "one trick pony" acts in rock 'n' roll. ... keep reading. Advertisement Curio No. 1077 | Happy Rebildfesten! Happy Fourth of July! The US won't be the only place where you can see fireworks, flags, and bunting today. In Denmark, home of the Rebildfesten, the party is well under way. Rebildfesten is a celebration of the American Independence Day in Denmark that takes place in Rebild National Park every year on the Fourth. Danish Americans make the pilgrimage out to their home country and mingle with expats and Uncle Sam enthusiasts at what has been called the biggest Fourth of July celebration outside of the United States. The festival dates back to 1912 and a Danish American biochemist named Max Henius. Henius, along with a coalition of Danish immigrants living in Chicago, pooled together money to buy 200 acres of land in the Rebild municipality in northern Denmark, which they then donated to King Christian X as a permanent memorial from Danish Americans... keep reading. Curio No. 1076 | Zombie genes Death is not the end. For your genes, at least. Researchers have found evidence that hundreds of genes remain active after animals die, some for as long as four days. If it sounds unlikely, that's what the team who conducted the experiment thought, too. They analyzed over 1,000 genes from deceased mice and zebrafish tissue, working under the hypothesis that there would be no activity. Instead, they found hundreds of genes that actually became more active in the 24 hours after death... keep reading. Curio No. 1075 | Can you raed tihs? The brain's ability to unjumble letters to form coherent words is a constant subject of wonder--and chain emails. But how exactly do our minds know how to read full sentences and paragraphs when every word is misspelled? It all comes down to how we read. We don't know for sure how written English is procsesed into meaning. But several studies suggest reading is not purely done letter by letter. So even when a few letters are mispalced, we can find the intended meaning through context. It helps if the first and last letters are in the right place.... keep reading. Advertisement Businessman or designer using laptop computer at desk in office Dear Fed Up White People On Social Media, Stop deleting your racist, homophobic, rape apologist "friends." Stop blocking posts and people because of the ignorant things they say. Stop thinking "I don't want to see this anymore" and putting it out of your view, washing your hands of it as best you can. Instead, let your newsfeed remind you of the stupidity, hatred, privilege and pain, even if it's on a daily basis. Real life isn't an app, and not everyone can tune this out with the click of a button. Don't delete those people, respond to them. Often. Every racist post you see, comment. Anti-gay meme? Comment. #NotAllMen, #AllLivesMatter... roll your eyes... but still make that comment. Make them want to delete YOU. Argue with them until you're both blue in the face. Will it change their minds? Probably not. Will it make them get a more realistic view that they aren't the majority? Hopefully. Let's be honest, more often than not there's a very specific type of person making those types of posts. I don't even have to give a physical description but chances are they're wearing a 'Make America Great Again' hat while sharing their latest black-on-black crime statistics. Be prepared for their "I'm not racist, I have black friends" responses and keep responding until they finally run out of excuses. Take their words down to their lowest common denominator so they have nothing left to hide behind. Advertisement Common sense used to tell us that arguing with people over the Internet was a waste of time. But letting idiots surround themselves exclusively with other idiots nonstop has brought us here. Allowing stupidity to flood comment sections on news sites, public pages and eventually creeping in to our personal pages via mutual friends, has given those people a false sense of security. And the #NotAllWhites comments are just as distracting as the #NotAllMen comments and believe me, I've had to jump plenty of hurdles with those. Trying to get my points across about how women are treated while being interrupted by well-meaning #NotAllMen people made me realize how important it is to not interrupt a person of color to remind them not all white people are racists. Again, no physical description necessary, there is a specific group of men in this country that have no real experience with discrimination. And for those who love to grasp at straws with the Irish, please tell me when any U.S. Presidents of Irish descent were required to publicly produce their birth certificates. Saying a black man wouldn't be treated unfairly by police if he would only "comply" is an asinine thing to say when our own President is treated so disrespectfully by some very high profile people. Bringing up black-on-black crime or the fact that blacks sold other blacks into slavery doesn't absolve all white people for eternity. If you're caught buying drugs your defense can't be "Well, the drug dealer was selling the drugs so *technically* I'm not to blame". But on that note, if you're white, at least you won't serve nearly as long of a sentence, right? Advertisement There is a reason you won't find as many racist white women, as many racist LGBT people or as many racist people of color. There is a reason women are thankful for the men who stand up against sexism, a reason LGBT people are thankful for straight allies, a reason people of color are thankful for white allies. It's because we know what it's like to be treated differently, some worse than others, and we know what it feels like to be underrepresented, spoken over, silenced, and to have our "rights" voted on. Even when we haven't personally experienced a more extreme version of the discrimination our ancestors did, we still feel it. We don't feel victimized for it, we feel motivated to continue the fight we inherited. We don't want to evict anyone from their ivory tower, we just don't want someone else to be the architect of our own. The New Panama Canal If you're wondering where the cash comes from to fund the seemingly boundless public works projects in the works here in Panama, look no further than the sliver of water that bisects the isthmus just west of Panama City ... the Panama Canal. The sliver is a little bigger now and was the scene of quite a to-do the weekend of June 26 to celebrate that fact. A crowd estimated at 25,000 gathered near the Agua Clara locks on the Atlantic side of the Canal waving Panamanian flags and cheering in the tropical sun as the first supersized vessel made the transit from one ocean to the other. Among those attending the ceremonies were jubilant Panamanians, Canal employees, dignitaries from around the world, shipping and trade executives, and hundreds of journalists. They celebrated the first expansion of the waterway since its original construction in the early 1900s. Advertisement The US$5.4 billion expansion, initiated in 2007, allows much larger ships to make the 50-mile trip from ocean to ocean. Previously the maximum size of the ship that could make the passage, known in shipping circles as Panamax, was limited by the size of the Canal's locks and the depth of the water. Building the new locks allows for so-called neo-Panamax ships with a capacity nearly three times (14,000 containers instead of just 5,000) that of Panamax ships to travel the Canal. Each container ship passing through the Canal pays a toll based on the ship's capacity, expressed in what are called 20-foot equivalents, or TEUs. A TEU is basically the size of standard shipping container. That toll is now about US$90 per TEU. The first vessel to transit the new locks was the Chinese COSCO Shipping Panama, which set sail from Piraeus on June 11 carrying 9,472 TEUs and measuring just under 300 meters (about 1,000 feet) in length. Assuming its owners didn't get a discount for being on display for the crowds, it paid a toll in the range of US$850,000. Canal officials said they have 170 reservations from neo-Panamax ships to make the trip during the next three months. By 2021, the Panama Canal Authority (known by its Spanish acronym ACP) is hoping the project will bring in US$2.1 billion per year in revenue on top of what it was making before the expansion, a figure that would represent 2.8 percent of Panama's gross domestic product. The ACP is already eyeing the prospect of adding a fourth set of locks to lure even bigger ships that can now only travel through the Suez Canal. Advertisement Excitement about the Canal expansion has been rippling across the hemisphere for years. Ports on the United States' East Coast and Gulf of Mexico have been racing to expand and make room for larger vessels and more cargo going to and from the Far East -- cargo that previously had to dock on the West Coast because of the Canal's previous limitations. American coal and grain exporters are salivating about easier and cheaper access to markets in China and elsewhere in Asia. Work on expanding the Canal was initially scheduled to be completed in October 2014. Billions of dollars in cost overruns and delays pushed that back to April 2016, then again to this month. But the work finally got done. And that's the point at this point. The expanded Canal is the main reason economic prognosticators see a good year, and years, ahead for Panama. The Canal previously accounted for about 15 percent of the country's GDP, so doubling its capacity makes for some compelling math and easily leads one to conclude that the country's prospects remain bright for the foreseeable future. It's not only the Panamanian government that stands to benefit from the Canal expansion. The private sector is stepping up in a big way, as well, and much of the activity centers around the Pacific entrance to the Canal just outside Panama City. A new container terminal at Corozal is planned within two years and has generated interest from 13 of the world's largest port operators. Meantime, development at the Panama Pacifico Special Economic Area continues impressively. The wide range of new initiatives around the Canal demonstrates that the Panamanians are aware that the best way to take advantage of the expanded Canal is to build around it. The Panama Canal is not just about ships coming and going; it's about ancillary services, and more companies are realizing all the time that Panama is an attractive location for an Americas hub. Advertisement The expansion has already bolstered Panama's efforts to become the Singapore, or maybe Dubai, of Central America. It also promises to help to transform the role that Panama is playing for many U.S. and other foreign firms doing business in Central and South America. And everyone in the country stands to benefit from that. Kathleen Peddicord Related Articles: Earlier on Huff/Post50: The Buddha statue cost a reported over 15m Nepalese rupees. Photo:Ganess Gurung Nepal's biggest stone Buddha is ready to view now, which has been installed in Mustang, a district of Nepal behind the mountains. The 32 ft. tall statue, near the Muktinath temple, is carved from the special stone of Pharping, Nepal. Muktinath is a sacred place both for Hindus and Buddhists located in Muktinath Valley at an altitude of 12,600 ft. at the foot of the Thorong La mountain pass in Mustang, Nepal. Workers used crane to lift the head of Buddha statue.Photo: Ganess Gurung Shakya brothers (Dharmaraj Shakya and Uttam Shakya) have crafted this statue of Shakyamuni Buddha in Patan, Nepal. Shakyamuni Buddha was born in Lumbini, Nepal. The total weight of this Statue is roughly 60,000 kilograms, which is biggest 'Stone Statue of Buddha' in Nepal. Installation of Big Buddha's head. Photo: Ganess Gurung However, the Amitaba Buddha statue is the biggest 'Cement Statue of Buddha' in Nepal which is at Amitaba Buddha Park located at the west side of the Swoyambunath Stupa base in Kathmandu, Nepal. The Amitaba Buddha statue in the center measures a total height of 67 feet from the ground. Advertisement Amitaba Buddha statue at Amitaba Buddha Park, Swoyambunath Stupa, Kathmandu, Nepal. Photo: Kishor Panthi And, Leshan Giant Buddha is the largest stone Buddha in the world. The Leshan Giant Buddha is a 71-metre tall stone statue, carved out of a cliff face in the southern part of Sichuan province in China. It is the largest stone Buddha in the world and it is by far the tallest pre-modern statue in the world. A full view of the Giant Buddha Statue of Leshan, Sichuan, China. Photo: Ariel Steiner/Wikipedia Now, Shakyamuni Buddha in Mustang is largest stone Buddha in Nepal. After spending over three years and over 15 million Nepalese rupees since the work began in 2013, the statue has formally come to its final completion stage in Mustang. Nepalese American entrepreneur and social worker Sonam Lama provided the fund for this ambitious project. Sonam Lama and his wife Nima Lama are standing in front of the head of Buddha statue. Photo: Kishor Panthi Advertisement Sonam Lama is a famous NonResidence Nepalese leader who owns restaurant and grocery businesses in New York. He has established this tallest stone Buddha in this area where he was born. The Government of Nepal has provided the land for this Buddha statue after clearing many legal obstacles. View of Dhawalagiri from the place, where the Buddha statue has been installed. Photo: Kishor Panthi Photo: Kishor Panthi Nepal needs to learn a lesson from Haiti earthquake. Nepal will face the same situation if lesson won't be learned. In the aftermath of the devastating earthquake occurred in Nepal on 25 April 2015 which killed more than 9,000 people, so many groups and organizations all over the world had solicited donations to support their efforts in Nepal. They raised millions of dollars to support relief efforts in Nepal. Among of them, some of the Nepalese groups and organizations in the USA also raised hundreds of thousands of dollars to support relief efforts in Nepal. Some organizations collected cash via donation boxes. Grocery stores, restaurants, offices owned by Nepali-Americans have kept donation boxes outside for the people to donate generously. Even, some people were soliciting donations individually at the train stations and on the street. They had promised to help the victims in the quake-hit Nepal. Advertisement Millions of dollars had been raised by hundreds of campaigns via crowd funding sites. $2,983,178 has been raised by more than 150 campaigns only via Crowdrise. Most of the campaigns are still open. $7,445,288 has been raised by 1,717 campaigns from 89,190 donors for Nepal relief efforts via GoFundMe. Likewise, Crowdfunding powerhouse Indiegogo had reported that over $3 million had been raised on the platform for Nepal in under 2 months. To date, 42,639 GlobalGiving donors have raised $5,141,813 in support of 89 locally-driven partners for the recovery effort. Less than 24 hours after the earthquake struck, PayPal launched a US campaign in support of more than 20 charities. Appeals in the United Kingdom, Brazil, Canada, Singapore and Australia soon followed. These efforts helped harness an incredible outpouring of support from people around the globe. All totaled, more than 422,000 people used PayPal to donate more than $19 million to more than 70 relief organizations. Yes, Millions of dollars has been raised in the name of earthquake victims. But thousands of people are still homeless and aid is yet to reach the victims. Nepal hasn't spent billions of dollar donations and millions of dollars are in the bank accounts of the donors and fundraisers. The questions have been raised about raised amount to help the victims in the quake-hit Nepal. There is no record of millions of dollars, which has been raised in the aftermath of the earthquake. And a large amount of the money is having disappeared into fraud and corruption. Nepalese organization in the USA had raised more than 10 million dollars in the name of earthquake victims in Nepal. Questions have been raised about this money too. Nepalese in the USA are asking to make public their audit reports. Petitions have been started on the popular site Change.org demanding the transparency of earthquake funds. This petition will be delivered to Investigation & Audit, United State Department of Taxation, Irs.gov. Not only Nepalese organizations in the USA but also the earthquake relief aid collected from international and national groups and organizations need to be made transparent. Advertisement On the other hand, there is a high risk of corruption in relief. Corruption is a serious problem in Nepal. This is why, the earthquake relief aid received from both international and national sources need to be made transparent. Meanwhile, the World Bank has raised a serious concern over the Nepalese government's plan to distribute housing grant to the earthquake-affected people. The World Bank, administering over the $500mn fund to support the housing grant for the earthquake-affected households, wrote a letter to Nepal Government reminding about the principles under which donors agreed to provide assistance while warning against breaching those principles. Meanwhile, Nepalese people are raising questions regarding misuse of the fund meant for quake victims. It is alleged that the government has been doling out money from the fund to its NGOs through the National Reconstruction Authority. This prospectus represents the product of our total reassessment of the Yale Summer High School. We feel that the need exists for a national educational community where, in microcosm, our strength in diversity might be brought to bear on the critical areas of American life. Our point of departure is the widespread disaffection and estrangement felt by many members of the body politic towards the quality of American life; whether reflected in the Black-White polarization and the spasms of civil disorder that have shaken our cities, or in the apathy and despair of those who have chosen to "turn off." For many of its most talented youths, as for many of its most gifted adults, America has ceased to inspire commitment. A functional concept of citizenship itself is in crisis; a crisis stemming from the gradual loss of common bases for action and common social goals. As educators, we feel compelled to address ourselves to this problem. Our effort is directed primarily to those potentially creative youngsters who are in danger of being ignored and discounted by society. We would have them grow into responsible participants in our culture. We would nurture a valid commitment to American society via those young people currently most at odds with it. However, there exist few values, taken seriously, either universal or uniquely American, which might bind our citizens together. An effort must be made to structure contexts wherein such values might be rescued from our past; and new values generated so as to ground our common choices and imbue them with redeeming significance. We agree with Socrates that "wisdom cannot be taught", yet feel that contexts can and should be structured where it might best be learned. Nowhere is the need more clearly felt than in the area of race relations; for it is only through the recreation of shared values that freedom will be possible for both Black and White. Our school would be such a workshop, for young persons, in the problems and possibilities of modern America. It may appear that we are seeking students of heroic dimension, and exhorting them to a heroic task. Perhaps this is true; but we concur with Max Lerner that one of the saddest things that has happened to American Education has been "the squeezing out of the heroic." Adding this necessary dimension is one of our goals as a model educational community. The Lion's Ear. La Morra. Ramee CD In 1513, Giovanni di Lorenzo di Piero de' Medici (1475-1521), son of the mythic Lorenzo il Magnifico, was elected Pope Leo X. Giovanni had inherited his family's refined interest in, and zealous support of, the arts: literature, painting, sculpture, architecture, and music. But of all of these, Giovanni most favored music, for which his passion was legendary among contemporaries. The musical life of Leo's court was unimaginably rich and vibrant, as innumerable eyewitness accounts confirm. This recording aims to bring this world acoustically to life and illustrate the range of practices typifying Leo's own musical experiences. It is a tribute to a rare and extraordinary patron of music himself a composer and musician, who occupied a singular position at the very summit of the universal ecclesiastical hierarchy. It is not only deeply inspirational but also won the Noah Greenberg Award given annually by the American Musicological Society. Alessandro della Ciaia (c.1605-c.1679) Lamentationi. Glossa CD The nobleman slash amateur composer Alessandro della Ciaia's Lamentationi gives soprano Roberta Invernizzi the opportunity to display her glorious virtuosity in a very spiritual setting, backed by Franco Pavan's Laboratorio 600 in an intimate and intense Passiontide score from mid 17th-century Siena, 1650 to be exact, set to the Lamentations of Jeremiah. That music of such extraordinary beauty and intricacy was written for the nuns at convents throughout Siena meant they must have had equally extraordinary musical talents, both in singing and in playing instruments such as the organ, lute and theorbo. It was in fact for one such convent that Della Ciaia wrote the Lamentationi for the Holy Week's matins services, scored for a solo soprano possessed of a very wide range and capable of achieving his demanding technical effects. Roberta Invernizzi responds magnificently to the text and its description of the grief over the fall of the city of Jerusalem and the terrible fate of its people. Alessandro Della Ciaia was himself a noted player of the archlute, and his instrumental facility is evident by the wonderful music he gave to not only the archlute but the harp, organ and theorbo. Franco Pavan has chosen to intersperse the lamentations with toccatas by Michelangelo Galilei, Claudio Saracini and Vincenzo Bernia as well as Pavan's own reworking of a motet by Della Ciaia. Advertisement Buxtehude and His Circle. Theatre of Voices/ Paul Hillier. DaCapo CD In latest release in the Danish CaCapo label's seemingly eternal yet always engrossing, comprehensive recordings of their great national composer, Buxtehude is joined by Christian Geist, Nicolaus Bruhns, Franz Tunder and Kaspar Forster in the kind of music that was heard and shared within a musical circle of time, place, friendships, teacher-pupil relationships and even family membership; these composers from Northern German musician families, part of a network all of whom had worked in or migrated to Scandinavia in the course of the 1600s, spoke a common musical language, and when the beauty of their music matches a text that may speak particularly to you, the emotional effect can be quite overwhelming. Carl Friedrich Abel & Johann Adolf Hasse: Composed to the Soul. CPO CD In this rather remarkable release, which would be extraordinary for most other labels than CPO, soprano Dorothee Mields joins forces with the gambist Simone Eckert and her Hamburger Ratsmusik for an entertaining program featuring arias, quartets, and concertos by Carl Friedrich Abel and his contemporary Johann Adolf Hasse. If you've forgotten so soon, Abel served as chamber musician to the King of Poland and in the Dresden court chapel for ten years prior to earning international renown as a soloist and composer in London. The music has been chosen to focus on the gamba as a solo instrument, especially in the two songs with Mields. A fascinating sidelight to this release is that Laurence Sterne, the famous author of Tristram Shandy, played the gamba as a hobby and was a friend of Abel, the most famous gambist of his time. In his works Sterne repeatedly mentioned Abel as the gambist who "moved his listeners to tears." And another contemporary called Abel, the "Laurence Sterne of Music - one wrote, and the other composed to the soul." Advertisement Stamitz, Hoffmeister & Haydn: Viola Concertos. CPO CD The first all-female unit of United Nations peacekeepers stand at attention as they arrive at Roberts International Airport outside Liberia's capital Monrovia January 30, 2007. The group of more than 100 police women from India will stay in Liberia for six months, helping to train the local police force. REUTERS/Christopher Herwig (LIBERIA) Gender needs to be "mainstreamed" across peacekeeping. A member of a Ghanaian female peacekeeping unit in Liberia (2009). UN Photo/Christopher Herwig This article reflects our personal view as military veterans & former peacekeepers. "Gentlemen, there are no bad soldiers, only bad officers", said Napoleon Bonaparte to his military staff after they complained that the poor quality of soldiers was inhibiting success on the battlefield. We as former Army officers, totally believe in the sage words of Napoleon. Advertisement In the face of some vile and sickening allegations of sexual abuse and exploitation among United Nations (UN) peacekeepers, questions the moral integrity of the people who are commissioned to be protectors, but who end up abusing the trust bestowed on them. Thus tarnishing the reputation of the entire UN. UN peacekeeping missions perform a crucial service in resolving conflicts, saving lives, building peace, restoring and rebuilding broken states. Their humanitarian services have been meritorious on all counts. However, incidents where troops seconded to the UN by member states under its command become sexual predators to the helpless civilians under their care have continued to present a cyclical challenge to the United Nations. The Secretary General of the UN, Mr Ban Ki-moon recently called the rogue peacekeepers "a cancer in our system." He added that, "a failure to pursue criminal accountability for sexual crimes is tantamount to impunity". According to recent reports from UN, allegations of sexual exploitation and sexual abuse by United Nations peacekeepers rose by from 52 in 2014 to 69 last year. There are currently 16 peacekeeping missions worldwide, out of which 10 were subject to allegations last year. The allegations involve military personnel, international police, other staff and volunteers. Sadly, there does not seem to be much reason for optimism that most of these allegations will ever be investigated and concluded with any degree of closure. This can be illustrated by the case of the Central African Republic, where there has been only one criminal charge filed in the 42 cases of sexual abuse or exploitation that have been officially registered in the mission. Advertisement UN rules forbid sexual relations with any persons under 18 and strongly discourage relations with beneficiaries of assistance. In a December 2015 report responding to latest claims of sexual abuse by peacekeepers in the Central African Republic, the UN recommended investigations to identify weaknesses in enforcement and mandated that a component on sexual exploitation and abuse be included in training for peacekeepers. It also called for harsher penalties for the peacekeeping units to which the abusers belong. In 2015, the post of Special Coordinator on improving the UN's response to sexual exploitation and abuse was established. Mr Ban named Ms Jane Holl Lute, a US military veteran with wide-ranging UN experience, to coordinate efforts to curb the scourge. The report also asked member states to provide a fair investigation process for both staff and military personnel, to provide better reporting mechanisms for victims and staff, and to take action on those in positions of responsibility who turn a blind eye or cover up. For the first time, the organization also introduced a "name-and-shame" policy for countries whose soldiers are accused of transgression. Advertisement Still, structural weakness mean that the slow pace of investigations into abuses is set to continue. Under UN rules, it is up to the country that contributes the peacekeepers to investigate and prosecute any soldier accused of misconduct while serving under the UN flag. In many cases, those governments conduct only half-hearted investigations and only a smattering of convictions has been documented. It is time to raise the scales of preventive and punitive measures. An unequivocal message needs to be sent to every member state and troop contributing countries that only personnel who see the protection of human rights as their mission will continue to serve as UN peacekeepers. For starters, those that are accused of sexual misconduct must face the full force of justice in the mission area. The military chain of command should set up court proceedings without delay and award punishments comparable to the gravity of offences committed. Commanders at all levels must be held responsible for the discipline of their troops. A message of "zero tolerance" be clear and unambiguous. In most countries where UN peacekeepers are deployed there is no proper functional government or rule of law in place. Therefore independent arbitration organs should be established in mission countries not only to expedite cases but also to provide confidential avenues for conscientious staff and soldiers to report abuse without fear of victimization or reprisals. This will hopefully serve to end impunity. Therefore, Napoleon's counsel be heeded: military leaders at all levels of command should assume the onus of ensuring that every soldier going on mission is properly trained and prepared to deal with the stresses of peacekeeping. The abuses will only be prevented if the military command in the operation decides to enforce the law without equivocation and without fear or favour. All soldiers, at pre-deployment training, be instructed that peacekeeping includes the power to prevent sexual exploitation and abuse. Advertisement More skilled and trained female peacekeepers can only be an asset to peacekeeping operations. UN resolution 1325 emphasizes the vital role of women in conflict resolution, and calls for more women in decision-making positions. Gender needs to be "mainstreamed" across peacekeeping and for more women to participate in field operations in military roles as police and as human rights observers. A training course piloted in India aims to equip female military officers in peacekeeping missions to tackle sexual and gender-based violence. Ambassador Samantha Power, the US Permanent Representative to the UN in New York, said that there is a "great deal of horror, outrage and a sense of collective failure". She's spot on. Member states have to take responsibility, big or small, rich or poor. UN peacekeeping missions must be seen as the standard-bearers for human rights in fragile states and those recovering from the ravages of war and conflict. Otherwise the work of UN agencies, such as UNDP, UNICEF, UNHCR, UNFPA, UN Women, UNAIDS, OHCHR, who are working tirelessly every day to end gender based violence, advance gender equality and child rights, promote women's rights and empowerment of all women and girls, risks being jeopardized. And their moral authority undermined. Long ago I learned that a trip on the horizon keeps me happy. If there are no definite travel plans, no future dates inked on my calendar, I'm blue, untethered, uneasy. Buying guidebooks, planning an itinerary, and mapping out details give me a high of the healthiest kind. The prospect of getting away, the break from routine, the novel--it all keeps me connected and curiously, sane. So while most people celebrate their sixtieth birthday with a dinner, cruise or weekend at a spa, the prospect of turning sixty, within eleven days of each other, made my husband Joe and me restless and hungry for adventure. We wanted to challenge what it means to be sixty years old and so we opted for a trip to Corsica, to hike what is considered Europe's toughest long distance footpath. Turning sixty is an anniversary some find terrifying. For us, it meant retirement, the end of our workaday lives and the beginning of journeys curbed only by our wallets and the bounds of our bodies. It also meant celebrating a high school romance that grew into thirty-five years of marriage. We were ready to mark milestones thirty-five and sixty, eager to begin the next phase of our life. Advertisement We envisioned our journey to Corsica for almost four years. The planning started while we backpacked through Europe on an adult gap year--our Senior Year Abroad--in 2012. That particular journey was thirty years in the making and required selling the house, the car, and most of our possessions, as well as quitting our jobs. This next step in our adventure progression required fewer leaps of faith since we both retired days before we left the US, and no longer had a house to sell. What is the appeal of these outdoor challenges? It would be simple to say nature's beauty, but in reality, it's so much more. It's the brisk morning air, the solitude, the sense of freedom and timelessness; it's how our muscles ache, in a good way, at the end of a long day. Certainly we hike for the views, but it's also for the chance to be alone with our thoughts, for the opportunity to meet interesting, like-minded people, for the photos, and yes, for the effort. Completing a particularly difficult hike goes a long way towards gratifying our competitive sides. But the true allure of an extended trek to places inaccessible by vehicles and technology is what it does for our minds. It's a detox during which we leave contemporary clutter behind. We think clearly, without distraction, about what's most important, with no alarms, no deadlines, no beeps, no bells. We put one foot in front of the other and stress and anxiety wither as we share the same wild wonders together. While we're dedicated day walkers and have done multi-day stretches of hiking and camping in the Grand Canyon and Yosemite national parks, a two-week trek across difficult terrain will be a daunting physical challenge that sets a new, much higher, bar for us. Advertisement Friends considered tagging along, but one thing and then another got in their way, so off we've gone on our own. Just us, in hiking boots--two for the road, as usual--lugging brand new backpacks, a tent, sleeping bags and trekking poles, to tackle a one hundred and eighteen mile trail, the GR20, on a rugged island in the Mediterranean. The Grande Randonnee (GR) hike number twenty bisects Corsica diagonally and follows its mountain spine, from the northwest to the southeast corner. It's one of hundreds of GRs, meaning "big hikes," in French. They crisscross Europe, primarily in Belgium, France, the Netherlands and Spain. Although not well known in the US, if you ask a European hiker about challenging trails, The Twenty always comes up. In Corsican, its name is Fra li monti, "across the mountains." Rocky terrain, scree-strewn granite slabs and steep inclines, some of which require chains to ascend, have earned the trail its reputation as arduous and relentless. Trails are blazed with the distinctive mark of a white stripe above a red one and are maintained in France by the Federation Francaise de la Randonnee. We'd hiked pieces of other GR footpaths, including rambles around the Hexagon and seventy-five miles of the Tour du Mont Blanc (TMB) through France, Italy and Switzerland, to circle the highest peak in Europe. The TMB was a difficult, sometimes grueling hike. But at the end of each day, bruised and battered, we had a hot restaurant meal, soft mattress and warm blanket waiting for us in a hotel. Granted, some of our overnight accommodations were simple, rustic hiker inns with showers and toilets down the hall, but there was a certain level of comfort we knew to expect. Not so with our Mediterranean adventure; we will be roughing it for two weeks. We signed with British company, KE Adventure Travel, in large part because we couldn't find a US-based outfitter that does the Twenty. Yes, we are hikers--but we're not well-schooled in camping--so we're going into the wilderness under the direction of a seasoned team that secures permits, reserves campsites and shelters and will be responsible for feeding us. And most important of all, we'll be part of a group with a guide who ensures we don't get lost. Advertisement Joe and I accumulate hikes like others collect fine wine. Thus, our trip planning always includes researching the best walks in the area. We hate to pass up a good trail, and just like our decision to go to Corsica, we often build an entire trip around a particular track. Friends and family often ask, "Why Corsica?" The birthplace of Napoleon is an island in the Mediterranean, one of the thirteen regions of France. It boasts delicious food, garrulous people, white sandy beaches and several top-notch boutique hotels. The island has been on our travel list for years and discovering the existence of the GR20 quickly bumped it to the top. The derring-do hiking tales of a retired British Army General we met on our European sabbatical sealed the deal. He raved about The Twenty and at the end of our conversation commanded, "You absolutely must do it." The General was not a man to be ignored. Corsica was definitely in our future. Over the course of a fortnight, we'll camp, bunk in coed, dorm-style rustic refuges on platforms in our sleeping bags, and on a few lucky, luxurious nights, stay in gites d'etape--small, private hostels with actual beds. Yes, the physical and mental challenges of the GR20 will be significantly greater than those of anything we've undertaken before. This outdoor escapade will test our 60 year-old hiking mettle, not to mention our thighs, and stretch the limits of our "senior" resolve. Come along for the journey. Join us for The Twenty. "It's okay mommy. It's okay, I'm right here with you." These are words that resonate over and over again in my head. Words spoken by Dae'Anna to her mother, Diamond "Lavish" Reynolds, when police killed Philando Castile this week in Minnesota. Words from a four-year-old child that express such enormous heart at a time of inexplicable and terrifying loss. Can a young child understand death? As I mentioned in an earlier post, we sometimes forget the impact upon the children left behind when adults become victims of violence. We also sometimes overlook the enormous toll that children and young adults experience when a parent, sibling, friend, or other loved one dies as a result of illness, accident or violence. According to research, one in nine Americans reports losing a parent before turning twenty years of age. These are each unique losses from which almost no one ever fully overcomes. Furthermore, over 70,000 children also die each year, and over 80% of them have siblings to cope with the grief. By the time a child becomes 18 years of age, 20% of them have likely experienced the death of a loved one. The understanding of death doesn't come easily or equally. Infants have little understanding of death, though they do recognize the presence or absence of a parent figure. Toddlers and young children may not understand the finality and permanence of death, and thus can have a magical belief that a deceased loved one will one day return. These toddlers as well as older children can also place blame on themselves, erroneously believing that they were somehow responsible for the deaths. Superstitions and belief in the boogeyman can aggravate guilt as well as fear. Older children and teens may understand the permanence of death, yet they may persist in feeling guilty for surviving when a loved one has died. They may have also previously held the belief that death is only for older people -- a belief shattered when a child's friend or peer or sibling dies. Advertisement Articulating feelings of grief is a slow process. Initially signs of grief will appear in behaviors such as disbelief, shock, and anger. Children may no longer be interested in schoolwork or activities that previously brought much joy. Children may become anxious and overly concerned with safety and health. They may feel another death is imminent. They may frequently cry or instead be exceedingly quiet and unwilling to speak. Physical symptoms often occur including headaches, stomachaches, fatigue and lack of appetite. Children and young adults may also be at risk of self-harm as a result of grief. Processing grief requires patient and loving adult support and understanding. It's obvious that a toddler like Dae'Anna has the loving support of her mother, as well as her extended family. I hold much hope that she will grow up to become a strong and secure adult, especially in view her extraordinary emotional intelligence to stay composed at a time of terror by reaching out to comfort her own mother. She no doubt was gifted with this emotional intelligence from her mother Diamond who herself remained acutely composed in an unimaginable situation. Each day children experience the painful deaths of loved ones. Deaths that will forever change their experience of life. No type of loss is more painful or of greater importance. Yet, experiencing loss through violence adds an additional layer of burden to one's life. A complexity that casts a long dark shadow over one's trust and hope for humanity. This is a challenge that requires one to gather all possible strength to meet it with love, not hate. Advertisement We can do our share to help children who have suffered grief. Many suggestions are similar to those I gave in a recent post dealing with trauma, both actual and media-driven. Further suggestions included here are picture books about grief as well as websites discussing childhood grief. Regarding picture books, it is true that a picture can speak a thousand words. For children experiencing the death of a loved one, neither the child nor the grieving surviving loved ones may always be able to find the right comforting words. Sharing books about grief and death together - even for older children -- can assist in building resilience so needed for both parties during difficult times. It is also necessary to realize that grief is a process with unknown duration. Each child must be given full freedom to process grief in his or her own time and in his or her own way. Never rush children nor expect them to "pull themselves together" or articulate their loss. Below I list two websites as well as some book resources that may offer comfort and understanding. NOTE: If you are the parent of a child who has suffered grief and you are concerned about the mental or physical health of the child, please contact a medical professional to make an appointment for a full assessment. GRIEF RESOURCES: Below is a brief sampling of poignant picture books dealing with death (I've tried to indicate whether the characters are human or animal). Please pre-read to assess your particular child's sensitivity: My Father's Arms Are A Boat (Stein Erik Lunde)- mother's death/human Everett Anderson's Goodbye (Lucille Clifton) - father's death/human Cry, Heart, But Never Break (Glenn Ringtved)- grandmother's death/human The Flat Rabbit (Bardur Oskarsson) - all animal story The Heart and the Bottle (Oliver Jeffers) - grandfather's death/human Duck, Death and the Tulip (Wolf Erlbruch) - all animal story The Sad Book (Michael Rosen) - child's death (son)/human [a book for parents, but can be useful for teens and young adults] The Tenth Good Thing About Barney (Judith Viorst) -pet dog's death/human I'll Always Love You (Hans Wilhelm) - pet dog's death /human Nana Upstairs & Nana Downstairs (Tomie dePaola)- great-grandparent's death/human National Child Traumatic Stress Network Book Resources: Faced with what may be the most critical moral, civil rights and social justice issue of our time, the Los Angeles County Board of Supervisors is considering asking voters to approve a tax to fund the fight against homelessness. Ongoing revenue -- as opposed to one-time-only funding -- would go far towards implementing the comprehensive 47-point strategy of the Los Angeles County Homeless Initiative, which seeks not only to house the homeless, but to provide a full range of supportive services that are vital for homeless persons to achieve stability and eventually become self-supporting. We are in a crisis and, sadly, it is growing. According to the Los Angeles Homeless Services Authority, the current homeless population of 46,874, would fill every seat at the downtown Staples Center, USC's Galen Center and the newly renovated Forum in Inglewood -- combined. This is the largest number of homeless men, women and children in a local jurisdiction anywhere in the United States. It's hard to believe but the trends strongly suggest there will be another 2,000 homeless individuals by this time next year. Needless to say, this is not only unsettling but patently shameful. Advertisement The disturbing increase in homelessness in LA County over the past three years is part of a statewide problem. The Board of Supervisors recently called on Governor Jerry Brown to declare a statewide emergency on homelessness, which would make funding available immediately to assist California's 115,000 homeless -- the most of any state, and more than 20 percent of the United States' homeless population. The California State Assembly answered the Board's formal request last month, passing HR 56, which also urged the governor to make that emergency declaration. The general public has spoken as well, with some 14,000 people signing a petition urging the governor to act. People are paying attention to this inescapable crisis and the number of concerned citizens continues to grow. Without abandoning its pursuit of state funding, the Board of Supervisors is also focusing its efforts on placing a sales tax, parcel tax or marijuana tax on the November ballot. Our deliberations are informed by 10 surveys conducted by diverse pollsters from February through July, which showed the electorate consistently and emphatically views homelessness as a top concern, second only to jobs and the economy. The polls also indicated an unprecedented willingness by likely voters to tax themselves to finance solutions to the crisis. The question is: What kind of tax would work best to fund the County's response to the homeless crisis? There are three proposals to be considered on Tuesday, July 12. They are as follows: General Sales Tax The sales tax proposed to the Board is equivalent to one-fourth of a cent, which would raise about $355 million a year. For the average taxpayer, that amounts to about $1 a month, according to the Los Angeles Economic Development Corporation. A County-commissioned survey in April showed 68 percent of likely voters already in favor of a half-penny sales tax dedicated to homelessness -- double what the Board is considering. These and other data make it clear that the people of LA County want something done and they are ready, willing and able to pay for it. Parcel Tax Another proposal for the Board's consideration is a parcel tax that is projected to generate $185 million a year. At 3 cents per square foot, a property owner would pay about $45 annually for a 1,500 square foot parcel. At this rate, the parcel tax would generate less than half of what is required to address the homeless crisis with sufficient resources. Advertisement Marijuana Tax This proposal may be a promising new source of revenue for the County, but at present, it is unclear how much revenue it would generate and when the County would start seeing returns on the upfront administrative costs. Although using marijuana for medical purposes is permitted under state law, recreational use remains illegal, although voters could change that in November. Even if California legalizes recreational marijuana use, federal law makes regulation and taxation a bit murky at this point. Further, public health and public safety officials, environmentalists and land use experts have all expressed concerns about the potential impact of legalization, and the overconcentration of dispensaries in some areas of the County. While each funding option merits consideration and they all generally fared well in the polling, I believe a general sales tax levy provides the best opportunity to secure most of the funds needed on a yearly basis to effectively deal with this crisis. No other option comes close to generating as much revenue as the sales tax. A projected annual yield of $355 million is nearly 80 percent of the total funds needed to put us on a path to ending homelessness, and sales tax revenues are more predictable than the other options. Luis Almagro, the current Secretary General of the Organization of American States (OAS) has abused his position and authority more flagrantly and outrageously than any predecessor in recent years. In his lack of judgment and disregard for political and diplomatic norms he resembles Donald Trump. And like Trump, he is increasingly seen as an embarrassment within the organization for which he is the standard bearer. The OAS has been manipulated by Washington many times over the years in the service of regime change. Twenty-first century examples include Haiti (2000-2004, and 2011), Honduras (2009), and Paraguay (2012). It was in response to Washington's manipulation of the OAS, in the process of consolidating the 2009 military coup in Honduras, that the Community of Latin American and Caribbean States (CELAC) was formed. It includes all countries in the hemisphere except the United States and Canada. But in these other cases, Washington had to pretend it was doing something other than carrying out a political campaign against a sovereign government. Almagro is much more brazen. Like the communists of Karl Marx's time, he "disdains to conceal his views." He is a radical and seeks to win his goals by any means necessary. Advertisement His main goal at present is to get rid of the current government of Venezuela. In the run-up to the congressional elections there last December, he worked tirelessly to try and convince the media and the world that the government was going to rig the elections. When the vote count was universally acknowledged as clean, he made no apologies but simply switched tactics. Almagro's latest offensive involves invoking the OAS Democratic Charter, which allows the organization to intervene when there is an "unconstitutional alteration of the constitutional regime that seriously impairs the democratic order in a member state." Never mind that Venezuela still has an elected president, unlike Brazil, where a cabal of corrupt politicians has manipulated the legislative and judicial branches of government to suspend the head of state in a desperate effort to protect themselves from investigations for corruption. Almagro's offensive is about politics, not democracy. It's about what Washington and its right-wing allies want for the region. Exhibiting a profound lack of respect for the political norms of Latin America, Almagro posted an article by Washington Post editorialist Jackson Diehl on the OAS website. The article praised Almagro for "revitalizing the OAS" with his crusade against a member state. It is no more appropriate for the head of the OAS to campaign against a member country than it would be for the head of the European Commission to do so in Europe. In Latin America there is a deep historical tradition that values national sovereignty and self-determination, however incomprehensible and arrogantly dismissed those concepts may be in Washington. Diehl is a hard core neoconservative, an American supremacist who uses the editorial pages of the Washington Post to trash almost all of the left governments of the region, and to support military intervention anywhere that it might vaguely serve "American interests." He was one of the most prominent and vocal supporters of the 2003 invasion of Iraq, with the Post running 27 editorial board pieces supporting the war in the six months prior to the invasion. Advertisement Basking in the praise of someone like Jackson Diehl, for any literate Latin American, is the equivalent of Trump's infamous tweet quoting Mussolini. There are immediate and risky consequences of Almagro's malfeasance and abuse of power. Venezuela is confronting an economic and political crisis and the country is politically divided. The political opposition in Venezuela is also divided; as throughout its 21st century history, some want to advocate peaceful and electoral change, while others want to overthrow the government. A normal leader of the OAS would do what the Union of South American Nations (UNASUR) is doing -- try to promote dialogue between the two opposing forces. Since the main opposition group (MUD) and other opposition leaders refuse to meet with the government, UNASUR has enlisted Jose Luis Rodriguez Zapatero (former prime minister of Spain), Martin Torrijos (former president of Panama), and Leonel Fernandez (former president of the Dominican Republic) to meet with both sides in order to facilitate dialogue. But Almagro is not interested in promoting dialogue; he is more interested in using the OAS, and its reach in the media, to delegitimize the Venezuelan government, a goal that Washington has pursued for most of the past 15 years. Impatience with Almagro within the OAS is mounting. Many governments have publicly criticized him, and several have called for his resignation. He had previously been denounced by former president Pepe Mujica of Uruguay, whom he had served as foreign minister. Advertisement Summertime invites us to open up the doors and windows of our inner world to the Light and warmth of the Sun, the benevolent cosmic being. The trees are enwrapped in their lush high summer attire, and we too can enjoy the spirit garments woven of Light and Love. Are you taking time to step out of the air-conditioned cubicles, and notice the Nature? Are you slowing down, and allowing the bright warmth to nourish you? If your lifestyle is too hectic, the summer heat and humidity can become a nuisance, even unbearable. Too much heat on the inside, too much heat on the outside. No wonder things fire up and explode. We are creatures of Nature and of Spirit. We need to honor their ever-changing flow. The dance of the Universe includes the humans too. But we have free will. It's up to each one of us to choose to either consciously participate in the creation of cosmic choreographies, or to be a non-enlightened obstacle. Advertisement If we opt to develop our own personality in a tightly enclosed secluded tower, we are limiting ourselves, and cutting off the assistance of the benevolent cosmic forces. Some people are concerned that they may loose their individuality when merging with the Light. In the earlier periods of human evolution, this may have been true. By now, we have progressed to the point where we can strengthen our uniqueness if we become one with our own Highest Self. How? I'm returning time and again back to the simple, yet powerful I-A-O (pronounced "ee-ah-oh") meditation, the first exercise for the harmonious movement art of eurythmy, given by Rudolf Steiner in 1912. In the Gnostic text Pistis Sophia, we read that the sounds of I-A-O ("ee-ah-oh") have been used by the resurrected Christ as an invocation. From Hawaiian shamanism, we learn that 'IAO is the sacred name for the Infinite Light, the Light of the World. Intrigued? Try the I-A-O movement meditation by yourself. You can get my version in the audio and written formats by clicking here. What does this exercise do for a modern human being? It strengthens the personal column of Light, through which we connect to our Highest Self, who is one with the Source of Light. As we open up our chakras to receive cosmic support, the Light dissolves the artificial boundaries we created from the lower energies. The towers of fear, the barbed-wire fences of negativity, the dungeons of darkness are thus deconstructed. We can breathe in freedom anew. We can feel safe, as we stand in our own uniquely colored column of pure Light, protected by the benevolent cosmic forces. We are filled with abundance of Life. All our chakras can fully blossom, and the heart overflows with Love. We are inspired to treat each other with compassion and share genuine warmth with all the creations of our home Emerald planet. The true sense of safety and comfort can thus prevail in the enchanted rainbow web of Life, Love, Light. Advertisement People have always sought protection, turning towards the beings of Light. The white marble medallions, which appear on both sides of the main entrance to the castle Otocec, Slovenia, Europe, are one such example. This week's sparks feature the one on the left side; the identical mirror image is on the right side of the portal. I couldn't find much info on the origins of the portrait. It's from the Renaissance period when the castle was under the ownership of Baron Ivan Lenkovic (d. 1569), the chief commander of the Croatia-Slavonia army under the Habsburg Empire. I thought that perhaps the woman portrayed was his love, but couldn't confirm it. The only information I was able to obtain from a local is that the images represent a priestess for good luck and protection. Could these be traces of the Celtic or other pagan past on the Slovene soil? The remnants of the magic of Avalon? Mhm, a castle on an islet on a green river, amidst the gentle rolling hills of Dolenjska region in Slovenia? Yes, Celts had a very strong presence here before the South Slavic tribes arrived in the 5th and 6th centuries AD. I need to do some more research into the mists of the past when I visit my home town Novo mesto, which is nearby. My husband tells a story about the moment he realized that racism was still alive and strong in America. It happened on the first day he arrived to America at the JFK Airport in New York. He tells the story in a sort of a funny/serious way trying to say that there is some luck in the unlucky immigrant situation we find ourselves in. "At least we are white," he says when the refugee crisis becomes a topic of the social media sites and hateful people come out saying that refugees should go back to where they came from. His theory is that more brown or black you are, as a refugee, the more feared you are and the worse time you will have to adjust. You will be scrutinized more, at the airports, at the government institutions, entering the country from visiting the relatives oversees, etc. He is aware that you don't have to be a refugee with a dark complexion to experience discrimination at every corner of American society, but what does he know? He is white. The story goes: He landed at the JFK airport in a Boeing 747, operated by Uzbekistan Air. He was one of the few white people on board of the plane. Uzbek people are Turkic group of people (according to Wikipedia), I didn't know this myself, and they are dark-skinned. So, my lucky, white-skinned husband arrived on this flight excited to move to America, the land of the free, filled with hopes and dreams for a better future. As he filed out of the plane and towards the immigration line he pondered any potential problems he might encounter going through the immigration. Is his visa going to be okay? What questions will they ask him? The line was pretty long at the "visitor" immigration counter and he stood, anxious to cross the border. Suddenly one of the immigration attendants asked him and another man to go over to the "citizens only" counter. He was scared to death, as he puts it, thinking they will take him to a back interrogation room, asking about his intentions and why he wanted to come to the USA. "I realize that I was legally entering the country, but there was always a possibility that something was wrong with the paperwork." Being from Bosnia, he doubted the government's ability to issue correct documents without a laborious process. Bosnian government services trained us to be suspicious. They always needed extra papers and stamps that, we were convinced, they made up as they pleased based on each case. He came to the counter and was summoned by the immigration officer to approach the counter. The officer checked his visa and took his finger prints. The whole process took less than 5 minutes and at that point he was almost disappointed that he did not get to practice his English while answering the immigration officer's questions. Advertisement Later when he was in the car traveling away from the New York City he started thinking about the whole incident. Why did the officer only call him and the other man to the counter without a line? There were at least 150 other passengers on the same flight with him. What made them so special? He did not know the answer, until later, much later, when we talked about it, it dawned on him that both him and the other man were pretty much the only two pale, white human beings in line. Others were dark-skinned and "different." So, the lesson of the American racism was brought to my husband, a first time visitor to America, at the JFK airport, that was supposed to be the most diverse airport in America where every race, color and nationality blends to visit the city that never sleeps. My husband's words echo strongly in my head every time I read an article or an opinion piece opposing immigration or resettling of the Syrian refugees. "We are lucky that we are white," he says, and he is right. We will adjust and adapt, we speak good English and have fairly unremarkable names. We could be second or third generation American, no one will question us. Advertisement In the light of the police shootings and the staggering statistics about the death toll of African Americans each year in the land of the free, I wonder, who are we kidding. We cannot make America great again, as Trump says. America will never be great until we stop acting as if this land is a white Christian land only. It belongs to the descendants of the black slaves whose ancestors worked on this land. It belongs to the Jews who came here seeking shelter after the atrocities they survived in Europe. It belongs to the Muslims and the Buddhists and the Native Americans. I am saddened by the shooting of the police officers in Dallas. I am saddened that we always, always choose to use the bad seeds of any group (Muslims, police officers, African Americans) to cast judgment of the entire group itself. This article has been submitted as part of the Natural Capital Coalition's series of blogs on natural capital by Camilla Toulmin, British economist, former Director and senior fellow of the International Institute for Environment and Development (IIED) While philosophers can create progress in their fields with nothing more than brain, pencil and paper, an objective understanding of complex natural and social systems necessitates more than can be achieved by any single person. What is needed to advance our knowledge and to refine our policy in this field, is collaboration, and partnership. First, between disciplines, so that the different tools, ideas and perspectives of the social and natural sciences can be more broadly focused on practical problems, much in need of solutions. Advertisement Second, between scales, as our world is an inter-locking system; so much so that changes to the troposphere, 12 miles above the Earth's surface, rebound on the prospects of micro-organisms nestled deep in the soil. Third, between different actors and agencies. While it's true that government sets the wider direction and policy framework, our resources are used and managed by people, individually and collectively - on farms, across hillsides, in the oceans, in fact, on most of the planet's surface. And, lastly, over generations of thought. You cannot hope to understand a system today, or predict where it might be tomorrow, if you haven't understood where it has travelled from. My own work neatly illustrates these points. I am currently re-surveying a small village in the Sahel, the place where I first embarked on my doctoral fieldwork 35 years ago. In my work, I combine many different methods and disciplines, and rely on support from people all over the world. Researchers generally like to exchange ideas and new evidence, building a culture of sharing spanning the globe. I rely on this in my own work, as it helps me analyse what can be seen from aerial photos, compare trends in rainfall and crop yields, and learn how similar communities elsewhere have taken their own development in hand. Advertisement I have to work at multiple scales, understanding not only how the environment changes people, but also how people change the environment. National decentralisation policy has led to shifts in who exercises power and decision-making locally, while global market forces have turned these wide open sandy plains into a commodity to be bought and sold. For us to succeed in supporting local development, we need this extensive multifaceted understanding, from which to offer partnership to local people. Gaining a livelihood in these drought-prone regions is uncertain, but people have survived and prospered here for many generations. Local farmers talk knowledgeably about how they play-off risks; by sowing millet with different growing cycles, and diversifying into sesame, maize and groundnuts for instance. I am talking with them about how they see the future, and ways to build greater resilience into their natural resource and farming systems. We apply our shared understanding of the changes they've witnessed over the last 35 years as the backdrop to aid reflections on the future. They're enjoying working with old aerial photos, and taking the black-and-white images in hand. The older men and women can point out who was farming each field as far back as the early 1950s. The farmers and herders of this region face serious challenges ahead, as fertile land becomes scarcer, and rain falls in evermore intense storms. Even in the face of this, they can do much to manage their land, and diversify how they live in order to cope with this uncertainty. Some families continue to live in enormous domestic groups, made up of 100+ relatives, as a means to gain economies of scale, and share both assets and risks. Many families here rely on the earnings of young men who migrate away for many months at a time. While a short-term solution, in order to prosper in the long term, people need sensible and supportive government. Government must provide stability though laws and institutions which secure rights for people and for the environment, and by investing in the public infrastructure needed to get goods to market. I am engaging with people in local and national government, promoting the work that we do, and relaying the voices of villagers; facilitating conversations where they are able to tell their own stories. Advertisement This work is particularly important now, as the current government is handing out big areas of land to large scale agricultural enterprise; 20,000 hectares to a Chinese company for sugar-cane cultivation most recently. However, new legislation is currently being introduced through parliament, bills that offer more secure rights to local people, as well as for local bodies which can both handle disputes, and register land. I am hoping that as farmers mobilise themselves and find common cause with neighbouring communities, they can press for ever-fairer deals when big investors loom large. I hope to further understand these interlocked systems of natural and social capital, and believe this is possible; by combining disciplines, working at many scales, forging partnerships, and looking back in order to understand future options. A global approach to learning and sharing is central to all such research enquiry. As we see unfold before us the extraordinary and troubling fall-out from the U.K. EU referendum result, I have ever greater concern for our natural environment, its care and conservation. While political intrigue and jostling for power offer short-lived rhetoric about how to generate jobs and livelihoods, we must keep sight of the longer term assets, on which our ultimate prosperity lies. Collaboration across boundaries of all forms is vital to our shared understanding of the planet's complexity, where its thresholds and tipping points might lie, and how to manage and monitor change. It's therefore vital to unite and work together, regardless of the regulatory landscape, to solve the challenges that we all collectively face. Advertisement Disclaimer: Articles in this series are submitted by people who work in organizations who are part of the Natural Capital Coalition, or people who are involved in the natural capital space more generally, the views expressed here do not necessarily represent the views of The Natural Capital Coalition, other Coalition organizations, or the organization that employs the author. Follow Camilla on Twitter: @camillatoulmin Follow the IIED on Twitter: @IIED Camilla Toulmin is a British economist and former Director of the International Institute for Environment and Development (IIED). Her career has focused on policy research about agriculture, land, climate and livelihoods in dryland regions of Africa. She became a senior fellow of IIED in late June 2015, and is Professor of Practice at the Lancaster Environment Centre, Lancaster University. On 13th July 2016, The Natural Capital Coalition will launch a standardized framework for business to identify, measure and value their impacts and dependencies on natural capital. This 'Natural Capital Protocol' has been developed through a unique collaborative process; a World Business Council for Sustainable Development consortium led on the technical development and an IUCN consortium led on business engagement and piloting. The Protocol is supported by practically focused 'Sector Guides' on Apparel and Food & Beverage produced by Trucost on behalf of Coalition. Keep up to date with the Natural Capital Coalition on Twitter: @NatCapCoalition by Emma Baccelieri Would you like to dine with this man? (AP Photo/Steve Helber) One PAC offering a dinner with Donald Trump has risen from the dirty dishes of another -- and spawned a Hillary Clinton copycat in its wake. American Horizons has spent the past month advertising a contest for two individuals to win a dinner with Trump, including a flight and hotel stay. But while the organization, which is a hybrid of a conventional PAC and a super PAC, wasn't established until mid-June, the contest has roots going back to November. It was originally the brainchild of a separate group, Recover America, that was publicly called out by the Trump campaign for promoting the prize without the knowledge or consent of the candidate. The group's website, DinnerWithTrump.org, soon went dark as Recover America's treasurer, Michael Williams, dealt with the fallout. In May, Ian Hawes -- a friend of Williams and a Maryland-based executive of an e-commerce company -- began looking into relaunching the contest in a new form, and in June, he set up American Horizons. Advertisement "The contest was sort of put in shambles, that was something [Williams] was really disappointed with," Hawes said in an interview with OpenSecrets Blog. "I saw an opportunity to step in and revitalize it." The contest serves as a fundraising pitch for what Hawes described as the PAC's primary goal, pro-Trump digital advertising. Hawes noted that, as a Trump supporter, he had been concerned by what he deemed a lack of focus on advertising from the campaign itself and saw launching American Horizons as a way to counter that, focusing on social media and on Facebook in particular. Trump eschewed super PACs early on in his campaign, mocking opponents for depending on their funds and sending cease-and-desist letters to several that claimed to support him. In recent months, however, he seems to have changed his tune, and American Horizons joins a handful of other pro-Trump PACs. On DinnerWithTrump.org and in social media postings, the contest's prize is simply referred to as "dinner with Donald Trump." Fine print on the bottom of the website offers slightly more detail -- "a Sponsor-selected fundraising evening event held with Donald Trump and other attendees." Advertisement According to Hawes, American Horizons plans to make the prize possible by purchasing seats at a fundraising dinner that is organized and sponsored by "a state committee or state Republican Party" and promises that Trump will be in attendance. But it would be legal even if the group itself sponsored the dinner (assuming it could get Trump to attend). Under a Federal Election Commission decision that allows candidates to appear at super PAC fundraisers, this type of activity is legal, said Kenneth Gross, an attorney at Skadden Arps who specializes in campaign law compliance. "The dinner being a scam, I'm completely against that assertion," Hawes said. "This isn't some sort of scam, this isn't some sort of swindle, we make it very clear to all the folks who donate that we're not affiliated with the Trump campaign." Entering the contest does not require a donation to American Horizons. But with the contest as its keystone, the PAC has raised $500,000 dollars and built an email list of 200,000 names, Hawes said. The group has focused on cultivating small donors, and apart from a $75,000 donation from Hawes himself, there are no contributions of more than $1,000. Until the organization's first FEC filings are released this Friday, there is no way to verify the claims. Advertisement "We're not a super PAC that takes millions of dollars, and we really have no intention of doing that," Hawes said. "We take the Bernie Sanders approach, we have a whole bunch of donations of $5 and $10." "All of that" is being devoted to pro-Trump advertising, Hawes said, and "almost exclusively" to digital advertising. This week's FEC filings will show the PAC's expenditures. Regardless of how much money American Horizons has raised and where that money is going, it has at least been successful enough to catch the attention of a copycat. On July 9, a Facebook page called "United for Hillary" was created with a link to a website displaying the exact same layout and content as DinnerWithTrump.org, save the name changes. "Dinner with Hillary -- Enter for a chance for you and a guest to have dinner with Hillary Clinton.The flight, food & stay are on us," it reads before encouraging readers to donate, in the same message found on DinnerWithTrump.org. When told about the pro-Clinton site by OpenSecrets Blog, Hawes insisted that he didn't know it existed and that American Horizons is not affiliated with any other PACs, pro-Clinton or otherwise. American Horizons plans to send a cease-and-desist letter to the group, he said, offering to send a copy to OpenSecrets Blog. Advertisement The site's fine print says it is sponsored by United for Hillary PAC, which it claims is registered with the FEC. But FEC filings show no record of any such group, and we could find no contact info for it. Seconds in lore are splendid. We've heard that love is better the second time around, factory seconds are almost as good as factory firsts and everyone deserves a second chance, but a lot of heartache, anxiety and brushes with death have been the result of giving people a second thwack at the pinata. Many years ago I shot TV commercials for the Wisconsin Tourism Association. In spite of the fact there was a tiny budget, the art director from the ad agency insisted we get aerial footage. We couldn't afford a "real" pilot and photo plane, so I found a fellow in Chicago who claimed to have flown numerous combat missions in 'Nam,' who owned a small helicopter, and was willing to work for about a tenth of what the other guys charged. What could go wrong? After a day of shooting on the ground, I went to an airstrip in Bariboo, Wisconsin to meet Captain Yablonski, who was wearing what appeared to be a pilot's Halloween costume, complete with little plastic wings like they give children on airplanes when they meet a real pilot. Captain's hat tipped rakishly over the requisite "aviator" shades, a lit cigarette dangled from his lips as he filled the 1967 Bell Jet Ranger with jet fuel. Standing in front of a brightly painted sign that said NO SMOKING FLAMMABLE, Cap'n Yablonski assured me jet fuel was not, in fact, flammable. He didn't look like a reliable authority, but I'm from Wisconsin where we are taught not to judge a book by its' cover. Advertisement I would have been wise to run away at that point. Instead I was handed a filthy bath towel and told I must hold it under the motor while we were in the air, and alert the captain when it became so saturated that oil ran down my arm. (The motor is on the roof of the aircraft, which is not really what that flimsy piece of shit plexi bubble held together with baling wire and duct tape ought to have been called.) Oil dripping off my elbow was a sign it was time to "put down" and add oil. And still I climbed into the contraption, where I discovered more than a dozen filthy bath towels stacked neatly on the floor, with one airsick bag on top. (In fairness to me, I wasn't the only imbecile flying way up high in the sky with a pilot whose wings read, "Future pilot of America." The cinematographer got into the aircraft as well, although he was unaware of the severity of the oil leak as indicated by the tall stack of filthy towels.) The art director who very much had wanted to ride in the helicopter with the cool kids suddenly decided he had work at the office, and ran away screaming, "I have children!" As for Yablonski, it is likely that if he had had offspring, they were killed in a helicopter or toaster accident. He was not qualified to operate either piece of equipment. There was no radio onboard. "What for?" Yablonski said when I inquired about the standard communication device on a machine that flies from place to place in midair. "I have a cell phone," he assured us. "Just as good." Advertisement I'm no aviation expert. "Ok," I said as the cameraman interrupted. "Is there a chute onboard?" he asked. I laughed nervously, now that we had all the bad stuff out of the way. The plan was to fly about 385 miles north, grabbing some pretty late afternoon shots over the Wisconsin Dells en route to the Apostle Islands where we 'd pick up stunning sunrise footage over Lake Superior. With its deep, cold waters and isles of dense Blue Spruce, it promised to be a visual symphony in glorious technicolor. "How long will it take us to get to Hayward (where we planned to put down for the night)?" I asked. "Damned if I know," the captain said, lighting a cigarette and flying the helicopter with one hand and a knee. "Pardon, " I squawked into my headset. "Didn't you file a flight plan?" "What for? We pretty much follow the highway north to Duluth then go right." "And you don't know how long it will take?" "I'm banking on about two and a half hours," he replied, half-certainly. Mental calculations told me we'd have to whiz through the atmosphere at over 150 miles per hour to make it before dark, which was the only plan Yabolonski had, and which I later learned was likely the result of the aircraft's inadequate lighting and instrumentation. 150mph seemed kind of fast, but again, I'm not an aviator. Sadly, neither was Leonard Yablonski. The top speed of a Bell Jet Ranger that's new and not held together with chewing gum is 138 mph. I'd ridden a bicycle downhill faster than our Bell Ranger flew. It grew dark, and I couldn't help but notice there were no lights on the aircraft but for one on the nose, which pointed straight ahead--like a headlight, which seemed odd. It felt like more lights might be useful. Other than a tiny red light on our tail, we chugged through the night like a very loud invisible sewing machine. Advertisement After a few hours, I realized we were flying in circles, and I inquired about this alarming new phenomenon. "Can't find the airport," El Capitan informed us. "We're gonna have to put down in a field and make some calls. We are running on fumes." And with that we began a rather rapid descent. It was black as the ace of spades below us, with no actual lights on the aircraft, and all. "Farmer's field," Yablonski reported as if reading my mind. "Relax." We'd already been through two bath towels, so I wasn't in the mood to relax. I glanced out the window and bit the tip of my tongue off. "Waaaaaaa!" I screamed. I saw the reflection of the chopper beneath us, getting bigger by the second. "WAWA! WAWA!!!!!" "Shit," said Yablonski. Vooomp vooomp vooomp vooomp vooomp went the blades overhead as we rapidly ascended. "There's a lot of lakes down there." Twenty minutes later, in a cornfield where the cinematographer threatened to beat Yablonski to death with a tripod, a pick-up hurtled at us, barely coming to stop before a shotgun-wielding farmer jumped out, most probably expecting aliens. We explained the situation. He told us the guy who works at the airport turns out the lights and goes home at eleven, and would we like to come up to the house for some pie while we figured out what to do next. Advertisement Farmer's wife wore a pink housecoat, pink slippers and had spongy pink rollers in her hair. She thought filming TV commercials was about the most glamorous thing imaginable even after I asked for some paper towels and lye with which to clean the motor oil off my arms. The volunteer fire department was called, and a convoy of fire trucks showed up about half an hour later. The plan was to fly over them as they guided us to the airstrip, which had indeed been shut down for the night. We really only needed one fire truck, but no one in those parts had seen a helicopter land in Fritz Kirschbaum's field in the middle of the night before, and as comedy goes this was a downright rib-tickler. Mrs. Kirschbaum sent us off with some pie on a paper plate, covered over with foil, and all the way across the field, through the rows of corn, we could hear the volunteer firemen laughing at us. A pilot friend of mine kept an old Suburban at the airstrip that we could use to get to the motel and back again in the morning. I snatched the keys from the ashtray and insisted on driving. Little did I know that at 12:45AM, our night was just beginning. Law and Justice ruling party in Poland has recently introduced the so called "500+" program in Poland. For every second and next child under eighteen years of age a family receives 500 PLN per month (approx. 110 EUR). At first glance, the extra cash may seem to be helpful for Polish families, but when you get a closer look, you will find out that the devil hides in the details. I think this money could be spent better: for professional activation and improving availability of kindergartens and nurseries. Local governments were tasked with the implementation of "500+". Gdansk did an excellent job and the program started smoothly in our city. Photo: J. Pinkas Direct financial support may, at least short-term, improve the quality of life of poor families with many kids. The market demand will also probably increase, but as for today, it cannot be estimated to what extent. The birthrate may grow, but experts are quite divided on this. And the fact is, that the support for large families wasn't adequate in Poland. Advertisement However, there are flaws in the program that concern me and many other responsible mayors and politicians. First of all, there is a lack of strategic thinking about social policy on the part of central government, and there is a threat that "500+" may promote passivity. For some people this money may be an incentive to leave the job market, which isn't good for a developing economy. The other thing is, that almost every city in Poland is struggling to finance kindergartens and nurseries and we get insufficient support from the national government, despite the fact that preschools are excellent work places for women and help fight their exclusion from the job market. For some people this money may be an incentive to leave the labor market. I have already heard about such cases. There is no need to explain how dangerous it can be for the society. When a kid turns 18 and the money stops coming, the unemployed parent will have no means and no experience needed to get any job. This way, instead of solving social problems, the country will face new ones. What then? There is also much hype about "500+" allegedly bringing back dignity to Polish families. The reality check shows other things: there is increasingly less money for families with only one child, and support for a single parent is very hard to get. This mostly hits struggling single mothers with little income. As a mayor, responsible for the city budget since 1998, I know how hard it is to keep budgetary discipline, and how hard it is to balance incomes and expenses. Overall cost of "500+" to the national budget is estimated at over 4 billion EUR per year. And there are many other ways of smart social programs spending. Advertisement We need to rethink social policy in Poland and make it an efficient tool for increasing inclusion, and social and professional activation, encouraging independent people to actively shape their future. Supporting nurseries and daycare centers The previous government made some serious steps in this direction, just to mention a very efficient "Maluch" (Little kid) program. For 34 million EUR, 32 000 places in nurseries and daycare centers were supported, greatly improving accessibility to this kind of social support. It is hard to find more effective ways of spending public money on social policy. Another example of smart social policy of the previous government was extending the parental leave to at least 1 year, which helps working parents to decide to have a baby. Every new preschool, built by Gdansk for about 200 children, costs approximately 2 - 3 million EUR. Providing care for 200 children means that their parents get more flexibility on labor market. I would love to see a program supporting local governments in developing new preschools and decreasing exclusion from labor market. It would also be a good idea to develop further programs of professional activation, supporting parents in obtaining new skills valued on the market. Our labor office has already been teaching people how to code or get other useful skills, but the scope of these projects would be much broader, if only the national government supported them better. How significant is the Pentagon's decision to lift the ban on transgender service members? originally appeared on Quora - the knowledge sharing network where compelling questions are answered by people with unique insights. Answer by Ariel Williams, transgender writer and artist, on Quora: The Pentagon's decision to lift the ban on transgender service members is very significant. The U.S. Federal Government under President Obama has, in eight short years, erased decades of institutionalized discrimination against LGBTQ Americans. He has done more for LGBTQ rights than any other President. There simply is no second place. In removing these barriers to service, America will be joining other countries such as Australia, Austria, Belgium, Bolivia, Canada, Czech Republic, Denmark, Estonia, Finland, France, Germany, Israel, Netherlands, New Zealand, Norway, Spain, Sweden, and the United Kingdom, which have already allowed transgender persons to serve in their militaries. The fact that so many countries do have effective fighting forces and that the inclusion of transgender individuals has not negatively impacted their armed services shows that there really is no good excuse to deny military service. Advertisement Transgender people are no less able protect, serve, fight, and if need be die for their country than anyone else. America has had many very respected soldiers that later transitioned after leaving the service or were forced to leave due to their transition. Some of these included Navy Seals like Kristin Beck, American Revolutionary war soldiers such as Albert Cashier, Marines such as Janae Kroc, Army Lieutenant Colonel and billionaire Jennifer Pritzker, Army Colonel Sheri Swokowski, and naval officers such as Mary Elizabeth Clark and Autumn Sandeen among many others. It is estimated that as many as 15,000 current military soldiers may be serving with untreated gender dysphoria. Medical studies and surveys have consistently shown that medical treatment for gender dysphoria leads to better job performance, greater confidence and well-being, and lower incidences of depression and suicide compared those who go untreated. United States soldiers should not feel they need to hide this condition so that they can serve their country. This policy follows a line of good common sense backed by proven science. One can only imagine how much more some of the highly decorated individuals named above might have been able to achieve had they been allowed to continue to serve openly transgender. Like any other job, the only factor that should apply to being accepted or denied employment is the employee's individual performance and ability to meet the qualifications of the position. There is no factor that is unique to transgender people as a whole that makes them any less able to meet the demands of being a soldier or complete their mission than any other American. Although transgender people have medical needs, these needs are not in any way significantly limiting compared to the many other conditions that soldiers are allowed to serve with. At most, a transgender person requires a shot twice a month or a couple of very small pills daily. Advertisement This is a big win for equality, and for the government, in recognizing that transgender people are just as capable of performing any job as well as anyone else. By Representative Eliot L. Engel & Admiral James G. Stavridis, USN (Ret.) In the days ahead, a Tribunal established by the Law of the Sea Convention is expected to issue a report on the legality of the territorial claims of the People's Republic of China in the South China Sea. This determination will drop like a rock into still water, with a dramatic ripple effect causing serious ramifications for the future of every country in the Asia-Pacific--and for the United States. Under the Law of the Sea Convention, The Philippines challenged China's so-called "nine-dash-line," a line of demarcation in the South China Sea that China's government cites to justify its territorial claims. The Tribunal's authority to adjudicate this manipulation of the law under the Convention is clear, and it has fulfilled its duties to the letter. The Philippines and China, both parties to the Convention, are legally bound to abide by the ruling. Yet China has flatly rejected the Tribunal's legitimacy and has encouraged other countries to do the same. The Tribunal's judgment may well declare illegal China's massive claim in the Sea, and China has worked aggressively to undercut the Tribunal every step of the way. Advertisement Assuming the ruling goes against China there's a very good chance that China and possibly a number of other governments will choose simply to ignore the Tribunal's report. Should that happen, China will carry on unchecked in a strategically critical area, and the future of the UN Convention on the Law of the Seas--the "constitution of the seas"--will be called into question. The United States has a strong interest in ensuring that scenario doesn't come to pass. Unfortunately, over several decades, the Senate has failed to accede to the Law of the Sea Convention, relegating the US to a passive instead of an active leadership role. As a result, we will have a great deal of difficulty helping solidify a rules-based order in the Asia-Pacific. Unfortunately, China is gaining traction. Its leaders have trumpeted a list of other countries that are willing to flout international law and governments within Asia have begun taking sides. At a recent meeting, the Association of Southeast Asian Nations (ASEAN) appeared ready to declare a consensus position backing the Philippines in the dispute, only to rescind its statement within hours. At the same time, China continues to ratchet up tensions in the region. Last month, China sent a warship within 24 miles of several islands in the East China Sea, the Senkakus, which have been administered by either Japan or the United States since 1895. This provocation doesn't bode well for China's response if the Tribunal ruling doesn't go its way. Advertisement The rejection of the Tribunal's judgment by parties to the Convention would carry with it grave implications. Ignoring the ruling would mean ignoring a way to defuse an escalating crisis. While it's unlikely that any party will get exactly what it wants from the ruling, it would manage to settle years-old disputes. That's the point of creating instruments like the Convention and establishing structures like the Tribunal: to ensure all countries are playing by the same set of rules. To ignore the ruling would also set a dangerous precedent for international law. If countries pick and choose to which parts of international law they will adhere, instruments such as the Law of the Sea Convention lose value and credibility. The United States strictly adheres to the provisions of the Law of the Sea Convention. We understand the value of upholding international law. By establishing universal standards for global issues, we give diplomacy a better chance of succeeding and help ensure that a large country like China won't simply steamroll smaller neighbors when disagreements arise. Ordinarily, the United States would be in a good position to urge governments to stick to their obligations and abide by the Tribunal's decision. Except we're not party to the Law of the Sea Convention. By our own choosing, we are shut out of the process. Despite the fact that the United States champions freedom of navigation and the international rule of law, our Navy carries out those policies around the world and has long supported joining the Convention, and the Convention has won broad bipartisan support, a handful of Republican Senators have undercut America's ability to stand up for our own values and interests. This is particularly troubling at a time when one of the pivotal international concerns of the 21st century is coming to a head. American leaders will continue to support the Tribunal and encourage governments to abide by its decision. But we can and should be doing more, to include ratifying the Convention itself. The stakes are simply too high for the United States to take itself out of the lineup. China is working to deepen divisions and consolidate its power in Asia. If China gets its way, it will derail efforts to establish a rules-based order in the Asia-Pacific, worsen a potentially dangerous situation in the South China Sea, and undermine America's ability to ensure maritime stability around the world. Advertisement The airline and travel industries have been vocal about a renewed focus on the Customer, as more and more come to realize that creating an experience "means listening to [our] customers and genuinely seeking to make their experience great." The truth is that customers find meaning in an observed brand value, which is affected by the perception of their interactions with each different part of the brand. [Tweet this] While there has been much investment and several advances in the passenger experience, it's how it all comes together that counts. For example, the fact that I enjoyed the luxury of extra legroom (albeit at my own expense) becomes slightly irrelevant if my journey happens to be tainted by two mechanical issues and then my baggage is lost, especially if my travel provider of choice is not even willing to acknowledge their part in my bad experience. Advertisement While it is very easy to promise that your company is focusing on and listening to their customers, the customer experience ecosystem is complex and needs to be well understood to ensure purposeful tradeoffs and priorities are set, and, a meaningful experience is delivered. Recently, I was unfortunate enough to receive a less than acceptable Passenger Experience and it really got me thinking about the importance of the connected experience. Firstly, our round trip from San Francisco to New York was particularly bad. Here are some highlights (as to go into all the details would be a whole other post, if not a book!). We went through a series of multiple delays and cancellations (due to weather as well as mechanical issues) and incidences of lost luggage. While the representatives were incredibly nice and friendly, each time we experienced: Inconsistent information between representatives, the airline App and airport data. Lack of responsiveness from Customer Service and Support. Lack of transparency as to issues being faced. Lack of knowledge about customer situations and connections. Being in the business of Experience, I wanted to test the airlines Customer Experience further, so I contacted them to see what they had to say about our bad experience. After multiple frustrating back and forths, we were offered a travel certificate for $100 dollars for the first mishap and $32.48 for the second. Both emails came with a "by accepting this you release [airline] from any liability", and also informed us that this $100 was "a gesture of good will" for the baggage loss. They never once took ownership for their role in the problems we experienced. Unfortunately for us, great people were not enough to salvage the damage to the airline's brand. Their ineffective customer service and ability to show up when things go wrong (on multiple occasions) have since led to us choosing other airlines for both personal and business travel. SO, WHAT CAN WE LEARN? While the ordeal was not so great for me, there are some absolutely great takeaways to think about when designing a customer experience. Use of Data The airline had access to a lot of our customer data that could have been used to improve the overall customer experience. Using context to personalize the service given would have meant a lot. For example, if, on scanning our tickets, the agent could have seen that we had literally been delayed for 2 days, had lost luggage etc., they could have made some gesture to show compassion there and then. Unfortunately, the data was not connected; the agents couldn't see open cases and could not even access the correct data about their own flights. It is definitely going to be a bad experience when your customer service representative's applications show incorrect data and the customer has to inform your own staff of where your planes actually are and their estimated arrival times. The Small Things Count We were obviously in the middle of a bad experience and when the mind is experiencing negative effect, it becomes intensely focused, making other little things more noticeable. Here, even offering some drink coupons or a meal at the airport would have done wonders to show that they had done what they could to improve things. The whole experience felt very cold. This wasn't helped by the fact that during one of our delays we did see another airline handing out blankets and coffee to people affected in the airport. This kind gesture really makes you remember them and connects their brand to being caring and compassionate. Advertisement Consistency Across Channels Digital and physical must interact well. The level of inconsistency was very high between what the various staff knew, as well as between what the different apps, websites, email alerts and screens were telling us. This leads to customer mistrust. It is definitely worth being as transparent as possible and looking at how to improve the consistency of information across all your channels. Understand External Influences to your Customer Experience We all understand that the weather is outside of an airline's control and you really cannot blame an airline, or expect them to be accountable for something like the weather. However, just like in tech, where things can break or go wrong and it is not always the actual product owner or supplier's direct fault, it is a company's response that provides a customer experience that people will remember and go on to recommend to others. The response issued and service provided in these moments can recover a negative experience and even repair the brand damage. Therefore, it is worth designing the experience to allow for the influence of external variables, such as giving staff capability and authority to respond as needed within means. People are Key, but, they Need to be Enabled Linked to the above is the fact that while your people (and their knowledge or their friendly personalities etc.) are important to the experience, they must also be empowered and enabled through tools and policies as well. A smile while telling me that even though there are 2 seats on an earlier flight, you cannot actually give them to me as my ticket is of a certain type and there is no option to purchase does not make things any better. For example, during our debacle, the customer service desk agent could not see our customer journey to date. Wouldn't it feel more authentic and caring if they had been enabled to pull it up, and had then started with an "I'm sorry you guys have been having so much trouble lately, let's see what we can do"? Enabling them to see our experience, would have enabled them to have empathy. This is a clear demonstration of how the employee experience can affect the customer experience. Content can Damage your Brand Looking at how your responses make your customer feel is a worthy exercise. We all know when something is canned, but even if you are canning a response, avoid terms that will make an already frustrated customer even more frustrated. Here, for example, the term "gesture of good will for the baggage loss" could easily make someone more annoyed. To passengers, it may not really feel like good will when a company is compensating for something they were in the wrong for. Not to mention the lack of acknowledgement of any of the rest of the experience. Advertisement Contextual Responses These can be considered as tiered responses. For example, offering someone who endured our two-day travel nightmare $100, that has to be used to fly with you again, is not really likely to make anyone want to do that. In fact, when considering the sheer amount of our time that was wasted due to just the problems with the airline, not to mention the extra costs associated with re-booking flights and finding accommodation, this is actually almost insulting. The response should be relative to the experience, otherwise, it won't repair the perception of the brand. WHAT CAN YOU DO? Start with understanding. Spend a little time mapping out your experience ecosystem - this will allow you to understand how all the various tools, processes, devices, people and data all come together to provide a customer experience, and how each piece impacts the total experience overall. You will then have a starting point from which to focus on areas requiring optimization, improvements and innovation, and knowledge of exactly how these will impact the total experience. While acknowledging that your Customer Experience might need redesigning or improvement is a good first step, the proof will only be seen in how your customers perceive their experience. Using deep customer insights will help you to create an understanding of the full experience ecosystem, which can then be used to continuously improve each interaction throughout the full customer journey, including enabling your employees to deliver the desired experience. When the Council of Europe (CoE), in 2009 decided to support forming the Communal Police (CP) in Serbia, citizens through the country were presented with a promotional campaign, in order to familiarize them with this new institution of public order. It was seen as a step towards the implementation of EU standards in the Serbian legislature, on its ultimate voyage towards EU membership. Through the rose-colored glasses of this EU-supported project, realized in collaboration with the Ministry of Public Administration and Local Self-Government, a platform was launched, promising ideas relating to city sanitation, the water supply, environmental protection, cultural preservation, street and road maintenance, public transportation, etc. As a by-product of this program, residents were guaranteed friendly collaboration with the authorities, towards achieving collaborative goals. However, instead of becoming guardians of the nation's environment, Serbian citizens reaped a modern army of gangs and mobs dressed in official police uniforms, and with state authorization to threaten and even beat their fellow Serbs without any accountability or consequences whatsoever. Instead of the "communal policeman as good neighbor", the project's promotional motto, a small Balkan nation was "awarded" with vandals, practicing intimidation in every facet of Serbia's urban life. Examined in detail, outside as well as domestic observers will attest to a wide range of brutality, that the CP have perpetrated against Serbian nationals in the seven years of its existence. The most lethal of these occurred on the last day of June 2016, when Serbian fruit seller, Vlada Marinkovic (55), died followed a verbal conflict with a municipal policeman. His heart gave out due to acute stress, as a direct result of the verbal abuse to which he was subjected on multiple occasions, by the CP officers monitoring the market. Although he was a vendor who regularly paid taxes for the place where he and his wife regularly sell their watermelons, he was emotionally tormented because he didn't want to purchase lunch for one of policemen - one of the well-known ways in which bribery has operated in Serbia for decades. "My husband warned them to stop harassing him, saying that he had survived two heart attacks, but the policeman told him: "I don't care, I will not stop until you have a third one, and die", said the victim's wife to Serbian media. The only "crime" of the now deceased vendor was that his box with watermelons was a mere 20 centimeters outside its allotted space. At the same time, the police officers ignored illegal vendors whose trucks full of watermelons stood just a few meters away. However, the latter experienced no problems, after having payed a regular bribe to the policemen. In addition, Serbian media protected the identity of the accused police officer, by using only his initials out of fear of confronting this dictatorial organization. Advertisement In a sign of revolt two days later, citizens gathered in a movement dubbed "Don't Drown Belgrade", during which they smashed 200 kg of watermelons against the wall of Belgrade's CP Headquarters. Afterwards, none of the employed dared to confront them about it. "Communal Police should change its name to 'Communal Inquisition'" wrote Zuric Vladimir Zuja on his Facebook wall. Andrea Abraham from Subotica also opined on social media that "If you want a job with the CP in my town, you have to pay (a bribe of) 3000 euros. It's a well-known secret... " The tragedy could have been prevented if the first symptoms of CP terror noted years ago would have been taken more seriously. The mistreatment of Belgrade's city bus riders is the most frequent action that the CP perpetrate against their fellow citizens. In a country of rapidly rising poverty with a million unemployed, the many who do not purchase bus tickets fall victim to what the CP use as an excuse to abuse residents. In another example, a poor student without a ticket was beaten after he refused to leave the bus; another case also involved a woman without a ticket, who was brutally arrested and taken to a nearby police station. A video shows a powerless female figure screaming for help, while three strong and aggressive uniformed men pressed her to a wall, as if she were some notorious criminal. In the city of Cacak , a municipal policeman abused a female tobacco seller in the open market. Having taken her tobacco, he squeezed her hand tightly. In an attempt to break away from him, the woman fell, and the policeman continued to drag her on the ground. The woman, a single mother of two children, has been selling the tobacco only to feed her family . Advertisement It seems there is to be no mercy even for unemployed people, illegally working on Belgrade's streets in order to survive. Poor old women, selling flowers and handmade items for tourists, academic musicians surviving as buskers and chestnut and corn vendors are all regular CP targets. All of those who do not pay fines risk ending up behind bars. Even beggars are not spared from this urban terror. "I organized people's support for Serbian president Tomislav Nikolic during his political hunger strike " said one of Belgrade's beggars, who wanted to remain anonymous out of fear of reprisal. Today, I beg on the streets to buy medication while I am awaiting heart surgery at one of the state hospitals, if I even live to see that day. Several times, PM Alekandar Vucic passed by here and gave me some money. Once, journalists photographed him near me, and the photo was published. Barely two or three hours later, two Communal policemen came to fine me, and I had to go from this place to another, where I had to beg a few days more, in order to pay a fine instead of buying the medication I badly needed. At the same time, the Belgrade CP turns a blind eye to true criminal acts, as was the case with the illegal, nocturnal Savamala demolition, which caused another series of national protests against the Serbian Government. This implies that the crucial function of "CP guys" is not to help clean up Serbia's environmental waste, but to intimidate Vucic's political opponents. PM Vucic and his ruling Serbian Progressive Party continue to push the system back into the 1990s dark ages in many aspects of life, including the re-introduction of private "paramilitary organizations". Even Nikola Ristic, head of Belgrade's Communal Police, hearkens his appearance back to the criminal-look of cheap Eastern European, quasi-mafia bosses, and encourages his subordinates to follow suit. Serbian Ombudsman Sasa Jankovic also tagged the CP as a "paramilitary organization", following an attack on a group of Serbian journalists and activists, who were investigating corruption within a government project known as the "Belgrade Waterfront". Advertisement " Such behavior is characteristic only of autocratic regimes ", said Vukasin Obradovic, president of the Serbian Independent Association of Journalists (NUNS). Belgrade's Municipal Police agreed to follow the Ombudsman's 32 recommendations, following the attack. The fact that they promised to work according to the law "in the upcoming period" is a telling confession that they had not respected the law prior to that time. The state authorities remain silent, except when they are under public pressure, and/or under the rare scrutiny of objective media - the latter of which is not a pawn of Aleksandar Vucic. Citizens may raise their voices, but up to the present time, their will and influence against CP vandalism is woefully insufficient. Hand in jail This post was coauthored by Erin Godfrey, Assistant Professor of Applied Psychology, NYU's Steinhardt School of Culture, Education, and Human Development Summer has begun, and while some kids will be enjoying their first taste of freedom, others will be doing anything but. Advertisement On any given day, more than 54,000 youth in the U.S. are being held under lock and key in residential placement facilities. In New York alone, over 1,600 youth are in confinement. And in this current moment, a kid in prison in almost any other State would also be hundreds of miles away from their home. We have essentially taken the structure of the adult corrections system and slapped it on youth. This should alarm us. Why have we recreated the adult justice system for youth when we know that youth's development is different, and so are the reasons behind their offending? Brain science tells us that what all kids need most to truly thrive are stable relationships with family, friends, teachers and community members. The most cutting edge research tells us that these types of consistent relationships can actually buffer the impact of poverty and community violence for those kids who are disproportionately exposed to them due to growing inequalities in income and resource distribution. So why have we taken them away from their homes at this critical time in their development? Whether our goal is to promote public safety, community building, or rehabilitation and healthy development -- this is one of the worst things we can do. There is simply no need for there to be any large non-local prison facilities for youth. These facilities engender practices -- like solitary confinement -- that have been directly recommended against by global human rights organizations. No good, and only tragedy, comes from this. This summer marks the anniversary of Kalief Browder's death. Accused of stealing a backpack, Kalief spent 2 of 3 years awaiting trial in solitary confinement at Rikers Island. He committed suicide last June. Advertisement The global health community has been crystal clear that these practices are a violation of human rights. And, in no uncertain terms, the World Health Organization and UNICEF recommend secure confinement in large facilities as an absolute last resort. Instead, they fully support keeping kids involved with crime closer to their communities. These are promising recommendations. Countries with fewer large youth prisons have much lower rates of youth crime. And, within the U.S., cities that support community-based alternatives to youth confinement have, on average, lower youth recidivism rates. N.Y.C. is taking this to heart. It is shifting from an adult corrections structure to one in which youth -- even after they have been found guilty -- can be placed in small, local, residential facilities close to their families and neighborhoods, and attend local schools during their stay. This "close to home" initiative represents a change to the laws that govern how the system responds to youth in NYC. Because they are legislated, they have lasting power. If a juvenile justice system as large and complex as N.Y.C. can take this big step, why aren't more cities following suit? There is no need for youth prisons. What we do need is a more equitable distribution of resources so that kids can stay close to the relationships and resources that they need to thrive. N.Y.C.'s juvenile justice system is far from perfect, however. We know it is succeeding in actually keeping youth close to home, but that is all we know. We need to know more about how these local facilities work. Are families actually visiting kids more often? Are kids being connected with local services, both during and after their confinement? Are they succeeding more in their local schools? And ultimately, can this initiative result in a reduced rate of recidivism so that fewer kids end up being confined - either inside or outside of the city? As a former hedge fund analyst who spent years identifying promising investment opportunities, I don't understand what is deemed "news" by the tech media out here in Silicon Valley. On Wall Street, return on capital is viewed as a critical metric, but tech reporters are more impressed by the size of a capital raise, not what is actually done with the money or whether it will be used to develop a technology that will have a life changing impact. That's why we are in the midst of a venture capital lead technology bubble that likely is going to end badly for many venture investors. In the elder care space, technology is playing a major role in helping keep America's aging population in their homes. But the advancements aren't coming from young Turks inventing apps to provide some clever on-demand services. Rather, the great strides are being made from more mature companies, often from ones you would least expect. AT&T is one such company. The telecommunications company recently announced that it plans to partner with the Texas Medical Center in Houston and its network of hospitals and researchers to develop digital solutions for the healthcare industry. "We've been listening to the health care industry, many of whom are already customers, about the challenges they face today," Chris Penrose, Senior Vice President, Internet of Things, AT&T, said in a news release. "We want to help create stronger connections between caregivers and patients." Advertisement Intel is another mature company on the cutting edge of elder care technology innovation. The company's Care Innovations subsidiary is a pioneer in collecting, aggregating, and analyzing data that connects payers, caregivers, and consumers to enable older Americans to live independently, wherever they call home. Some elder care innovations are coming from companies focused entirely on the space, such as GreatCall, a leading provider of active aging and independent living solutions. GreatCall is at the forefront of an emerging technology known as Ambient Assisted Living (AAL), which can aggregate data from connected home devices and sensors and integrate it with healthcare devices. The technology's great benefit is that it analyzes and detects an elder person's activity within the home, makes determinations of their physical, cognitive, or affective state and recognizes unusual behavior patterns. (A more detailed and scientific explanation, from which I borrowed, can be found here). AAL can be used to help families closely monitor a loved one's activities and help determine whether any cognitive declines are the result of normal aging or related to developing dementia. Given that the AARP estimates that half of Alzheimer's patients go undiagnosed, AAL can prove to be a major breakthrough in the early detection of dementia. The technology isn't as cool or easy to understand as most apps, but its successful development will dramatically improve healthcare for America's aging population and likely allow millions of people to remain safely in their homes. What set legendary early stage investor Tom Perkins apart from most venture capitalists today was his rigid adherence to supporting only companies with products or applications that had the potential to be truly meaningful. Mature companies like AT&T, Intel, and others are increasingly usurping young upstarts and leading the way on the innovation front, particularly in the elder care space. Advertisement These high-growth firms, then, are the kinds of companies that matter most if we're trying to understand the impact that startups are having on the economy and on innovation. And according to a May report from the Kauffman Foundation, such startups are being launched at a brisker rate than in recent years. Even more telling, new work by the MIT economists Scott Stern and Jorge Guzman shows that in 15 U.S. states between 1988 and 2014 there was no long-term decline in the formation of what they call "high-quality" startups. Stern and Guzman have figured out the characteristics of startups that are trying to become high-growth firms, which include being chartered in Delaware, registering for patents, and not being named after the company's founder. What they find is that the rate at which these kinds of startups are being formed has not dropped--in fact, 2014 saw the "second-highest level of entrepreneurial growth potential" ever. In places like the San Francisco Bay Area, unsurprisingly, the rate of high-quality startup creation is at an all-time high. This is a Black Lives Matter Banner in Charlotte, NC, November 2015. Camera - Canon 7D Mark II, Lens - Canon EF 200mm f/2L IS USM All lives matter movements events throughout the country have once again left us scrambling for a solution to America's race problem, not only the earthshaking events of violence, destruction and disregard for human life but more so the frustration in many American communities. The national debate that should be taking place is not what threat do African Americans pose to police and American society but more so how we can correct a history of exclusion, oppression, legal and systematic racism against a community that remains loyal to a country that has treated them as outsiders. Historical racism between police forces and African Americans throughout the country has led to climate of distrust, hate and disregard for black lives that has fueled much of the frustration that is being depicted today. These race riots have taken away the best of who we are as Americans and what we can become as a society. Advertisement These events continue to voice the frustration of upward economic mobility, social despair, disregard for black lives, police brutality and many other social ills that many African American communities are embedded in. The recent riots only addressed police brutality but gone from the discussion that should be taking place are the continued economic class warfare that has plagued many of these inner city communities. The idea of Americans living in a post-racial society where all races are guaranteed the benefits of the American dream and a society where race and racism no longer exists have almost disappeared in many urban minority communities. Thus, there lies the problem among many poor urban cities, these issues compounded with excessive police distrust and frustration has led to many of the urban riots we encounter today. Class disparities continue to be an important element that define many black and brown inner cities and compelled with the lack of upward economic mobility opportunities this can be easily use to gauge many African American communities. The failure to have a constructive dialogue on many of the urban issues that affect many African American communities is the underlying cause of the frustration among many inner-city urban dwellers. There needs to be a national discussion that should not be taking place only in areas of riots but throughout the nation on America's social ills. The dialogue must include different races, police, community activist, political leaders, clergy and any other members of inner urban communities. Racial hatred continues to permeate our society in ways we don't even realize and it has continued to define who we are and what we stand for as nation that leads the free world and preaches democracy. The idea of Americans living in a post racial society where all races are guaranteed the socio-economic benefits of the American dream and a society where race and racism no longer exists have almost disappeared in many African American communities. African Americans and other minority groups remain one of the most underrepresented communities in schools, the workforce and other sectors in American society due to a direct result of economic racism, class discrimination and more so their exclusion from the American pie. All lives matters, because America matters. Black lives matter, because American lives matter. At 19 I thought that I would be able to work really hard on my startup, and then in a year we'd have break out success. We'd raise this money, users would just grow like crazy, and we'd have a huge company, and I'd be able to retire before I turned 25. ... Just like in the movies. What happened? Well, I'm 25 and that's still not the case. --- Getting funding and press attention doesn't automatically equal success. And world-class entrepreneurs never quit. How founders cope with startup challenges was the focus of today's Entrepreneurs are Everywhere radio show. Advertisement The show follows the journeys of founders who share what it takes to build a startup - from restaurants to rocket scientists, to online gifts to online groceries and more. The program examines the DNA of entrepreneurs: what makes them tick, how they came up with their ideas; and explores the habits that make them successful, and the highs and lows that pushed them forward. Joining me in the Stanford University studio were Jessica Mah, founder of InDinero, provider of accounting software and tax services for small businesses Peggy Burke, founder of 1185 Design digital brand agency Listen to my full interviews with Jessica and Peggy by downloading them from SoundCloud here and here. (And download any of the past shows here.) Clips from their interviews are below. Jessica Mah started InDinero in 2010 to help entrepreneurs with their accounting and tax needs after going through the same challenges with her own businesses. Advertisement Jessica has been starting her own Internet businesses and programming since middle school. She left high school at 15 to attend Simon's Rock Early College, then studied computer science at the University of California, Berkeley. She has been featured in the Forbes and Inc. 30 Under 30 lists, and was on the cover of Inc. Magazine's Inc. 5000 issue in 2015. Early on, however, it looked like InDinero would fail. Their initial product was nice-to-have, but people didn't want to pay for it, Jessica explained: Everything was going so well. I was able to get the money pretty easily up front, and I was able to get the press. The fairy tale was supposed to have a successful end right there. But we were about to burn a $700,000 hole in our bank account in the next 12-months if we didn't do something. We were depending on investor funding, but with $60,000 in revenue no one would fund it. I was turning 21 when I realized all this was going down the hole. I was really fricking scared. I tried to pitch this to investors again. No one was interested. I looked at my cash balance, and thought, this is going to blow up in flames in the next four months if I don't do something drastic. Advertisement I talked it over with some friends that night, and decided the next morning we'd have to cut all of our costs. We got rid of the hot tub in our office and told everyone that they'd have to find a new job. To hear the clip, click here Jessica ran things as lean as she could for the next few months while figuring out what to do. Here's how she discovered what her customers actually wanted: I worked backwards from the optimal solution: What would people pay hundreds of dollars a month for, thousands of dollars a year for, that isn't too far off from what we're doing today? I went to a customer's office and I watched him use my software. He was paying us $20 a month, and he's like, "Why the hell am I doing all this myself? Why can't I just pay you thousands of dollars, and you'll make this problem go away for me?" A lightbulb hit, "Aha." To hear the clip, click here Peggy Burke is a 30+-year design executive with expertise in creating global brands. She and her company, 1185 Design, has developed the brands of enterprise companies like Cisco, SAP, Sun Microsystems, VeriSign, Semens, Adobe; consumer products companies like Sears, Chiquita, Apple, Stanford Hospital & Clinics; and over 350 startups. When Peggy first arrived in Silicon Valley, she worked for Boole & Babbage, before founding her own firm. She quickly learned that running a startup was no picnic, but she was driven to succeed: I pushed through some of the most difficult challenges. A lot of my competitors - those that were much larger, smaller, every size -- just completely blew up and went away. They gave up. They had to. It was too hard. Advertisement But I never gave up. To hear the clip, click here She often went without sleep in the early days of building the business: The biggest challenge was time. I would run around all day long meeting with clients. Then I would have to come back and work all night long. I would never ever present anything that I didn't think was "legendary." To hear the clip, click here Especially difficult were the days after the Internet bubble burst: 2001 was a staggering blow to technology. Everything disappeared. It went from a massive fire hose of incredible work -- lots and lots and lots of money to spend on branding and events and really pushing the envelope -- to a complete turnoff. It's as if somebody pulled the plug on the entire thing. I had 60 people at the time. I cut the company in half. That was excruciating. To hear the clip, click here -- Jessica learned an important lesson about hiring: I thought, wow, it'd be great to work with friends, but it was horrible. It wrecked our friendships. It was very hard for me to be direct and candid and strict with them. It was very conflicting, and it was hard to keep myself honest and separate the two from each other. Advertisement To hear the clip, click here Looking back, she realizes she had a too-rosy view of what doing a startup would be like: I wish I had a better appreciation for how difficult it would be to accurately forecast where I'd be 12 months or 18 months from now. I should have just kept more of an open mind for where I could have been, and thought more about the failure cases. I thought all about the good upside, I didn't think about the risks and the problems I might run into at all. To hear the clip, click here Today, she constantly challenges herself Every 6 months I go through a small internal crises where I wonder, am I on the path to success? That path keeps on changing. For me now, I really do want to build a big company here. When we first started the business, I'm not sure if that was so crystal-clear. Now, I'm really driven by the idea of having a really big company that impacts thousands or millions of people. To hear the clip, click here -- Peg credits Silicon Valley's pay-it-forward culture to giving her a leg up when she was starting out: Peggy: When I resigned from Boole & Babbage, I had no clients at all. Advertisement I had $3,000 in the bank and I was sending my parents money, so there was no support, no safety net at all. I spent a $1,000 a month on my car and my rent and my expenses. I thought, "I can do this." My first client was Boole & Babbage. My boss at the time said, "We'd like to put you on a retainer." For a $1,000 a month, they retained me. Steve: How did you get new clients? Peggy: I networked. I shared an office in Palo Alto with my friend Elizabeth Horn. Elizabeth was making a film called My Dinner With Apple. Everyone you could possibly imagine related to Steve Jobs, including Andy Cunningham, came in and Elizabeth interviewed them in her office. I was introduced to Andy. I was introduced to David Kelly. I was introduced to all kinds of people who, to this day, are some of my best friends in the world. Elizabeth opened the doors to these introductions. And, Pitch Johnson who was the chairman of the board at Boole & Babbage and a venture capitalist, took me around and introduced me to every venture capital firm in Silicon Valley at the time. I started working with startups and venture capitalists. Advertisement He took an interest in my business. He was incredibly generous. To hear the clip, click here Here's how she stays a step ahead of her competitors: Peggy: Every three months I sit down and I try to define what tomorrow's agency looks like, what the agency of five years from now looks like. I put it on a board, make a few notes. Then we'll have retreat for the company. I take all of these notes, split them up, give them to different teams, and say, "Go brainstorm this." Steve: Because if you don't do it, some competitor's doing for you. Peggy: Absolutely. To hear the clip, click here Listen to my full interviews with Jessica and Peggy by downloading them from SoundCloud here and here. (And download any of the past shows here.) Tune in Thursday at 1 pm PT, 4 pm ET on Sirius XM Channel 111. This is a Black Lives Matter Banner in Charlotte, NC, November 2015. Camera - Canon 7D Mark II, Lens - Canon EF 200mm f/2L IS USM It seems a day can't go by without another report of a black man or boy killed by a policeman. The names of the men, boys and cities are like the table of contents of a horror story. Ferguson, Charleston, Baltimore, Cleveland, Tamir Rice, Eric Garner, Walter Scott, Freddie Gray... Advertisement Sterling was shot to death while being pressed to the pavement by two arresting officers. The scene was captured on cellphone video. The police claim that Sterling was armed. The video shows nothing resembling justification of the use of deadly force. The confrontation was started because Sterling, a father of five, was selling CDs in front of a convenience store. Castile was shot after being stopped for a broken taillight. The aftermath of the shooting was captured on video by his girlfriend, a passenger in the car. According to news reports, Castile told the police he was (legally) armed. When asked to produce his license, he reached for his pocket. Police claim that he was reaching for his gun and shot him to death. And so it goes in America. Black Lives Matter. Or is it All Lives Matter? Or, according to Dartmouth College's Young Republicans, Blue Lives Matter? The slogans are a distraction from the real issue. Yes, policemen's lives matter. All lives matter. But the reality in America is that Black Lives Matter Less. Predictably and justifiably, citizens of color and their white allies are outraged by the latest shootings. We can expect escalating protests, promises of full investigation and the likely exoneration of the police involved. This is the default pattern. Holding police responsible in the deaths of black boys and men is the rare exception, not the rule of law. In America, it's not that black lives don't matter. It's that Black Lives Matter Less. Advertisement The victims in nearly every case I've cited are vilified after the fact, thereby tacitly justifying the abuse of power. Eric Garner was selling cigarettes. Alton Sterling was selling CDs and had a minor criminal record. Philando Castile had a broken taillight. All over the country, folks of color are painfully aware that they may be stopped at any time for a crime known as DWB - driving while black. Black Lives Matter Less. White men carry assault weapons in big box stores to demonstrate their unfettered right to bear arms. A black man, John Crawford III, was shot to death for picking a BB gun off the shelf of a Walmart, a crime known as SWB - shopping while black. As I've written before, most every student of color in my school has been profiled, stopped and frisked or followed in a store. Few or no white students report these experiences. Black Lives Matter Less. And yet, when a police officer shoots a black man or boy without apparent provocation, we are expected to believe that race was not a relevant variable. It was illegal cigarettes, a toy gun, sales of CDs, a broken taillight, or a failure to immediately comply with an order. Yes, Black Lives Matter Less. We are steeped in a racist culture. Generations of black folks were enslaved, unable to vote, unable to own property, unable to accumulate the social and economic capital that most white Americans enjoy. Contemporary manifestations of this ugly legacy are everywhere, unless you're blind: poverty rates, incarceration rates, well-documented bias in employment and many others. Spare me the "I'm white and I'm poor" argument or the "look at Oprah Winfrey!" Racism is a vicious, systemic reality that cannot be disproven by anecdote. For all of my life, black men have been cast as villains and portrayed as less than fully human (Google "caricatures of Barack Obama"). Deeply flawed and highly publicized sociological nonsense claims that black people are less intelligent (The Bell Curve as one odious example, despite clear, less-publicized research that identifies racism and oppression, not lesser intelligence, as the cause of suppressed performance on standardized measures. Many Americans have a deep, subconscious conviction that black men are more dangerous and less intelligent than the white majority. Advertisement The details and legal implications of every one of these cases are unique, but the pattern is clear. Black boys and men are more likely to be shot by the police, even when all other variables have been accounted for. When policemen reared in this culture begin each interaction with a deeply ingrained fear of blackness and a subconscious belief of their own superiority, the outcome is not altogether surprising. BUENOS AIRES, ARGENTINA - MAY 23: Foreign Minister of Argentina Susana Malcorra speaks during a press conference after President of Argentina Mauricio Macri (not seen) announced her as candidate to be the next UN secretary-general, at Casa Rosada in Buenos Aires, Argentina on May 23, 2016. (Photo by Omer Musa Targal/Anadolu Agency/Getty Images) Oftentimes, the United Nations is the only bastion of hope for many people around the world in difficult circumstances. The United Nations that the world requires demands innovative, accountable and efficient leadership to guide it in adapting itself to the challenges that lie ahead. Informed by the foundational principles of the United Nations Charter, my vision calls for a United Nations that is: centered on people, planet and prosperity; driven by issues; and focused on delivering positive impact. Advertisement We must make this Organization work for the people and planet in a manner that promotes the greatest prosperity for all. It must be propelled by the need to address the issues at hand and not by organizational arrangements. An issues-based approach propels us from the silos that divide us to the issues that connect us. Through pursuing an issues-based approach that connects us and is centered on delivering sustainable impact in support of people, planet and prosperity, we are compelled to promote economies of scale, reduce unnecessary duplication, and be more inclusive and effective in our partnerships. In today's world, the only way to deliver positive impact is by listening carefully to people and working in unison with local, regional and international partners. The Secretary-General must inculcate a culture of humility in the daily work of the Organization in its effort to faithfully implement and support Member States' decisions and their decision-making processes. Also, it is not enough for the United Nations to effectively respond to existing crises. It must lead with the foresight to anticipate and prevent crises on the horizon. Advertisement Our focus on people, planet and shared prosperity must be premised on the guiding principles of sustainability and building resilience. Promoting sustainable development, as called for in the 2030 Agenda, reduces vulnerability in the long-term. Building resilience necessitates considering women and youth as an integral part of our solutions. Ensuring reliable financing for development is critical to reduce the vulnerability that incites conflict, generates humanitarian need and imperils human rights. We must think in new ways that profit from South-South cooperation, leveraging triangular support and private-sector engagement to foster sustainable and innovative solutions for all. Our actions must be imbued with respect for the primacy of national ownership. The Organization must enable collaboration with national and local communities and actors to strengthen their capacities as a first resort in all of its responses. In an era of great interconnectedness, the problems that confront us transcend borders. It is vital that we work to implement a viable and robust strategy for zero tolerance to terrorism together with a reinvigorated focus on disarmament and nonproliferation. The role of climate change and other environmental pressures in igniting humanitarian need and conflict must be considered. The Secretary-General must galvanize efforts to end conflict through preventative diplomacy and political dialogue, exercising good offices and leveraging regional partnerships. Advertisement All our efforts must be underpinned by the promotion of human rights. The Organization must spare no effort to use the tools at its disposal to promote human rights in a manner that is holistic, inspirational, up-front and that recognizes the responsibility that is incumbent upon sovereign states. Partnership and inclusivity call on us to build on commonality where it exists, but acknowledge that strength emanates from diversity. We must do more to leverage the influence, political and economic weight, and contextual knowledge of regional actors to promote peace, invest in preparedness and disaster-risk reduction, and cultivate prosperity. This approach demands a Secretary-General with the courage of conviction to listen to, heed guidance from, propose solutions to and work together with Member States, to find common ground, build confidence and cultivate trust. As Chief Administrative Officer of the organization, it is incumbent upon the Secretary-General to support the stewardship of reform and review processes in close consultation with Member States. It is also the responsibility of the Secretary-General to foster flexibility and nimbleness, and to provide the managerial oversight to hold the Organization accountable to its membership, to the people around the world it aspires to serve, and to the ideals codified in the Charter. Accountability implies transparency, performance, and due diligence, beginning with senior leadership and cascading throughout the Organization. It requires an organizational culture that is accountable, action-oriented and focused on impact. The Secretary-General must provide the visionary leadership and strong management to steer the Organization toward this culture. Such an Organization is also fully representative of our world, both in terms of gender and geography. I will strive to achieve these objectives, including gender parity at the top, as a key driver of change. Advertisement While serving the United Nations for over a decade in humanitarian, peace and security and management sectors, I have seen the Organization and its membership work closely together to devise creative solutions to respond to unprecedented challenges: This must become the rule. An entrepreneur is a person who sees a business opportunity and starts it with the objective of meeting the needs of people, taking risks to keep the business going while making money in the process if possible. In line with this definition, an online entrepreneur sees a non-physical need of people and uses another non-physical idea to provide solution to those needs by creating a presence on the internet to meet those needs. Creating an online business is very easy, you can just pay some amount of money to a skilled web designer to get a website designed for you, get a hosting company to host your website and give the website a world class business name. The next thing is just to have your goods and/or services displayed on your site. It is as simple as that. Advertisement However, being a successful online entrepreneur requires more than that and that is why these useful tools discussed below will help you to simplify your job and improve your services. 1.Skype Every entrepreneur knows the importance of good communication to the overall development of a business. You may have team members that you want to communicate with to discuss important issues that relate to the overall growth of your business. There may be contractors, clients and others that you have to communicate with on a regular basis. Skype is free, so you can test it without an investment plus it presents a good number of advantages. A few that stand out especially for business persons is the low cost of international calls when you use Skype for Business it also affords the possibility of having online meetings, messaging, calls and video with up to 250 people. The online entrepreneur's dream! 2.Shopify If your area of expertise is e-commerce, Shopify is the ideal tool for creating robust websites and storefronts either for yourself or your clients. Shopify has a good inventory management as part of its default settings. It also has the ability to help you process orders effectively while handling payment processing as well. Advertisement The servers have sufficient security, plus, Shopify's themes are very impressive and updated, nothing like a super duper attractive storefront right? 3.Keyword Planner According to Moz's beginner's guide to SEO, "Keyword research is one of the most important, valuable, and high return activities in the search marketing field. Ranking for the right keywords can make or break your website..." As an online entrepreneur, if ranking on Google and getting traffic is one of your goals, then Google Keyword Planner should be one of your closest companions. Apart from that, Majestic, Ubersuggest, Ferzy, and Semrush equally come in very handy. 4.Bright Journey Need some inspiration? Sure you do. Online business can be a tough nut to crack. On a daily basis, we hear success stories of individuals who have made fortunes from online businesses. People like Mark Zuckerberg, Larry Ellison and others make us daydream about how far we can go. Sometimes we wish to meet them and tap from their experiences to help us succeed as online entrepreneurs. This may be a pipe dream for a lot of people. However, Bright Journey has made efforts to make this pipedream come true. Advertisement On this website, you can post a question that will be answered by entrepreneurs with huge success stories to their names. The best answer will be voted for and displayed for people to see and learn from. 5.Google In his book, Increase Your Financial IQ, the world-renown writer and motivational speaker, Robert T. Kiyosaki wrote: "It is not real estate, stocks, mutual funds, businesses, or money that makes a person rich. It is information, knowledge, wisdom, and know-how... that makes one wealthy" In other words, to become a successful online entrepreneur, you must have access to the right information at the right time. This will help you to have insight into the current needs of your customers/clients so as to serve them better. Google is clearly king among search engines, but has been grossly underused for real time research. You can find whatever you need on Google and you can also monitor and follow trends, by using Google. You can find almost anyone and anything. 6.Meetup Networking is a way of getting to meet more people via social media that you will ordinarily not meet in real life. You can use Meetup to widen your business horizon internationally by networking with peoples and events that your business niche covers. Advertisement You may get tips and meet with individuals that may contribute positively to the growth and success of your online business. It's one of the worst cliches but here goes: they don't make 'em like that anymore. Sydney Schanberg, one of the all-time great journalists, passed away this weekend and the perilous craft of investigative journalism has lost yet another of its finest. He's probably best known for his courageous war zone reporting from Cambodia during the fall of Phnom Penh in 1975 and his chronicling of the savage genocide by Pol Pot and the Khmer Rouge. He won a prestigious Pulitzer for that but more importantly he showed the world another side of himself through his close relationship with his interpreter, Dith Pran. Schanberg and Pran saved each other's lives during this period and forged a bond that was later chronicled in Schanberg's best-selling book, "The Death and Life of Dith Pran." Advertisement That book made it to the big screen ("The Killing Fields") and the relationship between Schanberg and Dith, played by Sam Waterston and Dr. Haing S. Ngor, was witnessed by millions who learned of this brutal episode in history through their dramatic tale of friendship and survival. Schanberg was a prickly, hard-bitten journalist who didn't suffer fools. After his return from Cambodia, he was on the fast track at The New York Times: first as Metropolitan Editor and then as an Op-Ed columnist on The Times vaunted opinion page twice a week, covering metro matters. A column in The Times is generally akin to an appointment to the Supreme Court -- you either retire or die in that post. But Sydney Schanberg wasn't your typical columnist and he wasn't your typical Timesman. He always spoke truth to power, going after rapacious developers like Donald Trump and Harry Macklowe, and shining a light on other miscreants in Gotham. His unrelenting criticism of The Times coverage of Westway, a controversial waterfront road project that will forever be linked to Donald Trump, ultimately led to his journalistic demise. He was unceremoniously dumped from the Times op-Ed page and he became a media wanderer for the next few decades. Advertisement I got to know Schanberg in 1985 at The Times when I interviewed to be his assistant. We had a candid conversation, he seemed to like me and I walked out feeling good about my prospects. And then a phone call from him came two days later: "You were great, but I'm a sexist and I like to have female assistants so I'm not going to hire you," were the disarmingly candid words he uttered. "But I'd like you to freelance for me and do research for some of my investigative columns, ok?" Sure, I replied, and we vowed to get together in a few weeks to discuss this potential gig. It never happened, however, because just a few weeks later his column was discontinued and he was off to another newspaper, New York Newsday. Fast forward 15 years later and I was the publisher and co-owner of a group of weekly newspapers in Manhattan. I had an idea to start a political weekly newspaper, titled "City Hall," and I was looking for a high-profile editor. I called Sydney Schanberg. He was available and he liked my idea. For the next few months we worked closely together on this new newspaper. He was happy to create a hard-hitting publication that would shine a bright light on malfeasance in City government. He mocked up a number of front pages and interior sections in pencil, all with creative ideas and biting sensibility. This was in August of 2001. We planned a launch date of October that year. But alas, the Twin Towers came tumbling down a few weeks later and it was not a propitious time to launch a newspaper in lower Manhattan, where the air still reeked of 9/11. Advertisement Sydney Schanberg gracefully told me that he understood our poor timing and that he was going to move on but to keep in touch if we ever wanted to re-visit the City Hall newspaper idea. I eventually was able to launch that newspaper in 2006, five years later, incorporating some of Sydney's ideas and zeal for covering government. And now, as I plan the 10th Anniversary issue and gala for the publication we first conceived in 2001 (now called "City and State"), comes word of Sydney Schanberg's death at 82, of a heart attack. I hope that his passing can be a lesson to all of us who toil in media that we can give in to the better angels of our nature and be more like Sydney Schanberg. His reporting from Cambodia, his extraordinary friendship with Dith Pran, his tough-nosed columns about New York's rogues gallery of characters, they all added up to an extraordinary career. When I went to Columbia Journalism School in 1985, just before I met Sydney Schanberg, we were taught that good journalism "comforts the afflicted and afflicts the comfortable." Advertisement Sydney Schanberg's life work embodies that motto. The Summer of 2015, I often walked around Lake Merritt to buy groceries. The last segment of my journey took me under an freeway overpass. It was an enormous shadow made of pillars and concrete. Over my shoulder, water sparkled underneath a blue sky. Yards away, in front of me, I saw a dark silhouette against the summer's bright sunlight and recognized A--'s slow, deliberate gait. "Hey!" I shouted, and waved. A---- had been on my mind for days. The space between us closed. We'd met five weeks ago, at the Oakland Whole Foods. She's a graduate of Brown's M.F.A. program, a published poet, and educator. A---- identified as black, Native American and a survivor. "I had to drink a bottle of vodka to get out of bed this morning," she'd said. I glanced up, at the clock over the eating area, and saw that it was 8:30 a.m. Advertisement Close up, I saw her eyes. They were bewitching, a sea green color that lured sailors to their deaths and inspired poems. "How are you?" I asked. "Everything," she said, wearily. "Is worse." "Why?" "Gentrification," she said. "People - of a certain phenotype feeling like they need to display their power over other people of a different phenotype." I knew what A--- was talking about. In Whole Foods, she'd described the police brutality and gentrification of her Adams Point neighborhood as simultaneously occurring events. The more white people poured into the area, the more cops showed up. White people from San Francisco looking for affordable rent in the East Bay, Black people being pushed out of their neighborhoods. Advertisement Gentrification or real estate speculation? Then as now, I said nothing because I knew that, in this instance, whatever I might have said about race or racism or history would be irrelevant to her. The only facts that I could speak to with any authority was that we stood at the mid point of a freeway overpass in Oakland and that it was a bright, sunny summer day. The underpass was a parallel reality, a vast stretch of shadow that ran under the freeway in perpetuity. I took this route because I loved the overhead roar: the sound represented velocity. Right then, A---- walked away. I called out, and told her about a letter that I'd written petitioning for financial aid, how I'd used her advice and successfully managed to get funded. "Just like you told me to," I said. "I - " "Don't say that!" she laughed. "Not out here." "I can't believe I got it," I said, wondering who she was worried about hearing our conversation. We were alone except for a stream of cars that passed, drivers sealed off behind the closed glass windows. Advertisement "Of course you got it, baby, they're making money off of you." A Black man ran by. He held an Walkman and earphones. I recognized him from the corner gas station beside a freeway off ramp and where he detailed cars under a giant blue umbrella. He moved swiftly, feet almost not touching the ground, like Hermes. "Hey!" she said, her body pulling away from mine and moving towards him. "I've been looking for you!" "I gotta go!" he said, head half turned over his shoulder. "Can I go with you?" A---- asked. "Nope," he said. "I need my personal time!" "But I got you half a sandwich," she shouted but he kept running, slipped out of the darkness and into the sunlight. "He's a Gemini." "Your book of poetry is amazing," I said. "Did you write it at Brown?" "Brown had nothing to do with that book," she snapped. "I went to Brown with that manuscript." "But - " "I was reading Tristan and Shandy when I was four." "Wow," I said. "Still they only take four people a year." "I was their affirmative action baby," she said. A----'s dress was beautiful, a knee length black cotton shift dress that hung on her slim, brown shoulders, the bottom edge decorated with a spray of embroidered flowers. The thread caught the light. Pink, yellow, red, green, white. I thought about what I might say: Can I - should I - would I - you let me give you - But I said nothing. Anything I offered or said would sound like another white person's empty bribe. Advertisement * "Okay well bye," I said, turned and left the tunnel. The bright, July light made my eyes seize up. I walked to a coffee shop and read an article in the New York Times about academic research into twenty generations of American slavery following Bacon's Rebellion in 1676. Apparently, America was not the first country to have perpetrated slavery, but we were the first civilization to have done it with such exquisite precision. Reading comments that radiated incoherent rage, denial and subterfuge, I was both given pause and disoriented. All I could think was, 'Are white people really this stupid?' I watched a man outside gather signatures. Democracy in action. I thought about moments when I've allowed my consciousness to open up to the reality of race and racism in American. I am always destabilized. Possibly because I don't labor under the delusion that what I have suffered as a Queer bears any relationship with the central actors in our nation's never ending tragedy. My pain is not never will be the same yet whenever I open that vein the pain of our collective grotesquerie that flows is sharp as razor blades drawn up and down my skin. Advertisement Whitney Houston's voice interrupted my thoughts. "Didn't we have it all... " She'd performed that song in Saratoga Springs. In the YouTube clip, she's at the beginning of her life and near the end of a four and a half minute set. It's winter yet sweat beads cover her face. One gloved hand on the microphone, she raised her free arm, brought down her other gloved hand, and quieted the orchestra. She paused, and looked into the audience, her lips turning up into something like a smile. Her brown eyes widened and pulsed. Beauty, her face was graced with infinite tenderness and wisdom and forgiveness, the sum of which as it turns out isn't sustainably embodied by mortals, even those who are pop stars. I thought about A---- and how her smile lit up the dark tunnel, a dazzling confection of brains, poetry and verve. Our parting embrace was brief. When we touched, she flinched and withdrew. I'd failed to find the right words. But my failure was not mine alone. It was something I shared, a collective decay of the rotten social body, hung and nailed to a broken cross. Advertisement How was it that I'd survived the AIDS epidemic and recognized that police brutality was a crisis, too. But there was no pill in the pipeline, no quick fix, no cure. Yet that crisis was all around us, in the air, everywhere if you cared to open your eyes or look. And if you looked that was another problem. Because were an entire nation of people to have looked, and seen and felt, that would cause mass psychosis and required immediate suicide of the entire white race. * I didn't sleep that night, and I wondered if that's our fate. To be up all night, eyes closed but awake. My inner vision's a movie screen upon which our nightmarish history plays. I'm bitten by mosquitos that buzz right before they bite, and suck blood. I cannot stop itching. The pain is unbearable. Advertisement "Gentrification ... " A---- said. "People - of a, ah, certain phenotype feeling like they need to display their power over other people of a different phenotype." I laid on my back, palms together and prayed. A close-up photo of police lights by night The last four weeks have been awash with bullets, blood, and tears in America. From the killing of 49 mostly LGBT Latinos/as at the Pulse nightclub in Orlando, to the slaughter of apparently innocent young Black men at the hands of police, to the assassination of five police officers by a deranged sniper at an otherwise peaceful protest in Dallas -- the last month has left us collectively in shock, in despair, and yearning to make sense of it all. There are some common threads that stitch together all of the recent distressing events into valuable lessons that, if heeded, will pave our path forward. Advertisement We need much more sensible regulation of gun possession and use, including the return of the assault weapons ban. We need clearer and more reasonable standards for the application of lethal force by police -- standards that permit the use of deadly force only when absolutely necessary to protect the life of the officer or others nearby. We need more technologically advanced police tools that incapacitate but do not annihilate. And we need consistent and enforceable nationwide training for police serving communities of color. Our diversity as a nation is our biggest strength, but also at times our biggest challenge. We must learn, as Americans, to understand and appreciate one another better. To bridge our differences with what we share. To eradicate hate with hope and compassion. And, most especially, to recognize that at times we perceive difference and distance where, in reality, there only is unity and shared experience. Unity In the wake of the suspicious and troubling police killings of Alton Sterling and Philando Castile, Chad Griffin, the president of the Human Rights Campaign, tweeted: "Just as @NAACP stood w/ us after Orlando, we stand w/ them in demanding accountability for the murders of #AltonSterling & #PhilandoCastile." This was a strong statement of solidarity with the NAACP coming from the leader of the most visible organization in the nation promoting equality for lesbian, gay, bisexual, and transgender people. It was an especially welcome expression of fellowship in light of the American LGBT rights movement's longtime struggle to recognize and embrace the racial, ethnic, and other forms of diversity that helped fuel it since its inception. Advertisement As laudable as it was, Mr. Griffin's message still communicated a distance -- a separation -- that does not in reality exist between African Americans and LGBT Americans. We are standing with them "just as" they stood with us? They are Black. We are... what? Not Black? Huh? Of course, the truth is that there is no such separation. There are countless Black people, including fierce straight allies, in the LGBT movement, and there are many LGBT people in the racial justice movement -- including at the helm of Black Lives Matter and related initiatives. LGBT African Americans abound. The LGBT community reflects the rainbow. The rainbow flag, in fact, is a fitting symbol of our motley movement. Perhaps recognizing the apparently inadvertent "Black v. LGBT" distancing in his earlier tweet, Mr. Griffin later tweeted, perfectly: "The LGBTQ community is as diverse as the fabric of our nation. And violence aimed at any of us is violence aimed at all of us." Yes. "Not Isolated Incidents" The smartphone videos capturing some of the final moments of the lives of Alton Sterling and Philando Castile have generated nationwide outrage. The videos themselves, as well as the accounts of witnesses, strongly suggest that the police killings of both men were unjustified. Investigations are underway and the public awaits the full details of both killings, but from the abundant evidence now on public view it appears that neither man posed a threat to the lives of the respective police officers, neither man brandished a weapon, and neither man deserved to die. Worse yet, as President Obama himself recognized on July 7th, "these fatal shootings are not isolated incidents" but "symptomatic of the broader challenges within our criminal justice system, the racial disparities that appear across the system year after year, and the resulting lack of trust that exists between law enforcement and too many of the communities they serve." The statistics are sobering. A 2015 Guardian study found that the "rate of police-involved deaths" for young African-American males "was five times higher than for white men of the same age." The same report noted that approximately a quarter of the Black people killed by police were not armed (in contrast to only 17 percent of whites). And these are just the extreme cases. Late last year, the University of Chicago's Center for the Study of Race, Politics, and Culture released a study documenting that well over half of African-American young people reported being the target of police harassment or abuse or knowing someone who had been the victim of such racist policing. The evidence is indisputable that the relationship between the American law enforcement community and African Americans is fundamentally more troubled, and of an entirely different nature, than the relationships between police and the other communities they serve. Whereas many Americans view police as sources of safety, security, and comfort, many African Americans regard police as representing precisely the opposite. Sadly, as shown by the plethora of evidence over so many years, the distrust is not without basis. Another Symptom of the Same Malaise African Americans have borne most of the brunt of police brutality. But abusive policing is not alien to the LGBT community generally. LGBT Americans of all races have long been harassed and brutalized by bigoted police. It was police raids like those at L.A.'s Cooper's Donuts in 1959 and the Stonewall Inn in 1969, in fact, that helped accelerate the movement for LGBT rights. At a time when it was against the law to serve alcoholic drinks to gay people, and for gay people to dance -- never mind have sex -- with each other, gay social establishments across the country were easy and frequent targets for police harassment. Not coincidentally, these catalytic events in the LGBT movement occurred in the midst of similarly influential events in the African-American civil rights movement. Before the Supreme Court invalidated sodomy laws as unconstitutional in its 2003 Lawrence v. Texas decision, states were able to criminalize homosexual sex and, as a consequence, gay identity. Many did, leading to the categorical mistreatment of LGBT Americans by police as a "criminal" element, even in those jurisdictions without an enforceable sodomy law on the books. Police harassment and abuse of LGBT people, but especially Black and Latino/a LGBTs, persists as a prevalent problem. In a March 2015 report, UCLA's Williams Institute concluded that "[d]iscrimination and harassment by law enforcement based on sexual orientation and gender identity is an ongoing and pervasive problem in LGBT communities" and that "such harassment and discrimination is greatest for LGBT people of color, transgender persons and youth." Advertisement Even after Lawrence struck them down as categorically unconstitutional, the continuing presence of sodomy laws in many state criminal codes has encouraged homophobic and transphobic police officers to harass and abuse LGBT people across the nation today -- going so far as arresting and subjecting gay and transgender people to expensive, degrading, and pointless legal proceedings. As Joey L. Mogul, Andrea J. Ritchie and Kay Whitlock document in their book, Queer (In)Justice: The Criminalization of LGBT People in the United States (Beacon Press, 2012), LGBT Americans have been the victims of abusive policing in many areas of our lives. From "lewd conduct" arrests following police entrapments, to the harassment of gender-nonconforming LGB or transgender people for using the "incorrect" bathroom or wearing "gender-inappropriate" clothing. From abusive policing of transgender women for "walking while trans," to the rape of LGBT sex workers by police officers themselves, and, ultimately, to the killing of trans people at the hands of police - even, in the case of a disabled transgender man, as recently as February 2016. "Dignity and Respect" for All So, we are reminded that racist police brutality indeed is an LGBT movement issue, both because many members of our community are Black and brown and because the LGBT community as a whole, too, knows the trauma of abusive policing. In an Op-Ed last year entitled "It's Time for All LGBT People to Care About Police Brutality," Black LGBT activist Samantha Master wrote: "The pursuit of justice is not complete until every human being -- regardless of who they are -- is treated with dignity and respect." She's right. In a separate piece, Esperanza Garcia and Ty Brooks wrote: "It's time for all of us to honor the LGBT community's own rich legacy of protest and resistance against police brutality." I agree. The young Black men who have died at the hands of police most recently appear not to have been members of the LGBT community. But their deaths hit home to many of us who also have been the targets of prejudiced policing. Racist policing threatens all Americans who have been at the brunt of brutality and bigotry in law enforcement. It is another manifestation of the same disorder -- another symptom of the same malaise. Racist police brutality threatens all Americans, regardless of race and sexual orientation and gender identity, who depend on a fair and evenhanded police force to keep, promote and model peace. It throws into question the legitimacy of our legal system. It desecrates our social compact. It endangers our very civilization as a people. And we must put an end to it. Together, and now. Whether you're moving into a smaller space, moving in with a significant other, or simply want to pare down as you look for a new place, downsizing your home is not always an easy proposition. Rather than tackling the task blindly, it's important to make a plan and execute it with intention. Use the following expert tips to learn how to downsize your belongings and declutter your home this summer. Start early Downsizing is not an overnight event. Getting rid of "stuff" is often an emotionally charged process and, if rushed, stress levels can rise like the mercury in July. Instead of trying to attack all your clutter in one weekend, plan your stuff-shedding process over the course of a few weeks. Tackle big projects room by room. As you get closer to your moving date, treat yourself to a tasty dinner out or listen to an entertaining podcast while you're decluttering to keep up momentum. Factor in time to list and sell items of value that need a new home. But remember: Moving unwanted items to your new home is a no-no. Even if those items were expensive to acquire, holding on to them will cost you more in the long run. Organize the chaos It can be easy to drift from room to room aimlessly working on an area and flitting to the next when you hit a stumbling block. Should I keep these cake pans? I don't bake, but they were Grandma's. I'll work on the hall closet instead. Advertisement Rather than creating several small messes, set goals and tackle your clutter room by room. Josh Becker, author of the New York Times best-seller The More of Less, suggests using the four-box method: trash, give away, keep, or relocate. Each item in your home needs to classified in one of the four categories and be dealt with. Set ground rules upfront to keep yourself on task -- once you touch an item, you must decide its fate. If you're unsure, place it in the give-away pile for 24 hours. If the day passes and you haven't thought about it, then toss it. Let go of guilt If you've inherited items you're keeping out of guilt, now is the time to divest yourself of the burden. "Make a list of the things you've inherited. Consider each one and ask if you're enjoying this thing in your life, or if it is best to let it go," says Brooks Palmer, a decluttering expert and author of Clutter Busting: Letting Go of What's Holding You Back. "For most people, if they knew that you don't care for the inherited item, they would want you to let it go." Define clear priorities for your new space and sell or donate items that no longer fit your narrative. You will have more breathing room, and hopefully make some decent cash to offset your moving costs. Eliminate multiples Storing four huge soup pots in your 3,500-square-foot home wasn't a big deal, but wedging just one into the kitchen of your new one-bedroom apartment is going to be a challenge. When it's time to downsize, discarding multiples is a no-brainer. Turn a critical eye to your "keep" pile and question the necessity of each addition. Just because you've always had an overflowing linen closet doesn't mean you need five sets of sheets at your new place. (Let's be real: You probably wash and use the same set week after week anyway.) Clear out the clutter and create some space. Fall in love Invest in quality, not quantity. When you're in downsizing mode, begin to think of your things in terms of love. Not the romantic kind, but the "if you don't love it, then get rid of it" kind. Sell or donate those five black winter coats you bought on sale and invest in your dream coat. Not only will your closet feel roomier, but you'll also have a smile on your face when you put on that new coat that you love. Advertisement Get help When your car is sick, you take it to the mechanic; if your leg is broken, you see a doctor. If your home is overflowing with items and you're apprehensively staring at a move-day calendar, it might be time to call in the professionals. The National Organization of Professional Organizers (NAPO) offers a set of questions to ask potential organizers. NAPO also suggests choosing a professional organizer based on personality and skill set rather than price. An organizer with a skill set that best matches your needs is most likely to deliver the greatest value by helping you achieve the results you desire in the shortest amount of time. If you're short on cash, consider asking a trusted, well-organized friend to help you with the process. When Shirley and Dick Wilson downsized from their three-bedroom Pleasant Hill, CA, rambler to a one-bedroom condo in San Francisco, CA, they asked their longtime friend and neighbor to help them with the process. To avoid conflict, they set some ground rules upfront. The Wilsons' friend had absolute power to make decisions, except for three vetoes each from the Wilsons. The arrangement worked wonderfully. The couple learned how to downsize quickly -- and they're all still friends! Have you made the move to a new, smaller place? Share your tips on how to downsize in the comments! Also on HuffPost: conception on family and home... By now, the term "politically incorrect" has become a rubber chicken with which to beat one's opponents. Invoking it simply means you feel like saying (maybe shouting) something nativist, misogynist, racist, or homophobic and you damn well will, because it's your right as an American. But there is a subtler form of self-censorship crossing over our political divides, a social correctness. I mean our collective unwillingness or sheer inability to discuss class in any real way. Think of the tepid, nervous fashion in which our pundits and politicians approach the problem of class stratification. If you took what you heard on the hustings and the Sunday television roundtables seriously, America consists of a vast "middle" hemmed in by small layers of "rich" and "poor" people at the top and bottom, both of which are caricatured to absurdity--Donald Trump versus a homeless person. Advertisement What we can't discuss in public is class as it's actually lived, as Americans assess their status and that of their families--one's own neighbors versus neighborhoods where one would be neither welcome nor comfortable; who is marrying up and who is sliding down; who should be invited to a family event, and whom one hopes will not come. Think about it this way. While it is obviously inappropriate to label someone to their face "white" or "black," "man" or "woman," "gay" or "straight," we all know who we are in terms of those social identities, and others know it too. We speak from those positions and address others likewise, and it is hardly rude to acknowledge these facts in conversation. But to refer in any way to someone's class background, or even one's own, is shockingly gauche (to use my mother's word). Some of us are lucky in every possible way. Take me--I'm not just a white man, I'm a white man of (mostly) Anglo-American ethnicity. My parents and siblings went to the best colleges, there was inherited money, they sent me to elite schools, we're Episcopalians--you get the drift. But other than describing myself as "privileged," which might mean nothing more than white skin and male physical characteristics, I can't talk about these facts without coming off as weird or a snob. I have no doubt colleagues or friends have called me a "WASP," but that hardly gets at class: white sharecroppers like Elvis Presley's or Johnny Cash's families were just as much white Anglo-Saxon Protestants as me, as were my ancestors among millions of farmers and storekeepers across the Northeast. But nowadays it's an assertion of class privilege to acknowledge your actual privilege, and worse to note that someone else doesn't have it. To say about someone, "like me, she/he comes from an upper-class background," or, "as a working-class guy, he knows more about manual labor than I do," is as inappropriate as can be. Advertisement Consider this: if I were to address black fellow professors as if we were the same, I would look like a fool. They have to live with campus security treating them as intruders, and that's the least of it. If I presumed I was in the same boat as female colleagues, they would quickly disabuse me regarding work-life challenges, student expectations, and much more. Only an ostrich cocooned in his privilege pretends "I don't see gender" or "I don't see color." But rather than generalized anecdotes, I'll make it personal. Growing up, my family was infused by class awareness. There were constant subtle reminders of who we were versus others. Just because bourgeois white Protestants express their class antipathy via ethnic allusions doesn't obscure the social hierarchy. Distance from working-class whites was typically via Catholicism. Instead of calling someone "vulgar," they were referred to as an "Italian Catholic," slightly worse than the Irish variety. Or my beloved Aunt Binnie, turning upon her granddaughter with a sneer at "your Polack boyfriend!" But it was never clear-cut. Long before we all married outside the caste, a private language was used--saying someone was "of good family," or to mitigate someone's origins, "well, they're very fine people." People experience lives bounded by class as much as gender, even if the two operate differently. Whereas "trans-gender" is talked about as a new idea, the forefront of personal liberation, the ubiquity of the "trans-class" myth is at the heart of American ideology. And myth it is too, as your parents' class is the best predictor of anyone's life chances in wealth, social and professional standing, or educational level. Social mobility takes place mainly in the interstices of a particular class, so Trump moves from the solidly rich to the super-rich, just as millions of black and white southerners moved north and into the industrial working-class in the 1940s and 50s. But most of the time if your father drives a truck and your mother takes care of other people's bodies as an LPN, you will need extraordinary self-determination, luck, or some rare talent (the one in a thousand who can play professional sports) to move out of, rather than within, that class. Conversely, the eight percent of American families who can afford the $60,000-plus annual cost of a good private college will mostly reproduce themselves, unless they are too lazy, cheap, or old-fashioned to invest in the tutoring, SAT prep, private college consultants, and "enrichment" to leverage their kids into reputable institutions and set them on the right life-course. And then there's the ultimate class privilege guaranteeing the bourgeoisie can reproduce itself, the "legacy preference," which will get a significant number of their progeny into colleges they might not otherwise have earned (Princeton, in my and my family's case). SAO PAULO, BRAZIL - JUNE 15: Brazilians linked to LGBT movements are protesting in solidarity with the victims of the terrorist attack that killed 49 people in gay nightclub in Orlando, Florida last Sunday on June 15, 2016 in Sao Paulo, Brazil. In Brazil, a homosexual is killed every 26 hours. (Photo by Victor Moriyama/Getty Images) On a Sunday, July 3, protesters in Brazil took to one of the main avenues in Sao Paulo to show their support for Congressman Jair Bolsonaro (PSC-RJ), who is a defendant before the Supreme Court for inciting rape and is under investigation by the Ethics Committee of the House of Representatives for having praised a torturer of Brazil's military dictatorship during President Dilma Rousseff's impeachment vote. The Congressman is known for his homophobic, racist, misogynistic and xenophobic statements. He is also a potential candidate in Brazil's 2018 presidential election and has more than 3 million followers on his Facebook page. On the same day, media published articles about the death of Diego Vieira Machado, a student of Letters at the Federal University of Rio de Janeiro (UFRJ). The 29-year-old Diego was found dead in the Fundao campus, located in the northern region of Rio, semi-naked and showing signs of abuse. He was gay, black, poor and was born in the north of Brazil, and according to friends he had suffered several threats. As of the publication of this article, there are four possible suspects. Advertisement A day before, the victim was a 24-year-old named Andre Felipe Colares. He was found dead after a graduation party at the State University of Montes Claros (Unimontes), in the state of Minas Gerais. He had a cut on his neck and showed signs of torture; both eyes were pierced by toothpicks, his pants and underwear were down near his ankles. According to his family, Andre was gay. In June, at least three other crimes related to LGBT-phobia were featured in the Brazilian media. In Rio de Janeiro, the body of 24-year-old Wellington Mendonca was found in Olaria, in the northern region of Rio, shirtless, his face disfigured and bloodied. In Manaus (AM), a 21-year-old transvestite identified as Gabriel Vieira Lima was stabbed in the neck by two men on a motorbike. Although the police registered the crime as robbery (a theft followed by death), nothing was taken from the victim. Two days before, two gay teachers of a public school in Santaluz, Bahia, 260 km from Salvador, were found inside the trunk of a car on the side of the BA-120 road. Both the vehicle and the bodies were charred. Advertisement All of these crimes took place in less than one month and were just the ones featured in Brazilian media. An Epidemic Of Hate According to the Grupo Gay da Bahia (The Gay Group of Bahia), one of the few organizations that publish data about crimes motivated by LGBT-phobia in Brazil, up to now 160 gays, lesbians, bisexuals, transsexuals and transvestites were murdered in 2016. The number was 319 in 2015 and 1,600 were killed in the last four years. These numbers, however, do not reflect the real violence against Brazil's LGBT population. As there is no federal law to force police stations to register crimes motivated by LGBT-phobia, it is very difficult to tell the exact number of real victims of this kind of violence. According to current estimates by Grupo Gay da Bahia, at least one LGBT person is murdered every 28 hours in Brazil. This alarming trend propelled Brazil into the headlines of international media that was still recovering from the shock of Orlando, where 49 people were murdered in a gay nightclub. In an article published by The New York Times in early July, Brazil is depicted as the epicenter of an "epidemic of anti-gay violence" and a country that kills the largest number of LGBT people worldwide, in absolute numbers. Jair Bolsonaro is a controversial politician in Brazil, where he is known for regularly employing homophobic, racist, misogynistic and xenophobic speech. Advertisement The rise of conservative and LGBT-phobic speech by public figures like Jair Bolsonaro, Marco Feliciano, Levy Fidelix, Magno Malta, Silas Malafaia, Ana Paula Valadao -- besides deserving of the hashtag #SantaIndignacao (#HolyIndignation) -- is one of the main factors contributing to the deadly wave of violence against Brazil's LGBT population. One Of Us The murder of Diego Vieira Machado is the most recent case of homophobia reported by the media, but judging by the statistics it is likely not the latest case. If an LGBT person is killed every 28 hours, at least three others have died since Diego's body was found near of the Guanabara Bay on July 3rd. Diego was born in Belem, the capital of the northern state of Para, and moved to Rio de Janeiro in 2011 when he was admitted to the university. He studied Letters, attended the Architecture and Fine Arts College, and was considering a transfer to study advertising. Because of his low income and coming from out of state, he got a monthly allowance of R$ 500 and was entitled to university housing. In April of this year, Diego denounced in his Facebook page a case of aggression and rape of a student by security guards of a construction site inside the campus. According to some members of his family, this may be another motivation for the crime. The case is still under investigation. According to friends, Diego was like that: he didn't take things lying down. The homophobic and racist slurs and aggressions leveled against him were never ignored. Advertisement His resistance turned him into a target. In an email sent over the university's internal network, a group entitled "Juventude Revolucionaria Liberal Brasileira" (Brazilian Liberal Revolutionary Youth) threatened scholarship students. "Be careful [...] We will start with a certain student who claims to belong to a minority group and complains of oppression for being homosexual, and who enjoys smoking pot and other stuff," read the message. There is still no proof that this message refers to Diego, but it certainly reflects the mood of intolerance and discrimination against social minorities in the university. Moreover, the poor housing conditions have been denounced by the students for some time now. According to them, overcrowding, poor hygienic conditions of the buildings (where at least 250 students live) and the constant feeling of insecurity are their chief complaints. These are all a result of a complete and total disregard for those who rely on the university housing to study. In order to repudiate Diego's murder, UFRJ's Central Student Union "Mario Prata" issued a statement denouncing the safety problems inside the campus and in the housing facilities. "It is a sad moment for all UFRJ students. One of us is gone. We cannot but denounce the lack of security, the situation of vulnerability and the daily violations to the rights of the residents," reads the statement. "We need more security! Security to be able to circulate in the campus without the fear of having not only our belongings stolen, but our bodies and lives raped." Advertisement The statement reflects the very real fear within the LGBT population and the feeling that they might leave their homes and never come back alive, not simply in Rio de Janeiro, but the whole country. Nevertheless, there are people who say this is a "general" problem, that violence hurts everyone and not only gays, lesbians, bisexuals, transvestites or transsexuals. That's true. Every day someone is a victim of homicide, robbery and assault, but straight people are not familiarized with the kind of violence motivated by hate. After all, nobody is killed, beaten or tortured for being heterosexual. On the other hand, women die simply because they are women; black people die simply for being black and LGBT people die simply for being LGBT. Diego died because he was gay, black, poor and came from the northern region of Brazil. He wasn't the first one and, sadly, he won't be the last. As people continue to praise fundamentalist preachers and politicians that think they are entitled to push their beliefs and dogmas down our throats, this sad scenario is unlikely to change. LGBT-phobia is constantly regarded as natural and many people hide behind the claim of freedom of speech to validate their prejudiced discourses. Advertisement Hate speech, which perpetuates discrimination against social minorities, is not an opinion. Jose Escolar Gil fighting bulls take Estafeta corner during the third running of the bulls at the San Fermin festival in Pamplona, northern Spain, July 9, 2016. REUTERS/Eloy Alonso It's cruelty masquerading as celebration. Terrorized animals, thrust into a world of screaming, slick surfaces, physical torment, and, in the end, serial, protracted stabbing. It's the annual eight-day running of the bulls in Pamplona, Spain, as four dozen animals are chased down narrow cobblestone streets among thick, taunting crowds. They are funneled toward the bullring in town and later finished off by the picadors and matadors with their cutting swords and knives. It's only by suspending any empathy for the animals that any participant or spectator to this carnage can deem this a joyful experience. Advertisement In the run-up to this year's spectacle -- after assembling investigative footage from 2015 -- Animal Guardians and other groups (including Humane Society International) have released a video that tells the real story, stripped bare of the senseless reverie and phony thrill-seeking that accompanies it. You'll see how the whole thing culminates for the animals -- with open-air, unpunished acts of malicious cruelty. Besides the running of the bulls, thousands of bull fiestas are held across Spain each year where, once again, the animals are tortured and killed. At fire bull fiestas, for instance, bulls' horns are set alight and the animals teased by onlookers while firecrackers explode all around. This week, HSI and its partners won a long battle when we succeeded in putting a stop to this year's Toro de la Vega, which takes place annually in Tordesillas, Castile and in the Leon region of Spain. The event involves hordes of spear-wielding men on horseback chasing and stabbing a bull to death. We are zeroing in on the world's most appalling spectacles of malice to animals, including bullfighting. And there's growing worldwide disfavor with this gratuitous violence toward innocent animals. Between 2011 and 2015, there was a 25 percent decline in the number of bull fiestas. A poll commissioned by HSI last year found that 74 percent of Spaniards opposed the Toro de la Vega event. Many of the bull fiestas are partly or wholly funded by public subsidies, and the poll showed that most citizens did not think public funds should be used to support them. Some Spanish cities, such as Calonge, Tossa de Mar, Vilamacolum, and La Vajol, have independently outlawed the practice of bullfighting and, in 2012, historic legislation came into force after politicians voted to ban the outdated tradition in the Spanish region of Catalonia. Advertisement Taking on battles to end animal cruelty in foreign cultures can be difficult, as we often find ourselves aligned against apologists who invoke tradition and culture as a defense for cruelty. But with persistence, we can pull back this cloak of culture with the result that sensible people will recognize naked cruelty when they see it. HSI has, over the years, won some pretty impressive battles, like ending the world's largest animal sacrifice at the Gadhimai festival in Nepal, where half a million animals were slaughtered. In Spain, and in other countries, we will continue our fight against outmoded and cruel blood sports, including those that masquerade as tradition. For the past seven years, the British government has done something the Obama administration opted against, mounting a painstaking official inquiry into the origins and conduct of the Iraq War. On Wednesday morning, the report of the Iraq Inquiry landed in London with the impact of a political hydrogen bomb. It even got a little news media coverage here in the US, home of the actual inventors of the Iraq War. It should have gotten a lot more, because the target of the report was by far Bill and Hillary Clinton's greatest global ally in the first Clinton presidency. His woes mirror theirs, with the only major distinction being his much more central role in pushing the Iraq invasion and the vastly greater focus on how the Iraq debacle unfolded in the British media and political systems than in the US. Definitively blown away, perhaps for all time, was the reputation of former Prime Minister Tony Blair, the longtime friend of the Clintons and the Labour Party's most electorally successful leader in its history. Blair had become highly controversial as the Iraq War unfolded; popular anger and distrust rose and hardened even as he won a third, final and ultimately foreshortened term as prime minister in 2005. Advertisement Tony Blair's near two-hour response to the Chilcot Report on the Iraq War. More than half of it consists of a press conference. Though Blair gamely appeared for two hours, most of it in press conference mode, to respond to the report presented by Whitehall mandarin Sir John Chilcot, his evident regret was to no avail. For, as it dawned on me while watching the performance somewhat incredulously on the BBC as it unfolded, Blair never actually admitted that he was wrong to push Britain into the world historical blunder that was the 2003 invasion of Iraq. It seemed obvious that no one was going to forgive him if he refused to admit that he was wrong. And what was he apologizing for if not the disaster he helped to create? For it was the invasion of Iraq that halted what had been a brilliant run for Blair as prime minister. And it was the invasion of Iraq that cast his evident empathy and earnestness in a new and quite damaging light. Advertisement As the debacle, embraced by virtually the whole of Blair's till then popular Labour government, unfolded, and as Blair's hyping of simply ludicrous claims became evident, faith in political elites fell precipitously. The hollowing of the economy for most, well underway even since the days when Blair and the Clintons embraced and promoted a "Third Way" politics of mostly pro-corporate centrism, only accelerated with the financial crash. That big post-financial crash winners turned out to be financiers largely aligned with the Clintons and Blair -- who themselves became rich after leaving office -- only added to the popular cynicism and outrage. There was a time when it seemed Blair, who won a sensational landslide victory in 1997 to end 18 years of Conservative dominance in the UK, would become the premiere world statesman. His theme song, D:Ream's 'Things Can Only Get Better' seemed, for the most part, to come true in his early years as prime minister. The national health service was strengthened, education reforms were undertaken, major environmental moves were made on climate change and renewable energy, a Northern Ireland peace accord was achieved, among other things. And Blair also moved decisively on successful military interventions in the Balkans -- where he pressured an initially balky Bill Clinton administration to do more -- and Sierra Leone. These were bold yet very well-considered moves, quite unlike Iraq, and ended up helping the great mass of people in the affected areas. But it all came undone for Blair after Iraq. His coziness with the City of London, the British equivalent of Wall Street, was viewed in a more outraged light and the usual problems of political hackery involving unwarranted perks were spotlighted in the glare. Worse still, the bonds of trust in the political class, which had seemed strong given Blair's empathetic gifts -- which more than matched those legendarily attributed to his friend and ally Bill Clinton -- were burnt to a crisp. Advertisement If anything, the deeper disappointment which Blair engendered with what was widely regarded as his betrayal on Iraq gave a heavy boost to a not entirely rational dismissal of the credibility of elites. Which is precisely what drove Brexit, the recently enacted referendum to take Britain out of the European Union. Riding a wave of Tony Blair-inspired enthusiasm after 18 years in the wilderness, the British Labour Party put out this 1997 pre-election broadcast built around the Blair/New Labour theme song, "Things Can Only Get Better." Indeed, as a definitive behind-the-scenes look at how the Remain campaign went wrong -- i.e., how the modernizers ironically missed the future -- published recently in the Guardian, makes clear, leaders of the Leave vote, entrenched conservative elites themselves pulling off a coup against their supposed friend Prime Minister David Cameron, quite cynically used the post-Iraq/post-Blair skepticism of the electorate to dismiss any expert opinion undermining their opportunistic agenda. Advertisement Hillary Clinton faces a similar dynamic. Donald Trump is no party leader, but he is even more an entrenched financial elite, and an even more breathtakingly opportunistic figure than any in Britain. Her one saving grace over her old friend Tony Blair is that she is not as stubborn as he. For Blair, unlike Clinton, at least on Iraq, simply won't admit the obvious. How did he come to make such a monstrous mistake? That's still mysterious, even after Sir John Chilcot's exhaustive report. The mystery has caused no end of desperate attempts to make sense of it all. Former Blair friend Robert Harris took a stab at an explanation in his best-selling roman a clef novel 'The Ghost,' made into a quite excellent Roman Polanski-directed thriller called, for more literally minded Americans, 'The Ghost Writer.' That Blair, spoiler alert, was really an unwitting CIA agent seems rather fanciful, especially since the CIA, to the extent there is only one version of CIA, wasn't really for the Iraq project, not being utterly daft. Whatever the cause, and some Bush biographers suspect that his and Bush's shared religiosity was a factor, the result has been sad and tragic. Advertisement Can Hillary overcome the massive reaction against the culture she's so much a part of? Fortunately for her, and us, Trump's opportunism is itself every bit as monstrous than Tony Blair's mistake. Probably more so, because, and this is very much part of the tragedy, Blair really was a force for good. Until he wasn't. By Michael Heyne What do TOMS Shoes, SoulCycle, Apple, and YETI Coolers have in common? Each of these companies has a powerful cult following of devoted customers ready to talk about the brand to anyone who will listen. Leaders in their respective markets, these companies have successfully cultivated fans who drive profit through repeat business and gather new customers through word of mouth. Brand love constantly spawns new customers at no additional expense to the company. Here are four ways you can help transform customers into walking advertisements for your brand: 1. Leverage Communities, Not Influencers In recent years, budgets have shifted from focusing on traditional advertising to bespoke influencer marketing campaigns. While these campaigns can be effective, they can also be costly and run the risk of appearing inauthentic. Consider going beyond finding a few influencers whom you must transform into brand ambassadors to instead finding satisfied customers and growing that existing relationship. Create an exclusive brand ambassador program and invite your repeat customers to partake. Ask for social media posts and mentions and in return offer them exclusive swag or discounts. YETI effectively accomplishes this by offering customers limited gear with purchase of products, secureing them a loyal following dubbed the "YETI Nation." Over time, you'll create an entire community of fans supporting your brand. Advertisement 2. Score With Reward Programs Prior to their infamous E. Coli outbreak, Chipotle Mexican Grill was very much opposed to reward programs. In 2015, Mark Crumpacker, Chipotle's chief creative and development officer said in an interview, "We don't believe the general supposition that loyalty will make less-frequent customers more frequent." Fast forward to today: Chipotle is currently rolling out its first reward program as a way to bring back once-loyal customers. Loyalty programs have become as important as having a company website. Your program should be complimentary and easy-to-follow. When developing your program, ensure there is also a component that offers rewards for referrals. This will encourage customers to promote your program and garner registrations. There are various software companies that have already developed loyalty program systems. All you'll need to do is brand what has already been created. 3. We Need To Talk One thing I tend to do is speak directly with our guests. As the co-founder of a restaurant chain, I'll visit restaurant locations and ask customers about their food and their feelings regarding the brand. From menu items to packaging suggestions, guests offer their input and I take every comment into consideration. In fact, earlier this year our company underwent an entire rebrand prompted from feedback from guests who referred to us as "VERTS," as opposed to our original name at the time, VertsKebap. We listened and decided to shorten our name and update our logo. Advertisement If you can't physically speak with patrons, ensure that you have feedback cards or surveys readily available. Take time to personally read online reviews and never be quick to dismiss unsatisfied clients. Share company updates and changes with them so they feel involved. Your customers want to be heard. By engaging with them, you will fuel brand devotion. 4. Impress For Success Create personal experiences your customers will remember. The experience you extend to your customer can be untraditional to your business. We recently launched a test kitchen in one of our restaurants where we offer guests complimentary samples of off-menu items. It's an unexpected surprise they wouldn't typically receive at a fast-casual restaurant chain. If your customers are spread across the globe, consider sending them handwritten thank-you cards or small gifts found locally. Sites like Mark and Graham offer a variety of personalized gifts with complimentary monogramming. The impressive gestures will create a lasting connection between your brand and customer. Originally published on Youthradio.org, the premier source for youth generated news throughout the globe. By: Soraya Shockley Last Tuesday, in Baton Rouge Louisiana, 37-year-old Alton Sterling was shot by police. The next day, in Falcon Heights, Minnesota police shot and killed 32-year-old Philando Castile. Both were black men, and videos of their deaths have been watched by millions on social media. Including me. These two videos aren't special. And that's what's so heartbreaking. What do you do with that information as a black person, like knowing that the graphic violent death of these men is not a special circumstance? Advertisement Not only has nothing changed between Philando Castile's death in Falcon Heights and Mike Brown's death in Ferguson, but in some ways, nothing's changed between these deaths and lynchings. How is this happening in this country that pretends to be this great free equal society? There's this split understanding of what it is to be an American versus what it is to be a black American. At the same time, how is it that I keep on getting tricked into believing that I am part of that society and not an 'other' in that society? So then the question really becomes, what else is there to do other than to keep moving? You've got to feed yourself. You've got to go to work. You've got to walk your dog. But you do all of those things angry and sad. Our society has put a bow on civil rights since the 1960s. "Voting rights have been passed! Freedom is won!" Which is total crap. And I think it's that national lie that we have been teaching young Americans for so long. This lie that we have fixed the issue...when we haven't. Advertisement And now, five more people are dead in Dallas -- this time police officers. There is nothing about those killings that is acceptable or right or just. But I worry that the two lives that re-started this conversation -- I fear that their deaths will get swept under the rug. If our country needs one thing today, it's healing. A tidal wave of grief has swept through our cities, flooding communities in every zip code across America. Two recent fatal, police-involved shootings -- claiming the lives of Alton Sterling in Baton Rouge, L.A. and Philando Castile in Falcon Heights, MN -- have caused anguish and outrage. This, followed by horror and sorrow at news of the Dallas sniper attack that claimed five officers' lives and injured seven more during an otherwise peaceful demonstration in Texas. In the aftermath, Americans, especially directly impacted communities and law enforcement agencies, feel exhausted and emotionally raw. These incidents have opened pre-existing racial wounds, exacerbated racial tensions and set back efforts to dismantle structural racism. Many members of the Black community and police officers both report feeling targeted, while hard-won progress on police reform falls under scrutiny in some of America's most beleaguered cities Over recent years, the Dallas Police Department, once notorious for police violence, has evolved into a model for police reform. Since taking the reins in 2010, Dallas Police Chief David Brown followed through on his pledge to work with activists and community leaders to increase transparency and accountability. Police training was revised to include de-escalation tactics. Reports indicate complaints of excessive force against officers fell by over 60% between 2009-'14. This is especially significant because the rift between law enforcement and communities of color has grown after numerous high-profile, police-involved deaths of Black men and women including Freddie Gray, Sandra Bland, Eric Garner and Mike Brown. As attempts grow to derail the focus from systemic violence long endured by Black communities, it's important to note that public outrage over officer-involved shootings and attacks targeting police is not mutually exclusive. It is possible to mourn loss of life on both sides. A social contract places the burden of trust on police officers sworn to protect and serve, but one tragedy does not erase or diminish the significance of the other. We can and should unequivocally condemn attacks on law enforcement while also demanding justice and accountability for victims of unjust police violence. Legitimate grievances do not justify illegitimate means. But if we are serious about healing our entire nation as opposed to appeasing parts of it, then we must acknowledge that the frustration and anger in America's Black communities are recurring symptoms of societal ills. Rooted in hatred and fear, systemic racism reinforces these ills and is deeply embedded in the fabric of our society. We must transform this hatred, conquer this fear, and deliver justice to those who have been wronged. People directly impacted by injustices must be part of the conversation about what that justice looks like to them. During times like this, our nation needs compassion and courage, understanding and empathy. We need honest conversations about privilege and prejudice in our homes and social circles. We cannot feel afraid to be present in uncomfortable spaces or to confront uncomfortable truths. People seldom recognize privilege from a personal perspective. Our lenses are often obscured by our environment and experiences. But we must acknowledge that this failure to recognize privilege does not mean that those of us who have it do not benefit from it. If we do not need to have conversations with our youth about how to survive police encounters, we enjoy privilege. If we are able to walk down city streets without being suspiciously stopped and questioned, we enjoy privilege. As a non-Black activist of color, I am acutely aware of my privilege as I write this. Ethnic and racial minorities in America benefit from the Black struggle and the White supremacist ideologies that perpetuate it. It is the responsibility of those of us who are not Black to understand that we have been deliberately or inadvertently complicit in perpetuating anti-Blackness and bolstering the paradigm that commodifies members of that community. This means that we have an obligation to not only share the burden of it, but also support Black organizations and institutions that are working to dismantle it. Existential crises stemming from our own regret or guilt cannot suppress or obscure the indignation, grief, rage or sorrow of those targeted by injustices. Strengthening our nation requires a firm commitment to healing. We can heal by summoning the willpower to defiantly refuse to feel afraid of one another. Let's accept, empower and celebrate all of the unique racial, religious, and ethnic groups that comprise our diverse country, and their countless contributions to society. Let's refuse to be defined by what separates us and choose to be defined by what unites us: our shared humanity. Most importantly, let's affirm our unwavering commitment to dismantling structural inequalities and establishing justice for Black communities that have been denied it too long. Our country will live up to its ideals of liberty, equality, and opportunity when we permanently delete the "us versus them" dichotomy from our cultural framework that dehumanizes and devalues these communities. The famous African-American writer and activist James Baldwin said: "Love takes off masks that we fear we cannot live without, and know we cannot live within." Channeling love and empathy, leveraging faith and courage, let's remove these superficial masks and heal together. Advocates say healing takes time after former police officer arrested After a former Hutchinson police officer was arrested in a series of rapes and sexual assaults, victim advocates worry some victims might stay silent. Online travel app Vntrip.vn has hit the jackpot with massive foreign cash injection. John Wu, a former leader of Chinas largest e-commerce company Alibaba, has pledged to invest nearly $3 million in Vntrip.vn, a Vietnamese start-up project involved in online hotel booking. Alibabas former chief technical officer and his investor group plan to help the Vietnamese online travel agency to improve customer services . Vntrips CEO and founder Le Dac Lam told VnExpress that the investment came during the first round of fundraising, and John Wus investor group has agreed to cooperate with them. Vntrip is a start-up project that provides online hotel booking services. Photo from Vntrip.vn The financing will be used to serve the expansion of Vntrip.vn, starting with offering free shuttle services from airports for customers who book through the platform. Another part of the money will be used to provide discounts and free accommodation for loyal customers, the start-up company said. Lam added: After the first fundraising round, we will continue to invest in customer services and marketing campaigns. Established at the end of 2014, Vntrip.vn has developed into one of Vietnams largest online hotel booking networks through its partnership with Booking.com, a unit of Americas Priceline Group and one of the worlds largest hotel booking services with capitalization of more than $65 billion. John Wus F&H fund evaluates Vntrip.vn as being worth nearly VND300 billion ($13.48 million). Currently, Vntrip.vns system can connect real-time to more than 6,000 hotels in Vietnam and nearly 900,000 international hotels. The application is also the first Vietnamese Over-the-Air programming (OTA) start-up to support mobile users with Android and iOS platforms besides real-time payments through websites. John Wu, Alibabas chief technology officer for nearly 10 years, was named China Angel Investor of the Year in 2014 by the Chinese edition of Entrepreneur. He has nearly 20 year experience in technical skills and management. While we spend a lot time trying to figure out what it is that successful artists do in order to be so, it is perhaps equally important to consider what unsuccessful artists do, so as to avoid a similar circumstance. __________________________________ Guest Post by Alex Houton on Artist Development And Music Production Over the years that Ive worked in artist development and music production, Ive been able to see many artists habits. The habits of the successful people and effective artists get lots of attention. But lets take a look at some of the habits of some ineffective artists. 1) Waiting One of the ineffective habits Ive seen from artists is waiting. These are the people that keep thinking someone or something is going to swoop in and make everything happen for them. Theyre waiting to meet someone that will invest in them, waiting until they have more time in their busy schedule, waiting until they finish schoolthe list goes on. What theyre actually waiting for is someone to give them to courage to follow their dream. Highly ineffective. Dont be one of these people. 2) Not Practicing Everyday I often tell artists that Im working with, that if youre looking to go to the top of the charts or make millions of dollars as an artist, then youre essentially looking to win the gold medal of the music business. And if youre looking to win a gold medal, then you better start training like an Olympian. People that dont work on their craft everyday are living in a fantasy world where theyre so special, that they dont have to even work at it. They usually think buying more guitars, clothes, tattoos and whatever else they think will substitute for the fact that theyre just not as good as they think they are- is more important than just working on their music every single day. Highly ineffective. Dont be one of these people. 3) Over Inflated Ego A very effective ineffective habit is to be delusional about your own talent. Humility goes a long way- but not for these folks. Artists with an over inflated ego have a disproportionate view of their own talent which is misaligned with the actuality of their aptitude. A truly great artist will let their talent speak for them, and not have to be their own cheerleader. But the artist with an over inflated ego loves to tell people how great they are, and then when you hear them theyre far from it. Highly ineffective. Dont be one of these people. 4) Sense Of Entitlement This highly ineffective habit is from people who just think their talent is so special, that they shouldnt have to lift a finger. These self involved divas will make the simplest of tasks seem like they are monumental efforts because everything is not just right for their liking. The entitled artist also needs to learn from the book of humility as they often use and then alienate many of their best contacts throughout their career. Highly ineffective. Dont be one of these people. 5) Big Fish In A Small Pond This is one of my favorite habits of highly ineffective artists. I cant tell you how many times I see artists that live in a fish bowl world where theyre the star. These narcissists are masters at creating a small (and totally inconsequential) group of fans, groupies, followers, and other hangers on, that they will use and manipulate in any way they can to prop up their own fantasy that theyre going somewhere. Ive seen it in New York City, and Ive seen it in Tumbleweed, USA. These people are more concerned with being the center of their little own little world, instead the growth and change that would promote real success. Highly ineffective. Dont be own of these people. 6) Being Ungrateful No ineffective habit is more effective at creating negative circumstances in an artists career than being ungrateful. Without the attitude of gratitude, artists will attract the worst types of inauthentic people into their lives and career. When an artist is thankful for the people and circumstances of their career, the people they attract will go the extra mile for them because they know theyre appreciated. When an artist in ungrateful, those people that are ultimately trying to help will eventually feel used and disappear. Highly ineffective. Dont be one of these people. 7) Lack of Identity This is probably one of the most overlooked habits of ineffective artists. I come across dozens of artists who pride themselves on their ability to do it all. They tell me, Well I can sing, and I can dance, and I can juggle, and I do magic, and I act, and I can sing country, and pop, and r&b, and I can rapI can do whatever you need! Unfortunately, the music industry isnt looking for artists that are chameleons. Theyre looking for the opposite. The music industry wants to know that you know who and what you are, so they can know who and where to sell your persona to. The challenge in being an artist is creating your persona from the most prevalent elements of your personality- to militarily focus on the traits that make you interesting but in a highly attractive way. The lack of identity habit (like most of the others) is usually a product of insecurity. These artists simple cant handle rejection on any level so they decide that they have to try and please everyone. Highly ineffective. Dont be one of these people. Songwriters Deserve Better Than This, Says NMPAS David Isrealite A U.S. Department Of Justice decision to require 100% licencing of music has sent shock waves through the publishing and songwriting sectors. In this op-ed, David Israelite, the President and CEO of the National Music Publishers' Association (NMPA), argues the musicians deserve better. _________________________________________________ By David Israelite, the President and CEO of the National Music Publishers' Association The Department of Justice (DoJ) has dealt a massive blow to America's songwriters. After a two year review of the consent decrees that govern ASCAP and BMI, career lawyers who were never elected nor confirmed to their positions, led by a lawyer who previously represented Google, determined that songwriters should have even fewer rights, less control over their intellectual property and be treated more unfairly than they already are. The Department ignored the voices of copyright experts, members of Congress and thousands of songwriters and delivered a huge gift to tech companies who already benefit from egregiously low rates. When the DoJ began its review of the consent decrees, songwriters and publishers hoped for modifications and relief in the face of dramatic market changes to performance rights licensing which made it clear that fair royalty rates were not being paid. At best, we had hoped that the WWII-era decrees would be done away with to permit songwriters the same freedom to license works as other property owners enjoy. At worst, the decrees would be updated to reflect the current digital marketplace and give songwriters and publishers more flexibility to negotiate market-driven rates with global digital services. After all, the consent decrees were put in place before the transistor radio was invented. They were never meant to, nor could they envision, existing in a world of iPhones, streaming and instant access to practically all music. Unfortunately, the DoJ went the opposite direction and chose the outcome most harmful to songwriters and the creative community. The Department has determined that no changes will be made to the current decrees. And they have also now interpreted those decrees to demand that all works must be licensed on a 100 percent basis, meaning that the traditional and logical practice of fractional licensing or licensing only the share of a song a PRO represents by ASCAP and BMI will be done away with. Regardless of how one feels about the profession of songwriting and the innate right a creator has to control their creation, any legal body should be deferential to the office created to examine and advise on copyright law. That body, the U.S. Copyright Office, was asked to weigh in on the DoJ's proposed changes, and said that, "an interpretation of the consent decrees that would require these PROs to engage in 100-percent licensing presents a host of legal and policy concerns. Such an approach would seemingly vitiate important principles of copyright law, interfere with creative collaborations among songwriters, negate private contracts, and impermissibly expand the reach of the consent decrees." The defiance displayed by these career antitrust lawyers in ignoring the legal opinion of the Register of Copyright is shocking. In addition to disregarding the Copyright Office, the manner in which the decision was made and delivered was insulting to those most invested in the futures of songwriters. Members of Congress who had expressed interest in knowing the outcome of the review were apparently caught off guard and not given the chance to appeal to the Department. They were simply alerted that a determination had been made and given no recourse to reason with the DoJ. Congressman Doug Collins of Georgia's office said that the DoJ "sent an email to Congressional staff assuring that the review was not complete and that parties and stakeholders would have a chance to provide their views before the review was completed. However, reports from the meeting and DoJ's own positioning appear to indicate that DoJ has already determined what direction they will take." Additionally, Congressman Collins stated that the "Department of Justice's position is arrogance at its worst." This move also threatens transparency because while songwriters may have chosen to join one PRO, now their payments may be coming from another. And if each PRO can license an entire song, even if it only controls a small portion of it, then licensees may have the ability to license where rates are lowest in a royalty race-to-the-bottom. The DoJ does not have the protection of songwriters in their interest, so we must take this to another forum. Public opinion is powerful and the antitrust attorneys at DoJ must understand that their decisions will have a ripple effect through the fields of creativity for decades. In the coming weeks and months, it will be more important than ever to express the problems associated with the Department's declaration, which was conveniently disclosed just before the holiday weekend. As we've come to know all too well, Washington bureaucrats should not be in the business of regulating music as they are neither capable of understanding or fixing the problems they've created. We are hopeful that through our upcoming conversations, our allies in Congress who support the creative community, and ultimately the voices of those most affected, the creators themselves, we can find a path forward. Until then, there will be no justice for America's songwriters. David Israelite is the President and CEO of the National Music Publishers' Association (NMPA). Founded in 1917, NMPA is the trade association representing all American music publishers and their songwriting partners. Share on: The police shooting in Dallas last week is a stark reminder to brokers of the astounding lack of life insurance coverage among the nations law enforcement officers.Five officers were slain and 12 shot during a lone gunmans rampage during a Black Lives Matter protest in downtown Dallas Thursday night. The shooter, 25-year-old Micah Johnson, drove his car to the rally and began shooting officers before he was killed early Friday morning after failing to surrender.He was unaffiliated with any group, officials say.Those killed during the rampage include Lorne Ahrens, 48; Michael Krol, 40; Michael Smith, 55; Patrick Zamarripa, 32; and Brent Thompson, 43. Four of the five were members of the Dallas Police Department and joined the Dallas Police Association (DPA) something of a union for members of the city police department that provides financial support to members. Through the DPA, the officers were offered life insurance through the Texas Police Trust for about $26 a month.The fifth officer, Thompson, worked for Dallas Area Rapid Transit and was not qualified to join the association. He did have access to a defined contribution plan, however, and was given the option to purchase life insurance as well.But only about half of the members of the DPA have policies, said account executive Taylor Jackson.And thats not an uncommon figure industry research organization LIMRA has revealed a 50-year low in the ownership of individual life insurance policies in the US, and an estimated 30% of households have no coverage at all. Though no specific statistics on law enforcement officers exist, there is no reason to believe they are an exception, says Law Enforcement Life, an agency founded by a retired California Highway Patrol officer and dedicated solely to members of the law enforcement community.Some, like former New York Police Department Lieutenant Keith Maresca, choose to forego coverage in favor of the police pension. Though tax-free, however, the pensions rarely provide financial freedom to recipients.Others rely on small insurance policies from the US government and local credit unions rather than supplementing with a private policy. But this coverage is slim, often providing just one to four years of annual income in the event of the officers death.With the base salary for a law enforcement officer averaging $50,000 nationwide, many families of officers may struggle without additional help from a private policy.For most LEOs, group life insurance is too risky to be the primary way to protect your loved ones, said Law Enforcement Life.You are not in control of your own protection situation. Your employer is. They own and control the policy that protects you, or more correctly, protects your family. They can terminate the policy. They can change the policy. You have no control over the policy.There has been no word on whether the officers killed Thursday chose to take out a separate policy through Texas Police Trust. The DPA, however, delivered next-of-kin checks for roughly $100,000 on Friday and charitable assistance to be split among the five families continues to pour in. From 1,144 entries, IBA has been named a Top 10 national finalist for Magazine of the Year and Website of the Year and a regional finalist for Best E-newsletter.The latest addition to IBAs growing list of accolades, these annual awards honor excellence in the business, trade and specialty press.We are always focused on delivering results to our clients and pleased to gain this recognition on a national scale as being among the best in the publishing business, said Mike Shipley, CEO of IBA publisher Key Media.The Insurance Business brand is going from strength to strength. With our recent launch in both the UK and Asia, we are now the worlds largest independent insurance publisher, reaching a vast audience of commercial insurance brokers and agents in the US, Canada, Australia, New Zealand, Asia-Pacific and the UK.Winners will be announced later this month. Last year brought profits for property & casualty insurers workers compensation lines but the good times may be short-lived.Ratings agency Fitch reports that US workers comp saw increased underwriting performance in 2015 following years of losses but that with hotter competition in the sector, it expects an underwriting loss to return by 2017."The workers comp insurance market saw a sharp turnaround in the last few years due to past premium rate increases, stable loss cost trends and improved loss reserve experience, however, this performance will likely be unsustainable as price competition intensifies due in part to abundant market capacity," said Jim Auden, Managing Director, Fitch.The segment underwriting combined ratio dropped from a recent cyclical high of 117 per cent in 2011 to 95 per cent in 2015. Premium revenue growth averaged more than 5 per cent for the last three years and was 3.5 per cent in 2015. Berkshire Hathaway and AmTrust are among the insurers that have seen rapid growth in the workers comp sector while AIG and Liberty Mutual have, along with others, cut premiums in response to past losses.The Workplace Safety and Insurance Board in Ontario has defended its use of so-called paper doctors who assess injured workers without seeing them.A report at thestar.com says that officials maintain that it uses the doctors for benefits claims appropriately and that an internal investigation found that workers own physicians and the Boards doctors disagreed in just 15 per cent of cases.The internal review has been criticized by workers advocate David Newberry but there is yet to be a decision on an official investigation by the provincial ombudsman.The flurry of health insurance co-operatives being forced into liquidation continues as regulators plan to liquidate Oregon Health Co-Op.Like similar closures reported recently in Illinois and Utah, the Oregon non-profits finances have reached disaster status as promised federal funds have failed to materialize."It is with great sadness that I announce Oregon's Health CO-OP is shutting down its doors immediately," Phil Jackson, the co-ops CEO told Oregon Live. "The board of directors agreed that it is in the best interests of our members and community that we wind down our operations."Oregons insurance commissioner will begin the steps to liquidate the co-ops assets Monday.Earlier this year another Oregon co-op Moda was placed under a supervision order and last year Health Republic became the first co-op in the state to fail. Both insurers sued the federal government over the non-payment of funds from the Risk Adjustment Program. North County Cares Coalition member Richard Dassatti asks the board to endorse the resolution. Adams Selectmen Endorse Resolution Calling for Detox Center The Board of Selectmen are happy that the Ilvermorny School of Witchcraft and Wizardry is set at the top of Mount Greylock in the recent J.K. Rowling story. ADAMS, Mass. The Selectmen unanimously endorsed a resolution written by the North County Cares Coalition asking state officials and Berkshire Health Systems to open a facility for detoxification and substance abuse recovery in North Adams. Selectman Joseph Nowak invited North County Cares Coalition members Richard Dassatti and James Lipa to Wednesdays meeting after he saw the same resolution pass in at the North Adams City Council last Tuesday. Dassatti said the resolution calls 11 to 12 beds, numbers taken from Stroudwater Report commissioned by the Department of Public Health after the closing of the North Adams Regional Hospital, for detoxification and clinical stabilization to help combat the opioid crisis in the Northern Berkshires. We are thanking the state officials and Berkshire Health Systems for restoring outpatient services at the campus, but now we are calling out to them to start putting inpatient beds, Dassatti said. Lipa said the town of Adams has been affected by the opioid crisis and said in 2014 there were 207 Adams admissions at the hospital for detoxification treatment, 39.6 percent of these cases were caused by heroin That is striking and we are seeing the same kind of numbers in North Adams, he said. The number of people actually seeking opioid addiction treatment has increased 376 percent since 2005. It is a very serious problem. Dassatti noted that the county really could use more beds than stated in the resolution and said more beds are implemented in areas with higher death rates. He said along with the Massachusetts Nurses Association they calculated a new number that instead of using deaths per capita, used those seeking help. We wanted to look at the people seeking treatment and we started studying things and it seems that North Berkshire has one of the biggest increases in people seeking treatment, Dassatti said. I guess it is a testimony to our ambulance service and other services that people are not dying and they need the service. He noted that Berkshire Medical Center was recently approved for a 30-bed Clinical Stabilization Services center in Pittsfield and said because the North Adams campus does not have a board of trustees to advocate for beds, NBCC has taken it upon themselves. Nowak said substance abuse is a real issue in the area and people deserve a second chance. The opioid epidemic does not distinguish between rich or poor or any form of ethnicity. It is something that happens to professionals who get hooked on pain medication and they need that high to continue on, Nowak said. I personally have faith in the lord and I think people deserve a second chance. In other business, after sorting all of the selectmen into their respective house at the Ilvermorny School of Witchcraft and Wizardry on top of Mount Greylock, Town Administrator Tony Mazzucco discussed how Adams may be able to benefit from the fictional school. Last month J.K. Rowling, author of the popular "Harry Potter" series, released a short story on her website Pottermore providing some background on the fictional American school she chose to place in Adams in the Harry Potter franchise. Mazzucco said the town has been in contact Rowlings marketing operation at Pottermore.com about opportunities for co-marketing. Being a fan myself I am happy to hear the news but this could bring some great tourist potential to not only Adams but all of North County, Mazzucco said. It is very exciting." He said he plans to put together a team of people from ProAdams, the Arts Advisory Board and the Economic Development Commission to start brainstorming some big picture ideas. Also, Mazzucco said he wants to hold a large public meeting to get the communitys input. We want to get all the ideas out there so we can welcome new tourists, new visitors and those who want to see the mountain, he said. Even though it is the home of a fictional school the franchise itself is worth billions. For the record Nowak and Mazzucco were sorted into Horned Serpent, a house noted for containing scholars. Selectmen John Duval, Richard Blanchard and Jeffrey Snoonian were sorted into Thunderbird, a house favoring adventurers. Selectman Arthur Skip Harrington was sorted into Pukwudgie, a house noted for favoring healers. Others can be sorted online. To Go Solar Or Not To Go Solar? The Question On Many Berkshire Homeowners' Minds In a highly unexpected move, the federal government pre-emptively extended the 30 percent investment tax credit for installing a solar PV (photovoltaic) system. This enticing credit was actually due to expire at the end of this year but is now available to homeowners through the end of 2018. While there are approximately 16,000 homes in Massachusetts today that have solar panels, with this exciting news, the number is expected to double in just a few years. Does the growing popularity of solar and the appealing investment credit mean that you should install solar panels on your home? Greylock Insurance, your Berkshire neighborhood agency, is here to help you assess this tricky question. To do this, we've enlisted some local experts from the world of real estate, insurance, and public safety and asked them to give their perspective on the pros and cons of going solar. What we found out was that there are a lot more layers to this decision than most homeowners may think. Greylock Insurance: Solar is touted as being both good for the environment as well as a way for homeowners to save money. Sounds like an attractive proposition for most people. What are your thoughts? Sandy Carroll, CEO of Berkshire County Board of Realtors: In general, there has been a lot of progress towards achieving both of these goals environmental responsibility and cost-savings. Today, homeowners can use smart technology to better control their home's internal temperature, electricity usage, and yard irrigation while simultaneously protecting the earth's natural energy and water resources. However, when it comes to roof-installed solar panels, there are questions that the homeowner or buyer should have answered before committing to a lease or purchase. There are many issues beyond what meets the eye in terms of cost savings and good environmental stewardship. Greylock Insurance: Homeowners rank the federal tax credit, which has now been extended, as one of the most appealing reasons to invest in a solar panel system. What should homeowners know about this credit and how the extension may impact them? Lori Goodell, assistant vice president, Greylock Insurance:The Federal Investment Tax Credit for a solar PV system has absolutely been a significant factor in driving the growth of the solar industry. Since the tax credit passed in 2006, this incentive has been driving record-breaking investment in solar projects across the U.S., and even more so when it was extended in 2008. With this most recent extension, the solar industry is expecting a huge boom to their market and we are preparing for more Berkshire area homes adding solar panel systems. The extension of the deadline is really good news for homeowners who were considering a solar PV system, but have not yet taken the leap. They now have some breathing room to consider the path they want to take. However, time moves quickly and if they are thinking of going solar, initiating construction sooner than later is a good idea. Greylock Insurance: Homeowners may wonder what the rush is if they now have until 2018 to install a solar panel system. Can you tell us why the urgency? Goodell: It's certainly true that in order to qualify for the tax credit, installation does not have to be completed until the end of 2018. But, a solar panel system is a fairly complicated construction project and there are several additional criteria you need to meet to get the investment credit. First, your utility company has to approve connecting the system to the grid. Also, you need to have pre-operating tests that demonstrate the equipment works and you have to prove ownership of the system. You must also have purchased the system, either outright with cash or through financing; leased equipment is not eligible. Finally, the PV system must be new or being used for the first time; the credit only applies to the "original installation" of the equipment. One other thing that homeowners should understand is that credits are only applicable to residences in the U.S., although it does not have to be your primary home. Finally, I am going to state what I hope is obvious to all homeowners; once you have decided to install a solar PV system, you should talk over the insurance implications with your insurance agent so that you understand the increased homeowners insurance coverage you will need. Greylock Insurance:For homeowners who are leaning towards installing solar panels, what should they know about buying a system? Jim Hyatt, vice president, Arbella Insurance Personal Lines: It's no wonder that lots of homeowners are interested in solar energy now with the cost of residential electricity increasing dramatically. We have definitely felt the impact to our wallets here in New England. That's why more and more homeowners are investing in solar, expecting to save up to 25 percent off their energy bills. But buying a solar PV system is not a cheap proposition. The cost will depend on the size of your home and square footage of your roof, but for the average home solar panels could cost $30,000 or more. For some homeowners, the upfront investment will be worth it, particularly if they can take advantage of federal tax credits, state tax credits, and other local rebates. Similar to enhancing your home with a back deck or an updated kitchen, with these types of additions you'll need to increase the amount you insure your home for. In the event of a catastrophe, like fire or severe storm damage, you want your insurance coverage to provide for the cost to rebuild your entire home including replacement of the solar panel system. A call to your insurance agent can ensure that you are properly covered. Greylock Insurance: Leasing a solar PV system would negate the upfront costs and still deliver energy savings to homeowners. This seems like an even better way to go solar. But are there issues with leasing that a homeowner needs to consider? Hyatt: Leasing is definitely an interesting option. In addition to avoiding an initial five-figure investment, it's also common for the leasing company to provide the additional insurance required to cover replacement costs of the solar panels. So, the customer does not have to change their homeowner insurance coverage at all. Couple this with no initial investment, leasing sounds like a great idea to a lot of people. But this type of relationship can get complicated and homeowners should proceed with caution when leasing. Goodell: One downside to leasing a system is that you do not qualify for the federal tax credit. It is true that you may be able to take advantage of Massachusetts state tax credits and any local incentives, but losing out on that 30 percent federal investment tax credit is something homeowners should weigh carefully. There is an excellent Massachusetts homeowner's guide to solar leases, loans, and power purchase agreements (PPAs) that will help you make informed decisions about financing or directly buying your solar panel system. Carroll: And remember, lease contracts have many different terms that affect the future sale of your home. You should investigate if you can move the system to a new home and at what cost. Also, whether future buyers of your home are obligated to assume your contract with the leasing company and if the leasing company has the right to approve the transfer of ownership. Leased solar panels may bind the future buyer to long-range terms and conditions, both financially and physically on the property. And while some contracts have been shown to be fair and balanced, others have terms that are not at all acceptable to some new buyers. Greylock Insurance: Can you give homeowners some advice on how to avoid surprises and confusion throughout the leasing process? Hyatt: First and foremost, it is extremely important for homeowners to carefully read the lease contract and make sure you understand which party you or the lessor is responsible for various costs and situations. Should your home and panels be damaged by wind, fire or another similar misfortune, you are going to have to file two claims, one with your home insurance company and one with the solar panel leasing company. Further, in signing the leasing agreement a homeowner is essentially giving the leasing company financial interest in their home. As you can imagine, this makes things complicated if you want to sell your home, get a home equity loan, or get a reverse mortgage. You will not have complete financial control over your own home and the leasing company is going to have authority to approve of your buyer or the refinancing terms. Channel 7 News Boston did a story on this very issue that every homeowner should read if they are considering leasing. It exposes the financial bombshells that can come with leasing, and the issues that, even if you have read and reread your contract terms, you would never know about. Of course, there's no reason to believe that the lessor won't approve a new buyer or loan terms, but it's yet another step homeowners have to be aware of that could hold up a sale or refinancing. Greylock Insurance: Homeowners who are researching solar PV panels for their home are finding information published on the Internet that indicates there may be some safety concerns. As a Berkshire County fire chief, would you please speak to issues that homeowners should be aware of? Paul J. Goyette, chief engineer, Adams Fire Department: This is a very timely conversation for me as our station just went through an in-house training on handling homes with solar panels. This by no means makes me an expert, but I can highlight the four major hazards to firefighters, or for that matter, to anyone working on a house with a solar paneled roof. The No. 1 danger, which is probably not going to surprise anyone, is electrical shock. Solar panel power is stored in batteries that channel a lot of electricity, so hitting one of these panels while on the roof is a significant risk. The No. 2 issue is related to the additional weight of the solar panels. If a fire starts in an area of the house that is below the roof, like an attic, there is a concern that the roof could collapse because the structure is already weakened by the extra bulk. No. 3, there is an increased threat to injury because it's easy to trip over the panels, wires or other system-related equipment. And No. 4, as firefighters, we see a major issue with roof ventilation in case of fire. The best way to ventilate a home on fire is right over the affected area, but if there are solar panels blocking that part of the roof, we have to move to a less effective place to ventilate. Greylock Insurance: Is there anything homeowners should do to prepare for their solar PV installation? Goyette: There are definitely some actions homeowners can take, starting with placing a prominent sign on their property that states that their home has solar PV panels. Panels are not always visible right away, so having clear signage would be beneficial. It's important to include the location of the receptive batteries and the solar panel shutoff. Otherwise, we may waste precious time searching the basement, the shed, or Johnny's bedroom for this equipment. I also want homeowners to be aware that their solar panels are producing energy even when shut off. During the daytime, the panels pull energy from the sunlight, and at night, they are drawing from street lamps and other lights. So even when the power has been turned off, anyone working on the roof should remain very cautious because it doesn't mean the panels aren't conducting electricity. Greylock Insurance: Once a homeowner has decided to either buy or lease a solar PV system, the next step is generally to find a solar panel installer. What advice do you have for homeowners on how to choose wisely? Goodell: This can be the most challenging part of your solar buying process, and also the most important. It probably goes without saying that homeowners should only hire the highest caliber technicians. Also, they should definitely not skimp on checking references. Make sure to solicit multiple quotes from solar panel installers who have expertise, licenses and insurance, an established track record, and a great reputation in the market. Greylock Insurance: Are there specific things homeowners should look for in an installer? Goodell: Absolutely. I recommend they look for companies that have at least one professional on their crew that has the North American Board of Certified Energy Practitioners' (NABCEP) Solar PV Installation Professional Certification. This is a highly recognized standard of professional certification for installers. Should a company not have a NABCEP-professional on their crew, please be especially diligent in checking their customer reviews. Solar installers should have all necessary business licenses and insurance policies, which typically include general contracting, electrician, home improvement, or home performance contracting licenses. Installers should also have, at a minimum, general liability insurance. When you've narrowed your search down to your top two or three options, make sure to speak with previous customers about their experience and ask the installer to show you some of the systems they've installed. All of the experts agree, whatever you do, don't rush your buying decision just to meet a tax incentive deadline. Doing your due diligence is critical, and you should research insurance implications, safety issues, and the environmental and savings impact that a solar panel system may have on your home and your family. You may be more effective in your decision-making process if you work with a team of advisers an attorney, real estate agent, insurance company who can guide you and ensure that you understand all of the information and obligations. If you would like to set up a consultation with a Greylock Insurance expert, please call 888-200-4445. Lori Goodell Assistant vice president Greylock Insurance, a Berkshire County based neighborhood agency with six convenient locations throughout Western Massachusetts Sandy Carroll CEO Berkshire County Board of Realtors Inc. a 500-member strong trade association geared to serving professional real estate licensees in the region Jim Hyatt Vice president, personal lines insurance Arbella Insurance, a regional property and casualty insurance company providing business and personal insurance headquartered in Quincy, Massachusetts Fire Chief Paul J. Goyette Adams Fire Department The storm has not blown over yet for the Taiwanese giant. Ha Tinh Province has asked the General Department of Taxation to look into seemingly fraudulent value-added tax (VAT) claims by the trouble-besieged Formosa. According to the Ha Tinh Tax Department, Formosa Ha Tinh Steel Co., Ltd owes around VND 1.555 trillion ($70 million) to the state budget, of which VND1.444 trillion ($65 million) comes from tax refunds issued between June 2014 and December 2015, and VND 111 billion ($5 million) in tax deductions. The tax office stated that Formosa had dawdled to declare import documents and invoices together with VAT invoices for construction contracts. Formosa said it deals with around 3,000 documents per day, including import invoices and construction contracts, so it takes time to process them. Formosas tax refunds for imports stand at 1.132 trillion ($50.1 million), accounting for 73 percent of the total. In May this year, the firm's tax arrears reached VND 5.5 billion ($250,000) after it declared invalid codes for certain items. Taiwanese firm Formosa has hit the headlines recently for causing one of the biggest environmental disasters in Vietnamese history, killing tons of fish across four coastal provinces. This company has officially apologized to the Vietnamese people and promised to pay compensation of $500 million to make up for the damage. Related news: > Formosa steel firm puts off operation in Vietnam amid mass fish deaths > Vietnam threatens to shut down Formosa > Vietnamese subsidiary of Taiwans Formosa suspected of transfer pricing We work towards an equitable, gender-just, self-reliant and sustainable fisheries, particularly in the small-scale, artisanal sector We work towards an equitable, gender-just, self-reliant and sustainable fisheries, particularly in the small-scale, artisanal sector We work towards an equitable, gender-just, self-reliant and sustainable fisheries, particularly in the small-scale, artisanal sector We work towards an equitable, gender-just, self-reliant and sustainable fisheries, particularly in the small-scale, artisanal sector Operations at Besra's two gold mines in Central Vietnam have been suspended due to tax debts. The Vietnamese government will only allow Canadian mining firm Besra to resume operations at its Bong Mieu and Phuoc Son gold mines in the central province of Quang Nam after it pays its tax arrears and ensures environmental protection, Deputy Prime Minister Truong Hoa Binh said on Sunday. Binh also asked Quang Nam authorities to crack down on illegal gold mining, which has been a pressing issue in the province for years, according to a government statement. The license for the Bong Mieu mine expired on March 5 this year, and the provincial government has already stepped in to try to stop illegal mining, Dinh Van Thu, chairman of Quang Nam Peoples Committee, said in a meeting with Binh. The Phuoc Son mine's license will expire in April next year, but Besra had put on hold operations there due to debts. The company, with a debt guarantee from Vietnams Viet A Bank, is expected to resume production at the mine next month, chairman Thu said, adding that Besra's tax debts from Phuoc Son are VND430 billion (nearly $19 million). Deputy Finance Minister Tran Xuan Ha said in the meeting that the government should act resolutely to put an end to the long-running issues at the two gold mines. Illegal gold mining in Bong Mieu. Photo by VnExpress/Tien Hung As of June 30, 2014, the Bong Mieu operation had reported accumulative losses of $30.1 million and short-term loans of VND1.14 trillion (over $51 million), while the Phuoc Son mine had lost $16 million. Besra reported a loss of nearly $46 million and short-term loans of VND2.5 trillion (over $112 million) as of June 30, 2014, and with falling gold prices, interest on loans and other expenses over the last two years, the actual loss must be much higher. Besra suspended its operations at the Bong Mieu and Phuoc Son gold mines in July 2014, saying the decision came as a direct result of coercive measures taken by the Quang Nam Tax Department due to delayed tax payments. Related news: > Missing gold miners found dead after five day search in central Vietnam > "Loss-making" Vietnamese gold mines raise questions of Canadian owner They end up lying back in hospital beds rather than on the beach in Nha Trang. More than 60 tourists from the same company in Hanoi were hospitalized over the weekend after having dinner at a restaurant in Nha Trang, Khanh Hoa Province with symptoms similar to food poisoning. "The group was hospitalized several hours after having dinner and eating the same dishes at a restaurant in the city center," an official from the province said. On July 9-10, about 30 tourists were sent to the emergency room at Khanh Hoa Hospital with abdominal cramps, vomitiing and diarrhea, while 30 other tourists were hospitalized in the province suffering the same symptoms. Some have been discharged but the majority are still in hospital. Do Dinh Thi, 33, one of the victims, said that he and his colleagues had traveled to Nha Trang two days ago. On July 9, they had dinner at a restaurant in Tran Phu Street where they had shrimp, crabs, seafood soup and other dishes. Do Dinh Thi, a victim at the hospital. Photo by VnExpress/Xuan Ngoc Nguyen Thi Nhung, 30, went down with a stomach ache and fever several hours after having dinner. "Other people in the group had the same symptoms as me. We were hospitalized and our plans to visit other parts of the province were canceled," she said. Aside from the 60 serious cases, other members of the group also suffered less similar symptoms. They took medication from local pharmacies. Le Van Hoa, director of the provincial Food Safety Department, said that they have taken food samples from the restaurant to investigate the case. Related news: > The sun comes out, and so do the tourists > Nha Trang offers reward for reporting beggars > Nha Trang to name and shame rip-off businesses The content you are trying to view is exclusive to our subscribers. To unlock this article: New corporate governance rules could encourage foreign and local investors to boost their interest in the country The cornerstone of massive reform to the Russian Civil Code and related laws was laid in 2008. The reform, with its intended improvements to Russian civil legislation, has now been in process for nearly a decade. It came about owing to the need to reflect current realities and commercial and social developments in modern Russia. That same year, as the global economic and financial crisis revealed that the Russian economy needed diversification, the Russian government launched this idea of creating an international financial centre in Moscow to facilitate the development of a competitive stock market in Russia. Since then, a substantial number of specialised laws and regulations have been enacted. Intended to improve the Russian legal capital markets infrastructure, these new laws relate to the Central Depositary, insider trading and market manipulation, a new corporate governance code incorporating so-called western concepts and criteria for independent directors, plus new rules on disclosure as well as new listing rules. In particular, corporate governance regulations have changed significantly, in a positive way. They now align Russia with the best international corporate governance standards and practices, and the listing rules of the Moscow Exchange have been revisited to implement key provisions of the new corporate governance code. The regulations require Russian public companies with Level 1 (premium) and Level 2 (standard) listings on the Moscow Stock Exchange to have a certain number of elected independent directors on the board of directors and an audit committee headed by an independent director, established by the board of directors. Furthermore, the change in corporate governance regulations has resulted in a new definition of independent director introduced in Russia which is very similar to the UK Corporate Governance Code definition. By introducing these, and the above mentioned new laws and regulations, Russian public companies have become significantly more transparent to investors at home and abroad. In February 2016, the Bank of Russia approved a paper titled Principal directions for the development and procurement of the stable functioning of Russias capital markets in 2016-2018 and reconfirmed the importance for the country to continue developing an internationally competitive financial industry. There is little doubt that a move to a truly professional financial services market in Russia will increase the attractiveness of equity financing in Russian public companies through improved corporate governance and enhanced securities market regulation and through developing closer relations with other regulators and international regulatory organisations. As a next step for improving Russias companies and securities laws, on June 29 2015 the federal law titled On amendments to separate legislative Acts of the Russian Federation and invalidations of certain provisions of legislative acts of the Russian Federation (Law 210-FZ) was enacted. While certain provisions of Law 210-FZ entered into force in October 2015 and January 2016, the main and the most extensive part of the amendments will take effect on July 1 2016. Firstly, Law 210-FZ is the law that introduced amendments, among others, to the Joint Stock Company Law and the Securities Market Law. This was to align these laws with recent changes in the Russian Civil Code, especially with respect to companies regulation and corporate governance. Secondly, it presented new provisions that are, inter alia, aimed at harmonising relations between an issuer, the owners of securities, a shareholders registrar, the Central Depositary and other securities market participants. Expansion of shareholder rights One of the most important changes introduced by Law 210-FZ was the new term of persons exercising rights on shares. The introduction of this term has a significant effect on the interpretation of various provisions of the Joint Stock Company Law and the Securities Market Law. It expanded shareholder rights beyond the limited group of direct holders of shares to include those who, among others, hold or exercise rights in relation to Russian shares via nominees or who hold depositary receipts, representing rights to Russian shares. It also means that investors investing in depositary receipts may now enjoy shareholder rights that direct holders of shares enjoy. In other words, while investors in depositary receipts are not included in the definition of shareholders, they are entitled to exercise the rights of shareholders. Before these changes, there was a grey regulatory area in respect of the shareholder rights for all holders other than direct shareholders. Such holders were deemed not to be shareholders in a company, and they did not enjoy any direct share rights, except for the right to participate in shareholders meetings and the right to vote. Such situations generally created risks for investors who invested in depositary receipts rather than shares. They had a lack of control and involvement in the Russian companys corporate life as the law did not protect their rights as holders of derivative product in relation to Russian shares. In practice, to comply with international corporate governance best practice, some Russian public listed companies offered holders of depositary receipts the possibility of exercising share rights pari passu with direct shareholders. For example, when a company exercised a share buy-back in line with Article 72 of the Joint Stock Company Law, it established a similar, parallel procedure to buy back depositary receipts with a foreign depositary being involved. The new law has fixed this grey area by granting holders of depositary receipts the right to exercise shareholder rights that previously were only enjoyed by direct shareholders. Besides the right to participate in the companys share buy-backs, the new law also provides rules for those exercising their rights relating to shares (including depositary receipt holders) to vote at shareholders meetings, participate in voluntary and mandatory tender offers, exercise pre-emption rights in new share issuances and propose items for the agenda for shareholders meetings. Depositary receipt holders holding in aggregate more than two percent of voting shares can propose candidates to the board of directors and other governing bodies of the company, and those holding in aggregate more than 10% of voting shares can call for the convocation of a shareholders meeting and other rights that previously were only enjoyed by direct shareholders. Tender offer rules The Russian legislator is currently working towards further improvement of the tender offer rules under the Russian Joint Stock Company Law. This proposed draft law envisages certain significant changes which may affect the balance of interests between minority and majority shareholders. In particular, the draft law suggests that the tender offeror will not be able to enjoy voting rights with respect to all of its acquired shares until the offer period which may take from 70 to 80 calendar days - is closed and the report on the results of the tender offer is submitted to the Russian regulator. The submission of the report must be done within 30 calendar days of the completion of the offer period. In addition, within the said voting limitation period, the tender offeror will be able to vote only on that number of its voting shares which are equal to three sevenths of the total number of voting shares held by other shareholders, and the remaining voting shares of the tender offeror will not vote. This means that minority shareholders, for a period of time, may have the majority of votes at shareholders meetings. Furthermore, the draft law provides that if an acquiror does not submit a tender offer within the statutory term, other shareholders may demand the acquiror to buy back their shares at a minimum price within a year. In June 2016, this draft law was introduced for a first reading by the Russian State Duma. Exercising shareholder rights Notwithstanding that the persons exercising their rights in relation to shares are now considered pari passu with direct holders of shares, their participation in corporate events and procedures differs from their peers who hold full shares. Law 210-FZ has established a cascade principle for communications between the issuer and the owners of the securities. It means that when exercising its share rights, the holder of depositary receipts must give instructions to a foreign depositary (the issuer of depositary receipts), which in its turn transmits these instructions to the Central Depositary via its local custodian. Thus, when exercising voting rights at a shareholders meeting, a holder of depositary receipts must give instructions to its foreign depositary (for example The Bank of New York Mellon, DBTCA, Citi or JP Morgan), which will, in turn, transfer instructions to the Central Depositary of Russia to record the voting according to such instructions at a shareholders meeting. However, there is a key condition that applies to holders of depositary receipts if they want to exercise shareholder rights. The Joint Stock Company Law provides that only those who are recorded in the list as authorised to exercise their rights in relation to shares on a particular date may, for example, use their pre-emption rights in the case of new share issuance or sell its securities in the event of a companys share buy-back. And in order to be included in such a list, the holder of depositary receipts must disclose their identity (name, address, state registration number) and the number of securities they hold. In practice, owing to various reasons, perhaps the unwillingness of beneficial owners to disclose their identity or, in the absence of such information or the irrelevance of such information to the owner, their identity may not be properly proved. The net effect is that on the one hand, such owners of depositary receipts may not be able to exercise their rights in relation to the shares, and on the other hand it may cause difficulties for the issuer when determining a quorum for certain types of transaction (for example, related party transactions). This issue on identity disclosure has been raised before with the Russian regulator and legislator a number of times. However, it remains in the law, and the person exercising rights on shares must comply with it. Unfortunately, with respect to recent convocations of annual general meetings, the experience of some Russian issuers in relation to this rule has been somewhat negative, and companies have encountered a lower level of participation by depositary receipt holders in such shareholders meetings than anticipated or hoped. A boost in Russia-related securities? Each year the World Economic Forum calculates the Global Competitiveness Index. In 2015/6 Russia was in 45th out of 140, overall. This is a noticeably improved position on the table, as in previous years it was the 64th or lower in the ranking. However, when ranked by the Development of the financial market measure another ranking within the Global Competitiveness Index, Russia is in 95th place, out of 140, overall. However, even this is much improved since 2012-2013 when it was much lower down. Due to the massive civil law reform and incorporation of various corporate and securities law institutions, the overall legal environment in Russia has improved significantly since 2008. However, there are other political and economic conditions that affect the investment mood of, particularly overseas, investors. Thus, once the economic and industry sector sanctions were applied to Russia in early 2014, the capital markets in Russia have stagnated for almost two years. But in the first half of 2016, we have seen some movement on the Russian debt markets. A number of Russian companies (including Globalports, Gazprom and Renaissance Capital) have successfully placed eurobonds. These deals have shown that investors, both local and international, are starving for long-forgotten investment opportunities in the Russian market. Moreover, certain Russian issuers may launch an IPO later this year. Notwithstanding that the Russian market remains an emerging market with its political, economic and regulatory risks and fears, it is making proactive steps to make itself more appealing. The market is adapting, there is now greater potential, and better protection, for investors. By Olga Te, counsel, and Svetlana Volevich, partner, in Akin Gump Strauss Hauer & Felds Moscow office Carlo Procacci Qatar's De-monopolisation and Competition Protection Committee (the Committee) at the Ministry of Economy and Commerce has become much more active of late. Therefore, ten years after its enactment, it is a good time to review the key provisions of Law 19 of 2006 on the protection of competition and prohibition of monopolistic practices (the Competition Law). The Competition Law introduced a new regime of jurisprudence to Qatar. It was enacted in response to obligations created by multilateral trade agreements and World Trade Organisation requirements. It applies to all business activities in Qatar within the private sector. However, it does not apply to governmental acts or acts of any entity controlled or supervised by the state. The Competition Law forbids collusion, mergers and abusive conduct that would result in dominant market positions. It aims to: stabilise domestic markets and achieve social justice by removing any hurdles that restrict businesses from reaching local consumers; and, encourage competition to assist economic growth and national development aims. Article 1 states that it applies to both collective activities and unilateral activities in areas of control. Control is defined as: the ability of a person or group of persons working together to control the market of products in order to affect prices or quantities without competitors having the ability to limit such effect. A mandatory notification of mergers creating or likely to create a so-called control situation is provided by Article 10. A merger is an acquisition of rights, assets or shares, or the creation of joint ventures or an amalgamation between two or more corporate entities. The Committee is responsible for receiving Article 10 notifications. It must make a decision on these notifications within 90 days, failing which acceptance of the merger is deemed to have taken place. Details of ways to lodge notifications can be found in the Resolution of the Minister of Economy and Commerce No. (61) of 2008. Mergers are excluded from the operation of Article 10 where they contribute to economic progress in a manner that compensates for any adverse effect on competition (Article 11). What comprises economic progress is yet to be determined. Any member of the Committee, as well as properly appointed ministerial officials, are responsible for investigating breaches of the Competition Law. To that end, they are empowered to enter business premises and other places where activities are being undertaken and to inspect all books and other documentary records. Under Article 15, the Committee can make stop orders against entities in breach of any collusive or abusive conduct or engaging in any unlawful mergers. Article 17 provides for punitive fines ranging from 100,000 to 5,000,000 Qatari Riyals (approximately $27, 000 to $1.4 million). It also grants the court the power to confiscate profits made as a consequence of the unlawful activity. Article 18 provides the same fines are applicable to any individual responsible for the management of an entity found to have committed an infringement provided that the individual had knowledge of and contributed to the infringement. However, it appears that such individuals are entitled to an indemnity for the fine from the corporate entity. The Minister for Economy and Commerce can agree a settlement without penal remedies, provided that the settlement contains a payment of between 100,000 to 5,000,000 Qatari Riyals. Carlo Procacci Japanese encephalitis is spreading rapidly in the northern provinces of Vietnam. Six cases of Japanese encephalitis were reported in Hanoi in the last week of June, the government's online news portal cited Tran Dac Phu, head of the citys Preventive Medicine Centre, as saying last Friday. It has been confirmed that 15 cases of Japanese encephalitis are being treated at Hanois Hospital for Tropical Diseases, said Nguyen Trung Cap, deputy head of the hospitals emergency room. Doctors from the Paediatrics Ward at the Hanoi-based Bach Mai General Hospital said 10 children are receiving treatment for the virus. Health officials have confirmed infections in several northern provinces, including Hanoi, Hai Phong, Thanh Hoa, Thai Binh, Bac Giang, Dien Bien, Son La and Lao Cai. Japanese encephalitis is a mosquito-borne virus that can spread throughout the year. However, its peaks during the summer months and becomes extremely contagious in May, June and July. Japanese encephalitis is a life-threatening inflammation of the brain that can hit people of all ages, but is more common among children under 15. The symptoms include a high fever, severe fatigue, headaches, a sore throat, nausea and seizures. Health experts estimate that about one-third of patients die from the virus. Other victims may suffer nerve damage, a coma or paralysis. Health official said people should keep their houses clean, get vaccinated and use mosquito nets to prevent the virus from spreading. The citys Preventive Medicine Centre will closely monitor the development of the disease in residential areas in order to detect infectious cases and prevent an outbreak. From DreamWorks, creators of highly successful animation such as Kung Fu Panda, How To Train Your Dragon and Madagascar comes Trolls, the most smart, funny, irreverent animated comedy of the year. Trolls takes the audience to a colorful, wondrous world populated by hilariously unforgettable characters and discover the story of the overly optimistic creatures (Trolls), with a constant song on their lips, and the comically pessimistic Bergens, who are only happy when they have trolls in their stomach. Trolls features the voice of Anna Kendrick as Poppy, the optimistic leader of the Trolls, and her polar opposite, Branch, played by Justin Timberlake. Together, this unlikely pair of Trolls must embark on an adventure that takes them far beyond the only world they've ever known. Leading the happy bunch of Trolls is Poppy (Kendrick), a relentlessly upbeat if slightly naive Troll whose father King Peppy saved his subjects from the Troll-hungry Bergens. As she and her group of nine friends, the Snack Pack, face their biggest challenge ever, Poppy is faced for the first time in her life with a problem that apparently can't be solved with a song, a dance and a hug. But after some hilarious attempts at saving the day, Poppy discovers that being true to yourself is always the best answer. Justin Timberlake takes on the role of Branch, the over-cautious paranoid survivalist in Troll Village, who lives in fear of invasion from the Bergens. The only Troll in the village who doesn't sing, dance or hug, Branch lives a disgruntled existence, constantly trying to prepare for the worst. Hes constantly flummoxed by the over-the-top positivity of the other Trolls is he the only sane one in this crowd of exuberantly happy Trolls? Over the course of the film, Branch will be challenged to embrace his inner Troll, even if it includes a dreaded burst of singing and dancing. Will he learn to find inner happiness with Poppy at his side? Trolls also features the voices Russell Brand, Zooey Deschanel, James Corden, Kunal Nayyar, Ron Funches, Icona Pop and Gwen Stefani. DreamWorks' and 20th Century Foxs Trolls is a fresh, broad comedy filled with music, heart and hair-raising adventures that will open in cinemas nationwide on October 26. Back to top Imperial Valley News Center Is your meal really gluten free? Cambridge, Massachusetts - For people with celiac disease or gluten intolerances, dining out can be stressful. Even trace amounts of the protein - found in wheat, barley, and rye - in a whole plate of food can cause adverse reactions. Now MIT spinout Nima - co-founded by CEO Shireen Yates MBA 13 and Chief Product Officer Scott Sundvor 12 - has developed a portable, highly sensitive gluten sensor that lets diners know if their food is, indeed, safe to eat. According to the National Institutes of Health, celiac disease, an autoimmune disorder that leads to intestinal damage when gluten is eaten, affects around 1 percent of the U.S. population, or roughly 3 million people. According to the National Foundation for Celiac Awareness, millions more may suffer from nonceliac gluten intolerances. Nimas sensor, also called Nima, is a 3-inch-tall triangular device with disposable capsules. Diners put a sample of food about the size of a pea or liquid into the capsule, screw on the top, and insert the capsule into the device, which mixes the food into a solution that detects gluten. In two to three minutes, a digital display appears on the sensor, indicating if the food sample does or doesnt contain gluten. Every time someone runs a test, the result is automatically sent to an app Nima has developed. The diner can enter information about where and what they ate, and whether the food contained gluten. Any Nima user can log in to see the results. The aim is to create a peace of mind at mealtime, Sundvor says. By amassing data on food, he adds, the startup hopes to provide people with better information about what they eat. Right now, we dont know whats in our food, whether it is allergens, pesticides, or other harmful chemicals, he says. Theres not a good way to get that data. We want to give people the ability to understand their food better and how it affects their health. Sensitive sensor Nima can sense gluten at 20 parts per million (ppm) or more, the maximum concentration for gluten-free foods as determined by the U.S. Food and Drug Administration. Nimas high sensitivity comes from the immunoassay inside the sensor, developed primarily by MIT chemical engineering alumnus Jingqing Zhang SM 12, PhD 13, who is now the lead scientist at Nima. The immunoassay contains custom antibodies that are highly sensitive to gluten molecules. When gluten is present, the antibody bonds to the gluten molecules, causing a color change in the immunoassay, which is captured by an optical reader. If any gluten is detected, the sensor will display an icon with a gluten found message. If the sample has less than 20 ppm of gluten, the sensor will display a smiley face. Nima can detect gluten in foods that are labeled as gluten-free but may have picked up microscopic amounts of the protein during the production or cooking process. A steak may have been fried on the same grill as gluten-based foods, for example, or a salad dressing may contain trace amounts of wheat flour. The device can even detect if someone touched a piece of bread that contained gluten, before handling the food in question. Its the equivalent to finding a breadcrumb in an entire plate of food, Sundvor says. Moreover, Sundvor says, the device seamlessly integrates that chemistry with electronics and mechanics. Weve created this grinding, mixing, and extracting system, and together it works really well, he says. Filling the consumer gap Nima was founded in 2013 as GlutenTech, when Yates, then an MIT Sloan School of Management student, dreamt up an idea for a portable gluten sensor. Seeking an engineer to bring the device to life, she met Sundvor, a recent MIT graduate who had studied mechanical engineering and product design. Together, they set up shop at the now-defunct MIT Beehive, a startup incubator on MITs campus, with aims of filling a huge consumer gap in food-allergen testing, Sundvor says. Conventional at-home tests, he says, require equipment such as test tubes, pipettes, a mortar and pestle, and microscale. You cant bring test tubes to a restaurant, he says. Sundvor began working long hours in an MIT machine shop building a prototype, while Yates brought the idea around to her MIT Sloan classes. Of note was a particular pricing class, where students sketched out pricing and demand models for the product. The result of that was that I found theres a real opportunity here: Theres a need and a willingness to pay, Yates says. In spring 2013, GlutenTech entered the MIT $100K Entrepreneurship Competition with a proof-of-concept model, and they earned the Audience Choice Award in the Accelerate contest. That summer, the team entered the Global Founders Skills Accelerator (GFSA), a 12-week startup program held at the Martin Trust Center for MIT Entrepreneurship. Participating in the $100K forced the team develop a business plan they could pitch to investors, Yates says. It was a testing period to see, if we position ourselves in a certain way, will it resonate with investors? she says. The GFSA was incredible, Sundvor adds, It gave us the opportunity to have a safe space to go full-out on this for three months, have mentors, and have just enough money to squeak by. By the time the GFSA Demo Day rolled around in September, GlutenTech had its first working prototypes which were so ugly, Sundvor says, laughing. The 9-inch-long aluminum tubes looked like lightsaber handles, Sundvor says. Inside the tubes were chemicals used in conventional food tests, and the system took about 10 minutes to detect gluten. When it did, a bright light flashed and a loud alarm went off. We got many looks at restaurants, Sundvor says. But they worked and got us our first investors. Three years ago, GlutenTech moved headquarters from Boston to San Francisco, and changed its name to 6SensorLabs. This year, they renamed the startup as Nima. In three years, the startup has gained more than $14 million in capital venture funding. New opportunities Consumers are the startups first market. But as more individuals start using Nima, restaurants will have more data on their food to better serve patrons, Sundvor says. A couple of restaurants in San Francisco, in fact, are working with Nima on validating their gluten-free menu items. Next year, Nima plans to release two new sensors, one for peanuts and one for dairy, which is surprisingly sneaky, Sundvor says. Bread at a restaurant, for instance, could have been fried in a pan with remnants of butter. A lot of people are getting sick from dairy allergies, so that will be a big market, Sundvor says. Weighing Bees Davis, California - How do you weigh a bee? Thats the question that brought together insect specialists at the University of California, Davis, and two teams of UC Davis engineering students this year, to try and solve what turns out to be a tricky technical problem. But the consequences are important: ultimately, understanding how Californias native bumblebees respond to changes in the environment and the availability of flowers, and how we can protect these insects that are so vital to both agriculture and wild plants. Neal Williams, associate professor of entomology who heads up the bee side of the project, called it a great example of interdisciplinary work. This is a great example of what should happen at a research university, he said. Williams team wants to understand everything about the life of a bumblebee colony, and especially how a colony reacts to change in the availability of pollen and nectar from flowers, their primary food source. Our goal is to understand how bees respond to the availability of resources in their habitat, he said. Bees and flower deserts Californias wildflowers have disappeared as land has been converted for agriculture, creating flower deserts. Bees can respond to a short-term loss of resources by changing the demographics of the colony, for example producing more or fewer worker bees, or more reproductive males and females. What Williams and his team want to know is: what effect do those short-term changes have on bees ability to adapt to change in the future, and what does it mean for their long-term prospects? To understand that, Williams and postdoctoral researcher Rosemary Malfi are collecting a lot of information about their bees. They photograph the adults when they emerge from the pupal stage, to count sterile workers and reproductive castes. A tiny RFID chip is glued to each insects back. Each hive is housed in a cooler, with one plastic tube leading in and out. An RFID reader records each individual bee entering or leaving the hive. We know how old they are, how big they are, what they are doing, Williams said. But to really know how each bee is doing, the entomologists want to know how much they weigh. That would tell them how well-nourished the bees are, and if they could make the measurement sensitive enough, they could measure how much pollen they bring back to the hive on every foraging trip. So they were faced with a problem: How to weigh a tiny, jittery insect that will only stand on scale for fractions of a second. It was time to call in some engineers. Engaging with engineers In spring quarter 2015, Williams visited an electrical engineering class taught by Andre Knoesen, professor in the Department of Electrical and Computer Engineering, to talk about his problem. Knoesen immediately saw it as a great senior-year project for engineering students. Undergraduate engineers in their senior year usually undertake a team capstone project, which gives them an opportunity to apply their skills and knowledge. At UC Davis, those senior projects often draw in researchers from other areas of the university, for projects used in veterinary and human medicine, agriculture, wildlife biology and many other fields. Engineering is inherently multidisciplinary, but it is becoming increasingly important that our students be educated to effectively communicate with scientists and humanists to solve problems important for society, Knoesen said. The bee scale project ultimately gave rise to two team projects, one of electrical engineering students and the other, majoring in mechanical engineering. This project involved undergraduate students from multiple engineering disciplines collaborating with senior scientists to design and implement a device to be used in ongoing research it was an exciting opportunity for our students and an example of multidisciplinary education that we can offer students here at UC Davis, Knoesen said. The electrical engineers had to solve the problem of taking the raw signal from the scale and obtaining time-stamped data for individual bees. A bumblebee leaving an experimental colony housed in a cooler. The bee scale to weigh the insects has to be placed in this tunnel. Photo: Kathy Keatley Garvey We were working with very small signals, at the low end of the technology, so noise in the data was an issue, Troxell said. A bumblebee weighs between 150 and 200 milligrams, and to get useful information about bee health or how much pollen they are carrying, the scale would need to be accurate to less than one milligram. A conventional laboratory balance averages several readings over a few seconds but bees are much too fast and jittery for that to work. Their measurements were so tiny that bee footsteps could throw them off. We had to ask the entomologists about the speed of bee footsteps so we could negate the noise in the data, Troxell said. Learning to work in teams When the mechanical engineers joined the project, they found themselves go-betweens, working with both the entomologists and the electrical engineers, Gibbons said. They had to design and build a mechanism that would do what Williams team required while providing the electrical engineers with a useable data stream. It was a very interesting challenge, she said. As mechanical engineers were used to a very methodical approach, but this is as much about working with people as it is about mechanics. Williams said hes excited with the progress so far. The teams have been able to get readings to within tens of milligrams. Some of the students may continue the work over the summer, and Williams said that it might become a graduate student project. Whats been fantastic has been the integration between the teams, Williams said. This is the way a design process should work. 'This Too Shall Pass': Babar Azam Trolled after Pakistan's Defeat to Zimbabwe in T20 World Cup Vietnam Embassy in Thailand has yet to receive any response on the firing from Thai authorities. Photo by VnExpress/Tri Tin Vietnam has yet to receive any official response from Thai authorities about the incident on July 11. Thailand's naval forces, after opening fire on three Vietnams fishing boats on July 8, have sent ships and planes to search for a Vietnamese fisherman who went missing after the attack, according to Vietnam Ambassador to Thailand Nguyen Tat Thanh. Talking to VnExpress on July 11, Thanh said he has met with the Vietnamese fishermen who were arrested and brought ashore by Thai authorities last week. Among them, two were injured but they are up and about again, Thanh added. Vietnamese officials are working with Thai authorities. The Embassy has not received any official response thus far, and we have requested talks on the issue as soon as possible, Thanh said. At around 2:00 p.m. on July 8, Thai naval forces opened fire on three Vietnamese fishing boats from Ben Tre Province with 18 crew members on board, injuring two fishermen and leaving another missing. Two of the boats sank after colliding with Thai naval vessels. Thai authorities accused the Vietnamese fishermen of illegally intruding their waters and fishing without permission in the area. Bangkok has scheduled a court hearing for the Vietnamese fishermen today. The two injured fishermen have been identified as Nguyen Van Queo (29) and Nguyen Van Linh (25). Queo was shot in the right leg while Linh was wounded in the shoulder. The fishermen are currently being held at Naval Zone 2 of Thailands Royal Navy in the southern province of Songkhla. First Secretary Nguyen Hai Ngoc from the Vietnam Embassy in Thailand, who is responsible for the protection of Vietnamese citizens, said that firing on foreign fishing vessels over territorial disputes is against the law and international practices. This is the second time Thai warships have opened fire on Vietnamese fishing vessels and injured fishermen. In September last year, Thai warships opened fire on a Vietnamese fishing boat, killing one crew member. Related news: > Indonesia defends opening fire on Chinese boat > Chinese vessels sink Vietnamese fishing boat near Paracel Islands > Thailands navy shoots at Vietnamese fishing boats Sign up to our free IndyArts newsletter for all the latest entertainment news and reviews Sign up to our free IndyArts newsletter Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the IndyArts email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Prominent Iranian sculptor Parviz Tanavoli has been accused of disturbing the public peace and could face criminal charges because of his nude artworks, he has claimed, after being barred from leaving the country to visit London. Mr Tanavoli, who is renowned for his signature bronze sculptures, was due to give a talk at the British Museum before he was confronted by Iranian authorities at Tehran Airport last week. The artists passport was confiscated by border officials and he was banned from flying as a result of his published book European Women in Persian Houses. I learnt this morning in court that the police had accused me of publishing false information and disturbing the public peace, he told ILNA, after visiting Iran's special court for culture and media. They told me my sculptures are examples of disturbing the public peace, Mr Tanavolis book is a study of images from Irans Safavid and Qajar eras and contains some nudes the displays of which are forbidden in the conservative Islamic republic. I have worked for 50 years and so far none of my works have had any problems, Tanavoli said, adding that the accusations came after his works had been on display at several venues around Tehran. Mathias Zwick: Skateboarders of Iran Show all 11 1 /11 Mathias Zwick: Skateboarders of Iran Mathias Zwick: Skateboarders of Iran Wall of skateboards in the Grand Bazaar of Tehran Mathias Zwick Mathias Zwick: Skateboarders of Iran Tehran, the most progressive city in Iran, is home to the country's largest community of skateboarders. They number about 500. The Alborz Mountains overlook the north of the capital. Skateboarders like to meet over there to go down from mount Toshal to city center skatepark. In Tehrans heavy traffic, this horde of skateboarders is in the middle of a very dangerous journey Mathias Zwick Mathias Zwick: Skateboarders of Iran Documenting themselves with Go-Pro cameras, the crew of skateboarders rides through the city, past the wide-eyed gazes of passers-by. The footage will be posted on Instagram, a popular social network among young Iranians. Unlike Facebook, Instagram is not blocked by the Iranian government Mathias Zwick Mathias Zwick: Skateboarders of Iran In Southern Tehran, Ashkan kickflips in front of a mural depicting the ayatollah Khomeini, the Supreme Leader of the Islamic Revolution in 1979 Mathias Zwick Mathias Zwick: Skateboarders of Iran In recent years, groups of skateboarders appeared in all of Iran's major cities. Iranian cities, where modern and traditional architecture intermingle, are their playgrounds. Unlike Tehran, there is no skate park in Isfahan. Young skaters must settle for the street Mathias Zwick Mathias Zwick: Skateboarders of Iran In front of a mosque in Isfahan, around 211 miles south from Tehran, Erfan is towed by a carriage usually meant for tourists Mathias Zwick Mathias Zwick: Skateboarders of Iran Persian rugs are traditionally used for sitting to eat, drink tea or rest. While waiting for friends from Ispahan to joint them, the skateboarders from Tehran improvise a game with a board without wheels Mathias Zwick Mathias Zwick: Skateboarders of Iran The Grand Bazaar in Tehran is usually filled with people, but Friday is the weekly day of rest in Iran. Amid ghostly decor skateboarders lose themselves in the many narrow alleys of the labyrinthine market Mathias Zwick Mathias Zwick: Skateboarders of Iran In Tehran, Erfan, 24, rides hurtles past the portraits of Khamenei (left), the Supreme Leader of the Islamic Republic of Iran since 1989, and his predecessor Khomeini (far right) Mathias Zwick Mathias Zwick: Skateboarders of Iran In Kerman, located in southeastern Iran, girls take ownership of skateboarding, too. Wearing mandatory veils and long sleeves, here are three that train regularly with boys Mathias Zwick Mathias Zwick: Skateboarders of Iran Although skateboarding is one of the few sports where genders intermingle, girls do not have the same opportunities than boys. Mandatory veils and long sleeves make practicing skateboard harder under the intense heat of a summer in Shiraz, said Ehlam, 21 years old, skater girl, electric guitar player and also breakdancer Mathias Zwick Mr Tanavoli was one of the pioneers of the Saqqakhaneh school, a neo-traditionalist movement which began in the 1960s and takes Persian and Shiite folk themes as its inspiration. His bronze work 'The Wall' ('Oh, Persepolis') fetched $2.84 million at auction in 2008, setting the record at the time for the most expensive Iranian artwork. The artist holds dual Iranian-Canadian nationality, spending half the year in Iran and the other half in Canada. His artworks are displayed in major museums worldwide including New York's Metropolitan Museum of Art and Museum of Modern Art, as well as the British Museum. He has run into difficulties with the Iranian authorities in the past, with pieces of his artwork confiscated more than a decade ago during a dispute over his house in Tehran. He is still said to be fighting to get the pieces back. Sign up to Roisin OConnors free weekly newsletter Now Hear This for the inside track on all things music Get our Now Hear This email for free Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Roisin OConnors email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Rock and Roll Hall of Fame inductees the Red Hot Chili Peppers were subjected to an embarrassing case of mistaken identity as they completed their European tour, being confused by airport officials with heavy metal band Metallica. The band were touring between Kiev, Ukraine and Moscow, Russia in the wake of the release of their eleventh studio album, The Getaway, when they were stopped by customs officials at a Belarus airport. According to bassist Flea, once in the customs office the band were asked for their autographs only to be given an array of Metallica merchandise to sign. A picture posted by Flea on Instagram shows him writing his name on a series of Metallica pictures. Heavy metal band Metallica (Getty Images ) (Getty Images) Writing of the incident, Flea said: We were called into [the] customs officials office at an airport in Belarus and they asked us to sign a bunch of Metallica cd's and photos. We tried to explain to them that we weren't Metallica but they insisted that we sign anyway. They had the power. Well I did play fight fire with [fire] with Metallica once. I love Metallica anyways but I'm no Robert Trujillo, referring to the heavy metal outfits bassist. It remains unclear why the Belarus customs officials made the mix-up Metallica will not be visiting Europe at all during their Summer tour - or why they had such a vast supply of Metallica merchandise readily available. On Sunday, Red Hot Chili Peppers headlined T in the Parks main stage, bringing the festival to a close. They will next play in Ottawa, Canada at the Ottawa Bluesfest before performing in South Korea and Japan. In May, the band were forced to cancel two of their gigs after front man Anthony Kiedis was admitted to hospital with intestinal flu. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} An Australian man has been fired from his marketing job after he called Singapore a piece of f***ing s*** country on Facebook for not having Pokemon Go. Sonny Truyen made the comment in response to the fact that the smartphone game has not yet been released in Singapore. The augmented reality game, which allows users to catch Pokemon characters in real-world locations, has already been downloaded by millions of users in the countries it has been made available in since its release last week. It is currently available in the US, Australia and New Zealand. Mr Truyen wrote: You cant f***ing catch Pokemon in this piece of f***ing shit country on Facebook. Responding to comments from another Facebook user who told Mr Truyen to get out of our country, he responded with further comments about how Singapore is filled with stupid people and that the national IQ average would fall if he left. Online users discovered Mr Truyen worked at a local property site called 99.co as its vice president of digital marketing and started writing complaints on the companys Facebook page about his comments. On Monday 99.cos CEO, Darius Cheung, apologised for Mr Truyens comments in a company blog post, stating: Sonny, as an SEO specialist, has only started consulting for us for a week before the incident happened. Mr Truyan's comments made on Facebook We are a proud Singaporean company and do not condone such language or behaviour, hence we have since terminated his engagement once the incident came to light. Mr Truyen has since deleted his social media accounts. In a statement given to Mashable, he called his comments a very big error in my judgement to negatively label an entire country over Pokemon. He added: Ive parted ways with 99.co and would appreciate it if everone could stop the witch hunt there and leave them alone, bombarding them with threats isnt helping. Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} The economic shock and disruption delivered by Brexit is on a par with the impact of devastating and unforeseen natural disasters, such as the 2011 Japanese earthquake, the OECD said today. The multilateral organisation has been forced to suspend the publication of its monthly Composite Leading Indicators (CLIs), which are designed to flag potential turning points in economic activity around the world by sifting through a wide array of data. Recommended Read more George Osborne urges US not to give up on Britain after Brexit The OECD is proud of the accuracy of its indicators and boasts that they have been an effective tool in periods of extreme volatility in global financial markets in recent years, including during the 2008 global financial crisis and the eurozone emergency of 2012 and 2013. But the Paris-based OECD, which is funded by member states, said the latest batch of CLIs covering the period up to the end of last month had been rendered grossly misleading by the surprise vote by the British public to leave the European Union on 23 June, which sent sterling plunging to 31 year lows and prompted major sell-offs in global stock markets. The CLIs cannotaccount for significant unforeseen or unexpected events, for example natural disasters, such as the earthquake, and subsequent events that affected Japan in March 2011, and that resulted in a suspension of CLI estimates for Japan in April and May 2011 the OECD said in a statement this morning. The outcome of the recent (23 June) Referendum in the United Kingdom is another such significant unexpected event, which is affecting the underlying expectation and outturn indicators used to construct the CLIs regularly published by the OECD, both for the UK and other OECD countries and emerging economies. But unlike in the wake of the Tohoku earthquake, which was the most powerful ever to strike the country and which killed almost 16,000 people, when the CLIs were suspended only for Japan, this time the CLIs for not only for the UK but for all the other countries covered by the OECDs indicators will not be released. They are not expected to recommence publication until September now, when the OECD says the data should reflect the Brexit impact on global economic activity and finamcial markets. During the referendum campaign the OECD flagged the negative global economic effects of a Brexit vote by the British people, warning that it posed as large a threat to the global economy as a crash in the Chinese economy. We have done a lot of work on what a hard landing in China would mean. It is in the same ball park as Brexit said the OECDs chief economist, Catherine Mann, last month. Business news: In pictures Show all 13 1 /13 Business news: In pictures Business news: In pictures Flybe collapses Airline Flybe has collapsed. All future flights on the Exeter-based airline have been cancelled leaving more than 2,300 staff facing an uncertain future, and wrecking the travel plans of hundreds of thousands of passengers. The chief executive, Mark Anderson, said: Europes largest independent regional airline has been unable to overcome significant funding challenges to its business. AFP via Getty Business news: In pictures Future product placement will be 'tailored to individual viewers' Marketing executives say that product placement in films and televison shows on streaming services such as Netflix may be tailored to individuals in future. For instance, if data shows that a viewer is a fan of pepsi, a billboard in the background of a shot would host an advert for pepsi, while for a viewer known to have different tastes it could be for Coca-Cola Paramount Business news: In pictures Corbyn wishes Amazon a happy birthday In a card sent to Amazon CEO Jeff Bezos on the company's 25th birthday, Labour leader Jeremy Corbyn writes: "You owe the British people millions in taxes that pay for the public services that we all rely on. Please pay your fair share" Business news: In pictures No deal, no tariffs The government has announced that it would slash almost all tariffs in the event of a no-deal Brexit. Notable exceptions include cars and meat, which will see tariffs in place to protect British farmers Getty Business news: In pictures Fingerprint payment NatWest is trialling a new bank card that will allow people to touch their hand to the card when paying rather than typing in a PIN number. The card will work by recognising the user's fingerprint NatWest/PA Wire Business news: In pictures Mahabis bust High-end slipper retailer Mahabis has gone into administration. 2 Jan 2019 Mahabis Business news: In pictures Costa Cola Coca-Cola has paid 3.9bn for Costa Coffee. A cafe chain is a new venture for the global soft drinks giant PA Business news: In pictures RIP Payday Loans A funeral procession for payday loans was held in London on September 2. The future of pay day lenders is in doubt after Wonga, Britain's biggest, went into administration on August 30 PA Business news: In pictures Musk irks investors and directors Elon Musk has concluded that Tesla will remain public. Investors and company directors were angry at Musk for tweeting unexpectedly that he was considering taking Tesla private and share prices had taken a tumble in the following weeks Getty Business news: In pictures Jaguar warning Iconic British car maker Jaguar Land Rover warned on July 5, 2018 that a "bad" Brexit deal could jeopardise planned investment of more than $100 billion, upping corporate pressure as the government heads into crucial talks AFP/Getty Business news: In pictures Spotif-IPO Spotify traded publically for the first time on the New York Stock Exchange on Tuesday. However, the company isn't issuing shares, but rather, shares held by Spotify's private investors will be sold AFP/Getty Business news: In pictures French blue passports The deadline to award a contract to make blue British passports after Brexit has been extended by two weeks following a request by bidder De La Rue. The move comes after anger at the announcement British passports would be produced by Franco-Dutch firm Gemalto when De La Rues contract ends in July. The British firm said Gemalto was chosen only because it undercut the competition, but the UK company also admitted that it was not the cheapest choice in the tendering process. Business news: In pictures Beast from the east economic impact The Beast from the East wiped 4m off of Flybes revenues due to flight cancellations, airport closures and delays, according to the budget airlines estimates. Flybe said it cancelled 994 flights in the three months to 31 March, compared to 372 in the same period last year. Angel Gurria, the OECD's secretary general, said leaving the UK would create such a negative short-term impact on UK living standards that it would "like a tax". "It is the equivalent to roughly missing out on about one month's income within four years but then it carries on to 2030. That tax is going to be continued to be paid by Britons over time" he told the BBC. A ship (top) of the Chinese Coast Guard is seen near a ship of the Vietnam Marine Guard in the East Sea, about 210 km off shore of Vietnam May 14, 2014. Photo by Reuters/Nguyen Minh A U.S.-led alliance against China in Southeast Asia? Not so fast. On July 2, Vietnam urged an international tribunal in The Hague to deliver a "fair and objective" ruling on a prominent lawsuit lodged by the Philippines to contest Chinas claims to large swaths of the East Sea, internationally known as the South China Sea. Two days later, Hanoi lashed out at Beijing for conducting military drills in the flashpoint area just a week ahead of the court's ruling, saying such actions only exacerbated regional security and maritime safety concerns. Over the past week, the forthcoming ruling has dominated the Vietnamese media, almost as if it were a Vietnam vs. China case. Facebook, Vietnams most beloved social media platform for grassroots advocacy, has been abuzz with comments and anticipation about the arbitration case. Such developments highlight how increasingly anxious Vietnam is about the ruling, expected on July 12, by the Permanent Court of Arbitration in The Hague, the Netherlands. The Philippines brought the case in 2013, challenging what it called Chinas unlawful claims in the strategically important and resource-rich East Sea. Since then Vietnam has been closely monitoring the case, looking to cash in on its outcome. "Vietnam will benefit from the ruling, especially if the tribunal rules against the nine-dash line," said Le Hong Hiep, a research fellow at the ISEAS-Yusof Ishak Institute in Singapore. China routinely outlines the scope of its territorial claims through maps featuring a so-called nine-dash line which includes about 90 percent of the 3.5-million-square-kilometer East Sea. But these maps have been emphatically rejected by international experts and fly in the face of competing claims by four members of the Association of Southeast Asian Nations (ASEAN) Vietnam, the Philippines, Malaysia and Brunei. "It will be a big disappointment for Vietnam if the tribunal does not rule against Chinas nine-dash line claim," Hiep told VnExpress International. "In that case, however, Vietnam still stands to benefit from the tribunals ruling on the legal status of certain features in the Spratlys, especially those held by China," he said, referring to an island chain in the East Sea where Vietnam has overlapping claims with several other Southeast Asian countries. "If the tribunal says that these features are just rocks or low-tide elevations that do not constitute an exclusive economic zone for themselves, there will be no overlap between these features maritime zone with Vietnams legitimate exclusive economic zone," Hiep said. Since August 2014, after withdrawing its infamous oil rig from Vietnamese waters that left Sino-Vietnamese ties in tatters, China has continued to pursue a number of land reclamation projects around small islands in the Spratlys. Chinas island-building activities are also another major theme under the scrutiny of the The Hague court. It will decide whether several land features some of which China has already turned into man-made islands are to be considered "low-tide elevations", which do not entitle claimant states to any territorial waters; "rocks", which give a claimant state a 12-mile territorial zone; or "islands", which enjoy a 200-mile exclusive economic zone. It looks likely that the court will dismiss Chinas man-made islands as unlawful, analysts say. Meanwhile, Southeast Asian claimants, Vietnam included, have also engaged in reclamation activities in the Spratlys. But the bottom line is they are on a far smaller scale than China, according to the analysts. "Beijing is the only one building islands where previously only submerged features existed," said Gregory Poling, a Southeast Asia analyst at the Washington-based think tank Center for Strategic and International Studies. "It is true that other claimants have engaged in limited reclamation work to expand the size of features or prevent erosion ... but those are of a fundamentally different nature because they are cases of expanding an island as opposed to creating one from nothing." An unlikely anti-China alliance Analysts concur that Vietnam has another legitimate reason to throw strong support behind a ruling in favor of the Philippines. "After all, Vietnam wants to maintain a good relationship with China but it also has to protect its legitimate interests in the East Sea," said Hiep, the Singapore-based expert. "Supporting the ruling offers Vietnam a rare opportunity to undercut Beijings claims in the East Sea without directly confronting its giant northern neighbor." China remains Vietnams biggest trade partner. Meanwhile, the Trans-Pacific Partnership, a mammoth U.S.-led 12-member free trade agreement (including Vietnam) aimed at countering Chinas growing political and economic clout, is still pending approval on Capitol Hill and may even get derailed there. Analysts say Vietnam certainly wants to use the ruling to get ASEAN to come up with a collective response. But given the status quo, that appears to be wishful thinking. "ASEAN really needs to have a uniform response but Cambodia and Laos have made it very clear that that is not going to happen," said Zachary Abuza, a Washington-based Southeast Asia analyst. At a recent ASEAN-China Special Foreign Ministers Meeting held in Yuxi, China, media reports suggested that China, capitalizing on its economic largesse to Laos, browbeat the landlocked nation, the current ASEAN chair, into thwarting the issuance of a joint statement by the regional grouping on the East Sea. Just last month, Cambodian Prime Minister Hun Sen also toed China's official line in dismissing the legitimacy of the court in The Hague, saying he would not support any judgment by it. Both Cambodia and Laos are historically close allies of Vietnam. But "the cows left the barn long ago. Hanoi is just realizing it", Abuza said. It is in this context that the U.S., which has always tried to serve as an honest broker in the East Sea dispute much to China's disdain, will find it difficult to use the arbitration ruling to forge an anti-China alliance in the region. Even its treaty ally, the Philippines, has shown signs of changing tack. On July 8 Filipino Foreign Secretary Perfecto was quoted by AFP as saying that his country would be willing to share natural resources with China in the East Sea even if it wins the lawsuit. Yasay said further that the newly installed administration of President Rodrigo Duterte is looking to begin direct talks with Beijing after the verdict, with the negotiations to cover jointly exploiting natural gas reserves and fishing grounds within the Philippines' exclusive economic zone. Such an apparent about-face by the Philippines should come as no surprise, analysts say. "You have to understand the domestic politics: Duterte is a populist," Abuza said. "He was elected because despite 6.5 percent growth for the past six years; most Filipinos are poor and didn't reap the benefits. So he needs to grow the economy and that requires Chinese aid." "This is going to make the U.S. efforts [to encircle China] all the more difficult," Abuza said. "Duterte is going to seize a defeat from the jaws of victory." Related news: > Hague arbitration court to rule in the nine-dash line case on July 12 > Key milestones in Philippines-China dispute over nine-dash line > Chinas drill "seriously violates Vietnams sovereignty": Foreign Ministry Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Tidjane Thiam, Credit Suisse CEO, has said the EU referendum was real test to the company and that some staff worked 36 hours straight to cope with market activity around the vote. Thiam, who congratulated the banks staff for their hard work, said some of its employees worked for 36 hours straight the day following the EU referendum as trading volumes were 27 times higher than usual. I was on the trading floor in London the day after the Brexit referendum. Some of our people worked 36 hours straight and at one point we had 27 times the normal daily trading volume, Thiam told the NZZ am Sonntag newspaper. Recommended Read more Brexit boom for lawyers and consultants Our systems worked without a hitch. This was a real test of the strength of our organisation, he said. Senior staff at other major institutions such as JP Morgan, Barclays, Deutsche Bank and UBS warned they would have more activities and staff on hand on the night of the referendum because of potential market volatility and client demand. We will be helping clients navigate markets throughout the night and post the EU referendum decision, a Barclays spokesperson told the Evening Standard. Hargreaves trebled the amount of staff who could take trades during the referendum. Thiam blamed the UKs decision to leave the EU on a chronic lack of investment in British education.He said he was shocked by the level of inequality in the country when visiting a school in Tower Hamlets, where around half pupils only ate once a day. That's something I had seen in Ivory Coast, Thiam said. He said the chaos following the vote vindicated his decision to sell off illiquid positions and reduce the bank's risk profile. The day after Brexit we were all glad we didn't hold these positions any longer, he said. JP Morgan downgraded their Credit Suisse rating on Monday following the referendum, citing new pressure on the banks profitability as the result of the vote. Shares in Credit Suisse tumbled nearly 10 per cent to 10.14 CHF ($10.43), touching their lowest mark since 1997, on the same day. Credit Suisse shares have dropped by nearly 64 per cent from their one year high on July 23 last year. The aftermath of the vote to exit the EU membership has prompted a boom in demand for legal and financial services as UK businesses race to make sense of the situation ahead of the UK leaving the EU. KPMG, one of the fourth largest accountancy firms in the world, has created a head of Brexit position to manage client advice as the UK prepares to leaves the EU. Meanwhile PwC and Ernst and Young have set up Brexit teams to cope with demand. Brexit reactions in pictures Show all 10 1 /10 Brexit reactions in pictures Brexit reactions in pictures Supporters of the Stronger In campaign look at their phones after hearing results in the EU referendum at London's Royal Festival Hall AP Brexit reactions in pictures Leave supporters cheer results at a Leave.eu party after polling stations closed in the Referendum on the European Union in London Reuters Brexit reactions in pictures Mr Cameron announces his resignation to supporters Getty Brexit reactions in pictures Donald Tusk proposes that the 27 remaining EU member states start a wider reflection on the future of our union Getty Brexit reactions in pictures Ukip leader Nigel Farage greets his supporters on College Green in Westminster, after Britain voted to leave the European Union PA Brexit reactions in pictures Supporters of the Stronger In Campaign react as referendum results are announced today Getty Brexit reactions in pictures Boris Johnson leaves his home today to discover a crowd of waiting journalists and police officers Getty Brexit reactions in pictures Leave EU supporters celebrate as they watch the British EU Referendum results being televised at Millbank Tower in London Rex Brexit reactions in pictures Supporters of the Stronger In Campaign react as results of the EU referendum are announced at the Royal Festival Hall Reuters Brexit reactions in pictures Supporters of the Stronger In campaign react after hearing results in the EU referendum at London's Royal Festival Hall PA KPMG said the full ramifications of Brexit remain to be seen but highlighted that six deals the company was working on were put on hold after the vote. As our clients look further into the future, they are considering opportunities as well as risks. We therefore expect Brexit to act as a catalyst to businesses looking to transform their strategies and operations in a post-Brexit world. Clearly, how this plays out will depend on the terms of Britains exit from the EU, Karen Briggs, KPMGs new head of Brexit told the Independent. Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Britains food and drink industry has urged the government to delay its plans for a sugar tax, fearing it will add an unwelcomed burden on an industry already hit by a weak consumer confidence and a looming skills gap after the UKs vote to leave the EU in June. Ian Wright, the director general of the Food and Drink Federation (FDF), an industry lobby group whose members include the makers of Coca-Cola, Pepsi and Nestle, has launched a manifesto to help the sector deal with Britains decision to exit the EU membership, calling it the UKs most significant challenge ever. The sugar tax is due to take effect in April 2018. But Wright said the levy, which has been heavily criticised by the industry, should be put on hold. Government has an obligation to act quickly to support confidence and competitiveness and to provide reassurance and stability, he said. The policy, if proceeded, would add an unwelcome additional burden on a hard-pressed industry at a moment of crisis, Wright said. It seems to me inconceivable that the small number of civil servants with expertise in excise duties within HMRC would, at this time, be working on the sugar levy and not on the replacement for the customs union, he added. The FDF and the British Soft Drinks Association (BSDA) have both expressed their disappointment at the George Osbornes decision to put a tax on sugary soft drinks in the March Budget. Wright said the industry will continue to oppose the sugar levy which was not evidence-base and not the least-bit effective. Leendert Den Hollander, vice-president and general manager of Coca-Cola, previously said he believed there was no proof or evidence that sugar tax is effective. Paul Johnson, director of Institute for Fiscal Studies also said only one-fifth of total sugar consumption comes for drinks, meaning 80 per cent of sugar consumption comes from other things. Mr Johnson stressed that the policy for a sugary drinks tax - not a blanket sugar tax - could mean consumption of sugary foods will rise in the aftermath as people move away from drinks to other sugary products, substituting Coca Cola for chocolate, for example. But the Chancellor expects the tax to raise 520 million. The money to be side set aside as additional funding for sport in school. Separately in his manifesto, Wright criticised the government saying the Remain campaign had no plan B while the Leave campaign had no plan at all. The FDF warned of significant challenges following the vote, saying it was imperative that the industry retained its ability to trade across the EU - the UK's largest market for exports of food and non-alcoholic drink, according to the FDF. Wright added it was also time to provide speedy reassurance to its valued foreign workers. Nearly a quarter of the present food industry workforce is from European countries outside the UK, according to the FDF. Our industry will require cast-iron assurances that their access to a flexible workforce, with a wide range of skills and capabilities as well as a strong work ethic, will continue, Wright said. The amounts of sugar in food and drink Show all 6 1 /6 The amounts of sugar in food and drink The amounts of sugar in food and drink Minstrels A 42g bag contains 28.9g of sugar The amounts of sugar in food and drink Dairy Milk A 49g bar contains 26.8g of sugar The amounts of sugar in food and drink Skittles 45g of Skittles (about a quarter of a large 174g pouch) contains 40.4g of sugar The amounts of sugar in food and drink Ribena A 500ml bottle of Blackcurrant Ribena contains 23g of sugar, down from 50g/500ml after it was reformulated to avoid the government's tax on sugary drinks The amounts of sugar in food and drink Coca Cola A 330ml can of Coca Cola contains 35g of sugar The amounts of sugar in food and drink Innocent Smoothies A 250ml bottle of strawberries & bananas Innocent Smoothie (the middle size) contains 26g of sugar The Government must therefore develop a new migration policy that ensures manufacturers will have continued access to the workers we need to address a looming skills gap - and the drive for future innovation to support the UK's competitive advantage, he added. Meurig Raymond, the president of the National Farmers Union previously said the referendum result was a political car crash, and warned that the UKs dependence on imports combined with a weakened pound would mean the country could expect to see the price of food go up. Sadly, we only produce 60 per cent of the food we consume. Weve seen our self-sufficiency fall dramatically, so we are very dependent on imported food, he said. Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} The Government has been accused of sleepwalking while the integrity of the UKs world-class universities is at risk post-Brexit. Professor Matthew Flinders, chair of the Political Studies Association (PSA), says the Government does not really know where it is going or why in a blog post discussing the increased insecurity felt by non-UK academics and students, as well as open abuse and racism directed at overseas staff and students. Recommended Read more Top European university warns UK students to apply before Brexit Describing how he has received a significant number of worrying accounts of such incidents, the academic says: The instability and intolerance unleashed by Brexit could hardly have been predicted with any certainty, but the outlook is gravely worrying for a number of reasons. Urging university leaders to stand up and shape the agenda, Flinders says: If a vacuum exists in relation to political leadership, then it is up to the broader academic community to seize the agenda and to plot a positive course of action. Further calling on the academic community to emphasise tolerance as a central and defining feature of the British higher education system, he continues: Universities will undermine their reputation and credibility if they accept discriminatory practices such as an unwillingness to appoint EU citizens or to offer anything more than a temporary rolling contract. The professor, who is also founding director of the Sir Bernard Crick Centre for the Public Understanding of Politics at the University of Sheffield, also stresses the public value of higher education: It is impossible to ignore the educational divide that was revealed by those who voted either for or against continued membership of the EU. We need to celebrate the role of education in promoting engaged citizenship and encouraging individuals to look beyond their own community. The academics comments have come shortly after it was revealed staff and students at the University of Exeter had received verbal abuse following the shock Brexit result from the EU referendum. The Russell Group institutions deputy vice-chancellor, Professor Nick Talbot, told ITV News the incidents were terrible, reprehensible, and awful. A university spokesman told the Independent the university deplores any example of this behaviour. He added: All our staff and students play a positive role, not just at the university, but for the city as a whole. They also make a vital contribution, through their research, to solving many of societys most pressing issues. Recommended Read more How the Brexit result will affect universities and students Staff and students at De Montfort University (DMU) in Leicester have also been attracting attention as their petition for the Government to protect the residency rights of EU-born university staff in the UK has gathered almost 2,000 signatures of support in just over a week. Universities Minister, Jo Johnson, said shortly after the referendum result: EU and international students make an important contribution to our world-class universities, and our European neighbours are among some of our closest research partners. There are obviously big discussions to be had with our European partners, and I look forward to working with the sector to ensure its voice is fully represented and that it continues to go from strength to strength. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} The sister of South African Paralympic athlete Oscar Pistorius has thanked a judge after her brother was returned to jail for the murder of his girlfriend Reeva Steenkamp. Pistorius, 29, was handed a six-year prison sentence on Wednesday following a successful appeal to upgrade his original conviction of culpable homicide to murder. The athlete, whose legs were amputated below the knee as a child, was on his stumps when he shot Ms Steenkamp, a law graduate and model, four times through a locked bathroom door in his home. Reeva Steenkamp: Model and law graduate Show all 5 1 /5 Reeva Steenkamp: Model and law graduate Reeva Steenkamp: Model and law graduate v2pistorius1.jpg South African Olympic athlete Oscar Pistorius and Reeva Steenkamp AP Reeva Steenkamp: Model and law graduate Reeva-Steenkamp-FHM-model,-.jpg Reeva Steenkamp, girlfriend of Oscar Pistorius GETTY IMAGES Reeva Steenkamp: Model and law graduate fhm.jpg Reeva Steenkamp appeared in FHM FHM Reeva Steenkamp: Model and law graduate Reeva.Steenkamp.jpg Reeva Steenkamp Getty Images Reeva Steenkamp: Model and law graduate Reeva.Steenkamp.cooking.jpg Reeva Steenkamp Getty Images In an interview with eNCA, Aimee said Ms Steenkamp's death was a terrible accident which highlighted his fears and vulnerabilities. She said she was "grateful" to Judge Thokozile Masipa, sentencing, for highlighting her belief that the killing was not an act of gender-based violence. The thing I'm most grateful and the thing I really appreciate is the emphasis she made to distinguish a difference between the facts and the truth in terms of this is not a gender-based violence situation," she said. Oscar Pistorius sentenced to six years It's a terrible accident where Oscar had no intention of shooting Reeva. A lot of hurt has been created and on top of that, there is already in this tragedy, that there's this lie being perpetuated that there was violence that there was an argument and that there was intention to shoot Reeva, which is not true and there hasn't been anything to support that. In her sentencing remarks, Judge Thokozile Masipa referred to Pistorius as a fallen hero who believed an intruder was behind the door, not Ms Steenkamp. Judge Masipa was branded an embarrassment to the justice system by Members of the Women's League of the ruling African National Congress (ANCWL) for delivering a sentence lower than the prescribed minimum of 15 years in South Africa. Lawyers for Pistorius' legal team have said they will not appeal his sentence. The state has 14 days to decide whether it will launch an appeal. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Known for her steely, no-nonsense and inflexible demeanour, Theresa May is seen as a safe pair of hands within the Conservative party and noted for her careful, meticulous decision-making. But who is the woman who has striven to keep her personal life personal? From her religious upbringing to her grammar school education to her penchant for Alpine walks, Ms May has been described as a woman with "no wild side". She was banned from canvassing for the Tories by her father Born in Eastbourne in Sussex, Ms Mays father was a Church of England clergyman. From a young age, she was instilled with a sense of religious discipline. A teenage Ms May was banned from canvassing for the Tories by her father in order to avoid accusations of political bias against him. Nevertheless, this did not stop her political ambitions. Instead, she simply canvassed in the Conservative offices out of sight. Theresa May: Delighted with result Ms May was educated in the state sector and won a place at the former Holton Park Girls' Grammar School in Wheatley in Oxfordshire at the age of 13. I shouldnt say it, but I probably was Goody Two Shoes, she told The Telegraph in 2012. Unlike her PPE-educated colleagues, Ms May studied Geography at St Hugh's College, Oxford. Her father Reverend Hubert Brasier died in a car crash while she was in her mid-twenties. Her mother died from multiple sclerosis just a year later. After graduating, Ms May went on to work at the Bank of England and later at the Association for Payment Clearing Services. She twice failed to get into the Commons Following two unsuccessful attempts to get elected to the House of Commons in 1992 and 1994, Ms May was elected as the MP for Maidenhead in the 1997 general election. She went on to be appointed Chairman of the Conservative Party and served in a number of roles in the Shadow Cabinets of William Hague, Michael Howard, Iain Duncan Smith, and David Cameron. Theresa May in quotes Show all 10 1 /10 Theresa May in quotes Theresa May in quotes On being described by the former chancellor Ken Clarke as a bloody difficult woman: Politics could do with some Bloody Difficult Women actually Rex Features Theresa May in quotes On keeping secrets even from her husband: There are some things I am told that I am not able to confide in anybody Rex Features Theresa May in quotes On the relentless focus on her appearance during a speech at the Women in the World summit: "I like clothes and I like shoes. One of the challenges for women in the workplace is to be ourselves and I say you can be clever and like clothes. You can have a career and like clothes Getty Images Theresa May in quotes On comparisons to Margaret Thatcher: I think there can only ever be one Margaret Thatcher. Im not someone who naturally looks to role models. Ive always, whatever job it is Im doing at the time, given it my best shot. I put my all into it, and try to do the best job I can AFP/Getty Images Theresa May in quotes On her rebelliousness, or lack of, as a teenager: I probably was Goody Two Shoes at school Getty Images Theresa May in quotes On being replaced as chairman by Lord Saatchi and Liam Fox in 2003: Yes, it takes two men to step into the shoes of one woman AFP/Getty Images Theresa May in quotes What Theresa May said when she was asked about her political ambitions during an interview with Miriam Gonzalez Durantez, a lawyer married to Nick Clegg, in December: MD: "My very last question is: that little girl who is somewhere there, is she dreaming of becoming the next British Prime Minister?" TM: "Shes dreaming of carrying on doing a good job in the Home Office" Getty Images Theresa May in quotes On not being able to have children: I like to keep my personal life personal. We couldnt have children, we dealt with it and moved on. I hope nobody would think that mattered; I can still empathise, understand people and care about fairness and opportunity Getty Images Theresa May in quotes On whether she can deliver the mandate of the EU referendum: I think for party members and indeed for others, I would say look at my record. I think they can see that Im somebody who gets on with the job, but Im also somebody who says it as I see it and actually delivers on what I say Getty Images Theresa May in quotes On the equally relentless obsession with her shoes: As a woman I know you can be very serious about something and very soberly dressed add a little bit of interest with footwear. I always tell women you have to be yourself, dont assume you have to fit into a stereotype and if your personality is shown through your clothes or shoes, so be it Getty Images She is a doer not a talker Ms May has been widely characterised as a pragmatic, non-ideological politician and has been compared to German Chancellor Angela Merkel. Despite the fact she has identified herself with the One Nation Conservative position within her party, she is seen to be predominantly concerned with getting the job done. My whole philosophy is about doing not talking, she recently told The Telegraph. Ive always championed women in politics. We just get stuck in; politics isnt a game, the decisions we make affect peoples lives. Unlike Mr Cameron and the Chancellor George Osborne, Ms May sees Twitter as a waste of time. She also eschews the old boys network associated with the Tory party. Theres an obvious reason why Im not part of the old-boys network Im not an old boy, she recently said. Ive always taken the same approach in every role Ive played, which is Ive got a job to do, lets get on and deliver. After finishing her role as chairman of the party, Ms May was replaced by Lord Saatchi and Liam Fox. Yes, it takes two men to step into the shoes of one woman, she told The Sunday Times. It was difficult to leave, I really enjoyed being chairman. Ms May popularised the term Nasty Party - which is now frequently used to refer to the Conservatives - in a conference speech in October 2002. There's a lot we need to do in this party of ours. Our base is too narrow and so, occasionally, are our sympathies. You know what some people call us the Nasty Party, she said warning against the Conservatives negative image. Theresa May under fire for threatening to deport EU migrants after Brexit She is "a bloody difficult woman" Described as a workaholic, a perfectionist and a steely woman by political colleagues and friends, renditions of Ms May fit into the wider public perception of her. A friend from Oxford said Ms May had no wild side. Theresa does her red boxes till three in the morning, she knows more than the civil servants do and is rarely caught out. Shes seen as a safe pair of hands, a minister told The Telegraph. Likewise, a senior police commander recently observed Ms May is a thorough decision-maker but can be inflexible afterwards, but added that her reserve could be perceived as a form of shyness. Most famously, Nick Clegg reportedly complained that Ms May had no smalltalk and most recently, former Chancellor Ken Clarke was recorded on a live microphone calling her a bloody difficult woman. She is a pasta maker and church goer When Ms May isnt staying up until the early hours finishing work, she likes to enjoy her time off with walking holidays in Switzerland and making pasta from scratch. She has previously remarked that she prefers Jamie Olivers spontaneous style of cooking to the more rule-bound precision of Delia Smith. She is also a member of the Church of England and regularly worships at church on Sunday. She met her husband at a Tory student disco They were introduced by Pakistani Prime Minister Benazir Bhutto. Ms May and Mr Phillip, who is a banker, have no children. She has previously said that she regrets that, for health reasons, she has not been able to have children: "It just didnt happen. You look at families all the time and you see there is something there that you dont have. Ms May was diagnosed with Type One diabetes in November 2012. She is treated with insulin injections several times a day. Regardless of whatever point Ms May is trying to convey, most of her interviews are prefaced by comments on her clothes and shoes. I have grown used to the focus on my clothes and my shoes, she has said. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Police are investigating a report that a teenage girl was raped at T in the Park music festival. It is believed the victim, 18, was attacked at the campsite on Strathallan Castle estate in Perthshire, Scotland, late on Thursday night, after visiting the dance tent Slam. Forensic evidence was taken from the scene as detectives launched an investigation into the alleged sex crime. A spokesperson for Police Scotland said in a statement: Police are making enquiries into a report of a rape of an 18-year-old female on Thursday evening. Our enquiries are ongoing. An estimated 80,000 people were at this years festival, one of the largest in the country. One festival goer told the Daily Record: "The police were focusing their attention on a specific area and this was on Friday morning. I was told there had been a report of a rape, but that was all. Recommended Read more Mother launches petition to stop smoking in public parks No one officially said anything, and it make my skin crawl to think that someone could have carried out this attack and then just carried on partying at the festival. It follows after the deaths of teenagers Megan Bell and Peter McCallum, both 17, also during this year's festival, under drug related circumstances. As a result, T festival organisers set up amnesty bins for in the campsites to anonymously dump recreational drugs. They said in a tweet: "Dump your drugs. Amnesty bins are now in the campsite at welfare. Bin them and you won't be in any trouble. UK news in pictures Show all 50 1 /50 UK news in pictures UK news in pictures 26 October 2022 A meerkat explores a pumpkin in the enclosure at Wild Place, Bristol, where some of the animals are having pumpkin treats as part of their environmental enrichment PA UK news in pictures 25 October 2022 King Charles III welcomes Rishi Sunak during an audience at Buckingham Palace, where he invited the newly elected leader of the Conservative Party to become Prime Minister and form a new government PA UK news in pictures 24 October 2022 Rishi Sunak celebrates with Tory MPs outside the Conservative Campaign Headquarters after becoming the new leader of the Conservative Party Reuters UK news in pictures 23 October 2022 The Green Man at October Plenty, Borough Market's annual Autumn Harvest festival, in London, which returns for the first time post pandemic PA UK news in pictures 21 October 2022 Sculptor Peter McKenna puts the finishing touches to a pumpkin that will form part of the Planet A Hebden Bridge Pumpkin Trail in the West Yorkshire town PA UK news in pictures 20 October 2022 Britains Prime Minister Liz Truss delivers a speech outside of 10 Downing Street in central London to announce her resignation AFP/Getty UK news in pictures 19 October 2022 Salmon leap up Stainforth Force on the River Ribble in the Yorkshire Dales as they swim upriver to their spawning grounds during the annual Salmon migration PA UK news in pictures 18 October 2022 Just Stop Oil protesters continue their protest for a second day on the Queen Elizabeth II Bridge, which links Kent and Essex and which remains closed for traffic, after it was scaled by two climbers from the group PA UK news in pictures 17 October 2022 Hundreds of students take part in the traditional Raisin Monday foam fight on St Salvator's Lower College Lawn at the University of St Andrews in Fife PA UK news in pictures 16 October 2022 A protester holds a placard during a march into central London at a demonstration by the climate change protest group Extinction Rebellion AFP/Getty UK news in pictures 15 October 2022 A member of the public drags an activist who is blocking the road during a "Just Stop Oil" protest, in London, Britain REUTERS UK news in pictures 14 October 2022 Germanys Womens double skulls during day one of the World Rowing Beach Sprint Finals at Saundersfoot beach, Pembrokeshire PA UK news in pictures 13 October 2022 Family and mourners arrive at St Michael's Church, in Creeslough, for the funeral mass of 49-year-old mother of four Martina Martin, who died following an explosion at the Applegreen service station in the village of Creeslough in Co Donegal on Friday PA UK news in pictures 12 October 2022 Motorists in Coventry pass trees showing autumnal colour PA UK news in pictures 11 October 2022 A woman and her dog in the the North Sea at Tynemouth Longsands beach before sunrise PA UK news in pictures 10 October 2022 Police officers remove a campaigner from a Just Stop Oil protest on The Mall, near Buckingham Palace, London PA UK news in pictures 9 October 2022 A drummer plays during the Diwali on the Square celebration, in Trafalgar Square, London PA UK news in pictures 8 October 2022 Timothee Chalamet attending the UK premiere of Bones and All during the BFI London Film Festival 2022 at the Royal Festival Hall, Southbank Centre, London PA UK news in pictures 7 October 2022 Two young male fallow deer lock antlers in Dublins Phoenix park as rutting season begins PA UK news in pictures 6 October 2022 The Princess of Wales during a cocktail making competition during a visit to Trademarket, a new outdoor street-food and retail market situated in Belfast city centre, as part of the royal visit to Northern Ireland PA UK news in pictures 5 October 2022 Greenpeace protesters interrupt Prime Minister Liz Truss as she delivers her keynote speech to the Conservative Party annual conference PA UK news in pictures 4 October 2022 Prime Minister Liz Truss and Britains Chancellor of the Exchequer Kwasi Kwarteng wearing hard hats and hi-vis jackets, visit a construction site for a medical innovation campus in Birmingham AFP/Getty UK news in pictures 3 October 2022 British artist Sam Cox, aka Mr Doodle, reveals the Doodle House, a twelve-room mansion at Tenterden, in Kent, which has been covered, inside and out in the artist's trademark monochrome, cartoonish hand-drawn doodles PA UK news in pictures 2 October 2022 Erling Haaland celebrates after scoring Manchester City's second goal against Manchester United at Etihad Stadium. Haaland went on to score a hattrick, his third of the season in the Premier League. City beat United 6-3. Manchester City FC/Getty UK news in pictures 1 October 2022 Protesters hold up flags and placards at a protest in London. A variety of protest groups including Enough is Enough, Don't Pay and Just Stop Oil all demonstrated on the day AFP/Getty UK news in pictures 30 September 2022 British Prime Minister Liz Truss, who has not been seen in days, leaves the back of Downing Street after a meeting with Office For Budget Responsibility following the release of her governments mini-budget Getty UK news in pictures 29 September 2022 The Virginia creeper foliage on the Tu Hwnt i'r Bont (Beyond the Bridge) Llanwrst, Conwy North Wales, has changed colour from green to red in at the start of Autumn. The building was built in 1480 as a residential dwelling but has been a tearoom for over 50 years PA UK news in pictures 28 September 2022 Criminal barristers from the Criminal Bar Association (CBA), demonstrates outside the Royal Courts of Justice in London, as part of their ongoing pay row with the Government PA UK news in pictures 27 September 2022 David White, Garter King of Arms, poses with an envelope franked with the new cypher of King Charles III 'CIIIR', after it was printed in the Court Post Office at Buckingham Palace in central London AFP/Getty UK news in pictures 26 September 2022 A gallery staff member poses next to a painting by Lucian Freud - Self-portrait (Fragment), 1956 - on show at a photocall for the Credit Suisse exhibition - Lucian Freud: New Perspectives at the National Gallery in London PA UK news in pictures 25 September 2022 Labour leader, Sir Keir Starmer is interviewed by Laura Kuenssberg in Liverpool before the start of the Labour Party annual Conference which he opened with a tribute to Queen Elizabeth II and sang the national anthem PA UK news in pictures 24 September 2022 Handout photo issued by Buckingham Palace of the ledger stone at the King George VI Memorial Chapel, St George's Chapel, Windsor Castle PA UK news in pictures 23 September 2022 A climate change activist protests against UK private jets while lighting his right arm on fire during the Laver Cup tennis tournament at the O2 Arena in London EPA UK news in pictures 22 September 2022 Woody Woodmansey, Lee Bennett, Kevin Armstrong, Nick Moran and Clifford Slapper attend the unveiling of a stone for David Bowie on the Music Walk of Fame at Camden, north London PA UK news in pictures 21 September 2022 A flock of birds in the sky as the sun rises over Dungeness in Kent PA UK news in pictures 20 September 2022 Flowers which were laid by members of the public in tribute to Queen Elizabeth II at Hillsborough Castle in Northern Ireland are collected by the Hillsborough Gardening Team and volunteers to be replanted for those that can be saved or composted PA UK news in pictures 19 September 2022 The ceremonial procession of the coffin of Queen Elizabeth II travels down the long walk as it arrives at Windsor Castle for the committal service at St Georges Chapel AFP/Getty UK news in pictures 18 September 2022 A man stands among campers on The Mall ahead of the Queens funeral Reuters UK news in pictures 17 September 2022 Wolverhampton Wanderers Nathan Collins fouls Manchester Citys Jack Grealish leading to a red card. City went on to win the match at Molineux Stadium three goals to nil. Action Images/Reuters UK news in pictures 16 September 2022 Members of the public stand in the queue near Tower Bridge, and opposite the Tower of London, as they wait in line to pay their respects to the late Queen Elizabeth II, in London AFP via Getty Images UK news in pictures 15 September 2022 Members of the public in the queue on in Potters Fields Park, central London, as they wait to view Queen Elizabeth II lying in state ahead of her funeral on Monday PA UK news in pictures 14 September 2022 The first members of the public pay their respects as the vigil begins around the coffin of Queen Elizabeth II in Westminster Hall, London, where it will lie in state ahead of her funeral on Monday PA UK news in pictures 13 September 2022 Crowds cheer as King Charles III and Camilla, Queen Consort arrive for a visit to Hillsborough Castle Getty UK news in pictures 12 September 2022 Crowds line the Royal Mile, Edinburgh, as King Charles III joins a procession from the Palace of Holyroodhouse to St Giles Cathedral following the coffin of Queen Elizabeth II Katielee Arrowsmith/SWNS UK news in pictures 11 September 2022 Members of the Public pay their respects as the hearse carrying the coffin of Queen Elizabeth II, draped in the Royal Standard of Scotland, is driven through Ballater AFP/Getty UK news in pictures 10 September 2022 Britain's Prince William, Prince of Wales, Britain's Catherine, Princess of Wales, Britain's Prince Harry, Duke of Sussex, Britain's Meghan, Duchess of Sussex, wave at well-wishers on the Long walk at Windsor Castle AFP/Getty UK news in pictures 9 September 2022 King Charles III and Camilla, Queen Consort wave after viewing floral tributes to the late Queen Elizabeth II outside Buckingham Palace Getty UK news in pictures 8 September 2022 A screen commemorating Britain's Queen Elizabeth II in Piccadilly Circus, London Britain EPA UK news in pictures 7 September 2022 Police officers stand guard after Animal Rebellion activists threw paint on the walls and road outside the Houses of Parliament in protest, in London, Britain Reuters UK news in pictures 6 September 2022 Queen Elizabeth II welcomes Liz Truss during an audience at Balmoral, Scotland, where she invited the newly elected leader of the Conservative party to become Prime Minister and form a new government PA "Look after each other. If you or your friends feel unwell, get help right away from any festival staff. "There's no safe way to take drugs. Don't take the risk." Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Morning Headlines email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} A fearless former BBC journalist hanged herself in an airport toilet in a spur of the moment decision after missing a flight, an inquest has heard. Veteran war reporter Jacqueline Sutton, 50, was found hanged in a cubicle at Ataturk airport in Istanbul after she missed a connecting flight to Iraq last October. Ms Sutton had landed in the Turkish capital from Heathrow with the intention of travelling on to Erbil in Iraq, North London Coroners Court was told. The BBC producer had been working as the Iraq director of the Institute for War and Peace Reporting (IWPR), a London-based charity network. She missed her connecting flight, however, after drinking two cans of beer in the departure lounge, the court heard. Staff said Ms Sutton had told them she had no money, before becoming visibly upset and going into the airport toilets. While friends of Ms Sutton had previously expressed concerns over the suggestion that she had taken her own life, the coroner, Andrew Walker, gave the verdict of suicide. Notable deaths in 2016 Show all 42 1 /42 Notable deaths in 2016 Notable deaths in 2016 Debbie Reynolds was an American actress, singer, businesswoman, film historian, and humanitarian. She died on December 28 in Los Angeles Rex Notable deaths in 2016 Actress Carrie Fisher died on December 27 aged 60 Rex Notable deaths in 2016 Comedian and Actor Ricky Harris died on December 26 aged 54 Rex Notable deaths in 2016 British singer George Michael died on 25 December aged 53 Getty Notable deaths in 2016 Rick Parfitt OBE was an English musician, best known for being a singer, songwriter and rhythm guitarist in the rock band Status Quo. He died on December 24 in Marbella, Spain Rex Notable deaths in 2016 Lord Jenkin of Roding died at the age of 90 on the 21 December PA wire Notable deaths in 2016 Rabbi Lionel Blue died on the 19 December Rex Notable deaths in 2016 Zsa Zsa Gabor died on December 18 Getty Notable deaths in 2016 Leonard Cohen died on 7 November Getty Images Notable deaths in 2016 Grand secretary of the Orange Order Drew Nelson died on 10 October aged 60 after a short illness PA Notable deaths in 2016 Aaron Pryor, the relentless junior welterweight died Sunday, Oct. 9, at the age of 60 at his home in Cincinnati after a long battle with heart disease AP Notable deaths in 2016 Polish Director Andrzej Wajda died on October 9, aged 90 Reuters Notable deaths in 2016 Stylianos Pattakos has died following a stroke on 8th October. He was 103 years old. AP Notable deaths in 2016 Dickie Jeeps, was an English rugby union player who played for Northampton. He represented and captained both the England national rugby union team and the British Lions in the 1950s and 1960s. He died on 8th October. He was 84 Getty Notable deaths in 2016 Duke of Westminster Billionaire landowner the Duke of Westminster, Gerald Cavendish Grosvenor has died on 9 August, aged 64 Rex Features Notable deaths in 2016 Christina Knudsen Sir Roger Moores stepdaughter Christina Knudsen has died from cancer on 25 July at teh age of 47 Getty Images Notable deaths in 2016 Caroline Aherne The actress Caroline Aherne has died from cancer on 2 July at the age of 52 Getty Images Notable deaths in 2016 Christina Grimmie Christina Grimmie, 22, who was an American singer and songwriter, known for her participation in the NBC singing competition The Voice, was signing autographs at a concert venue in Orlando on 10 June when an assailant shot her. Grimmie was transported to a local hospital where she died from her wounds on 11 June Getty Notable deaths in 2016 Kimbo Slice Former UFC and Bellator MMA fighter Kimbo Slice died after being admitted to hospital in Florida on 6 June, aged 42 Getty Notable deaths in 2016 Muhammad Ali The three-time former heavyweight world champion died after being admitted to hospital with a respiratory illness on 3 June, aged 74 Getty Images Notable deaths in 2016 Sally Brampton Brampton who was the launch editor of the UK edition of Elle magazine has died on 10 May, aged 60 Grant Triplow/REX/Shutterstock Notable deaths in 2016 Billy Paul The soul singer Billy Paul, who was best known for his single Me and Mrs Jones, has died on 24 April, aged 81 Noel Vasquez/Getty Images Notable deaths in 2016 Prince Prince, the legendary musician, has been found dead at his Paisley Park recording studio on 21 April. He was 57 Notable deaths in 2016 Chyna WWE icon Joan Laurer dies aged 45 after being found at California home on 20 April Notable deaths in 2016 Victoria Wood The five-time Bafta-winning actress and comedian Victoria Wood has died on 20 April at her London home after a short illness with cancer. She was 62 Notable deaths in 2016 David Gest The entertainer and former husband of Liza Minnelli, David Gest has been found dead on 12 April in the Four Seasons hotel in Canary Warf, London. He was 62-years-old PA Notable deaths in 2016 Denise Robertson Denise Robertson, an agony aunt on This Morning for over 30 years, has died on 1 April, aged 83 Notable deaths in 2016 Zaha Hadid Dame Zaha Hadid, the prominent architect best known for designs such as the London Olympic Aquatic Centre and the Guangzhou Opera House, has died of a heart attack on 31 March, aged 65 2010 AFP Notable deaths in 2016 Ronnie Corbett British entertainer Ronnie Corbett has passed away on 31 March at the age of 85 2014 Getty Images Notable deaths in 2016 Imre Kertesz Hungarian writer and Holocaust survivor Imre Kertesz, who won the 2002 Nobel Literature Prize, has died on 31 March, at the age of 86 REUTERS Notable deaths in 2016 Rob Ford Rob Ford, the former controversial mayor of Toronto, has died following a battle with a rare form of cancer. The 46-year-old passed away at the Mount Sinai Hospital in Toronto on 22 March Notable deaths in 2016 Joey Feek Joey (left) passed away in March after a two-year cancer illness. She was part of country music duo, Joey + Rory, with her husband Rory (right) Jason Merritt/Getty Images Notable deaths in 2016 Umberto Eco Italian writer and philosopher Umberto Eco died 19 February 2016 aged 84 EPA Notable deaths in 2016 Harper Lee Harper Lee, the American novelist known for writing 'To Kill a Mockingbird', died February 19, 2016 aged 89 2005 Getty Images Notable deaths in 2016 Vanity Vanity, pictured performing in 1983, died aged 57 REX Features Notable deaths in 2016 Dave Mirra The BMX legend's body found inside truck with gunshot wound after apparent suicide aged 41 Notable deaths in 2016 Harry Harpham The former miner became Sheffield Labour MP in May after many years as a local councillor. He died after succumbing to cancer, at the age of 61. Notable deaths in 2016 Dale Griffin The Mott the Hoople drummer died on January 17, aged 67 REX Notable deaths in 2016 Rene Angelil Celine Dion's husband and manager Rene Angelil has lost his battle with cancer on 14 January, aged 73 2011 Getty Images Notable deaths in 2016 Alan Rickman Legendary actor Alan Rickman has died on 14 January at the age of 69 after battle with pancreatic cancer. He is largely regarded as one of the most beloved British actors of our generation with roles in Love Actually, Die Hard, Michael Collins, and Robin Hood: Prince of Thieves and an illustrious stage career 2015 Getty Images Notable deaths in 2016 Maurice White The Earth, Wind & Fire founder died aged 74. The nine-piece band sold more than 90 million albums worldwide and won six Grammy awards Notable deaths in 2016 Lawrence Phillips Former NFL star found dead in prison cell on 13 January in suspected suicide, aged 40 AFP/Getty Images He told the court: She made an exit from the lounge displaying no signs of dismay or distraction. But she had missed her flight. She told the staff she had no money to pay for another and began crying. They told her that nothing could be done. Mr Walker told the hearing that seven women, including one with a child, entered and left the bathroom before two Russian travellers saw the body and alerted airport staff. Speaking at the hearing, Ms Suttons sister Jenny said her death came after a moment of extreme stress and panic and made the decision on the spur of the moment. She said: I don't believe that it was premeditated. I don't believe she had a prior intention to take her life. In that moment she was in a moment of extreme stress, panic, and made that decision on the spur of the moment. But it was her decision. When asked by the coroner: Would you like me to add that this an impulsive act? Ms Suttons sister said: Yes. Speaking after the hearing, Jenny Sutton said her sister would have been pleased to see the ghastly folly of the Iraq War laid bare by the Chilcot report last week. She said: The one thing I would like to say is that I know that what she was doing before he death, in Iraqi-Kurdistan, was that she was working with a Kurdish journalist, gathering stories from Iraqi people and Kurdish Christians, Sunni, Shia, Muslims, Jews. She was gathering stories for how those communities had lived together for generations in peaceful coexistence before the interference of the West and before the war opened up such horrible sectarian divisions. I think a contributory factor to the blackness that overcame my sister was seeing the suffering of the people of the Middle East. We'd marched together against the war and she had been living in war zones for a long time and had absorbed a lot of the suffering of those people and I think she would be pleased to see the Chilcot report coming out and to see the findings, to see the ghastly folly of American and British invasion in that region and all the trauma and suffering in that region that has resulted. She added: It's been very difficult, but I think anybody with empathy living in war zones feels the pain. Jacqueline would be the first to say that her first thoughts were for the Kurdish, Iraqi people in the region for many years. She was extraordinarily brave, fearless, and loving. Ms Sutton was born in Hatfield, Hertfordshire and was one of four children, with two sisters and a brother. After graduating from university, she worked for various humanitarian organisations and lived and worked in several counties around the world for the BBC and the United Nations. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Morning Headlines email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Commuters angered by delays to their train journeys today staged a demonstration at Victoria Station. More than 100 disgruntled rail users took to the concourse of the central London train station to protest after months of chaos caused by frequent cancellations by Southern Railway. Brandishing placards reading "We Pay You Delay" and "Ban The Fat Controller", the protesters chanted "Southern Fail" through a megaphone. Commuters are facing serious disruptions in and out of London after a train company, which the Government is supporting, said unhappy staff were to blame for it cancelling 340 trains daily. Govia Thameslink Railway (GTR), which won the Southern rail network a year ago, has removed 15 per cent of its trains - 341 daily - in an "emergency timetable" set to last at least least four weeks. The company says reduced services on trains to Victoria Station and London Bridge, as well as the Gatwick Express and trains between Brighton and Southampton and other routes, are the result of staff striking and calling in sick. A row has exploded between the Rail, Maritime and Transport union (RMT) - of which Bob Crow used to be general secretary - and GTR over plans to remove the door-closing duties of guards and hand them a purely customer service-based role inside the trains. Drivers will use new technology to close the doors themselves from their cabins. The move to change the role of guards - which the Government says will involve no job losses - is a "cover-up" for gradual staff reductions, a spokesperson at RMT has said. Workers are being blamed for long-standing poor performance by the company, they told The Independent. "The argument that delays and cancellations that plague Thameslink is due to staff sickness is a complete lie," the RMT spokesperson said. "It is not factually correct. They haven't recruited enough drivers and guards. The problem of delays has been going on way before industrial action, when new rosters were brought in. "They've told the public that this is down to the staff, and not down to their handling of the situation. Our staff are getting spat on and verbally abused by customers as a result." Crossrail: Celebrating 60 years in transport Show all 14 1 /14 Crossrail: Celebrating 60 years in transport Crossrail: Celebrating 60 years in transport i0000ghs.jpg TfL from the London Transport Museum Collection Crossrail: Celebrating 60 years in transport i0000bgi.jpg TfL from the London Transport Museum Collection Crossrail: Celebrating 60 years in transport i0000gw2.jpg TfL from the London Transport Museum Collection Crossrail: Celebrating 60 years in transport PA-1855822.jpg Image courtesy of the Press Association Crossrail: Celebrating 60 years in transport i00004ls.jpg TfL from the London Transport Museum Collection Crossrail: Celebrating 60 years in transport PA-1016263.jpg Image courtesy of the Press Association Crossrail: Celebrating 60 years in transport PA-1084816.jpg Image courtesy of the Press Association Crossrail: Celebrating 60 years in transport PA-13230557.jpg Image courtesy of the Press Association Crossrail: Celebrating 60 years in transport PA-1161505.jpg Image courtesy of the Press Association Crossrail: Celebrating 60 years in transport PA-1297446.jpg Image courtesy of the Press Association Crossrail: Celebrating 60 years in transport PA-1389288.jpg Image courtesy of the Press Association Crossrail: Celebrating 60 years in transport PA-5762579.jpg Image courtesy of the Press Association Crossrail: Celebrating 60 years in transport PA-8925208.jpg Image courtesy of the Press Association Crossrail: Celebrating 60 years in transport TBM launch.jpg Image courtesy of Crossrail In September last year, Southern had the worst punctuality record of all train operators in the country and recent figures show it remains poor compared to other providers. GTR acquired it in July and has said ongoing delays and difficulties have been worsened by construction at London Bridge. Services have become so poor that the Transport Select Committee is listening to the case, 500 members staff have been in three strikes since April this year, and an MP has written a blistering letter to GTR's CEO to demand a resolution to the crisis. A GTR spokesperson told The Independent that trains were being cancelled because guards were not arriving to work, but admitted there were also infrastructural problems on the "congested" Southern network. "We are having to cancel hundreds of trains per day because of a lack of train crew availability and a reluctance to work overtime," a GTR spokesperson said. "We've removed these trains because it allows passengers to plan better, rather than just turning up and hoping the train would run and on time. "And we're not getting rid of guards, there will be just as many onboard hosts. The reason for doing it is it allows us to be much more flexible in how we use them." RMT says Govia Thameslink have deliberately blamed the union for the company's poor services. The union says it acknowledges there will be no forced redundancies. (Press Association) The company has said no salaries will be cut and no jobs lost. The Department for Transport has called the strikes "wholly injustified." Claire Perry, the rail minister, said: "I want to reassure staff that a busy, growing and successful railway will need more people, not fewer, to help passengers in future. The jobs those people do will be skilled and not dumbed down or contracted out." "We don't believe anything they say," the RMT spokesperson added to The Independent. They added they knew there would be no forced redundancies. Sam Gyimah, Conservative MP for East Surrey, wrote a critical letter to Thameslink CEO Charles Horton, whose salary is 2.4 million, to say "both sides were at fault" on July 5. "Simply removing the train from a timetable does nothing to improve matters," he said. "It simply serves to inflame the righteous indignation of your customers who are no longer eligible for compensation." Between 84 and 92 per cent of the trains now being run by GTR are reportedly running to time, indicating there are still delays to some services. The union has called on the Government to stop "promoting privatisation" and to hand the Southern network over to Directly Operated Railways Ltd, - a publicly owned company funded by the Department for Transport designed to take over failing franchises. Get the free Morning Headlines email for news from our reporters across the world Sign up to our free Morning Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Morning Headlines email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Lack of trust in the police means Muslim parents are reluctant to report any signs of radicalisation among their children, a study suggests. The research, published in the journal Ethnic and Racial Studies, found Muslim parents view the police with caution and would not speak out if their children travelled to Syria. As many as 800 people from the UK have travelled to support or fight for jihadist groups in Syria and Iraq, according to police. Around 300 have since returned. Recommended Read more British medical student becomes first female Isis recruit killed Dr Imran Awan, Associate Professor of Criminology at Birmingham City University, said: The data from the research study has found that Muslim community members are increasingly finding the partnership with the police service problematic." The parents we spoke to were worried about the lack of support for Muslim families and they feared that anyone who had gone to Syria would be arrested and have their citizenship removed if they spoke out," he added. Of the 20 Muslim parents interviewed, several feared informing on their children might lead to other family members being arrested. In pictures: The rise of Isis Show all 74 1 /74 In pictures: The rise of Isis In pictures: The rise of Isis Isis fighters Fighters of the Islamic State wave the group's flag from a damaged display of a government fighter jet following the battle for the Tabqa air base, in Raqqa, Syria AP In pictures: The rise of Isis Isis fighters Fighters from Islamic State group sit on their tank during a parade in Raqqa, Syria AP In pictures: The rise of Isis Isis fighters Fighters from the Islamic State group pray at the Tabqa air base after capturing it from the Syrian government in Raqqa, Syria AP In pictures: The rise of Isis Isis fighters Fighters from extremist Islamic State group parade in Raqqa, Syria AP In pictures: The rise of Isis Isis kidnapping A video uploaded to social networks shows men in underwear being marched barefoot along a desert road before being allegedly executed by Isis Getty Images In pictures: The rise of Isis Isis kidnapping Haruna Yukawa after his capture by Isis In pictures: The rise of Isis Isis kidnapping Khalinda Sharaf Ajour, a Yazidi, says two of her daughters were captured by Isis militants Washington Post In pictures: The rise of Isis Isis fighters Spokesperson for Isis Vice News via Youtube In pictures: The rise of Isis A pro-Isis leaflet A pro-Isis leaflet handed out on Oxford Street In London Ghaffar Hussain In pictures: The rise of Isis Isis fighters Isis Jihadists burn their passports In pictures: The rise of Isis Isis controls Syrian Aid A man collecting aid administered by Isis in Syria In pictures: The rise of Isis Isis controls Syrian Aid A woman collecting aid administered by Isis in Syria In pictures: The rise of Isis Isis controls Syrian Aid Local civilians queue for aid administered by Isis. Since it declared a caliphate the group has increasingly been delivering services such as healthcare, and distributing aid and free fuel In pictures: The rise of Isis Iraq crisis Iraqi security forces detain men suspected of being militants of the Isis group in Diyala province In pictures: The rise of Isis Iraq crisis Mourners carry the coffin of a Shi'ite volunteer from the brigades of peace, who joined the Iraqi army and was killed during clashes with militants of the Isis group in Samarra, during his funeral in Najaf In pictures: The rise of Isis Iraqi refugees An Iraqi Shiite Turkmen family fleeing the violence in the Iraqi city of Tal Afar, west of Mosul, arrives at a refugee camp on the outskirts of Arbil, in Iraq's Kurdistan region In pictures: The rise of Isis Isis leader Abu Bakr al-Baghdadi A photograph made from a video by the jihadist affiliated group Furqan Media via their twitter account allegedly showing Isis leader Abu Bakr al-Baghdadi delivering a sermon during Friday prayers at a mosque in Mosul. Abu Bakr al-Baghdadi declared an Islamist caliphate in the territory under the group's control in Iraq and Syria In pictures: The rise of Isis Islamic extremists destroying mosques in Iraq Shiite's Al-Qubba Husseiniya mosque explodes in Mosul In pictures: The rise of Isis Islamic extremists destroying mosques in Iraq Smoke and debris go up in the air as Shiite's Al-Qubba Husseiniya mosque explodes in Mosul. Images posted online show that Islamic extremists have destroyed at least 10 ancient shrines and Shiite mosques in territory - the city of Mosul and the town of Tal Afar - they have seized in northern Iraq in recent weeks In pictures: The rise of Isis Islamic extremists destroying mosques in Iraq A bulldozer destroys Sunni's Ahmed al-Rifai shrine and tomb in Mahlabiya district outside of Tal Afar In pictures: The rise of Isis Iraq crisis Iraqi security forces celebrate after clashes with followers of Shiite cleric Mahmoud al-Sarkhi, in front of his home in the Shiite holy city of Karbala, 50 miles (80 kilometers) south of Baghdad In pictures: The rise of Isis Iraq crisis Iraqi security forces arrest a follower of Shiite cleric Mahmoud al-Sarkhi after clashes with his followers in the Shiite holy city of Karbala, 50 miles (80 kilometers) south of Baghdad In pictures: The rise of Isis Iraq crisis Iraqi security forces arrest a follower of Shiite cleric Mahmoud al-Sarkhi at his home after clashes with his followers in the Shiite holy city of Karbala, 50 miles (80 kilometers) south of Baghdad In pictures: The rise of Isis Iraq crisis Iraqi security forces arrest a follower of Shiite cleric Mahmoud al-Sarkhi after clashes with his followers in the Shiite holy city of Karbala, 50 miles (80 kilometers) south of Baghdad In pictures: The rise of Isis Iraq crisis A vehicle burns in front of a home of a follower of Shiite cleric Mahmoud al-Sarkhi after clashes with his followers in the Shiite holy city of Karbala, 50 miles (80 kilometers) south of Baghdad In pictures: The rise of Isis Iraqi refugees An Iraqi woman holds her exhausted son as over 1000 Iraqis who have fled fighting in and around the city of Mosul and Tal Afar wait at a Kurdish checkpoint in the hopes of entering a temporary displacement camp in Khazair In pictures: The rise of Isis Iraqi refugees Displaced Iraqi women hold pots as they queue to receive food during the first day of the Islamic holy month of Ramadan, at an encampment for displaced Iraqis who fled from Mosul and other towns, in the Khazer area outside Irbil, north Iraq In pictures: The rise of Isis Isis fighters in Syria A militant Islamist fighter waving a flag, cheers as he takes part in a military parade along the streets of Syria's northern Raqqa. The fighters held the parade to celebrate their declaration of an Islamic "caliphate" after the group captured territory in neighbouring Iraq In pictures: The rise of Isis Isis fighters in Syria Isis fighters wave flags as they take part in a military parade along the streets of Syria's northern Raqqa province Reuters In pictures: The rise of Isis Isis fighters in Syria Isis fighters travel in a vehicle as they take part in a military parade along the streets of Syria's northern Raqqa province In pictures: The rise of Isis Isis fighters in Syria Fighters from the Isis group during a parade with a missile in Raqqa, Syria. Militants from an al-Qaida splinter group held a military parade in their stronghold in northeastern Syria, displaying U.S.-made Humvees, heavy machine guns, and missiles captured from the Iraqi army for the first time since taking over large parts of the Iraq-Syria border In pictures: The rise of Isis Isis fighters in Syria Isis fighters during a parade in Raqqa, Syria In pictures: The rise of Isis Isis fighters in Syria Fighters from the Isis group during a parade in Raqqa, Syria. Militants from the splinter group held a military parade in their stronghold in northeastern Syria, displaying U.S.-made Humvees, heavy machine guns, and missiles captured from the Iraqi army for the first time since taking over large parts of the Iraq-Syria border In pictures: The rise of Isis Isis fighters in Syria Isis fighters hold a military parade in their stronghold in northeastern Syria In pictures: The rise of Isis Isis fighters in Syria Isis fighters during a parade in Raqqa, Syria In pictures: The rise of Isis Isis fighters in Syria A member loyal to the Isis waves an Isis flag in Raqqa In pictures: The rise of Isis Iraq crisis Iraqi anti-government gunmen from Sunni tribes in the western Anbar province march during a protest in Ramadi, west of Baghdad. The United Nations warned that Iraq is at a "crossroads" and appealed for restraint, as a bloody four-day wave of violence killed 195 people. The violence is the deadliest so far linked to demonstrations that broke out in Sunni areas of the Shiite-majority country more than four months ago, raising fears of a return to all-out sectarian conflict In pictures: The rise of Isis Iraq crisis Iraqi security forces hold up a flag of the Isis group they captured during an operation to regain control of Dallah Abbas north of Baqouba, the capital of Iraq's Diyala province, 35 miles (60 kilometers) northeast of Baghdad In pictures: The rise of Isis Isis fighters in Iraq Isis fighters parade in the northern city of Mosul In pictures: The rise of Isis Iraq crisis Volunteers, who have joined the Iraqi army to fight against the predominantly Sunni militants from the radical Isis group, demonstrate their skills during a graduation ceremony after completing their field training in Najaf In pictures: The rise of Isis Iraq crisis Kurdish Peshmerga troops fire a cannon during clashes with militants of the Isis group in Jalawla, Diyala province In pictures: The rise of Isis Lieutenant General Qassem Atta speaks during a press conference Iraqi Prime Minister's security spokesman, Lieutenant General Qassem Atta speaks during a press conference about the latest military development in Iraq, in the capital Baghdad. Iraqi forces pressed a campaign to retake militant-held Tikrit, clashing with jihadist-led Sunni militants nearby and pounding positions inside the city with air strikes in their biggest counter-offensive so far In pictures: The rise of Isis A police station building destroyed by Isis fighters An exterior view of a police station building destroyed by gunmen in Mosul city, northern Iraq. Iraq's new parliament is expected to convene to start the process of setting up a new government, despite deepening political rifts and an ongoing Islamist-led insurgency. Iraqi President Jalal Talabani issued a decree inviting the new House of Representatives to meet and form a new government In pictures: The rise of Isis Isis fighters in Iraq Smoke billows from an area controlled by the Isis between the Iraqi towns of Naojul and Tuz Khurmatu, both located north of the capital Baghdad, as Iraqi Kurdish Peshmerga forces take part in an operation to repel the Sunni militants In pictures: The rise of Isis Iraqi refugees An elderly Iraqi woman is helped into a temporary displacement camp for Iraqis caught-up in the fighting in and around the city of Mosul in Khazair In pictures: The rise of Isis Iraqi refugees An Iraqi Christian woman fleeing the violence in the village of Qaraqush, about 30 kms east of the northern province of Nineveh, cries upon her arrival at a community center in the Kurdish city of Arbil in Iraq's autonomous Kurdistan region In pictures: The rise of Isis Iraqi refugees An Iraqi woman, who fled with her family from the northern city of Mosul, prays with a copy of the Quran AP In pictures: The rise of Isis Isis fighters in Iraq The body of an Isis militant killed during clashes with Iraqi security forces on the outskirts of the city of Samarra Reuters In pictures: The rise of Isis Iraq crisis Iraqi civilians inspect the damage at a market after an air strike by the Iraqi army in central Mosul EPA In pictures: The rise of Isis Iraq crisis Members of the Al-Abbas brigades, who volunteered to protect the Shiite Muslim holy sites in Karbala against Sunni militants fighting the Baghdad government, parade in the streets of the city AP In pictures: The rise of Isis Iraq crisis Shia tribesmen gather in Baghdad to take up arms against Sunni insurgents marching on the capital. Thousands have volunteered to bolster defences AFP/Getty In pictures: The rise of Isis Iraq crisis A van carrying volunteers joining Iraqi security forces against Jihadist militants. Prime Minister Nuri al-Maliki announced the Iraqi government would arm and equip civilians who volunteered to fight AFP/Getty In pictures: The rise of Isis Iraq Fighters of the Isis group parade in a commandeered Iraqi security forces armored vehicle down a main road at the northern city of Mosul In pictures: The rise of Isis Iraq An Islamist fighter, identified as Abu Muthanna al-Yemeni from Britain (R), speaks in this still image taken undated video shot at an unknown location and uploaded to a social media website. Five Islamist fighters identified as Australian and British nationals have called on Muslims to join the wars in Syria and Iraq, in the new video released by the Isis In pictures: The rise of Isis Iraq Al-Qaida inspired militants stand with captured Iraqi Army Humvee at a checkpoint belonging to Iraqi Army outside Beiji refinery some 250 kilometers (155 miles) north of Baghdad. The fighting at Beiji comes as Iraq has asked the U.S. for airstrikes targeting the militants from the Isis group. While U.S. President Barack Obama has not fully ruled out the possibility of launching airstrikes, such action is not imminent in part because intelligence agencies have been unable to identify clear targets on the ground, officials said In pictures: The rise of Isis Iraq Militants attacked Iraq's main oil refinein Baiji as they pressed an offensive that has seen them capture swathes of territory, a manager and a refinery employee said In pictures: The rise of Isis Iraq Militants from the Isis group parading with their weapons in the northern city of Baiji in the in Salaheddin province In pictures: The rise of Isis Iraq A smoke rises after an attack by Isis militants on the country's largest oil refinery in Beiji, some 250 kilometers (155 miles) north of the capital, Baghdad. Iraqi security forces battled insurgents targeting the country's main oil refinery and said they regained partial control of a city near the Syrian border, trying to blunt an offensive by Sunni militants who diplomats fear may have also seized some 100 foreign workers In pictures: The rise of Isis Iraq Militants of the Isis group stand next to captured vehicles left behind by Iraqi security forces at an unknown location in the Salaheddin province. For militant groups, the fight over public perception can be even more important than actual combat, turning military losses into propaganda victories and battlefield successes into powerful tools to build support for the cause In pictures: The rise of Isis Iraq An injured fighter (C) from the Isis group after a battle with Iraqi soldiers at an undisclosed location near the border between Syria and Iraq In pictures: The rise of Isis Iraq Fighters from the Isis aiming at advancing Iraqi troops at an undisclosed location near the border between Syria and Iraq In pictures: The rise of Isis Iraq Fighters from the Isis group taking position at an undisclosed location near the border between Syria and Iraq In pictures: The rise of Isis Iraq Fighters from the Isis group inspecting vehicles of the Iraqi army after they were seized at an undisclosed location near the border between Syria and Iraq In pictures: The rise of Isis Iraq One Iraqi captive, a corporal, is reluctant to say the slogan, and has to be shouted at repeatedly before he obeys Sky News In pictures: The rise of Isis Iraq Iraqi captives held by the extremists Sky News In pictures: The rise of Isis Iraq Iraqi captives held by the extremists Sky News In pictures: The rise of Isis Iraq Militants of the Isis group force captured Iraqi security forces members to the transport In pictures: The rise of Isis Iraq Militants of the Isis group transporting dozens of captured Iraqi security forces members to an unknown location in the Salaheddin province ahead of executing them In pictures: The rise of Isis Iraq A major offensive spearheaded by Isis but also involving supporters of executed dictator Saddam Hussein has overrun all of one province and chunks of three others In pictures: The rise of Isis Iraq Militants of the Isis group executing dozens of captured Iraqi security forces members at an unknown location in the Salaheddin province In pictures: The rise of Isis Iraq Isis militants taking position at a Iraqi border post on the Syrian-Iraqi border between the Iraqi Nineveh province and the Syrian town of Al-Hasakah In pictures: The rise of Isis Iraq Isis rebels show their flag after seizing an army post AFP/Getty Images In pictures: The rise of Isis Iraq Isis militants waving an Islamist flag after the seizure of an Iraqi army checkpoint in Salahuddin Getty Images In pictures: The rise of Isis Iraq Demonstrators chant slogans as they carry al-Qaida flags in front of the provincial government headquarters in Mosul, 225 miles (360 kilometers) northwest of Baghdad. In the week since it captured Iraq's second-largest city, Mosul, a Muslim extremist group has tried to win over residents and has stopped short of widely enforcing its strict brand of Islamic law, residents say. Churches remain unharmed and street cleaners are back at work One mother said they would not talk to authorities because the police "might just come knocking on my door and arrest my other children," while a father told researchers: "I would not report them to the police, because that's not what parents do. "We need to educate them not to travel there in the first place. If I told the police they would then arrest me and my children." Mohammed Nahin Ahmed and Yusuf Zubair Sarwar were jailed for 12 years for fighting in Syria (PA/West Midlands Police) Other parents expressed concern that anyone returning from Syria would be given a long jail sentence, citing the case of Majida Sarwar, who informed on her son in 2012 after he travelled to Syria to "do jihad". Ms Sarwar said she felt betrayed by police after he was jailed for 12 years and eight months, and told the BBC she felt betrayed and misused. "If I had known they would put my son behind bars I would not have told them about the letter," she said. 17-year-old discusses anti-radicalisation in London Some parents felt more could be done to rehabilitate those who had returned from Syria. One mother said: "I mean, helping clean the streets, coming out to schools, education courses, helping them get a job. "For me thats all rehabilitation and we should not isolate these people because they are vulnerable." Others thought more could be done in local communities to prevent radicalisation. Another mother told researchers: "I think Imams need to play a role also and speak to youngsters to stop them going over to fight. This is not Jihad but stupidity." Dr Surinder Guru, lecturer in Social Work at University of Birmingham, added: The central contradiction appears to be that parents are implicitly held to be responsible for the actions of their children by the police, yet the parents are adamant that the responsibility is not theirs and that they are relatively powerless. In circumstances where the community lacks trust and confidence in the police, community policing is likely to be ineffective because it is viewed with suspicion. Sign up to the Inside Politics email for your free daily briefing on the biggest stories in UK politics Get our free Inside Politics email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Inside Politics email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Andrea Leadsom sensationally pulled out of the Conservative leadership contest after deciding she could not take any more of the vitriol directed at her. Her announcement means that Theresa May will be the first woman Pirme Minister since Margaret Thatcher's resignation 26 years ago. Follow the latest live updates She was caught by surprise yesterday. She was in Birmingham, where she had delivered a speech setting out her stall in what she expected a prolonged leadership race when aides told her that the contest was off. She rushed back to London to find out exactly when and how she will take over from David Cameron. Mrs Leadsom dropped her bombshell when her team assembled at 11am, thinking they were meeting to plan the next stage in her campaign. Leadsom quits Tory race In her public announcement, Mrs Leadsom said she was quitting the contest because the uncertainty of a two month contest was bad for the county and economy, and that Mrs May had her unqualified support. Privately, her supporters said that what changed her mind was the hostility she was attracting form people who thought she was not up to the job of prime minister. After some of the vitriol that was thrown at her in the media and some in the parliamentary party over the weekend, even if she had won the contest it would have been very difficult for `to lead the party, one said. One her backers, Heather Wheeler, told Sky News: it has been the most dreadful few days for Andrea and her family. Nobody should have been put through what she has been put through. She is a bigger person than this. Mrs Leadsom made her announcement outside 13 Cowley Street, in Westminster, directly across the road from what used to be the offices of the Liberal Democrat party. Standing on the doorstep, flanked by the leading backers, including Iain Duncan Smith, Owen Paterson, Bernard Jenkin and Tim Loughton, she read out the letter she had written to Graham Brady, chairman of the backbench 1922 committee which oversees Conservative leadership contest. Russian government harassment and persecution of human rights and other civil society groups continues to worsen. For the first time, authorities have initiated a criminal investigation against a rights activist, Valentina Cherevatenko, for failing to comply with a controversial "foreign agents" law. Passed in 2012, the law requires nongovernmental organizations to register as "foreign agents" with the Ministry of Justice if they engage in so-called political activity and receive foreign funding. Ms. Cherevatenko, who is head of the human rights organization Women of the Don Foundation for Civil Society, Development responded to the charges by saying, "We did not register with authorities because our organization did not and does not have the intention of receiving foreign funding and engaging in political activities." The activist said her organization's offices were searched by investigators, police, and members of the security services, who seized documents and computers. Ms. Cherevatenko faces up to two years imprisonment if found guilty of violating Russias Foreign Agents Law. The broadly defined "foreign agents" law has been applied to more than 100 Russian organizations, many of them forced to scale back their activities or shut down. According to the latest State Department Human Rights report, one of the most alarming trends in Russia has been the government's passage of such new, repressive laws and its selective use of existing ones to systematically harass, discredit, prosecute, imprison, detain, fine, and suppress individuals and organizations engaged in activities critical of the government. Aside from NGOs, those affected include independent media outlets, bloggers, political opposition members, and activists. The United States calls on Russia to repeal laws and policies that impede the work of Russian civil society and violate the fundamental rights and freedoms of individuals like Valentina Cherevatenko. Sign up to the Inside Politics email for your free daily briefing on the biggest stories in UK politics Get our free Inside Politics email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Inside Politics email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Angela Eagle faces the prospect of a no confidence vote from her own constituency Labour party, it was revealed just hours before she launches her formal leadership challenge against Jeremy Corbyn. The former shadow business secretary, who said she was standing against the Labour leader because of his inability to do the job, is set to be the topic of a lively debate next Friday in Wallasey with a no-confidence motion on the agenda, according to her local partys vice chair. Asked whether such a motion existed, Paul Davies said: Well, there is a motion to that effect and I am sure that will be a debate at our next meeting on the 22ndI cant possibly say what all 1,200 people think but its going to be a very lively debate. Up until the 24th June Angela Eagle was supporting Jeremy Corbyn but the party was united in WallaseyI want to see a fair election now with both members on the ballot papers, Mr Davies told the BBC Radio 4's Today programme. Ms Eagle was also branded the Empire Strikes Back candidate after her votes for the Iraq War and tuition fees, by Labour frontbencher and Mr Corbyn's loyal ally Diane Abbott. Ahead of launching her formal leadership challenge Ms Eagle, who nearly doubled her majority in her constituency in 2015, said: I think it's important we all have our principles...but you also have to be in a situation where you can speak to Labour voters and the wider country and give our party the best chance of being able to make that difference that early Labour governments made. She told the Daily Mirror: We've all stood on the shoulders of what Labour governments in the past have done if we're not in government we can't spread those chances around our society more widely. Ms Eagle said she believes she would be a good prime minister for Britain, and added: In order to heal our country, we have got to ensure we change the Labour Party so that we can do that historic task and I think I am the person to do that. The 55-year-old said her background as a good, sensible, down-to-earth woman with northern roots would help her lead the party. I have got life experience and values. I'm a woman from the working class north; I understand metropolitan things too, she said. I'm a Gay woman I know the difference between hope and fear. Shadow health secretary Ms Abbott said she did not believe Ms Eagle could win a leadership race, citing her backing for the Iraq War and her failed bid to be deputy leader. Angela Eagle 'not contemplating losing contest' You can call it Armageddon if you like but the truth is at the end of the day Labour Party members choose the leader, not MPs, she told Good Morning Britain. We are going to have a leadership election with Jeremy on the ballot. I'm waiting to hear Angela say he should be on the ballot because it would be a travesty in terms of natural justice and fairness if he's not on the ballot. I think she's the Empire Strikes Back candidate she voted for Iraq, she voted for tuition fees. And someone who came fourth out of five to be deputy, it's not clear to me that she can win the leadership. Ms Eagle announced her leadership bid on Saturday after union-backed peace talks in Brighton aimed at resolving the impasse at the top of the partys ranks collapsed. There was no realistic prospect of a compromise over Mr Corbyns leadership, said deputy leader Tom Watson. Labours ruling National Executive Committee is also due to decide this week whether the party leader must be re-nominated by MPs to run in the event of a challenge to his leadership. The Labour Party constitution says that where there is no vacancy for leader, nominations may be sought by potential challengers. They require 20 per cent of the partys MPs to be valid. Eagle repeats Corbyn warning Ms Eagle is expected to submit a letter to the partys general secretary Iain McNicol later today, signed by 51 of her supporters in the Parliamentary Labour Party. Im expecting to be on the ballot paper because the rules of the party say that the existing leader should be on the ballot paper anyway, Mr Corbyn told BBC Ones Andrew Marr Show. Christine Shawcroft, who sits on Labour's ruling NEC, said the section of party rules calling for nominations to be backed by MPs and MEPs refers to challengers, rather than the sitting leader. She told Today: It's quite clear to me from the rules that the section any nomination refers to potential challengers. Ms Shawcroft added: I think most of us are quite clear what the rules are saying. The only reason we are having this argument is that Jeremy Corbyn's opponents want to keep him off the ballot paper because that's their only hope of winning. When he's on that ballot paper he will win. Sign up to the Inside Politics email for your free daily briefing on the biggest stories in UK politics Get our free Inside Politics email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Inside Politics email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Government lawyers believe the decision to begin the process of leaving the EU can be made using an executive order from the new Prime Minister, a minister has said. Cabinet Office minister John Penrose told the Commons Parliament will nonetheless have a role in triggering Article 50 of the Lisbon Treaty, formally starting the process of Brexit. The House of Commons held a brief debate after an urgent question was filed on how the UK will go about beginning the process of leaving the European Union. The question, put forward by Labour MP Helen Goodman today, came after Andrea Leadsom dropped out of the Conservative leadership race, clearing the way for Theresa May to be named Britians next Prime Minister. Mr Penrose said that Government lawyers believe triggering Article 50 is a Royal Prerogative issue. He added though that democratic principles should outrank legal formalities. Recommended Read more Triggering Article 50 will plunge the UK and the EU into turmoil The Prime Minister has already said Parliament will have a role, and it is clearly right that a decision as momentous as this one must be fully debated and discussed in Parliament, he said. He said the precise details of those debates had to be agreed, but he suggested there would in fact be many parliamentary discussions on the timing and different facets of the negotiations. Government minister Oliver Letwin told the Foreign Affairs Committee last week that Article 50 of the Lisbon Treaty, which formally begins the process under which a state leaves the EU, could be triggered using th Royal Prerogative, a power now exercised by the Prime Minister. But on Wednesday Ms Goodman told The Independent that this meant the decision could be taken without parliamentary approval. The Vote Leave campaign promised to take back control and restore parliamentary sovereignty and the first thing that has to be done to negotiate Brexit is to trigger Article 50 and the Government seems to be proposing to do this using the royal prerogative, she said. What is Article 50? I think its quite wrong when the public was promised this was about restoring parliamentary sovereignty. More than 1,000 lawyers have signed a letter addressed to outgoing Prime Minister David Cameron saying the EU referendum result is merely advisory and not legally binding. Arguing the vote for Brexit, which was opposed by world leaders such as Barack Obama and Christine Lagarde of the International Monetary Fund, is far from guaranteed, the group advised the Government to carefully consider whether to follow through with the British public's vote to leave the European Union. Before Article 50 can be triggered, they say primary legislation will need to be enacted. Brexit protest: Thousands march in London Show all 12 1 /12 Brexit protest: Thousands march in London Brexit protest: Thousands march in London A woman poses with a home-made European Union flag as Remain supporters gather on Park Lane in London to show their support for the EU in the wake of Brexit PA Brexit protest: Thousands march in London Remain supporters demonstrate in Parliament Square PA Brexit protest: Thousands march in London Tens of thousands of people gathered to protest the result of the EU referendum PA Brexit protest: Thousands march in London A majority of people in the capital voted to remain in the European Union Reuters Brexit protest: Thousands march in London Protesters chanted: What do we want to do? Stay in the EU PA Brexit protest: Thousands march in London The march follows a similar rally in Trafalgar Square that was cancelled due to heavy rain but which tens of thousands of people turned up to anyway Reuters Brexit protest: Thousands march in London Britain voted to leave the European Union in a referendum by 52 per cent to 48 per cent Reuters Brexit protest: Thousands march in London But support for the Leave campaign in urban areas and among young people was significantly lower Rex features Brexit protest: Thousands march in London Marchers gathered at Park Lane at 11am and marched towards Parliament Square PA Brexit protest: Thousands march in London Some protesters held up baguettes in a display of affection for our continental neighbours PA Brexit protest: Thousands march in London The disparity between different parts of the country has promoted a four million signature petition calling for a second referendum and even a renewed push for Scotland to cede from the UK PA Brexit protest: Thousands march in London The events organiser, Kings College graduate Kieran MacDermott, wrote: We can prevent Brexit by refusing to accept the referendum as the final say and take our finger off the self-destruct button" Reuters Leading constitutional lawyer Geoffrey Robertson QC told The Independent: I think Britain would be a laughing stock if this outmoded mechanism [the Royal Prerogative] were used by the Government to usurp the role of parliament in a democracy a role that many Parliamentarians fought and died for in the civil wars of the 1640s. Its a preposterous and undemocratic suggestion that the Prime Minister has the power to alter the fundamental basis of the British constitution without the support of MPs. It would surely be judicially reviewed because the so-called Royal Prerogative powers have been reviewable [by the courts] since the attempt to use it to block a case about GCHQ was defeated in 1984. The simple fact is we remain in the European Union until Parliament repeals that act which took us into it, namely the 1972 European Communities Act. Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} More than 1,000 lawyers have signed a letter addressed to Prime Minister David Cameron saying the EU referendum result is merely advisory and not legally binding. Arguing the vote for Brexit, which was opposed by world leaders such as Barack Obama and Christine Lagarde of the International Monetary Fund, is far from guaranteed, the group advised the Government to carefully consider whether to follow through with the British public's vote to leave the European Union. Before Article 50 of the Lisbon Treaty the route via which members states leave the EU can be triggered, they say primary legislation will need to be enacted. The lawyers add that the Government should organise an independent investigation into the costs and benefits of withdrawal before making plans to exit the bloc. They say their letter will reach Mr Cameron this week and proposes a way forward which "reconciles the legal, constitutional and political issues" triggered by the Brexit vote. Philip Kolvin QC, who co-ordinated the creation of the letter, said: "Parliament is sovereign and the guardian of our democracy. 6 ways Britain leaving the EU will affect you "MPs are elected to exercise their best judgment on the basis of objective evidence, to safeguard the interests of the country and their constituents for this and future generations. "At this time of profound constitutional, political and possibly social and economic crisis, we look to them to fulfil the responsibility placed upon them." David Lammy, the MP for Tottenham and former Higher Education and Skills Minister, told The Independent: "In our democracy parliament is sovereign - we do not have government by referenda or plebiscite. Recommended Read more David Lammy urges Parliament to ignore EU referendum result Whoever replaces David Cameron a Prime Minister will have to seek the approval of Parliament before any move is made to invoke Article 50 and trigger Brexit. I'm absolutely clear that in the best interests of my constituents and of the nation as a whole I will be voting against the invoking of Article 50 when it comes before Parliament and I know that many of my colleagues share the same view." Aidan O'Neil, a constitutional and EU law specialist who is one of the signatories, said: "The Brexit referendum has made clear that the UK is not a united nation-state, but a divided state of nations. 6 ways Britain leaving the EU will affect you Show all 6 1 /6 6 ways Britain leaving the EU will affect you 6 ways Britain leaving the EU will affect you More expensive foreign holidays The first practical effect of a vote to Leave is that the pound will be worth less abroad, meaning foreign holidays will cost us more nito100 6 ways Britain leaving the EU will affect you No immediate change in immigration status The Prime Minister will have to address other immediate concerns. He is likely to reassure nationals of other EU countries living in the UK that their status is unchanged. That is what the Leave campaign has said, so, even after the Brexit negotiations are complete, those who are already in the UK would be allowed to stay Getty 6 ways Britain leaving the EU will affect you Higher inflation A lower pound means that imports would become more expensive. This is likely to mean the return of inflation a phenomenon with which many of us are unfamiliar because prices have been stable for so long, rising at no more than about 2 per cent a year. The effect may probably not be particularly noticeable in the first few months. At first price rises would be confined to imported goods food and clothes being the most obvious but inflation has a tendency to spread and to gain its own momentum AFP/Getty Images 6 ways Britain leaving the EU will affect you Interest rates might rise The trouble with inflation is that the Bank of England has a legal obligation to keep it as close to 2 per cent a year as possible. If a fall in the pound threatens to push prices up faster than this, the Bank will raise interest rates. This acts against inflation in three ways. First, it makes the pound more attractive, because deposits in pounds will earn higher interest. Second, it reduces demand by putting up the cost of borrowing, and especially by taking larger mortgage payments out of the economy. Third, it makes it more expensive for businesses to borrow to expand output Getty 6 ways Britain leaving the EU will affect you Did somebody say recession? Mr Carney, the Treasury and a range of international economists have warned about this. Many Leave voters appear not to have believed them, or to think that they are exaggerating small, long-term effects. But there is no doubt that the Leave vote is a negative shock to the economy. This is because it changes expectations about the economys future performance. Even though Britain is not actually be leaving the EU for at least two years, companies and investors will start to move money out of Britain, or to scale back plans for expansion, because they are less confident about what would happen after 2018 AFP/Getty Images 6 ways Britain leaving the EU will affect you And we wouldnt even get our money back All this will be happening while the Prime Minister, whoever he or she is, is negotiating the terms of our future access to the EU single market. In the meantime, our trade with the EU would be unaffected, except that companies elsewhere in the EU may be less interested in buying from us or selling to us, expecting tariff barriers to go up in two years time. Whoever the Chancellor is, he or she may feel the need to bring in a new Budget Getty Images "But it has given no mandate or guidance as to what our nations' future relationship might be with Europe, and with each other." He added: "Precipitate or unilateral action by the UK Government to trigger Article 50 will simply further divide us." The Leave side won the referendum with a majority of 51.9 per cent, amounting to a lead of more than one million more people. Read the letter in full: TO THE PRIME MINISTER AND ALL MEMBERS OF PARLIAMENT 9 July 2016 Dear Prime Minister and Members of Parliament Re: Brexit We are all individual members of the Bars of England and Wales, Scotland and Northern Ireland. We are writing to propose a way forward which reconciles the legal, constitutional and political issues which arise following the Brexit referendum. The result of the referendum must be acknowledged. Our legal opinion is that the referendum is advisory. The European Referendum Act does not make it legally binding. We believe that in order to trigger Article 50, there must first be primary legislation. It is of the utmost importance that the legislative process is informed by an objective understanding as to the benefits, costs and risks of triggering Article 50. The reasons for this include the following: There is evidence that the referendum result was influenced by misrepresentations of fact and promises that could not be delivered. Since the result was only narrowly in favour of Brexit, it cannot be discounted that the misrepresentations and promises were a decisive or contributory factor in the result. The parliamentary vote must not be similarly affected. The referendum did not set a threshold necessary to leave the EU, commonly adopted in polls of national importance, e.g. 60% of those voting or 40% of the electorate. This is presumably because the result was only advisory. The outcome of the exit process will affect a generation of people who were not old enough to vote in the referendum. The positions of Scotland, Northern Ireland and Gibraltar require special consideration, since their populations did not vote to leave the EU. The referendum did not concern the negotiating position of the UK following the triggering of Article 50, nor the possibility that no agreement could be reached within the stipulated two year period for negotiation, nor the emerging reality that the Article 50 negotiations will concern only the manner of exit from the EU and not future economic relationships. All of these matters need to be fully explored and understood prior to the Parliamentary vote. The Parliamentary vote should take place with a greater understanding as to the economic consequences of Brexit, as businesses and investors in the UK start to react to the outcome of the referendum. For all of these reasons, it is proposed that the Government establishes, as a matter of urgency, a Royal Commission or an equivalent independent body to receive evidence and report, within a short, fixed timescale, on the benefits, costs and risks of triggering Article 50 to the UK as a whole, and to all of its constituent populations. The Parliamentary vote should not take place until the Commission has reported. In view of the extremely serious constitutional, economic and legal importance of the vote either way, we believe that there should be a free vote in Parliament. Yours sincerely PHILIP KOLVIN QC And 1053 others Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Theresa May will begin her tenure as prime minister with Britain in a state of political turmoil - and overseeing her country's divorce from the European Union will be the primary challenge facing her. May will take up office as Britain's second woman PM on Wednesday, after Mr Cameron answers MPs' questions in the House of Commons for the last time and goes to Buckingham Palace to offer his resignation to the Queen. That means she has just 48 hours to put together a new team to lead the Government, with Chancellor George Osborne's position thought to be under threat after the historic vote to leave the European Union. Yesterday, she tried to reassure Eurosceptics that "Brexit means Brexit" and that she will pull the country out of the EU despite being a Remain supporter during the EU referendum campaign. But in comments on Monday night, the German Chancellor, Angela Merkel, suggested negotiations would "not be easy". Merkel has said there can be no "cherry picking" of what it wants to keep from its EU membership while jettisoning aspects of the relationship that it does not like. "We will have difficult negotiations with Britain, it will not be easy," Merkel told conservative supporters in eastern Germany on Monday. She added that Britain "will have to quickly clarify how it wants to shape its relationship to the European Union in the future". Merkel has also insisted that access to the European Union's single market meant accepting the bloc's basic freedoms and rejected suggestions from London that Britain could retain full EU market access while curbing immigration. "The EU and the remaining 27 member states also have to protect their interests," Merkel said. "For example, whoever would like to have free access to the European internal market will also have to accept all basic freedoms in return, including the free movement of people." Theresa May in quotes Show all 10 1 /10 Theresa May in quotes Theresa May in quotes On being described by the former chancellor Ken Clarke as a bloody difficult woman: Politics could do with some Bloody Difficult Women actually Rex Features Theresa May in quotes On keeping secrets even from her husband: There are some things I am told that I am not able to confide in anybody Rex Features Theresa May in quotes On the relentless focus on her appearance during a speech at the Women in the World summit: "I like clothes and I like shoes. One of the challenges for women in the workplace is to be ourselves and I say you can be clever and like clothes. You can have a career and like clothes Getty Images Theresa May in quotes On comparisons to Margaret Thatcher: I think there can only ever be one Margaret Thatcher. Im not someone who naturally looks to role models. Ive always, whatever job it is Im doing at the time, given it my best shot. I put my all into it, and try to do the best job I can AFP/Getty Images Theresa May in quotes On her rebelliousness, or lack of, as a teenager: I probably was Goody Two Shoes at school Getty Images Theresa May in quotes On being replaced as chairman by Lord Saatchi and Liam Fox in 2003: Yes, it takes two men to step into the shoes of one woman AFP/Getty Images Theresa May in quotes What Theresa May said when she was asked about her political ambitions during an interview with Miriam Gonzalez Durantez, a lawyer married to Nick Clegg, in December: MD: "My very last question is: that little girl who is somewhere there, is she dreaming of becoming the next British Prime Minister?" TM: "Shes dreaming of carrying on doing a good job in the Home Office" Getty Images Theresa May in quotes On not being able to have children: I like to keep my personal life personal. We couldnt have children, we dealt with it and moved on. I hope nobody would think that mattered; I can still empathise, understand people and care about fairness and opportunity Getty Images Theresa May in quotes On whether she can deliver the mandate of the EU referendum: I think for party members and indeed for others, I would say look at my record. I think they can see that Im somebody who gets on with the job, but Im also somebody who says it as I see it and actually delivers on what I say Getty Images Theresa May in quotes On the equally relentless obsession with her shoes: As a woman I know you can be very serious about something and very soberly dressed add a little bit of interest with footwear. I always tell women you have to be yourself, dont assume you have to fit into a stereotype and if your personality is shown through your clothes or shoes, so be it Getty Images May has already stated that Article 50 the process that will trigger the two-year exit proceeings form the union should not be invoked before the end of the year. "There should be no decision to invoke Article 50 until the British negotiating strategy is agreed and clear which means Article 50 should not be invoked before the end of this year," May, 59, said late last month when she launched her campaign to succeed David Cameron. May has made clear she will respect the will of the British people, expressed in the referendum last month. "There will be no attempts to remain inside the EU, no attempts to rejoin it by the back door, and no second referendum. The country voted to leave the European Union and as Prime Minister I will make sure that we leave the European Union," she said during a speech on Monday. May has said she plans to appoint a minister for Brexit and that a priority will be to win the right for British companies to trade with the EU's single market in goods and services after it leaves the bloc, though freedom of movement will have to be curbed. "The Brexit vote was also a message that we need to bring control to free movement. Free movement cannot continue as it has up to now," she said on Monday. But it's not just Brexit - here are the six biggest problems that will land in the new PM's inbox: Brexit The big one. Theresa May has spoken publicly three times since declaring her intent to stand in the Tory Leadership race, and each time she has said, Brexit means Brexit. It sounds resolute, but it is helpful to her that Brexit is a made up word with no real meaning. She has said there will be no second referendum and no re-entry in to the EU via the back door. But she, like the Leave campaign of which she was not a member, has pointedly not said with any precision what she thinks Brexit means. At some point, likely to be later this year, she will trigger Article 50, formally beginning the two year period in which the UK must negotiate its way out of the EU. She has said she will appoint a Brexit minister to the cabinet. The prominence of leave campaigner Chris Grayling in her short campaign intimates it might be him, though an even grander position may be in store. Recommended Read more Prime Minister Theresa May has a formidable task ahead of her General election This is very much one to keep off the to do list. She said last week there would be no general election at this time of great instability. But there have already been calls for one from opposition parties. The Fixed Term Parliaments Act of 2010 makes it far more difficult to call a snap general election, a difficulty she will be in no rush to overcome. In the event of a victory for Leadsom, who was not popular with her own parliamentary colleagues, an election might have been required, but May has the overwhelming backing of the parliamentary party. May 'honoured and humbled' HS2 Macbeth has been quoted far too much in recent weeks, but it will be up to May to decide whether, with regard to the new high speed train link between London, Birmingham, the East Midlands and the north, returning were as tedious as go oer. Billions have already been spent. But the 55bn it will cost, at a bare minimum, must now be considered against the grim reality of significantly diminished public finances in the short to medium term at least. It is not scheduled to be completed until 2033, by which point it is not completely unreasonable to imagine a massive, driverless car-led transport revolution having rendered it redundant. Or indeed Gatwick expansion. Or Boris Island, though that option is seems as finished as the man himself. The decision on where to expand aviation capacity in the south east has been delayed to the point of becoming a national embarrassment. A final decision was due in autumn. Whatever is decided, there will be vast opprobrium. Trident renewal David Cameron indicated two days ago that there will be a Commons vote on renewing Britains nuclear deterrent on July 18th, by which point we now know, Ms May will be Prime Minister. The Labour Party is, to put it mildly, divided on the issue. This will be an early opportunity to maximise their embarrassment, and return to Tory business as usual. Scottish Independence Nicola Sturgeon and the SNP are in no doubt that the Brexit vote provides the opportunity for a second independence referendum, in which they can emerge victorious. The Scottish Parliament at Holyrood has the authority to call a second referendum, but Ms May and the British Parliament are by no means automatically compelled to accept the result. She could argue it was settled in 2014. But the less controversial way to keep the union together will be to argue the case that Scotland remains better off as part of the UK. Brexit has not taken the oil price problem away, and if Scotland wishes to use a referendum as a means of staying in the EU, adopting the euro and the entering in to the Schengen borders agreement are currently requirements for new countries entering the group, which an independent Scotland would be. She also has the leader of the Scottish Conservatives, Ruth Davidson, on her side, who showed in the referendum debate what a formidable opponent she is, even if ultimately she was unsuccessful. Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} George Osborne will travel to New York for talks with major investors in an effort to strengthen US-UK trade links after the vote to leave the European Union. The Chancellor said improving ties with the US is now a "top priority" and he will urge leaders from some of America's biggest investors to stick with the UK after the Brexit referendum result. Mr Osborne has a busy schedule over the coming weeks, with a trade visit to Asia also planned in an effort to promote investment in the UK amid fears that leaving the EU could make the country less attractive to foreign firms. Recommended Read more Bank of England poised to slash interest rates The Chancellor said that although the UK is leaving the EU, "we are not quitting the world". Brexit campaigners have insisted leaving the EU will allow the UK to strike its own trade deals with major economies and fast-growing countries without the added complication of agreeing a common position with the 27 other member states. The US is the largest single destination for UK exports, and the UK is America's largest trading partner in Europe. In 2014, UK exports to the US totalled 88 billion, some 17 per cent of total UK exports, and last year the UK was the US's sixth largest trading partner. Brexit protest: Thousands march in London Show all 12 1 /12 Brexit protest: Thousands march in London Brexit protest: Thousands march in London A woman poses with a home-made European Union flag as Remain supporters gather on Park Lane in London to show their support for the EU in the wake of Brexit PA Brexit protest: Thousands march in London Remain supporters demonstrate in Parliament Square PA Brexit protest: Thousands march in London Tens of thousands of people gathered to protest the result of the EU referendum PA Brexit protest: Thousands march in London A majority of people in the capital voted to remain in the European Union Reuters Brexit protest: Thousands march in London Protesters chanted: What do we want to do? Stay in the EU PA Brexit protest: Thousands march in London The march follows a similar rally in Trafalgar Square that was cancelled due to heavy rain but which tens of thousands of people turned up to anyway Reuters Brexit protest: Thousands march in London Britain voted to leave the European Union in a referendum by 52 per cent to 48 per cent Reuters Brexit protest: Thousands march in London But support for the Leave campaign in urban areas and among young people was significantly lower Rex features Brexit protest: Thousands march in London Marchers gathered at Park Lane at 11am and marched towards Parliament Square PA Brexit protest: Thousands march in London Some protesters held up baguettes in a display of affection for our continental neighbours PA Brexit protest: Thousands march in London The disparity between different parts of the country has promoted a four million signature petition calling for a second referendum and even a renewed push for Scotland to cede from the UK PA Brexit protest: Thousands march in London The events organiser, Kings College graduate Kieran MacDermott, wrote: We can prevent Brexit by refusing to accept the referendum as the final say and take our finger off the self-destruct button" Reuters Mr Osborne said: "While Britain's decision to leave the EU clearly presents economic challenges, we now have to do everything we can to make the UK the most attractive place in the world to do business. "Britain and the US have been at the forefront of open trade in the last 200 years and pursuing a stronger relationship with our biggest trading partners is now a top priority. "That's why I am travelling to the US, China and Singapore in the coming weeks and why my message to the world is that Britain may be leaving the EU, but we are not quitting the world. "We will continue to be a beacon for free trade, democracy and security, more open to that world than ever." The Chancellor has spoken to Paul Ryan, Speaker of the US House of Representatives, twice in recent weeks ahead of the visit, and he will welcome US treasury secretary Jack Lew to London this week. Next week, Mr Osborne will lead a trade mission to Singapore and China ahead of the G20 finance ministers' meeting in Chengdu. Sign up to the Inside Politics email for your free daily briefing on the biggest stories in UK politics Get our free Inside Politics email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Inside Politics email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Police believe a sharp rise in recorded hate crime was caused by the nature of the European Union referendum debate. Figures released by the National Police Chiefs Council show a 42 per cent spike in reported incidents on the same time last year between 16 and 30 June. In the capital the Metropolitan Police has recorded an average of three hate crimes an hour since the referendum Mark Hamilton, head of the National Police Chiefs Council, said the spike was likely the worst on record and that it was likely down to the referendum. I believe the referendum debate has led to an increase in reporting of hate crime, he told the Guardian newspaper. It is very clear in the last couple of weeks that more people have been aware of experiencing such incidents than we have had before. The incidents are primarily harassment, common assault and other violence, according to the police chiefs. Incidents reported on social media tend to involve members of the public telling people speaking foreign languages or simply people who are not white to leave or go home. Others involve racist anti-immigrant graffiti, such as that daubed on the Polish cultural centre in Hammersmith, west London. Vote Leave, the official campaign to leave the EU, placed immigration at the centre of its campaign. Campaigners made a number of false claims, including that Turkey was likely to join the EU and that a significant portion of its population would move to the UK. Nigel Farage, Ukips leader, unveiled a poster that suggested Britain was at breaking point due to an influx of Syrian refugees. Britain has taken a negligible number of Syria refugees compared to other countries in the EU. The Government has pledged to do more to tackle the rise in hate crime, with both David Cameron and Jeremy Corbyn condemning the attacks in a rare show of unity. Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} More than 1,000 lawyers have signed a letter addressed to Prime Minister David Cameron saying the EU referendum result is merely advisory and not legally binding. Here it is in full: TO THE PRIME MINISTER AND ALL MEMBERS OF PARLIAMENT 9 July 2016 Dear Prime Minister and Members of Parliament Re: Brexit We are all individual members of the Bars of England and Wales, Scotland and Northern Ireland. We are writing to propose a way forward which reconciles the legal, constitutional and political issues which arise following the Brexit referendum. The result of the referendum must be acknowledged. Our legal opinion is that the referendum is advisory. The European Referendum Act does not make it legally binding. We believe that in order to trigger Article 50, there must first be primary legislation. It is of the utmost importance that the legislative process is informed by an objective understanding as to the benefits, costs and risks of triggering Article 50. Brexit protest: Thousands march in London Show all 12 1 /12 Brexit protest: Thousands march in London Brexit protest: Thousands march in London A woman poses with a home-made European Union flag as Remain supporters gather on Park Lane in London to show their support for the EU in the wake of Brexit PA Brexit protest: Thousands march in London Remain supporters demonstrate in Parliament Square PA Brexit protest: Thousands march in London Tens of thousands of people gathered to protest the result of the EU referendum PA Brexit protest: Thousands march in London A majority of people in the capital voted to remain in the European Union Reuters Brexit protest: Thousands march in London Protesters chanted: What do we want to do? Stay in the EU PA Brexit protest: Thousands march in London The march follows a similar rally in Trafalgar Square that was cancelled due to heavy rain but which tens of thousands of people turned up to anyway Reuters Brexit protest: Thousands march in London Britain voted to leave the European Union in a referendum by 52 per cent to 48 per cent Reuters Brexit protest: Thousands march in London But support for the Leave campaign in urban areas and among young people was significantly lower Rex features Brexit protest: Thousands march in London Marchers gathered at Park Lane at 11am and marched towards Parliament Square PA Brexit protest: Thousands march in London Some protesters held up baguettes in a display of affection for our continental neighbours PA Brexit protest: Thousands march in London The disparity between different parts of the country has promoted a four million signature petition calling for a second referendum and even a renewed push for Scotland to cede from the UK PA Brexit protest: Thousands march in London The events organiser, Kings College graduate Kieran MacDermott, wrote: We can prevent Brexit by refusing to accept the referendum as the final say and take our finger off the self-destruct button" Reuters The reasons for this include the following: There is evidence that the referendum result was influenced by misrepresentations of fact and promises that could not be delivered. Since the result was only narrowly in favour of Brexit, it cannot be discounted that the misrepresentations and promises were a decisive or contributory factor in the result. The parliamentary vote must not be similarly affected. The referendum did not set a threshold necessary to leave the EU, commonly adopted in polls of national importance, e.g. 60% of those voting or 40% of the electorate. This is presumably because the result was only advisory. The outcome of the exit process will affect a generation of people who were not old enough to vote in the referendum. The positions of Scotland, Northern Ireland and Gibraltar require special consideration, since their populations did not vote to leave the EU. The referendum did not concern the negotiating position of the UK following the triggering of Article 50, nor the possibility that no agreement could be reached within the stipulated two year period for negotiation, nor the emerging reality that the Article 50 negotiations will concern only the manner of exit from the EU and not future economic relationships. All of these matters need to be fully explored and understood prior to the Parliamentary vote. The Parliamentary vote should take place with a greater understanding as to the economic consequences of Brexit, as businesses and investors in the UK start to react to the outcome of the referendum. What is Article 50? For all of these reasons, it is proposed that the Government establishes, as a matter of urgency, a Royal Commission or an equivalent independent body to receive evidence and report, within a short, fixed timescale, on the benefits, costs and risks of triggering Article 50 to the UK as a whole, and to all of its constituent populations. The Parliamentary vote should not take place until the Commission has reported. In view of the extremely serious constitutional, economic and legal importance of the vote either way, we believe that there should be a free vote in Parliament. Yours sincerely PHILIP KOLVIN QC And 1053 others Sign up to the Inside Politics email for your free daily briefing on the biggest stories in UK politics Get our free Inside Politics email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Inside Politics email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Justice Secretary and former Conservative Party leadership contender Michael Gove has been accused of being "absent" as Britain's prisons have been plunged into crisis and hit by a series of staff walkouts. Prison officers have been staging unofficial strike actions up and down the country in protest at spiralling rates of violence by inmates against them. Labour MP Andy Slaughter accused Mr Gove of failing to get a grip on the crisis, and warned that if he did not do so soon "he is going to lose control fully of the prison estate". Recommended Read more Rising prisoner violence is a warning to Government Mr Slaughter, a former shadow justice minister whose Hammersmith constituency in west London includes Wormwood Scrubs prison, where staff staged a walkout in May over safety concerns, raised the issue in an urgent question in the Commons. The Labour MP said there had been five walkouts in the last five months and acknowledged that Mr Gove has announced 10 million to boost prison safety - but said that is not enough. He said: "But frankly the Secretary of State has been absent in the last few weeks and we have had an inadequate and reactive response to each crisis New league tables for prisons Mr Gove blamed the rise in violence in prisons partly on the growing number of young ex-gang members behind bars and the widespread use of new psychoactive substances - which used to be known as legal highs until they were outlawed in May. He said: "A central duty of the Ministry of Justice is security in our prison estate. It is imperative that the dedicated professionals who work in our prisons are kept safe. "It is also critical that we safeguard the welfare of those who are in custody. So it is a profound concern to me that serious assaults against staff in prison have been on the rise recently. "In the 12 months to December 2015 there have been 625 incidents - an increase of 31%. Describing why violence has increased so dramatically, Mr Gove added: "The nature of the offenders currently in custody is a factor. Younger offenders who have been involved in gang-related activities pose a particular concern. UK news in pictures Show all 50 1 /50 UK news in pictures UK news in pictures 26 October 2022 A meerkat explores a pumpkin in the enclosure at Wild Place, Bristol, where some of the animals are having pumpkin treats as part of their environmental enrichment PA UK news in pictures 25 October 2022 King Charles III welcomes Rishi Sunak during an audience at Buckingham Palace, where he invited the newly elected leader of the Conservative Party to become Prime Minister and form a new government PA UK news in pictures 24 October 2022 Rishi Sunak celebrates with Tory MPs outside the Conservative Campaign Headquarters after becoming the new leader of the Conservative Party Reuters UK news in pictures 23 October 2022 The Green Man at October Plenty, Borough Market's annual Autumn Harvest festival, in London, which returns for the first time post pandemic PA UK news in pictures 21 October 2022 Sculptor Peter McKenna puts the finishing touches to a pumpkin that will form part of the Planet A Hebden Bridge Pumpkin Trail in the West Yorkshire town PA UK news in pictures 20 October 2022 Britains Prime Minister Liz Truss delivers a speech outside of 10 Downing Street in central London to announce her resignation AFP/Getty UK news in pictures 19 October 2022 Salmon leap up Stainforth Force on the River Ribble in the Yorkshire Dales as they swim upriver to their spawning grounds during the annual Salmon migration PA UK news in pictures 18 October 2022 Just Stop Oil protesters continue their protest for a second day on the Queen Elizabeth II Bridge, which links Kent and Essex and which remains closed for traffic, after it was scaled by two climbers from the group PA UK news in pictures 17 October 2022 Hundreds of students take part in the traditional Raisin Monday foam fight on St Salvator's Lower College Lawn at the University of St Andrews in Fife PA UK news in pictures 16 October 2022 A protester holds a placard during a march into central London at a demonstration by the climate change protest group Extinction Rebellion AFP/Getty UK news in pictures 15 October 2022 A member of the public drags an activist who is blocking the road during a "Just Stop Oil" protest, in London, Britain REUTERS UK news in pictures 14 October 2022 Germanys Womens double skulls during day one of the World Rowing Beach Sprint Finals at Saundersfoot beach, Pembrokeshire PA UK news in pictures 13 October 2022 Family and mourners arrive at St Michael's Church, in Creeslough, for the funeral mass of 49-year-old mother of four Martina Martin, who died following an explosion at the Applegreen service station in the village of Creeslough in Co Donegal on Friday PA UK news in pictures 12 October 2022 Motorists in Coventry pass trees showing autumnal colour PA UK news in pictures 11 October 2022 A woman and her dog in the the North Sea at Tynemouth Longsands beach before sunrise PA UK news in pictures 10 October 2022 Police officers remove a campaigner from a Just Stop Oil protest on The Mall, near Buckingham Palace, London PA UK news in pictures 9 October 2022 A drummer plays during the Diwali on the Square celebration, in Trafalgar Square, London PA UK news in pictures 8 October 2022 Timothee Chalamet attending the UK premiere of Bones and All during the BFI London Film Festival 2022 at the Royal Festival Hall, Southbank Centre, London PA UK news in pictures 7 October 2022 Two young male fallow deer lock antlers in Dublins Phoenix park as rutting season begins PA UK news in pictures 6 October 2022 The Princess of Wales during a cocktail making competition during a visit to Trademarket, a new outdoor street-food and retail market situated in Belfast city centre, as part of the royal visit to Northern Ireland PA UK news in pictures 5 October 2022 Greenpeace protesters interrupt Prime Minister Liz Truss as she delivers her keynote speech to the Conservative Party annual conference PA UK news in pictures 4 October 2022 Prime Minister Liz Truss and Britains Chancellor of the Exchequer Kwasi Kwarteng wearing hard hats and hi-vis jackets, visit a construction site for a medical innovation campus in Birmingham AFP/Getty UK news in pictures 3 October 2022 British artist Sam Cox, aka Mr Doodle, reveals the Doodle House, a twelve-room mansion at Tenterden, in Kent, which has been covered, inside and out in the artist's trademark monochrome, cartoonish hand-drawn doodles PA UK news in pictures 2 October 2022 Erling Haaland celebrates after scoring Manchester City's second goal against Manchester United at Etihad Stadium. Haaland went on to score a hattrick, his third of the season in the Premier League. City beat United 6-3. Manchester City FC/Getty UK news in pictures 1 October 2022 Protesters hold up flags and placards at a protest in London. A variety of protest groups including Enough is Enough, Don't Pay and Just Stop Oil all demonstrated on the day AFP/Getty UK news in pictures 30 September 2022 British Prime Minister Liz Truss, who has not been seen in days, leaves the back of Downing Street after a meeting with Office For Budget Responsibility following the release of her governments mini-budget Getty UK news in pictures 29 September 2022 The Virginia creeper foliage on the Tu Hwnt i'r Bont (Beyond the Bridge) Llanwrst, Conwy North Wales, has changed colour from green to red in at the start of Autumn. The building was built in 1480 as a residential dwelling but has been a tearoom for over 50 years PA UK news in pictures 28 September 2022 Criminal barristers from the Criminal Bar Association (CBA), demonstrates outside the Royal Courts of Justice in London, as part of their ongoing pay row with the Government PA UK news in pictures 27 September 2022 David White, Garter King of Arms, poses with an envelope franked with the new cypher of King Charles III 'CIIIR', after it was printed in the Court Post Office at Buckingham Palace in central London AFP/Getty UK news in pictures 26 September 2022 A gallery staff member poses next to a painting by Lucian Freud - Self-portrait (Fragment), 1956 - on show at a photocall for the Credit Suisse exhibition - Lucian Freud: New Perspectives at the National Gallery in London PA UK news in pictures 25 September 2022 Labour leader, Sir Keir Starmer is interviewed by Laura Kuenssberg in Liverpool before the start of the Labour Party annual Conference which he opened with a tribute to Queen Elizabeth II and sang the national anthem PA UK news in pictures 24 September 2022 Handout photo issued by Buckingham Palace of the ledger stone at the King George VI Memorial Chapel, St George's Chapel, Windsor Castle PA UK news in pictures 23 September 2022 A climate change activist protests against UK private jets while lighting his right arm on fire during the Laver Cup tennis tournament at the O2 Arena in London EPA UK news in pictures 22 September 2022 Woody Woodmansey, Lee Bennett, Kevin Armstrong, Nick Moran and Clifford Slapper attend the unveiling of a stone for David Bowie on the Music Walk of Fame at Camden, north London PA UK news in pictures 21 September 2022 A flock of birds in the sky as the sun rises over Dungeness in Kent PA UK news in pictures 20 September 2022 Flowers which were laid by members of the public in tribute to Queen Elizabeth II at Hillsborough Castle in Northern Ireland are collected by the Hillsborough Gardening Team and volunteers to be replanted for those that can be saved or composted PA UK news in pictures 19 September 2022 The ceremonial procession of the coffin of Queen Elizabeth II travels down the long walk as it arrives at Windsor Castle for the committal service at St Georges Chapel AFP/Getty UK news in pictures 18 September 2022 A man stands among campers on The Mall ahead of the Queens funeral Reuters UK news in pictures 17 September 2022 Wolverhampton Wanderers Nathan Collins fouls Manchester Citys Jack Grealish leading to a red card. City went on to win the match at Molineux Stadium three goals to nil. Action Images/Reuters UK news in pictures 16 September 2022 Members of the public stand in the queue near Tower Bridge, and opposite the Tower of London, as they wait in line to pay their respects to the late Queen Elizabeth II, in London AFP via Getty Images UK news in pictures 15 September 2022 Members of the public in the queue on in Potters Fields Park, central London, as they wait to view Queen Elizabeth II lying in state ahead of her funeral on Monday PA UK news in pictures 14 September 2022 The first members of the public pay their respects as the vigil begins around the coffin of Queen Elizabeth II in Westminster Hall, London, where it will lie in state ahead of her funeral on Monday PA UK news in pictures 13 September 2022 Crowds cheer as King Charles III and Camilla, Queen Consort arrive for a visit to Hillsborough Castle Getty UK news in pictures 12 September 2022 Crowds line the Royal Mile, Edinburgh, as King Charles III joins a procession from the Palace of Holyroodhouse to St Giles Cathedral following the coffin of Queen Elizabeth II Katielee Arrowsmith/SWNS UK news in pictures 11 September 2022 Members of the Public pay their respects as the hearse carrying the coffin of Queen Elizabeth II, draped in the Royal Standard of Scotland, is driven through Ballater AFP/Getty UK news in pictures 10 September 2022 Britain's Prince William, Prince of Wales, Britain's Catherine, Princess of Wales, Britain's Prince Harry, Duke of Sussex, Britain's Meghan, Duchess of Sussex, wave at well-wishers on the Long walk at Windsor Castle AFP/Getty UK news in pictures 9 September 2022 King Charles III and Camilla, Queen Consort wave after viewing floral tributes to the late Queen Elizabeth II outside Buckingham Palace Getty UK news in pictures 8 September 2022 A screen commemorating Britain's Queen Elizabeth II in Piccadilly Circus, London Britain EPA UK news in pictures 7 September 2022 Police officers stand guard after Animal Rebellion activists threw paint on the walls and road outside the Houses of Parliament in protest, in London, Britain Reuters UK news in pictures 6 September 2022 Queen Elizabeth II welcomes Liz Truss during an audience at Balmoral, Scotland, where she invited the newly elected leader of the Conservative party to become Prime Minister and form a new government PA "Another factor is the widespread available of new psychoactive substances, NPS, synthetically manufactured drugs which are more difficult to detect than traditional cannabis and opiates." He said more than 2,800 prison officers have been recruited since January 2015, body-worn cameras have been deployed and psychoactive substances have been outlawed. And he stressed his door is open to staff with concerns. Mr Slaughter accused the Justice Secretary of not saying anything new and warned that prison staff are reporting incidents of violence on a "daily basis". He said: "The situation on our prison estate continued to deteriorate as you concede, we have heard nothing new today that we haven't heard before. "Over the weekend prison staff held crisis meetings across the country amid concerns about their security and safety in the workplace and incidents of violent disorder are reported on a daily basis." Press Association Sign up to the Inside Politics email for your free daily briefing on the biggest stories in UK politics Get our free Inside Politics email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Inside Politics email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Opposition parties have called for a general election with Theresa May set to become Prime Minister unopposed. The Tory leadership contest was cut short on Monday after Ms Mays internal rival Andrea Leadsom dropped out of the race. Labours election coordinator Jon Trickett said it was crucial for the country to have a democratically elected Prime Minister and branded Ms Mays election a coronation. Recommended Read more Theresa May to be PM after Andrea Leadsom drops out of leadership race It now looks likely that we are about to have the coronation of a new Conservative Prime Minister, he said. It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected Prime Minister. I am now putting the whole of the party on a General Election footing. It is time for the Labour Party to unite and ensure the millions of people in the country left behind by the Tories' failed economic policies, have the opportunity to elect a Labour government. Theresa May: Profile of the UK's next Prime Minister During the leadership contest Ms May ruled out an early general election, saying that not holding one would create stability during Brexit negotiations. She will now become Prime Minister in the coming days following official confirmation from the Conservative party board. Theresa May in quotes Show all 10 1 /10 Theresa May in quotes Theresa May in quotes On being described by the former chancellor Ken Clarke as a bloody difficult woman: Politics could do with some Bloody Difficult Women actually Rex Features Theresa May in quotes On keeping secrets even from her husband: There are some things I am told that I am not able to confide in anybody Rex Features Theresa May in quotes On the relentless focus on her appearance during a speech at the Women in the World summit: "I like clothes and I like shoes. One of the challenges for women in the workplace is to be ourselves and I say you can be clever and like clothes. You can have a career and like clothes Getty Images Theresa May in quotes On comparisons to Margaret Thatcher: I think there can only ever be one Margaret Thatcher. Im not someone who naturally looks to role models. Ive always, whatever job it is Im doing at the time, given it my best shot. I put my all into it, and try to do the best job I can AFP/Getty Images Theresa May in quotes On her rebelliousness, or lack of, as a teenager: I probably was Goody Two Shoes at school Getty Images Theresa May in quotes On being replaced as chairman by Lord Saatchi and Liam Fox in 2003: Yes, it takes two men to step into the shoes of one woman AFP/Getty Images Theresa May in quotes What Theresa May said when she was asked about her political ambitions during an interview with Miriam Gonzalez Durantez, a lawyer married to Nick Clegg, in December: MD: "My very last question is: that little girl who is somewhere there, is she dreaming of becoming the next British Prime Minister?" TM: "Shes dreaming of carrying on doing a good job in the Home Office" Getty Images Theresa May in quotes On not being able to have children: I like to keep my personal life personal. We couldnt have children, we dealt with it and moved on. I hope nobody would think that mattered; I can still empathise, understand people and care about fairness and opportunity Getty Images Theresa May in quotes On whether she can deliver the mandate of the EU referendum: I think for party members and indeed for others, I would say look at my record. I think they can see that Im somebody who gets on with the job, but Im also somebody who says it as I see it and actually delivers on what I say Getty Images Theresa May in quotes On the equally relentless obsession with her shoes: As a woman I know you can be very serious about something and very soberly dressed add a little bit of interest with footwear. I always tell women you have to be yourself, dont assume you have to fit into a stereotype and if your personality is shown through your clothes or shoes, so be it Getty Images Liberal Democrat leader Tim Farron however said the Tories had no mandate following the With Theresa Mays coronation we need an early General Election, he said. The Tories now have no mandate. Britain deserves better than this. The calls were echoed by Caroline Lucas, the Green MP for Brighton Pavilion, who is standing to lead her party. Andrea Leadsoms decision to pull out of the leadership race underlines the necessity of a General Election this year, something the Greens have called for since the EU referendum result was announced, she said. The Independent reported earlier this month that Ukip leader Nigel Farage had called for a snap election to be called following the Brexit vote. He urged the use of a proportional voting system so that smaller parties would receive fair representation. Ms May may still be tempted to hold an early general election because of the Tories slim majority and the current disarray in Labour. Under the Fixed Term Parliament Act the Conservatives would have to vote no confidence in her leadership and wait for 14 days, voting down any alternative attempt at forming a government. Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} And then there was one. Theresa May will walk into 10 Downing Street on Wednesday evening, unchallenged and unelected the only player left standing in a ruthless political game after the hopes and careers of David Cameron, George Osborne, Boris Johnson, Michael Gove and Andrea Leadsom came crashing down. While opposition parties clamoured for an early general election, the new Conservative leader, who will take office after David Cameron has taken Prime Ministers Questions in the Commons for the last time, has firmly ruled out bringing forward the day of the next election, set for May 2020. No one in the Conservative Party is calling for it, and it isnt going to happen, one of her closest supporters said. The markets dont want it. Look at the recovery that has begun when the uncertainty ended - why put that at risk? Theresa has said very firmly that it wont happen. Recommended Read more This speech tells you everything you need to know about Theresa May The new Prime Minister only the second woman in Britain to hold that position started her day thinking that she still had more than eight weeks gruelling campaigning ahead of her, to persuade paid up members of the Conservative Party to vote for her despite the fact that she supported the Remain camp in last months referendum. To reassure those who suspected she would be half-hearted about negotiating Britains exit from the European Union, she announced categorically: Brexit means Brexit, and we're going to make a success of it. At the very moment she stood up to speak, the team backing her rival, Andrea Leadsom, was gathering in a house in Cowley Street, close to Parliament, to plan how to move her leadership campaign on after a bad weekend dominated by Ms Leadsoms grossly misjudged comment in which she implied that she was better qualified to be prime minister because she has children, whereas Ms May has not. Theresa May to become UK prime minister on Wednesday But as the meeting started, Ms Leadsom dropped a bombshell. After the weekends hostile media scrutiny, and the reactions of some Tory MPs to the possibility that she might win, she had decided to pull out. The news leaked out of the room within quarter of an hour. At 12.15, Andrea Leadsom stepped outside to face a forest of cameras, reporters and curious onlookers, and read out the letter she had written to Graham Brady announcing that she was withdrawing from the race, giving Theresa May a walkover. The letter avoided saying that she had realised she could not take the heat of a leadership contest, but professed that she was quitting because the country, and the markets, needed a quick resolution, and because she had complete confidence in Theresa May. As she finished speaking, someone in the crowd shouted: Another Brexiteer leaves the scene of the crime! Recommended Read more The six most important things Theresa May needs to sort out Taken by surprise, Ms May had to rush back from Birmingham, and make hurried arrangements with David Cameron, and with the Palace, because the Queen would need to be in London so that she could be formally told the name of the 13th prime minister of her long reign Mr Cameron announced that the formalities would be complete by early Wednesday evening. Prime Minister May now faces a stack of unresolved problems the biggest of which is the new trade arrangements that her government will have to strike up with the EU, and with other nations around the globe. One of her first major decisions, therefore, will be when to invoke Article 50 of the 2007 Lisbon Treaty to set that process in motion. She has said in the past that she should not hurry: she needs to be clear about Britains negotiating position first, including where she stands over the highly charge question of immigration. The 6 most important issues Theresa May needs to address Show all 6 1 /6 The 6 most important issues Theresa May needs to address The 6 most important issues Theresa May needs to address Brexit The big one. Theresa May has spoken publicly three times since declaring her intent to stand in the Tory Leadership race, and each time she has said, Brexit means Brexit. It sounds resolute, but it is helpful to her that Brexit is a made up word with no real meaning. She has said there will be no second referendum and no re-entry in to the EU via the back door. But she, like the Leave campaign of which she was not a member, has pointedly not said with any precision what she thinks Brexit means Reuters The 6 most important issues Theresa May needs to address General election This is very much one to keep off the to do list. She said last week there would be no general election at this time of great instability. But there have already been calls for one from opposition parties. The Fixed Term Parliaments Act of 2010 makes it far more difficult to call a snap general election, a difficulty she will be in no rush to overcome. In the event of a victory for Leadsom, who was not popular with her own parliamentary colleagues, an election might have been required, but May has the overwhelming backing of the parliamentary party Getty The 6 most important issues Theresa May needs to address HS2 Macbeth has been quoted far too much in recent weeks, but it will be up to May to decide whether, with regard to the new high speed train link between London, Birmingham, the East Midlands and the north, returning were as tedious as go oer. Billions have already been spent. But the 55bn it will cost, at a bare minimum, must now be considered against the grim reality of significantly diminished public finances in the short to medium term at least. It is not scheduled to be completed until 2033, by which point it is not completely unreasonable to imagine a massive, driverless car-led transport revolution having rendered it redundant EPA The 6 most important issues Theresa May needs to address Heathrow expansion Or indeed Gatwick expansion. Or Boris Island, though that option is seems as finished as the man himself. The decision on where to expand aviation capacity in the south east has been delayed to the point of becoming a national embarrassment. A final decision was due in autumn. Whatever is decided, there will be vast opprobrium PA The 6 most important issues Theresa May needs to address Trident renewal David Cameron indicated two days ago that there will be a Commons vote on renewing Britains nuclear deterrent on July 18th, by which point we now know, Ms May will be Prime Minister. The Labour Party is, to put it mildly, divided on the issue. This will be an early opportunity to maximise their embarrassment, and return to Tory business as usual EPA The 6 most important issues Theresa May needs to address Scottish Independence Nicola Sturgeon and the SNP are in no doubt that the Brexit vote provides the opportunity for a second independence referendum, in which they can emerge victorious. The Scottish Parliament at Holyrood has the authority to call a second referendum, but Ms May and the British Parliament are by no means automatically compelled to accept the result. She could argue it was settled in 2014 AFP/Getty She will also have make clear what she means by the radical programme of social reform that she promised in her Birmingham speech, and how she is going to give employees a bigger stake in the future of the firms that employ them. As MP for Maidenhead, a short drive from Heathrow, she has expressed concern about proposals to expand that airport. She will now have to consider the same issue from the point of view of the national interest. Her first task, though, before deciding on policy, will be to put a Cabinet together, in which she will undoubtedly promote a number of leading Brexit campaigners, such as Chris Grayling, Priti Patel and Liam Fox as a means of unifying her party. The tricky decisions are what job to offer Boris Johnson, and whether to offer the Chancellor, George Osborne, any job at all. Her rise to the highest job in government in an extraordinary success for the vicars daughter from Eastbourne in East Sussex. Unlike most others who reached that height, she has come up without forming a tightly knit group of acolytes and hangers on. Her tendency to keep herself to herself was once seen as a political weakness but should now work in her favour because she is not seen as the creature of any of the contending groups and factions in the modern Conservative Party. Joseph Chait, 38, of Beverly Hills, California, the senior auction administrator of I.M. Chait Gallery, was sentenced on June 22 to one year and one day in prison and a $10,000 fine for conspiracy to smuggle illegal wildlife products. The products, made from rhinoceros horn, elephant ivory, and coral, had a market value of at least $1 million, according to U.S. Assistant Attorney General John C. Cruden for the Department of Justice, Environment and Natural Resources Division. On March 9, Chait pleaded guilty before U.S. District Judge J. Paul Oetken, who imposed the sentence. In addition to the term of prison, Chait was sentenced to three years of supervised release and ordered to pay a $10,000 fine. Chait and his co-conspirators engaged in illegal trafficking of wildlife with a market value of at least $1 million. Chait personally falsified documents, including customs forms, by stating that rhinoceros horn and elephant ivory items were made of bone, wood or plastic. In addition to falsifying customs forms, Chait and his co-conspirators conducted their wildlife trafficking using a variety of smuggling methods. As a result of a Presidential Executive Order, trade in protected wildlife such as rhinoceros horn and elephant ivory has been significantly restricted in the last two years, except for those instances where sellers can prove that the item is a genuine antique which is more than 100 years old. Conspiring in the trafficking [of] endangered wildlife is a serious crime, and those involved in the auction industry should take note that facilitating this trade can result in prison, said Assistant Attorney General Cruden. As this investigation by U.S. Fish and Wildlife Service Special Agents demonstrates, United States citizens and businesses continue to be involved in international wildlife trafficking facilitating and magnifying consumer demand for rhino horn, elephant ivory and other illegal products that is driving the slaughter of imperiled species in the wild, said Director Dan Ashe for the U.S. Fish and Wildlife Service. The stiff sentence and fines imposed today on Joseph Chait for his crimes serve notice to those engaged in similar criminal activity that their day of reckoning in court is coming. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Amid ongoing protests across the US over police killings of black men, a still image showing one demonstrator being confronted by officers has been labelled iconic and praised for encapsulating the Black Lives Matter movement. More than 100 people were arrested during a demonstration in Baton Rouge, Louisiana on Saturday, according to police. It took place days after 37-year-old Alton Sterling was shot dead in Baton Rouge, while being held down by two white police officers on Tuesday morning. Shocking videos of the shooting have been widely shared online and the US Justice Department has opened a civil rights investigation into his death. A protester is grabbed by police officers in riot gear after she refused to leave the motor way in front of the the Baton Rouge Police Department Headquarters in Baton Rouge (Max Becherer/ AP) The following day, 32-year-old Philando Castile was shot dead by a police officer during a traffic stop in Minnesota. The aftermath of the shooting was captured on Facebook Live by his fiance who was present in the car at the time of the incident. The iconic image was taken as demonstrators, including many supporting the civil rights movement Black Lives Matter, gathered outside Baton Rouges police department headquarters on Saturday in protest against the deaths. At least five police officers have died in Texas shooting Taken by Jonathon Bachman, a New Orleans-based photographer working for Reuters, the image shows a lone woman wearing a thin dress standing stoically in front of two police officers dressed in layers of riot gear, who appear to be hurriedly approaching her. The image was has been shared repeatedly on social media with many calling powerful and legendary. A man protesting the shooting death of Alton Sterling is detained by law enforcement near the headquarters of the Baton Rouge Police Department (Jonathon Bachman/ Reuters ) (Jonathon Bachman/ Reuters) Demonstrators protest the shooting death of Alton Sterling near the headquarters of the Baton Rouge Police Department (Jonathon Bachman/ Reuters ) (Jonathon Bachman/ Reuters) Shaun King, senior justice reporter at the New York Daily News, shared the image on Facebook with the caption: POWERFUL photo from Baton Rouge. The post has garnered nearly 30,000 likes and one popular comment, liked over 12,500 times, reads: "This is a legendary picture. It will be in history and art books from this time. The British-Indian novelist Hari Kunzru shared the image over Twitter commending the woman for showing grace under pressure. Speaking about the events in the lead up to taking the photo, Mr Bachman told The Atlantic that a group of protestors had formed a blockade on a road running past police headquarters and were asked to move to the pavement by officers dressed in riot gear. I could tell that [the woman in the picture] wasnt going to move, and it seemed like she was making her stand," said Mr Bachman. "To me it seemed like: 'Youre going to have to come and get me'. And I just thought it seemed like this was a good place to get in position and make an image, just because she was there in her dress and you have two police officers in full riot gear. Demonstrators protest the shooting death of Alton Sterling near the headquarters of the Baton Rouge Police Department (Jonathon Bachman/ Reuters ) (Jonathon Bachman/ Reuters) It wasnt very violent. She didnt say anything. She didnt resist, and the police didnt drag her off. Reuters have said the woman in the picture was later detained, however her she has not been named by police. Associated Press photographer Max Becherer also photographed the incident from a different angle. The news agency report that the woman was grabbed by officers after refusing to move from the road. Protests in Baton Rouge continued into Sunday, where protesters faced off with police officers wearing gas masks in the evening. Protests after Baton Rouge police fatally shoot Alton Sterling Show all 10 1 /10 Protests after Baton Rouge police fatally shoot Alton Sterling Protests after Baton Rouge police fatally shoot Alton Sterling Gerald Herbert/AP Protests after Baton Rouge police fatally shoot Alton Sterling Bryn Stole/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Bryn Stole/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Bryn Stole/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Brittany Weiss/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Brittany Weiss/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Bryn Stole/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Alton Sterling/Facebook Protests after Baton Rouge police fatally shoot Alton Sterling Google Maps Protests after Baton Rouge police fatally shoot Alton Sterling Family Handout Media, citing Baton Rouge police, reported that at least 48 people were taken into custody after demonstrators clashed with police following a peaceful march to the state capitol. In St Paul, Minnesota, 21 officers were injured on Saturday when they were pelted with rocks, bottles, construction material and fireworks. Three countries have warned their citizens to stay on guard when visiting US cities rocked by the protests. Additional reporting by agencies Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} In the wake of the tragic shooting in downtown Dallas that claimed the lives of five police officers, the citys mayor criticised Texas open carry laws that he says made law enforcements job tougher as bullets rained down from rooftops. You know, in dealing with the law of gun holding, you can carry a rifle legally, and when you have gunfire going on, you usually go with the person thats got a gun, Dallas mayor Mike Rawlings said on CBS Face the Nation programme. And so our police grabbed some of those individuals, took them to police headquarters, and worked it out and figured out that they were not the shooters. Recommended Read more Dallas civil rights activists plan new police brutality protest As the Dallas police scrambled to make sense of what was happening last week, they broadcast a photo of a person of interest Mark Hughes, a black man in a camouflage T-shirt carrying a long rifle across his back. Social media users quickly found video of Mr Hughes standing in the street, alongside other witnesses and fellow protesters, as the police were dealing with an active shooter situation. His friends immediately rushed to his defence and told press that he handed his apparently unloaded rifle to the nearest officer. Mark Hughes was not the shooter. [scald=4531426:sdl_editor_representation] The Associated Press said Dallas police estimated about 20 or 30 open carry activists to be present at the 7 July demonstration. And in a scene where shots were ringing out and so many people were carrying firearms, law enforcement may have had trouble discerning who was a threat. But that is one of the real issues with the gun right issues that we face, Mr Rawlings added, that in the middle of a firefight, its hard to pick out the good guys and the bad guys. Dallas police chief David Brown expressed similar sentiments. Doesnt make sense to us, that thats their right in Texas, Mr Brown told CNN. For our officers, they were suspects. And I support that belief. Someone is shooting at you from a perched position, and people are running with AR-15s and camo gear and gas masks and bulletproof vests. Police officers killed by sniper at protests in Dallas Show all 20 1 /20 Police officers killed by sniper at protests in Dallas Police officers killed by sniper at protests in Dallas A Dallas Area Rapid Transit police officer receives comfort at the Baylor University Hospital emergency room entrance in Dallas Dallas Morning News/AP Police officers killed by sniper at protests in Dallas Emergency services help an unknown patient on a stretcher as law enforcement officials stand nearby at the emergency receiving area of Baylor University Medical Center AP Police officers killed by sniper at protests in Dallas Dallas Police shield bystanders after shots were fired, during a protest over two recent fatal police shootings of black men AP Police officers killed by sniper at protests in Dallas Bystanders run for cover after shots fired at a Black Live Matter rally in downtown Dallas AP Police officers killed by sniper at protests in Dallas Police check a car after snipers opened fire on police officers in Dallas AP Police officers killed by sniper at protests in Dallas A man raises his hands as he walks near a law enforcement officer, following the shootings of several police officers in downtown Dallas AP Police officers killed by sniper at protests in Dallas A Dallas police officer steps out of a vehicle as he arrives in front of Baylor University Medical Center AP Police officers killed by sniper at protests in Dallas Dallas police officers face protesters on the corner of Ross Ave. and Griffin street after police officers were shot during a peaceful protest in Dallas EPA Police officers killed by sniper at protests in Dallas A Dallas police officer covers his face as he stands with others outside the emergency room at Baylor University Medical Center AP Police officers killed by sniper at protests in Dallas Law enforcement officials escort a couple in through the emergency room entrance at Baylor University Medical Center AP Police officers killed by sniper at protests in Dallas Dallas Police respond after shots were fired at a Black Lives Matter rally in downtown Dallas AP Police officers killed by sniper at protests in Dallas Dallas Police respond after shots were fired at a Black Lives Matter rally in downtown Dallas AP Police officers killed by sniper at protests in Dallas Police cars sit on Main Street in Dallas following the sniper shooting during a protest AFP/Getty Images Police officers killed by sniper at protests in Dallas A Police officer stands guard at a barricade following the sniper shooting in Dallas AFP/Getty Images Police officers killed by sniper at protests in Dallas Police stand near a barricade following the sniper shooting in Dallas AFP/Getty Images Police officers killed by sniper at protests in Dallas Dallas police check a car after detaining a driver after a shooting in downtown Dallas AP Police officers killed by sniper at protests in Dallas Dallas police order people away from the area after several police were shot in downtown Dallas AP Police officers killed by sniper at protests in Dallas Dallas police move to detains a driver after several police officers were shot in downtown Dallas AP Police officers killed by sniper at protests in Dallas Dallas police detain a driver after several police officers were shot in downtown Dallas AP Police officers killed by sniper at protests in Dallas Dallas Police respond after shots were fired at a Black Lives Matter rally in downtown Dallas Dallas Morning News/AP They are suspects until we eliminate that. Beginning 1 January 2016, registered gun owners in Texas could begin carrying their firearm in the open without concealment, sparking ongoing debates as to the limits open carry has. Texas Governor Greg Abbott signed into law a bill that allows registered gun owners to carry their weapons on college campuses the University of Texas at Austin is set to comply with that law on 1 August 2016. The law has met some resistance and raised significant concerns from educators at Texas schools. The University of Houston released a memo in February discouraging teachers from discussing sensitive subjects so as not to anger an armed student. To President Barack Obama, the ubiquity of guns in Texas can only make matters worse, especially in an active shooter situation that Dallasites witnessed last Thursday. [Police] have a really difficult time in communities where they know guns are everywhere, he said. And as I said before, they have a right to come home, and now they have very little margin of error in terms of making decisions. So if you care about the safety of our police officers, then you cant set aside the gun issue and pretend that thats irrelevant. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Footage has been released of the moment a group of prisoners escaped from their cell to help a guard who appeared to be having a heart attack. A CCTV video shows the moment at least eight inmates broke out of the holding cell in in a Texas court building to help the guard, who had slumped over and become unconscious in front of them. The inmates at the Parker County Courts building immediately started yelling to attract attention to the situation. When help didnt arrive quickly, they are seen breaking out of the cell and banging on doors to alert staff in the building while also using the guards radio to call for help. Sheriffs deputies are then seen rushing into the basement with the guard and the inmates. The prisoners are seen being returned to their cell while CPR is performed on the guard until paramedics arrive. Parker County Sheriffs Office Capt. Mark Arnett praised the quick response of the inmates. He told NBC News: They definitely saved his life. Theres no doubt about that. The guard, who wished to remain anonymous, is expected to return to work next week. The holding pen the prisoners broke out of has since been reinforced. Sign up to our Evening Headlines email for your daily guide to the latest news Sign up to our free US Evening Headlines email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Evening Headlines email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Former New York City mayor Rudy Giuliani has responded to the furore over police killings of black people by saying black victims were 99 per cent likely to be killed by another civilian (most often another black person) and only 1 per cent by the police. Theres too much violence in the black community, Mr Giuliani said on CBSs Face the Nation. A black [person] will die 1 per cent or less at the hands of the police and 99 per cent at the hands of a civilian most often another black [person]. Recommended Read more Dallas civil rights activists plan new police brutality protest He added that police killings of black civilians happens rarely, although with tremendous attention, suggesting that Black Lives Matter activists ignore so-called black-on-black violence. If I were a black father and I was concerned about the safety of my child really concerned about it and not in a politically activist sense I would say, Be very respectful to the police, most of them are good, some can be very bad and just be very careful, Mr Giuliani said. I'd also say, Be very careful of those kids in the neighbourhood, don't get involved with them because son, there's a 99 per cent chance they're going to kill you, not the police. Protests after Baton Rouge police fatally shoot Alton Sterling Show all 10 1 /10 Protests after Baton Rouge police fatally shoot Alton Sterling Protests after Baton Rouge police fatally shoot Alton Sterling Gerald Herbert/AP Protests after Baton Rouge police fatally shoot Alton Sterling Bryn Stole/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Bryn Stole/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Bryn Stole/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Brittany Weiss/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Brittany Weiss/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Bryn Stole/Twitter Protests after Baton Rouge police fatally shoot Alton Sterling Alton Sterling/Facebook Protests after Baton Rouge police fatally shoot Alton Sterling Google Maps Protests after Baton Rouge police fatally shoot Alton Sterling Family Handout According to FBI data, 90 per cent of black people murdered in the US in 2014 were killed by other black people. However, the data also showed that some 82 per cent of white people murdered in 2014 were killed by other white people. Mr Giuliani added the phrase Black Lives Matter used by activists who protest for police reform, is inherently racist. Of course black lives matter, and they matter greatly, he said. But when you focus in on 1 per cent of less than 1 per cent of the murder that's going on in America and you make it a national thing, and all of you in the media make it much bigger than the black kid that's getting killed in Chicago every 14 hours, you create a disproportion. According to the New York Daily News, police killed 160 suspects in New York City during Mr Giulianis two terms, between 1994 and 2001 with the deadliest year being 1996, when police killed 30 people. Sign up for the daily Inside Washington email for exclusive US coverage and analysis sent to your inbox Get our free Inside Washington email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Inside Washington email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Hillary Clinton's former rival for the Democrat presidential nomination will join her at a rally in New Hampshire on Tuesday and is expected to offer her his endorsement. A statement from the Clinton campaign updated the details of the rally as an "event with Bernie Sanders and Hillary Clinton". It read: "US Senator Bernie Sanders on Tuesday will join Hillary Clinton for a campaign event at Portsmouth High School to discuss their commitment to building an America that is stronger together and an economy that works for everyone, not just those at the top." Recommended Read more Sanders says he will vote for Clinton and work to stop Trump Mr Sanders' left-wing campaign won an unexpectedly high level of support against the early favourite Ms Clinton, and his supporters have been reluctant to give their backing to the former First Lady. Tuesday's rally takes place in a state where Mr Sanders beat Ms Clinton in a primary in February by 22 points. And it follows weeks of private negotiations between the Clinton and Sanders campaigns, with the latter hoping to influence Democrat policy towards a more liberal and socialist agenda. Ms Clinton's previous endorsement events have been hit by repeated cancellations, with rallies alongside Barack Obama and the vice-president Joe Biden postponed over the Orlando and Dallas shootings respectively. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} China has tightened its laws on the purchase, production and selling of endangered animals in the country in a bid to crack down on the illegal trade. The Chinese government passed a law two years ago that ruled any consumption of rare wild animals would result in a maximum sentence of 10 years imprisonment. But as of 1 January 2017, people who produce, sell or purchase state-protected wild animals and their derived products for food could face criminal penalties, Xinhua news agency reported. Yue Zonhming of the National Peoples Congresss (NCP) Standing Committees Legislative Affairs Commission, said the change to the law will also bring in a ban on hunting, selling, purchasing and using state-protected animals for any use other than scientific research, captive breeding, or population regulation, among other purposes. The new law also calls for the government to revisit the special state protection list of animals every five years to re-evaluate the state of the species listed. Mr Yue said this re-evaluation would mean species such as the sika deer, which are bred in captivity, would be able to be removed from the list following millions of the animals having been bred under controlled conditions across the country. It would also mean being able to re-classify critically endangered animals such as the finless porpoise, which should be moved up to the first-class state-protection list, he added. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} More than 120 police officers have been injured and 86 protesters detained in what local police have described as Berlin's most violent protest in five years. Rioting broke out in the German capital as protesters demonstrated against the redevelopment of Friedrichshain, an area in the east of the city. Around 3,500 demonstrators marched through the district in protest against the evitction of squatters. Recommended Read more 48 hours in Berlin Police say 1,800 officers were deployed at the anti-gentrification protest, which began peacefully but soon escalated into violence. Footage of the incident shows protesters throwing glass bottles as they clash with police officers in the street. Police fired tear gas as shop windows were shattered and several police cars damaged. At the centre of the demonstration was the eviction of a popular left-wing squat known as 94 Rigaer Strasse last month. Squatters had refused to leave the block of flats, claiming the evictions were gentrifying the district and driving the rent prices up. Tensions have risen over the rapid gentrification of the area since moves to evict squatters began in June, and there have already been a number of smaller demonstrations throughout the city. Berlin officials have condemned riots, with city interior minister Frank Henkel describing them as a leftist orgy of violence". For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} At first, there was complete silence from officials. As rumours spread on social media, police had nothing to say about allegations of mass sexual assaults and other crimes carried out on New Year's Eve in the German city of Cologne. It was only days later that officials reported that hundreds of women were victims of assault in Cologne, Hamburg and other German cities. But numbers that are now emerging are likely to shock a country still coming to terms with what happened in Cologne more than half a year ago. According to a leaked police document, published by Germany's Sueddeutsche Zeitung newspaper and broadcasters NDR and WDR, the previous estimates have to be dramatically revised upward. Authorities now think that on New Year's Eve, more than 1,200 women were sexually assaulted in various German cities, including more than 600 in Cologne and about 400 in Hamburg. More than 2,000 men were allegedly involved, and 120 suspects many of them foreign nationals have been identified. Only four have been convicted, but more trials are underway. On Thursday, a court in Cologne sentenced two men in the New Year's Eve assaults. Hussein A., a 21-year-old Iraqi, and Hassan T., a 26-year-old Algerian, were handed suspended one-year sentences. Both arrived in Germany in the past two years, a court spokesman said. He declined to specify whether the two had sought asylum. Officials have linked the sexual assaults to the influx of refugees. "There is a connection between the emergence of this phenomenon and the rapid migration in 2015," Holger Munch, president of the German Federal Crime Police Office, told Sueddeutsche Zeitung. He also predicted that many of the New Year's Eve perpetrators will never be convicted. "We have to presume that many of those crimes will never be fully investigated." Germany has relatively low CCTV coverage, which makes it more difficult for investigators to identify suspects. Although it is not expected that significantly more CCTV cameras will be installed in the foreseeable future, other measures have been taken. Germany's Parliament passed a stricter sexual-assault law last week that will make it easier for courts to sentence those who facilitate or are involved in assaults. Lawmakers were facing intense pressure to pass such a law, particularly in the wake of the Cologne assaults. Most of the perpetrators in that city stand accused of groping and facilitating sex assaults as part of a group accusations that were difficult to prosecute. The stricter law is also supposed to make it easier for refugees to be deported if they are convicted in sex-assault cases an aspect of the new law that activists and advocates for refugees have harshly criticized. Halina Wawzyniak, a lawmaker from the Left Party, told The Washington Post last week that immigration issues and sexual-assault cases should not be linked, as refugees could end up facing a "double punishment" by being deported. "The debate used to be about 'no means no' now all that is being talked about in social networks are foreigners again," she said. Copyright: Washington Post For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Preventing an employee from wearing a veil is not discriminating against them, one of Austrias highest courts has ruled. In the landmark decision, Austrias Supreme Court (OGH) said that if clothing prevents communication, an employer may legally dismiss them. The decision was made in the case of a woman who already wore an Abaya, which is an Islamic overgarment, and headscarf, but who was fired after she told her boss she wanted to wear a veil covering her face. Anti-burqa protesters try to enter Australian parliament wearing Ku Klux Klan outfit, a motorcycle helmet and niqab Show all 2 1 /2 Anti-burqa protesters try to enter Australian parliament wearing Ku Klux Klan outfit, a motorcycle helmet and niqab Anti-burqa protesters try to enter Australian parliament wearing Ku Klux Klan outfit, a motorcycle helmet and niqab canberra1.gif EPA Anti-burqa protesters try to enter Australian parliament wearing Ku Klux Klan outfit, a motorcycle helmet and niqab canberra2-.gif AP In addition to her claim of unfair dismissal, the woman says her employer made discriminatory remarks about her because of her islamic clothing. Her boss reportedly said she was undergoing an experiment in ethnic clothing and she wearing a "disguise". A lower court had previously ruled that its likely she was discriminated against, but it needed further clarification, passing the case to the OGH. The court said although the comments were discriminatory, firing her for wearing the veil was not, because the clothing was likely to prevent her communicating with others. The court then awarded her just 1,000 (1,200) of the 6,000 ( 7,000) in damages she was seeking. Anti-women laws that still exist in 2016 The Islamic veil is the subject of fierce debate in Europe many member states have legislated against it. The first country to ban the veil, France, now fines women who violate the law. Belgian has followed suit, and local bans on the veil are now in place in Switzerland and Italy. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Two people are dead after police stormed a hostage situation at the office of a law firm in Stuttgart, Germany, according to local reports. When police arrived both the gunman and hostage were found dead with gunshot wounds in the basement of the building, according to Bild. Around 100 police, including special riot officers, have cordoned off the area in the east of the city, and nearby transport hubs have been closed. Officials were informed by a witness who first reported the incident via telephone, according to the Stuttgarter Zeitung. Witnesses reportedly heard shots, but police could not confirm this. The incident is taking place in an upscale residential area in the east Stuttgart. Stuttgart Police said in a statement during the siege: "At the moment there is an uncertain threat situation in the area of Gerokstrae in eastern Stuttgart. "A woman has watched a man in a neighbour's house at around 1pm, who was handling a firearm and who might have released a shot. "The area has been locked down. Special forces are employed. "Police ask people in the area to stay away from windows and doors to not endanger themselves. Traffic is massively affected." The region's transport network, VVS GmbH, said the Olgaeck - Ruhbank route was closed due to a "police operation". Last month, a masked gunman was shot dead after opening fire at a cinema in Vierheim, east Germany, after taking a number of hostages. World news in pictures Show all 50 1 /50 World news in pictures World news in pictures 30 September 2020 Pope Francis prays with priests at the end of a limited public audience at the San Damaso courtyard in The Vatican AFP via Getty World news in pictures 29 September 2020 A girl's silhouette is seen from behind a fabric in a tent along a beach by Beit Lahia in the northern Gaza Strip AFP via Getty World news in pictures 28 September 2020 A Chinese woman takes a photo of herself in front of a flower display dedicated to frontline health care workers during the COVID-19 pandemic in Beijing, China. China will celebrate national day marking the founding of the People's Republic of China on October 1st Getty World news in pictures 27 September 2020 The Glass Mountain Inn burns as the Glass Fire moves through the area in St. Helena, California. The fast moving Glass fire has burned over 1,000 acres and has destroyed homes Getty World news in pictures 26 September 2020 A villager along with a child offers prayers next to a carcass of a wild elephant that officials say was electrocuted in Rani Reserve Forest on the outskirts of Guwahati, India AFP via Getty World news in pictures 25 September 2020 The casket of late Supreme Court Justice Ruth Bader Ginsburg is seen in Statuary Hall in the US Capitol to lie in state in Washington, DC AFP via Getty World news in pictures 24 September 2020 An anti-government protester holds up an image of a pro-democracy commemorative plaque at a rally outside Thailand's parliament in Bangkok, as activists gathered to demand a new constitution AFP via Getty World news in pictures 23 September 2020 A whale stranded on a beach in Macquarie Harbour on the rugged west coast of Tasmania, as hundreds of pilot whales have died in a mass stranding in southern Australia despite efforts to save them, with rescuers racing to free a few dozen survivors The Mercury/AFP via Getty World news in pictures 22 September 2020 State civil employee candidates wearing face masks and shields take a test in Surabaya AFP via Getty World news in pictures 21 September 2020 A man sweeps at the Taj Mahal monument on the day of its reopening after being closed for more than six months due to the coronavirus pandemic AP World news in pictures 20 September 2020 A deer looks for food in a burnt area, caused by the Bobcat fire, in Pearblossom, California EPA World news in pictures 19 September 2020 Anti-government protesters hold their mobile phones aloft as they take part in a pro-democracy rally in Bangkok. Tens of thousands of pro-democracy protesters massed close to Thailand's royal palace, in a huge rally calling for PM Prayut Chan-O-Cha to step down and demanding reforms to the monarchy AFP via Getty World news in pictures 18 September 2020 Supporters of Iraqi Shi'ite cleric Moqtada al-Sadr maintain social distancing as they attend Friday prayers after the coronavirus disease restrictions were eased, in Kufa mosque, near Najaf, Iraq Reuters World news in pictures 17 September 2020 A protester climbs on The Triumph of the Republic at 'the Place de la Nation' as thousands of protesters take part in a demonstration during a national day strike called by labor unions asking for better salary and against jobs cut in Paris, France EPA World news in pictures 16 September 2020 A fire raging near the Lazzaretto of Ancona in Italy. The huge blaze broke out overnight at the port of Ancona. Firefighters have brought the fire under control but they expected to keep working through the day EPA World news in pictures 15 September 2020 Russian opposition leader Alexei Navalny posing for a selfie with his family at Berlin's Charite hospital. In an Instagram post he said he could now breathe independently following his suspected poisoning last month Alexei Navalny/Instagram/AFP World news in pictures 14 September 2020 Japan's Prime Minister Shinzo Abe, Chief Cabinet Secretary Yoshihide Suga, former Defense Minister Shigeru Ishiba and former Foreign Minister Fumio Kishida celebrate after Suga was elected as new head of the ruling party at the Liberal Democratic Party's leadership election in Tokyo Reuters World news in pictures 13 September 2020 A man stands behind a burning barricade during the fifth straight day of protests against police brutality in Bogota AFP via Getty World news in pictures 12 September 2020 Police officers block and detain protesters during an opposition rally to protest the official presidential election results in Minsk, Belarus. Daily protests calling for the authoritarian president's resignation are now in their second month AP World news in pictures 11 September 2020 Members of 'Omnium Cultural' celebrate the 20th 'Festa per la llibertat' ('Fiesta for the freedom') to mark the Day of Catalonia in Barcelona. Omnion Cultural fights for the independence of Catalonia EPA World news in pictures 10 September 2020 The Moria refugee camp, two days after Greece's biggest migrant camp, was destroyed by fire. Thousands of asylum seekers on the island of Lesbos are now homeless AFP via Getty World news in pictures 9 September 2020 Pope Francis takes off his face mask as he arrives by car to hold a limited public audience at the San Damaso courtyard in The Vatican AFP via Getty World news in pictures 8 September 2020 A home is engulfed in flames during the "Creek Fire" in the Tollhouse area of California AFP via Getty World news in pictures 7 September 2020 A couple take photos along a sea wall of the waves brought by Typhoon Haishen in the eastern port city of Sokcho AFP via Getty World news in pictures 6 September 2020 Novak Djokovic and a tournament official tends to a linesperson who was struck with a ball by Djokovic during his match against Pablo Carreno Busta at the US Open USA Today Sports/Reuters World news in pictures 5 September 2020 Protesters confront police at the Shrine of Remembrance in Melbourne, Australia, during an anti-lockdown rally AFP via Getty World news in pictures 4 September 2020 A woman looks on from a rooftop as rescue workers dig through the rubble of a damaged building in Beirut. A search began for possible survivors after a scanner detected a pulse one month after the mega-blast at the adjacent port AFP via Getty World news in pictures 3 September 2020 A full moon next to the Virgen del Panecillo statue in Quito, Ecuador EPA World news in pictures 2 September 2020 A Palestinian woman reacts as Israeli forces demolish her animal shed near Hebron in the Israeli-occupied West Bank Reuters World news in pictures 1 September 2020 Students protest against presidential elections results in Minsk TUT.BY/AFP via Getty World news in pictures 31 August 2020 The pack rides during the 3rd stage of the Tour de France between Nice and Sisteron AFP via Getty World news in pictures 30 August 2020 Law enforcement officers block a street during a rally of opposition supporters protesting against presidential election results in Minsk, Belarus Reuters World news in pictures 29 August 2020 A woman holding a placard reading "Stop Censorship - Yes to the Freedom of Expression" shouts in a megaphone during a protest against the mandatory wearing of face masks in Paris. Masks, which were already compulsory on public transport, in enclosed public spaces, and outdoors in Paris in certain high-congestion areas around tourist sites, were made mandatory outdoors citywide on August 28 to fight the rising coronavirus infections AFP via Getty World news in pictures 28 August 2020 Japanese Prime Minister Shinzo Abe bows to the national flag at the start of a press conference at the prime minister official residence in Tokyo. Abe announced he will resign over health problems, in a bombshell development that kicks off a leadership contest in the world's third-largest economy AFP via Getty World news in pictures 27 August 2020 Residents take cover behind a tree trunk from rubber bullets fired by South African Police Service (SAPS) in Eldorado Park, near Johannesburg, during a protest by community members after a 16-year old boy was reported dead AFP via Getty World news in pictures 26 August 2020 People scatter rose petals on a statue of Mother Teresa marking her 110th birth anniversary in Ahmedabad AFP via Getty World news in pictures 25 August 2020 An aerial view shows beach-goers standing on salt formations in the Dead Sea near Ein Bokeq, Israel Reuters World news in pictures 24 August 2020 Health workers use a fingertip pulse oximeter and check the body temperature of a fisherwoman inside the Dharavi slum during a door-to-door Covid-19 coronavirus screening in Mumbai AFP via Getty World news in pictures 23 August 2020 People carry an idol of the Hindu god Ganesh, the deity of prosperity, to immerse it off the coast of the Arabian sea during the Ganesh Chaturthi festival in Mumbai, India Reuters World news in pictures 22 August 2020 Firefighters watch as flames from the LNU Lightning Complex fires approach a home in Napa County, California AP World news in pictures 21 August 2020 Members of the Israeli security forces arrest a Palestinian demonstrator during a rally to protest against Israel's plan to annex parts of the occupied West Bank AFP via Getty World news in pictures 20 August 2020 A man pushes his bicycle through a deserted road after prohibitory orders were imposed by district officials for a week to contain the spread of the Covid-19 in Kathmandu AFP via Getty World news in pictures 19 August 2020 A car burns while parked at a residence in Vacaville, California. Dozens of fires are burning out of control throughout Northern California as fire resources are spread thin AFP via Getty World news in pictures 18 August 2020 Students use their mobile phones as flashlights at an anti-government rally at Mahidol University in Nakhon Pathom. Thailand has seen near-daily protests in recent weeks by students demanding the resignation of Prime Minister Prayut Chan-O-Cha AFP via Getty World news in pictures 17 August 2020 Members of the Kayapo tribe block the BR163 highway during a protest outside Novo Progresso in Para state, Brazil. Indigenous protesters blocked a major transamazonian highway to protest against the lack of governmental support during the COVID-19 novel coronavirus pandemic and illegal deforestation in and around their territories AFP via Getty World news in pictures 16 August 2020 Lightning forks over the San Francisco-Oakland Bay Bridge as a storm passes over Oakland AP World news in pictures 15 August 2020 Belarus opposition supporters gather near the Pushkinskaya metro station where Alexander Taraikovsky, a 34-year-old protester died on August 10, during their protest rally in central Minsk AFP via Getty World news in pictures 14 August 2020 AlphaTauri's driver Daniil Kvyat takes part in the second practice session at the Circuit de Catalunya in Montmelo near Barcelona ahead of the Spanish F1 Grand Prix AFP via Getty World news in pictures 13 August 2020 Soldiers of the Brazilian Armed Forces during a disinfection of the Christ The Redeemer statue at the Corcovado mountain prior to the opening of the touristic attraction in Rio AFP via Getty World news in pictures 12 August 2020 Young elephant bulls tussle playfully on World Elephant Day at the Amboseli National Park in Kenya AFP via Getty Stuttgart, the sixth largest city in Germany, is the capital of the state of Baden-Wurttemberg. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} The Turkish authorities are blocking access to independent investigations into alleged mass abuses against civilians, Human Rights Watch (HRW) has claimed. The government has reportedly imposed round-the-clock curfews on 22 towns and cities across southeast Turkey, banning all movement without permission. The curfews also prevent non-governmental organisations, journalists, and lawyers from scrutinising operations, it is claimed. The Turkish governments effective blockade of areas of the southeast fuels concerns of a major cover-up, said Emma Sinclair-Webb, senior Turkey researcher at HRW. The Turkish government should give the UN and non-governmental groups immediate access to the area to document whats going on there. Violence and armed clashes in the southeast region have escalated since a peace process to end the decades-long conflict between the Turkish state and the armed Kurdistan Workers Party (PKK) broke down in July 2015. At least 338 civilians are known to have been killed in places where security forces and the Civil Protection Units (YPS), the armed group linked to the PKK, have clashed. More than 355,000 people have also been temporarily displaced to other nearby towns and villages or to other regions of Turkey. Before HRW was blocked from interviewing families of victims, the organisation had documented 16 deaths that occurred during curfew and security operations, as well as widespread property destruction. Interviews with families revealed that people's homes and property had been damaged during the clashes and in some areas completely demolished. Human rights attacks around the world Show all 10 1 /10 Human rights attacks around the world Human rights attacks around the world China Escalating crackdown against human rights activists including mass arrests of lawyers and a series of sweeping laws in the name of national security. Getty Images Human rights attacks around the world Egypt The arrest of thousands, including peaceful critics, in a ruthless crackdown in the name of national security, the prolonged detention of hundreds without charge or trial and the sentencing of hundreds of others to death. Getty Images Human rights attacks around the world Gambia Torture, enforced disappearances and the criminalisation of LGBTI people; and utter refusal to co-operate with the UN and regional human rights mechanisms on issues including freedom of expression, enforced disappearance and the death penalty. Getty Images Human rights attacks around the world Hungary Sealing off its borders to thousands of refugees in dire need; and obstructing collective regional attempts to help them. Getty Images Human rights attacks around the world Israel Maintaining its military blockade of Gaza and therefore collective punishment of the 1.8 million inhabitants there, as well as failing, like Palestine, to comply with a UN call to conduct credible investigations into war crimes committed during the 2014 Gaza conflict. Getty Images Human rights attacks around the world Kenya Extrajudicial executions, enforced disappearances and discrimination against refugees in its counter-terrorism operations; and attempts to undermine the International Criminal Court and its ability to pursue justice. Getty Images Human rights attacks around the world Pakistan The severe human rights failings of its response to the horrific Peshawar school massacre including its relentless use of the death penalty; and its policy on international NGOs giving authorities the power to monitor them and close them down if they are considered to be against the interests of the country. Getty Images Human rights attacks around the world Russia Repressive use of vague national security and anti-extremism legislation and its concerted attempts to silence civil society in the country; its shameful refusal to acknowledge civilian killings in Syria and its callous moves to block Security Council action on Syria. Getty Images Human rights attacks around the world Saudi Arabia Brutally cracking down on those who dared to advocate reform or criticise the authorities; and committing war crimes in the bombing campaign it has led in Yemen (pictured) while obstructing the establishment of a UN-led inquiry into violations by all sides in the conflict. Getty Images Human rights attacks around the world Syria Killing thousands of civilians in direct and indiscriminate attacks with barrel bombs and other weaponry and through acts of torture in detention; and enforcing lengthy sieges of civilian areas, blocking international aid from reaching starving civilians. Getty Images HRW assessed the scale and extent of demolition in Cizre, one of nine towns most severely hit, using satellite imagery recorded between February and June 2016. Two distinct demolition zones were identified, measuring approximately 95,000 square meters in total area. The available information indicates security forces surrounded three buildings and deliberately and unjustifiably killed about 130 people some of whom were unarmed civilians and injured combatants. Mrs Sinclair-Webb added: Amid a mounting death toll and a spiraling conflict, real accountability in Turkeys southeast is crucial. Prosecutors should thoroughly and effectively investigate all allegations of abuse by state forces and armed groups, and no legal or extra-legal measures should be taken to try to ensure impunity for personnel responsible for these crimes. The United Nations has urged Turkey to allow investigators to probe allegations of abuse by security forces in their campaign against the PKK. The Turkish government's operation against the terrorist organisation has been fiercely criticised by European leaders and international human rights groups. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} A campaign to prevent the slaughter of the mother of the bull that killed a Spanish matador has attracted thousands of supporters. Victor Barrio, 29, became the first bullfighter to die in the ring in 30 years when he was gored to death by a bull on live television while fighting in Madrid on Saturday. The matador was fatally pierced in the chest by the animal in the eastern town of Teruel. The bull was subsequently killed. According to Spanish tradition, the mother of any bull that kills a human is also destined to be slaughtered, in order to kill off the bloodline. But animal rights campaigners have protested against the killing of the bull's mother, named Lorenzo, in a movement that has attracted swathes of support through social media. PACMA, a political party in Spain that defends the rights of animals, has set up a petition to oppose the killing and promoted it under the hashtag #SalvemosALorenza - save Lorenzo - which has been trending across Spain. The group tweeted: Havent we already seen enough blood? alongside an image defending the rights of the female bull. The tweet was shared by more than 1,000 users. The party has also rallied support on Facebook, stating in a public post: No rite, tradition or custom based on a succession of deaths, fed by the blood and hatred, it cannot be good for any society. It concluded that the only way to bring an end to such breaches of animal rights was bring an end to all bullfighting. The statement continued: We have only known an ethical end to end all this: the total abolition of bullfighting all the festivities. Man gored in running of the bulls Show all 8 1 /8 Man gored in running of the bulls Man gored in running of the bulls 621701.bin AP Man gored in running of the bulls 621166.bin Reuters Man gored in running of the bulls 621167.bin EPA Man gored in running of the bulls 621237.bin EPA Man gored in running of the bulls 621245.bin Getty Images Man gored in running of the bulls 621246.bin AP Man gored in running of the bulls 621244.bin AP Man gored in running of the bulls 621247.bin AP The post has been shared nearly 7,000 times, and people have expressed anger in the comments. Carmen Fraile Martin wrote: It is shameful that the mother is killed because her son killed a human being. That is absurdity! When the bull in the ring he's defending himself. But the matador did not deserve it, either. The solution is in trying to end this demented and twisted tradition that unfortunately has many followers. The fate of Lorenzo is not clear, but Spanish media is reporting that Lorenza is already dead. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} The widow of a Spanish matador, who was killed during a bullfight broadcast live on television, has said her life is gone following his death. Professional bullfighter Victor Barrio was killed at the Feria del Angel festival in Teruel, eastern Spain on Saturday when a bull flipped him over with its horn and continued to gore him while he lay on the ground. Bystanders immediately rushed to help the 29-year-old, who sustained severe injuries from the bull, which reportedly weighed 529 kilos. He died later in hospital. He is the first matador to be gored to death in a Spanish bullring since 1985, according to Spanish media. Amid a flood of tributes commemorating Mr Barrio, his wife, Raquel Sanz, has expressed her thanks to those sending condolences for their support, writing on Twitter: Thanks to everyone. I cannot reply to you, I have no words. My life is gone. I have no strength, although I have a lot of thanks. The 32-year-old journalist also posted a poem by Spanish poet Jose Leon, which concludes with the line: How I would love to believe that there is glory for all those who lose their lives on the horns of bulls. Spanish Prime Minister Mariano Rajoy Brey sent his condolences over Twitter saying: My condolences to the family and colleagues of Victor Barrio, bullfighter who died in Teruel. Rest in peace. The Plaza de Las Ventas bullfighting ring in Madrid said: Distressed and affected. Rest in peace, Victor Barrio. All of us send our condolences to his team and to his family and friends. Mr Barrios death has sparked calls from animal rights activists to end the controversial sport, which is a traditional part of Spanish culture. The animal rights political party, PACMA, have condemned bull fighting and set up a petition calling for the mother of the bull that killed Mr Barrio Lorenza not to be slaughtered, as is tradition in Spain. The group said on Facebook: No rite, tradition or custom based on a succession of deaths, fed by the blood and hatred, can be good for any society. We only know one ethical end to end all this: the total abolition of bullfighting festivities." In pictures: Bull running in Pamplona Show all 20 1 /20 In pictures: Bull running in Pamplona In pictures: Bull running in Pamplona Spain A bull throws a reveler in the bullring during the Fiesta de San Fermin in Pamplona in July 2014 In pictures: Bull running in Pamplona Spain 'Mozos' or runners fall during the 4th bull run at the San Fermin Festival in Pamplona In pictures: Bull running in Pamplona Spain Members of the Red Cross look after two girls after bulls from 'Jarandilla' ranch entered the bull ring during de fifth bullrun at the San Fermin fiestas in Pamplona In pictures: Bull running in Pamplona Spain 'Mozos' or runners are crowded together as bulls from the 'Jarandilla' ranch turn La Estafeta street during de fifth bullrun at the San Fermin fiestas in Pamplona In pictures: Bull running in Pamplona Spain Jandilla ranch fighting bulls enter the bullring during a running of the bulls at the San Fermin festival in Pamplona In pictures: Bull running in Pamplona Spain A bull jumps over revelers on the ring at the San Fermin festival in Pamplona In pictures: Bull running in Pamplona Spain A man wheeling a bull head chases children at the San Fermin festival in Pamplona In pictures: Bull running in Pamplona Spain A reveler is hit by a bull on the ring at the San Fermin festival in Pamplona In pictures: Bull running in Pamplona Spain Photographers take a close up as 'mozos' or runners fall just before the bulls during the second 'encierro' at the San Fermin Fiestas in Pamplona In pictures: Bull running in Pamplona Spain Bulls chase 'mozos' or runners as some fall to the ground during the second 'encierro' at the San Fermin Fiestas in Pamplona In pictures: Bull running in Pamplona Spain Runners sprint alongside Torrestrella fighting bulls at the Estafeta corner during the first running of the bulls of the San Fermin festival in Pamplona In pictures: Bull running in Pamplona Spain Bulls run after the 'mozos' or runners during the first bullrun of the 2014 Sanfermines in Pamplona In pictures: Bull running in Pamplona Spain Revellers run with Torrestrella's fighting bulls along the Calle Estafeta during the second day of the San Fermin Running Of The Bulls festival in Pamplona In pictures: Bull running in Pamplona Spain A statue of San Fermin, the patron saint of the Fiesta of San Fermin, is paraded during a procession on the second day of the Festival of San Fermin (or Sanfermines) in Pamplona In pictures: Bull running in Pamplona Spain A runner avoids a fighting calf inside Pamplona Bullring during the second day of the San Fermin Running Of The Bulls festival in Pamplona In pictures: Bull running in Pamplona Spain Participants run in front of Torrestrella's bulls during the first bull-run of the San Fermin Festival in Pamplona In pictures: Bull running in Pamplona Spain Steers and bulls from the Ranch of Torrestrella run above runners entering the bullring during the second day of the San Fermin Running Of The Bulls festival in Pamplona In pictures: Bull running in Pamplona Spain Medical assistants help a participant injured during the running of the bulls with ''Torrestrella'' fighting bulls, at the San Fermin festival in Pamplona In pictures: Bull running in Pamplona Spain Bulls run after the 'mozos' or runners during the first bullrun of the 2014 Sanfermines in Pamplona In pictures: Bull running in Pamplona Spain Revelers cheer before the launch of the "Chupinazo" rocket, which is set off to announce the start of the Fiesta of San Fermin, during the celebration of the opening day of the Fiesta of San Fermin 2014 in front of the Town Hall of Pamplona The post has been shared over 7,000 times and garnered over 1,300 comments. Opposition to bullfighting has grown in recent years. Earlier in 2016, the left-wing party Podemos, proposed banning bullfighting in the Balearic Islands. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Benjamin Netanyahu is under investigation by Israel's attorney general after reports he was gifted a large amount of money from an unknown source. The Israel Prime Minister has dismissed the allegations of corruption, which are the most recent in a series leveled against himself and his wife, as "baseless". But a formal examination was announced by the attorney general late on Sunday, and could prove to be embarrassing for the leader known as "Bibi" if found to be true. After the Justice Ministry confirmed it was looking into suspicions, Israeli media began to report that either Mr Netanyahu or one of his family members had received a large sum of money unrelated to polictical campaigns. The claim has been dismissed by both the Ministry and the Prime Minister's spokesperson. In a statement, the Justice Ministry said media reports were "incorrect to say the least", adding that the attorney general had not yet launched a full-fledged criminal investigation against Netanyahu. Meanwhile Nir Hefetz, an adviser to the Netanyahu family, said: "As happened in all previous instances, when deeds attributed to Prime Minister Netanyahu turned out to be baseless, here as well there will be nothing, because there is nothing." Those "previous instances" include Mr Netanyahu's wife, Sara, being accused of mistreatment by former employees at the couple's official home. World news in pictures Show all 50 1 /50 World news in pictures World news in pictures 30 September 2020 Pope Francis prays with priests at the end of a limited public audience at the San Damaso courtyard in The Vatican AFP via Getty World news in pictures 29 September 2020 A girl's silhouette is seen from behind a fabric in a tent along a beach by Beit Lahia in the northern Gaza Strip AFP via Getty World news in pictures 28 September 2020 A Chinese woman takes a photo of herself in front of a flower display dedicated to frontline health care workers during the COVID-19 pandemic in Beijing, China. China will celebrate national day marking the founding of the People's Republic of China on October 1st Getty World news in pictures 27 September 2020 The Glass Mountain Inn burns as the Glass Fire moves through the area in St. Helena, California. The fast moving Glass fire has burned over 1,000 acres and has destroyed homes Getty World news in pictures 26 September 2020 A villager along with a child offers prayers next to a carcass of a wild elephant that officials say was electrocuted in Rani Reserve Forest on the outskirts of Guwahati, India AFP via Getty World news in pictures 25 September 2020 The casket of late Supreme Court Justice Ruth Bader Ginsburg is seen in Statuary Hall in the US Capitol to lie in state in Washington, DC AFP via Getty World news in pictures 24 September 2020 An anti-government protester holds up an image of a pro-democracy commemorative plaque at a rally outside Thailand's parliament in Bangkok, as activists gathered to demand a new constitution AFP via Getty World news in pictures 23 September 2020 A whale stranded on a beach in Macquarie Harbour on the rugged west coast of Tasmania, as hundreds of pilot whales have died in a mass stranding in southern Australia despite efforts to save them, with rescuers racing to free a few dozen survivors The Mercury/AFP via Getty World news in pictures 22 September 2020 State civil employee candidates wearing face masks and shields take a test in Surabaya AFP via Getty World news in pictures 21 September 2020 A man sweeps at the Taj Mahal monument on the day of its reopening after being closed for more than six months due to the coronavirus pandemic AP World news in pictures 20 September 2020 A deer looks for food in a burnt area, caused by the Bobcat fire, in Pearblossom, California EPA World news in pictures 19 September 2020 Anti-government protesters hold their mobile phones aloft as they take part in a pro-democracy rally in Bangkok. Tens of thousands of pro-democracy protesters massed close to Thailand's royal palace, in a huge rally calling for PM Prayut Chan-O-Cha to step down and demanding reforms to the monarchy AFP via Getty World news in pictures 18 September 2020 Supporters of Iraqi Shi'ite cleric Moqtada al-Sadr maintain social distancing as they attend Friday prayers after the coronavirus disease restrictions were eased, in Kufa mosque, near Najaf, Iraq Reuters World news in pictures 17 September 2020 A protester climbs on The Triumph of the Republic at 'the Place de la Nation' as thousands of protesters take part in a demonstration during a national day strike called by labor unions asking for better salary and against jobs cut in Paris, France EPA World news in pictures 16 September 2020 A fire raging near the Lazzaretto of Ancona in Italy. The huge blaze broke out overnight at the port of Ancona. Firefighters have brought the fire under control but they expected to keep working through the day EPA World news in pictures 15 September 2020 Russian opposition leader Alexei Navalny posing for a selfie with his family at Berlin's Charite hospital. In an Instagram post he said he could now breathe independently following his suspected poisoning last month Alexei Navalny/Instagram/AFP World news in pictures 14 September 2020 Japan's Prime Minister Shinzo Abe, Chief Cabinet Secretary Yoshihide Suga, former Defense Minister Shigeru Ishiba and former Foreign Minister Fumio Kishida celebrate after Suga was elected as new head of the ruling party at the Liberal Democratic Party's leadership election in Tokyo Reuters World news in pictures 13 September 2020 A man stands behind a burning barricade during the fifth straight day of protests against police brutality in Bogota AFP via Getty World news in pictures 12 September 2020 Police officers block and detain protesters during an opposition rally to protest the official presidential election results in Minsk, Belarus. Daily protests calling for the authoritarian president's resignation are now in their second month AP World news in pictures 11 September 2020 Members of 'Omnium Cultural' celebrate the 20th 'Festa per la llibertat' ('Fiesta for the freedom') to mark the Day of Catalonia in Barcelona. Omnion Cultural fights for the independence of Catalonia EPA World news in pictures 10 September 2020 The Moria refugee camp, two days after Greece's biggest migrant camp, was destroyed by fire. Thousands of asylum seekers on the island of Lesbos are now homeless AFP via Getty World news in pictures 9 September 2020 Pope Francis takes off his face mask as he arrives by car to hold a limited public audience at the San Damaso courtyard in The Vatican AFP via Getty World news in pictures 8 September 2020 A home is engulfed in flames during the "Creek Fire" in the Tollhouse area of California AFP via Getty World news in pictures 7 September 2020 A couple take photos along a sea wall of the waves brought by Typhoon Haishen in the eastern port city of Sokcho AFP via Getty World news in pictures 6 September 2020 Novak Djokovic and a tournament official tends to a linesperson who was struck with a ball by Djokovic during his match against Pablo Carreno Busta at the US Open USA Today Sports/Reuters World news in pictures 5 September 2020 Protesters confront police at the Shrine of Remembrance in Melbourne, Australia, during an anti-lockdown rally AFP via Getty World news in pictures 4 September 2020 A woman looks on from a rooftop as rescue workers dig through the rubble of a damaged building in Beirut. A search began for possible survivors after a scanner detected a pulse one month after the mega-blast at the adjacent port AFP via Getty World news in pictures 3 September 2020 A full moon next to the Virgen del Panecillo statue in Quito, Ecuador EPA World news in pictures 2 September 2020 A Palestinian woman reacts as Israeli forces demolish her animal shed near Hebron in the Israeli-occupied West Bank Reuters World news in pictures 1 September 2020 Students protest against presidential elections results in Minsk TUT.BY/AFP via Getty World news in pictures 31 August 2020 The pack rides during the 3rd stage of the Tour de France between Nice and Sisteron AFP via Getty World news in pictures 30 August 2020 Law enforcement officers block a street during a rally of opposition supporters protesting against presidential election results in Minsk, Belarus Reuters World news in pictures 29 August 2020 A woman holding a placard reading "Stop Censorship - Yes to the Freedom of Expression" shouts in a megaphone during a protest against the mandatory wearing of face masks in Paris. Masks, which were already compulsory on public transport, in enclosed public spaces, and outdoors in Paris in certain high-congestion areas around tourist sites, were made mandatory outdoors citywide on August 28 to fight the rising coronavirus infections AFP via Getty World news in pictures 28 August 2020 Japanese Prime Minister Shinzo Abe bows to the national flag at the start of a press conference at the prime minister official residence in Tokyo. Abe announced he will resign over health problems, in a bombshell development that kicks off a leadership contest in the world's third-largest economy AFP via Getty World news in pictures 27 August 2020 Residents take cover behind a tree trunk from rubber bullets fired by South African Police Service (SAPS) in Eldorado Park, near Johannesburg, during a protest by community members after a 16-year old boy was reported dead AFP via Getty World news in pictures 26 August 2020 People scatter rose petals on a statue of Mother Teresa marking her 110th birth anniversary in Ahmedabad AFP via Getty World news in pictures 25 August 2020 An aerial view shows beach-goers standing on salt formations in the Dead Sea near Ein Bokeq, Israel Reuters World news in pictures 24 August 2020 Health workers use a fingertip pulse oximeter and check the body temperature of a fisherwoman inside the Dharavi slum during a door-to-door Covid-19 coronavirus screening in Mumbai AFP via Getty World news in pictures 23 August 2020 People carry an idol of the Hindu god Ganesh, the deity of prosperity, to immerse it off the coast of the Arabian sea during the Ganesh Chaturthi festival in Mumbai, India Reuters World news in pictures 22 August 2020 Firefighters watch as flames from the LNU Lightning Complex fires approach a home in Napa County, California AP World news in pictures 21 August 2020 Members of the Israeli security forces arrest a Palestinian demonstrator during a rally to protest against Israel's plan to annex parts of the occupied West Bank AFP via Getty World news in pictures 20 August 2020 A man pushes his bicycle through a deserted road after prohibitory orders were imposed by district officials for a week to contain the spread of the Covid-19 in Kathmandu AFP via Getty World news in pictures 19 August 2020 A car burns while parked at a residence in Vacaville, California. Dozens of fires are burning out of control throughout Northern California as fire resources are spread thin AFP via Getty World news in pictures 18 August 2020 Students use their mobile phones as flashlights at an anti-government rally at Mahidol University in Nakhon Pathom. Thailand has seen near-daily protests in recent weeks by students demanding the resignation of Prime Minister Prayut Chan-O-Cha AFP via Getty World news in pictures 17 August 2020 Members of the Kayapo tribe block the BR163 highway during a protest outside Novo Progresso in Para state, Brazil. Indigenous protesters blocked a major transamazonian highway to protest against the lack of governmental support during the COVID-19 novel coronavirus pandemic and illegal deforestation in and around their territories AFP via Getty World news in pictures 16 August 2020 Lightning forks over the San Francisco-Oakland Bay Bridge as a storm passes over Oakland AP World news in pictures 15 August 2020 Belarus opposition supporters gather near the Pushkinskaya metro station where Alexander Taraikovsky, a 34-year-old protester died on August 10, during their protest rally in central Minsk AFP via Getty World news in pictures 14 August 2020 AlphaTauri's driver Daniil Kvyat takes part in the second practice session at the Circuit de Catalunya in Montmelo near Barcelona ahead of the Spanish F1 Grand Prix AFP via Getty World news in pictures 13 August 2020 Soldiers of the Brazilian Armed Forces during a disinfection of the Christ The Redeemer statue at the Corcovado mountain prior to the opening of the touristic attraction in Rio AFP via Getty World news in pictures 12 August 2020 Young elephant bulls tussle playfully on World Elephant Day at the Amboseli National Park in Kenya AFP via Getty The police also recommended that she be indicted over extensive household spending and misuse of state funds - including for a caregiver for her ill father and for private meals, all claims the Netanyahus have denied. Mr Netanyahu himself went on a six-day trip to New York last year using 600,000 (462,000) of public money. This included $1,600 (1,200) on a personal hairdresser. And in another allegation, Arnaud Mimran, a French man convicted of a carbon tax fraud last week, said he gave Mr Netanyahu large sums for an election campaigns, an exchange which would violate Israel's campaign finance laws. But the Israeli premier said the donation of $40,000 (30,800) from Mimran was lawful because he received it while he held no office. If the most recent probe is found to be true, it could lead to charges against Mr Netanyahu. The scandals which have dogged both he and his wife Sara, aside from ongoing international criticism over the occupation of Palestinian territories, has painted the couple as enjoying a lavish lifestyle out of touch with the average Israeli. The scandals do not seem to have threatened Mr Netanyahu, who was first elected as Prime Minister 20 years ago with some breaks since, but have been a source of embarrassment and fuel for those who criticise him. Additional reporting by Associated Press Sign up to Simon Calders free travel email for weekly expert advice and money-saving discounts Get Simon Calders Travel email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Simon Calders Travel email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Q What are your thoughts on travelling to Cyprus in August? Is it safe? Nikki McBride, Colnbrook A Personally I wouldnt travel to Cyprus in August. But that is not because of any sense of danger just the heat on the island in the warmest month of the year, when the average temperature is above 30C. April and October are my preferred months; May and September are also lovely. I infer, though, your question has nothing to do with excessive sunshine, but rather the proximity to the appalling war that has cost so many lives in Syria; the Syrian coast is only 50 miles from Cyprus. I see no risk that the unfolding tragedy in Syria will spill over to the island. So apart from the heat, I would happily go to Cyprus and take my family there. But I wouldnt rent a car, because the roads are about twice as dangerous than the UK. That is where the main risk resides, along with the water: Be aware of strong seas and undertows, says the Foreign Office. Every day, our travel correspondent Simon Calder tackles a readers question. Just email yours to s@hols.tv or tweet @simoncalder Sign up to Simon Calders free travel email for weekly expert advice and money-saving discounts Get Simon Calders Travel email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Simon Calders Travel email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Frustrated commuters are expected to stage a rush-hour demonstration on Monday in protest over persistent delays and cancellations on the countrys worst rail services. Protestors from the Surrey and Sussex commuter belt plan said they plan to gather at Londons Victoria station in fancy dress, holding signs welcoming people to "hell", as part of an attempt to put pressure on Southern rail franchise. The protests are set to escalate this week as the Southern franchises operator, Govia Thameslink Railway (GTR) plans to introduce a month-long emergency timetable, during which 341 trains 15 per cent of the service - will be cut each day. Southern is ranked the country's worst rail company for customer satisfaction according to the National Passenger Railway Survey. Alex Prosser-Snelling, an HR director from Horsham and one of the organisers of the Victoria protest, said more than 100 people had signed up to take part in the demonstration on Monday evening. "We have got two or three counties worth of very, very angry people, he said, "We arent people who protest normally, but everyones fed up of the service. Southern mismanagement is needlessly wrecking passengers evenings, interfering with childcare, and stressing out the workforce. Southern needs to get a grip and if they cant or wont, the government shouldnt let them run a railway. Over the past year, Southern had the highest number of delayed or cancelled services of all 23 UK train operators. The Government has warned that commuters may face another two years of delays and travel disruption as a result of staff shortages and a row over plans to change the role of nearly 500 conductors. Mr Prosser-Snelling was one of around 150 commuters to attend a public meeting organised by the Conservative MP Jeremy Quin, regarding the on-going problems with Southern rail. British rail travel through the ages Show all 30 1 /30 British rail travel through the ages British rail travel through the ages 1875 The general view of St Pancras station in London British rail travel through the ages 1880 The locomotive which plunged off the Tay Rail Bridge into the Firth of Tay after its recovery from the estuary. The disaster occurred when a section collapsed during a storm in 1879 and 75 passengers were killed British rail travel through the ages 1885 An East Coast Express train at King's Cross Great Northern Railway, London British rail travel through the ages 1890 A porter directing a passenger on the platform of a station on the outskirts of Liverpool British rail travel through the ages 1900 Passengers sitting in the observation car on the Llandudno to Llanberis line in Wales British rail travel through the ages 1910 A London and North Western Railway worker in the slip-coach of a train, which detaches at a station that the rest of the train is not stopping at British rail travel through the ages 1914 French people leaving Victoria Station in London on the boat train to Paris, at the start of World War I British rail travel through the ages 1916 A female guard on the Metropolitan railway with her emergency lantern British rail travel through the ages 1925 Holiday crowds at King's Cross railway station, London Getty Images British rail travel through the ages 1928 A young Easter holiday maker tries to reach up to the ticket office window to buy his ticket British rail travel through the ages 1928 The luxurious first class lounge on board a London Midland and Scottish Royal Scot train. Known as the travelling hotel the train has a lounge, bar and private boudoir British rail travel through the ages 1929 Two young women pushing their luggage on a trolley at Paddington station during the holiday rush out of London British rail travel through the ages 1930 A worker sitting astride a locomotive whilst cleaning the boiler British rail travel through the ages 1930 A third class Southern Railway carriage being hoisted at Southampton Docks in Hampshire British rail travel through the ages 1930 The Bennie railplane being demonstrated at Glasgow, Scotland. It consisted of self-propelled passenger cars driven by air screws, suspended from a steel girder British rail travel through the ages 1930 Seven of the new King Class steam locomotives Getty Images British rail travel through the ages 1930 Passengers on the Bennie Railplane in Glasgow; the inventor George Bennie stands at the end of the carriage British rail travel through the ages 1930 Port of London Authority workers unloading a shipment of bananas from a train British rail travel through the ages 1931 London and North-Eastern Railway petrol train in Yorkshire British rail travel through the ages 1931 Railway workers turning the LNER 'Hush Hush' locomotive No. 100000 on a manually operated turntable while a man films the operation with a hand cranked camera British rail travel through the ages 1931 On the Great Western Railway, a film crew film the automatical train control in action British rail travel through the ages 1931 Racing driver Lord Howe driving his Mercedes sports car onto the float at Dover, ready to be hoisted on board the Southern Railway's cross-channel steamer 'Autocarrier' British rail travel through the ages 1933 Passengers making enquiries at one of the new Southern Railway information points on Waterloo concourse British rail travel through the ages 1933 Fireman Blackett of the LMS railway saying farewell to his workmates and officials at Carlisle before finishing duty. He was off to America to assist on the Royal Scot which is touring the USA after appearing at the Chicago World Fair British rail travel through the ages 1935 A steam train crossing the Darwood Viaduct, Cornwall British rail travel through the ages 1937 A group of schoolboys examining a streamlined Coronation Class locomotive of the London, Midland and Scottish Railway (LMS) at Euston Station Getty Images British rail travel through the ages 1938 A member of the Berkhampstead Riding School tests her riding prowess against the Carlisle Express in a field that adjoins the LMS railway at Tring, Hertfordshire British rail travel through the ages 1956 Women seeing off loved ones on a troop train at Woolwich railway station in south London. The men, of the Royal Artillery, are bound for Salisbury Plain for retraining because of the Suez crisis British rail travel through the ages 1968 The 'Flying Scotsman' steam locomotive leaves a station to travel to Edinburgh Getty Images British rail travel through the ages 1972 The Brighton Belle train leaving Victoria Station, central London The Sunday Times reported that a London-based commuter, CJ Johnson, is organising a 48-hour boycott of the service next month, encouraging travellers to use alternative means of transport. This boycott is my first step in terms of trying to do something but beyond that theres not much I would stop at because I am at breaking point, she said. Some passengers said they had been travelling in first-class carriages without valid tickets in protest, and others said they were considering a fare strike similar to that staged by passengers on First Great Western in 2008. Fiona Lewis, 37, a communications consultant who travels to London once a week from Seaford in East Sussex, said there was a lot of talk among passengers of refusing to pay for tickets. Ms Lewis said her journey should take around 90 minutes but recently has been taking her more than three hours as a result of the delays. I've seen grown men crying on the train because they are missing their kids so much, she said. Around14,000 passengers have signed a parliamentary petition calling on the government to find another firm to take on the rail franchise and replace GTR. Those who have signed it said they have received email replies telling them train services will begin running as normal in 2018. A spokesman for Govia Thameslink said: We understand the strength of feeling among passengers, and their frustration at the poor service and increase in random cancellations since the dispute with the RMT began. That is why we are introducing the amended timetable from Monday to restore greater consistency in the short-term so that passengers can plan around it. We are very sorry for the effect on our passengers and we are determined to provide the level of service they rightly demand. We will continue to do everything we can to bring this unnecessary industrial action, which is affecting the service so badly, to a close. Sign up to our free Brexit and beyond email for the latest headlines on what Brexit is meaning for the UK Sign up to our Brexit email for the latest insight Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Brexit and beyond email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} If Theresa May can make it unscathed through the next 48 hours, so the Queen can arrive back in London to invite her to form a Government, then she will indeed be Britains second female Prime Minister. For the record books, she will also be the first Conservative leader to take office without an election since 1963, and, at 59, the oldest premier to take office since James Callaghan in 1976. Ms May now faces a series of formidable tasks. She has indicated she will not call an early general election, a move quite in line with her famously cautious character, so at least she will have the option serving out the remainder of this term of Parliament. Probably. If Ms May does not also want to go down in history as one of most disastrous of premiers, the judgements she makes in the next few months will be crucial. Though always more serious-minded, practical and policy-oriented than most of her rivals, she does now need to do far more to demonstrate what her vision is for Britain outside the European Union. The people deserve it, and the economy requires it. There are huge questions that remain painfully unanswered. Although a Remainer, Ms May will now have to square the many circles created by the Brexit camp during the referendum campaign. Will it be possible for Britain to have access to the Single Market without conceding free movement of people? If not, then where does the balance of advantage and the compromise lie? Recommended Read more Why Theresa May should call an immediate general election Will we be able to sell our banking services, cars, foodstuffs, architecture and much else into an EU where we refuse to make any contribution to its budgets? Can we be sure these will be in principle resolved before she activates Article 50? Some would prefer it if the incoming Prime Minister used her credentials as a Remain advocate (albeit a sceptical one) to offer the British people, in due course, a proper choice between a concrete Brexit package and the existing position of Britain as a full member state of the EU. She seems to have ruled that out, at least rhetorically, with her catchphrase Brexit means Brexit, but she is a wise and honourable enough leader to want to put the British interest first. A fresh referendum would be one way of gaining some assent to the largest constitutional change in decades in a nation bitterly and almost evenly divided on the question. Then there is the future of the United Kingdom itself. Assuming Ms May does not fall into the category of secretly wishing Scotland would just float away to ensure permanent Conservative government in England, then here she has another migraine. In truth, as an English politician elected on the basis of English votes and representing a party still widely loathed in Scotland, she can probably do relatively little to move that debate along. Her able counterpart in Scotland, Ruth Davidson, will have an uphill struggle to win a vote for Scotland staying in the UK, especially if we do not know what the Brexit deal is. Trying to craft a deal that retains for Scotland, as an exception in the UK, some benefits of EU membership while still heading for the Brexit will be one of the most important tasks of a May administration. Theresa May in quotes Show all 10 1 /10 Theresa May in quotes Theresa May in quotes On being described by the former chancellor Ken Clarke as a bloody difficult woman: Politics could do with some Bloody Difficult Women actually Rex Features Theresa May in quotes On keeping secrets even from her husband: There are some things I am told that I am not able to confide in anybody Rex Features Theresa May in quotes On the relentless focus on her appearance during a speech at the Women in the World summit: "I like clothes and I like shoes. One of the challenges for women in the workplace is to be ourselves and I say you can be clever and like clothes. You can have a career and like clothes Getty Images Theresa May in quotes On comparisons to Margaret Thatcher: I think there can only ever be one Margaret Thatcher. Im not someone who naturally looks to role models. Ive always, whatever job it is Im doing at the time, given it my best shot. I put my all into it, and try to do the best job I can AFP/Getty Images Theresa May in quotes On her rebelliousness, or lack of, as a teenager: I probably was Goody Two Shoes at school Getty Images Theresa May in quotes On being replaced as chairman by Lord Saatchi and Liam Fox in 2003: Yes, it takes two men to step into the shoes of one woman AFP/Getty Images Theresa May in quotes What Theresa May said when she was asked about her political ambitions during an interview with Miriam Gonzalez Durantez, a lawyer married to Nick Clegg, in December: MD: "My very last question is: that little girl who is somewhere there, is she dreaming of becoming the next British Prime Minister?" TM: "Shes dreaming of carrying on doing a good job in the Home Office" Getty Images Theresa May in quotes On not being able to have children: I like to keep my personal life personal. We couldnt have children, we dealt with it and moved on. I hope nobody would think that mattered; I can still empathise, understand people and care about fairness and opportunity Getty Images Theresa May in quotes On whether she can deliver the mandate of the EU referendum: I think for party members and indeed for others, I would say look at my record. I think they can see that Im somebody who gets on with the job, but Im also somebody who says it as I see it and actually delivers on what I say Getty Images Theresa May in quotes On the equally relentless obsession with her shoes: As a woman I know you can be very serious about something and very soberly dressed add a little bit of interest with footwear. I always tell women you have to be yourself, dont assume you have to fit into a stereotype and if your personality is shown through your clothes or shoes, so be it Getty Images Ms May will also have to deal with analogous problems in Northern Ireland. Again she will have to collaborate with all the interested parties in the north and in the Republic of Ireland to make the best of a bad mess. From what we have seen of her approach and because of the obvious sensitivities over this issue, she cannot afford to be difficult with these customers. Theresa May will not be running the Government alone. She will create her own style, and appears inclined to use traditional Cabinet government machinery rather than the sofa government of, say Tony Blair. She will be less cliquey than David Cameron. The Notting Hill set are out. But she has the tricky task now of apportioning portfolios to her various rivals, some of whom have not impressed in their leadership campaigns. Few outside her parliamentary fan club would care if Andrea Leadsom had to wait a little longer for promotion to the Cabinet. It is difficult to envisage, as it has been all along, what the ideal job for Boris Johnson might be if he was denied Number 10. He likes to be boss, as we all now know. After David Camerons valedictory Prime Ministers Questions, Ms May will be jousting with Jeremy Corbyn (at least for the time being), two reluctant Remainers together. She would be wise to abandon her predecessors counter-productive Flashman habit of bullying and insulting Mr Corbyn, and stick to the policies rather than telling him to do up his tie. She could be faced across the despatch box by another woman, Angela Eagle another first for our politics. We can but hope it will usher in a calmer era. Sign up for the View from Westminster email for expert analysis straight to your inbox Get our free View from Westminster email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the View from Westminster email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} July 11 this year is the 200th anniversary of the Act of Parliament that saw the UK buy 2,500-year-old sculptures taken from the Parthenon from the then Earl of Elgin. Widely known in Britain as the 'Elgin Marbles', campaigners believe it is time to send them back to Athens to allow all the surviving sculptures to be reunited. Here are seven reasons why: Art The brilliance of the Parthenon building and the sculptures that once adorned it cannot be understated. Its fluted columns can be seen on great buildings around the world from London and Rome to Washington DC. The artists who created the sculptures were among the finest the world has ever seen, making stone seem almost like flesh. Their skills were not seen again until the likes of Michelangelo in the Renaissance. Democracy The Athenians built the Parthenon after playing a leading role in the defeat of the Persians in the 50-year Greco-Persian war. The free men of the first significant democracy known to history and their allies managed to prevail against the Persian Empire's army of conscripts and professional soldiers. In addition to Athens success on the battlefield, there was also a flowering of philosophy, theatre and art at this time. To many, the Parthenon is a monument to the democratic values held by countries around the world today. Greece Following the global recession in 2008, Greece has found itself burdened by massive debts and forced to live under policies of austerity that the Financial Times has described as turning the country into a quasi-slave" economy. Youth unemployed is about 50 per cent and suicide rates have soared. The country has also had to deal with hundreds of thousands of refugees fleeing the brutal war in Syria and desperate poverty and religious extremism in other countries. Returning the sculptures would boost public morale and also tourism, a mainstay of the Greek economy. Greece wants its treasured Elgin marbles back from the British The world It is often said that the Parthenon sculptures belong to the world. All over the globe, there are committees for the reunification of the marbles for one very simple reason. It is better to be able to see them all in one place. No reasons not to None of the reasons for keeping half the Parthenon sculptures in London stand any serious scrutiny. Returning them would not set a precedent that would empty every museum in the world. Most museums have returned artefacts in the past without causing a significant problems. And this would not be the return of a single entity to its place of origin, but instead would allow the reunification of a work of art in the most appropriate place. Altruism In a world where the number of genuinely altruistic acts between nations is vanishingly small, this would be an astonishing example. It would be an inspiration to all and help counter those who believe humans are innately selfish. Brexit (and self-interest) There is an element of British self-interest in this, but not to the degree that it outweighs the overall altruistic nature of returning the marbles. China sends pandas around the world partly to make people think its the nice country where the cool bears come from, rather than the Communist dictatorship that sends dissenters to gulags. Giving a gift of this magnitude would remind EU member states that despite our imminent departure, Britain is still a friend and ally and one worth cutting a reasonable trade deal with. Sign up for the View from Westminster email for expert analysis straight to your inbox Get our free View from Westminster email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the View from Westminster email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Angela Eagle has finally announced that she will challenge Jeremy Corbyn for leadership of the Labour Party. After a period of dilly-dallying that has become synonymous with Labour in recent years, the former Shadow Business Secretary has cited Corbyns failure to provide effective leadership as the motivating factor behind her decision. It is hard to argue with Eagles logic. Despite a massive surge in party membership, Corbyn has failed at leading his MPs - a key requirement of his role. Calls for his resignation intensified after the EU referendum, with many MPs blaming the result on his half-hearted campaign. Nevertheless, 47 Shadow Cabinet resignations and 172 votes of no confidence later, Corbyn is still standing. Even so, being abandoned by 80per cent of your colleagues is a really, really big deal. At no point in recent political history have MPs rejected their leader with such gravity. On the surface, Eagle has the hallmarks of a revolutionary. In 1997, she became the first openly lesbian MP to be elected into parliament. If her challenge is successful, she will be the first woman to be elected as Labour leader - and about time too. Eagle has gained praise from MPs after a number of impressive performances as Corbyns understudy at Prime Ministers Questions. With the Tories certain to elect a female leader, the prospect of two women going head-to-head in the House of Commons would certainly be a welcome change. This makes it even more unfortunate that Eagle isnt up to the job. Among other issues, she has supported the Iraq War, Syrian air strikes, Trident renewal and cuts to working tax credits, putting her at odds with party members. Speaking to ITVs Robert Peston on Sunday, Eagle said, I dont want this to be an issue about individual policies. Um, sorry Ange, but policy is kind of a large part of this politics business, especially when youre running for leader of Her Majestys Opposition. No one who has voted for the Iraq War or Syrian air strikes should ever lead the Labour Party. In the aftermath of Chilcot, rallying around Eagle as a potential leader shows how deeply out of touch Labour MPs are with their membership and the public mood. The Parliamentary Labour Party should have chosen a challenger who shares ground with Corbyn on the key issues. That would have proven that this is solely about effective leadership, rather than ideology. Not all of the 172 MPs who voted against Corbyn or resigned from the Shadow Cabinet are Blairite scum. Many, like Jess Phillips, MP for Birmingham Yardley, are socialists who simply feel that Corbyn hasnt been a good leader. Its perfectly acceptable to agree with someone's principles but not think they are up to the job of leading the party or our country - something a lot of Corbynistas don't get. Just to clarify, if you dont think that Corbyn is heading towards electoral disaster then I kindly invite you to join me in the real world as soon as you regain your senses. At present, the governing party of business is destroying our economy and yet Labour still lags behind in the polls. Corbyn is only effective when telling like-minded people what they want to hear. Put him in front of a group of middle-class students drinking kale smoothies and the crowd will roar. Politics isn't that easy, and rightly or wrongly, most people find it hard to warm to you if you always look like youve just sat on chewing gum. In May, London Mayor Sadiq Khan demonstrated precisely what Labour must do in order to win elections. He reached out to communities in all boroughs and formed a broad coalition of progressive voters. Jeremy Corbyn: We must hold Blair to account on Iraq war Still, the fact remains that Eagle is the exact type of candidate Corbyns followers can't stand - someone whose voting record doesn't back up their left-wing rhetoric. Her timing couldn't be worse as the world reacts to New Labour's catastrophic failures laid bare in the Chilcot report. Even Eagles own constituency group in Wallasey have signalled their support for Corbyn. In the end the only winners here are the Tories. Corbyn's supporters will feel disenfranchised and never vote Labour again if he is blocked from the leadership ballot, and if Corbyn wins then the party will likely split forever. The Tories are taking full advantage of Labour's meltdown by scheduling a vote on Trident renewal later this month. After failing to pick a suitable challenger, the only chance that Labour MPs have of ousting Corbyn is another election, which Tory leadership front-runner Theresa May has ruled out until 2020. This gives the government plenty of time to enact their right-wing manifesto unchallenged. Like so many choices of late, Corbyn or Eagle is about the lesser of two evils. Neither candidate will be able to heal the partys wounds. I only hope that Labour will eventually stop tearing itself into smithereens and take on the evil that we know all too well: the politics of division and fear. Sign up for the View from Westminster email for expert analysis straight to your inbox Get our free View from Westminster email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the View from Westminster email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} By now we are all familiar with the passion and vigour with which the majority of Americans defend their right to bear arms. It is difficult, of course, to understand this attachment to the constitution when youre outside America and, in truth, it doesnt matter if we understand or not. Despite 13,286 Americans being killed by guns in 2015 and 26,819 injured, it doesnt look like theyre about to change their opinions any time soon. But if theyre not about to change their minds, they at least need to clarify the situation. Because right now, it isnt true that everyone in America has that right at least not in practice. Philando Castile was shot during a routine police stop for a broken taillight which didnt exist. Recent audio recordings suggest that the officers had pulled the car over because they looked like they had been involved in a robbery. The emergence of these tapes could actually start to make sense of the picture, as much as racial profiling can ever make sense. But the police department involved has not yet verified the recordings. What we do know is Castile was just a man, in a car, with his girlfriend and baby. A black man whose crime was seemingly being black and carrying a gun he was licensed for and that he mentioned to the police officer voluntarily. The police officer who featured in the widely shared video of the aftermath of Castiles shooting has said that he specifically told him not to reach for anything. Castiles girlfriend, however, claims that the officer asked Castile to get his licence and then told him not to move; as Castile went to put his arms up, she says, he was shot. And here lies the problem with Americas constitution. Castile is by no means the only man to be killed by US police; in fact official figures show that US police killed more white men than black men last year. However, the figures get more complicated when you dig further. Compared to the overall population, a black man is twice as likely to be killed by the police as a white man. When you look into the individual circumstances behind the shootings, it gets increasingly difficult to find an example of a white man being shot by police just because they were white and possibly armed, whereas it is strikingly easy to find examples of unarmed black men being shot just because police thought they had a gun (whether or not they actually did). It seems that carrying a gun makes you dangerous in the eyes of the police if youre black, yet the right to carry one is enshrined in the constitution. You know, so you can protect yourself from, well, all those scary black menprobably. Police Killings 'Not Just a Black Issue' but 'An American Issue' - Obama This might sound extreme, but thats because it is extreme: extreme thinking with extreme consequences. What you will discover if you scroll through the individual cases of police shootings from 2015 is that the majority of police shootings against white or black men are provoked, as you might expect. In many cases, police have been directly shot at or otherwise attacked. Clearly being an officer in a country where everyone can carry a gun is dangerous, and no one would dispute that. However, I only found examples of white men being shot when there was no direct attack on officers after the police had been called to suicides or called to attend an individual with mental health issues. This is a massive problem in itself, of course police are clearly authorised to shoot if a person does not drop their weapon when asked, but when youve been called to save a person who wants to take their life, surely shooting them when they refuse to drop the weapon they wish to kill themselves with makes the entire sorry saga futile. But just who are the licensed gun owners of America protecting themselves from when they assert their right to bear arms? Because until they answer that question honestly, the ambiguity endangers the lives of black American men. If the gun lobby really only has a passion for white people to bear arms and to protect themselves against imaginary black attackers, far more innocent men will die merely for legally carrying a gun but being the wrong colour to safely do so. Despite staggering evidence of white aggression towards police officers, it remains the black man whos seen as most threatening. If Americans really care about their constitution, they need to help tackle that prejudice or give up their aggressive commitment to guns. Sign up for the View from Westminster email for expert analysis straight to your inbox Get our free View from Westminster email Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the View from Westminster email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Theresa Mays speech in Birmingham was a daring one for a candidate in the Conservative leadership election. Though she offered some red meat to the Tory right by declaring that Brexit means Brexit, she floated other ideas that made her sound like she was coming at the Tory leadership from the direction of the Labour Party. She called for more house building, and a proper industrial strategy, She emphasised that workers and local communities have a stake in firms such as Cadbury's, implicitly criticising Gordon Browns government for allowing to be sold to the giant US food company, Kraft. She also declared that we need to take about taxtax is the price we pay for living in a civilised society a line so obviously true and so unusual in the mouth of a politicain that Tony Blair would have shied away from saying it. Recommended Read more The six most important things Theresa May needs to sort out That was the Home Secretary talking to the country. She could not have known that she was barely 60 hours away from taking up residence in 10 Downing Street, but she sounded so confident of seeing off Andrea Leadsom that she did not try to pitch her appeal to hard line Tories, but instead tried to reassure potential Tory voters that her election would not mean a return of the nasty party her old description of how other people saw the Conservatives. That was the Theresa May she wanted the electorate at large to see. There was another, who spoke from the platform at the annual Conservative conference last October to a hall packed with paid up party members. May 'honoured and humbled' It was, in effect, her annual report to the party faithful. A Home Secretary has many responsibilities. She could have talked about the police, tackling gang violence, combatting drug abuse, equal rights, or generally how to ensure that law-abiding Britons did not live fear of criminals But she did not. She devoted her entire speech to the single subject of immigration. She began by talking about the 1.7 million refugees who fled Syrias ghastly civil war, whose desperate plight was in the new every day. They were people deserving of help, she agreed: but that help should take the form of aid dispensed to those who had done what the UK government wanted them to do, by staying in refugee camps in Jordan, Lebanon and Turkey, not to those who have fled to Europe. She defended the governments decision to accept no more than 5,000 Syrian refugees a year, and criticised Angela Merkel for deciding that Germany would take in 800,000 refugees, which she believed was an inducement for people from all over the world to try to get into Germany. Theresa May in quotes Show all 10 1 /10 Theresa May in quotes Theresa May in quotes On being described by the former chancellor Ken Clarke as a bloody difficult woman: Politics could do with some Bloody Difficult Women actually Rex Features Theresa May in quotes On keeping secrets even from her husband: There are some things I am told that I am not able to confide in anybody Rex Features Theresa May in quotes On the relentless focus on her appearance during a speech at the Women in the World summit: "I like clothes and I like shoes. One of the challenges for women in the workplace is to be ourselves and I say you can be clever and like clothes. You can have a career and like clothes Getty Images Theresa May in quotes On comparisons to Margaret Thatcher: I think there can only ever be one Margaret Thatcher. Im not someone who naturally looks to role models. Ive always, whatever job it is Im doing at the time, given it my best shot. I put my all into it, and try to do the best job I can AFP/Getty Images Theresa May in quotes On her rebelliousness, or lack of, as a teenager: I probably was Goody Two Shoes at school Getty Images Theresa May in quotes On being replaced as chairman by Lord Saatchi and Liam Fox in 2003: Yes, it takes two men to step into the shoes of one woman AFP/Getty Images Theresa May in quotes What Theresa May said when she was asked about her political ambitions during an interview with Miriam Gonzalez Durantez, a lawyer married to Nick Clegg, in December: MD: "My very last question is: that little girl who is somewhere there, is she dreaming of becoming the next British Prime Minister?" TM: "Shes dreaming of carrying on doing a good job in the Home Office" Getty Images Theresa May in quotes On not being able to have children: I like to keep my personal life personal. We couldnt have children, we dealt with it and moved on. I hope nobody would think that mattered; I can still empathise, understand people and care about fairness and opportunity Getty Images Theresa May in quotes On whether she can deliver the mandate of the EU referendum: I think for party members and indeed for others, I would say look at my record. I think they can see that Im somebody who gets on with the job, but Im also somebody who says it as I see it and actually delivers on what I say Getty Images Theresa May in quotes On the equally relentless obsession with her shoes: As a woman I know you can be very serious about something and very soberly dressed add a little bit of interest with footwear. I always tell women you have to be yourself, dont assume you have to fit into a stereotype and if your personality is shown through your clothes or shoes, so be it Getty Images Meanwhile, she painted a scary picture of an island under threat from millions of would-be migrants, of whom thousands were actively engaged in trying to enter illegally. There are millions of people in poorer countries who would love to live in Britain, and there is a limit to the amount of immigration any country can and should take. We must have an immigration system that allows us to control who comes into our country." she said. "Britain does not need net immigration in the hundreds of thousands every year not every person coming to Britain right now is a skilled electrician, engineer or doctor there is no case, in the national interest, for immigration on the scale we have experienced over the last decade. She then set out what in her view needed to be done to avert this threat. That included cutting benefits claimed by immigrants, making it harder for migrants to claim asylum in Britian, refusing all asylum claims for nationals of other EU countries, exhorting other EU states to accept fewer asylum cases, and checking up on foreign students to make sure they left the country when they had finished their studies. As for the suggestion that Europe might work towards a common immigration and asylum policy, her answer was not in a thousand years. How she imagined she was going to be around until 3015 to make sure her commands were obeyed is something she did not explain. This was the voice of another Theresa May the same Home Secretary who has wanted for years to reverse human rights legislation that limits the power of government, and who pushed to get the Investigatory Powers Bill, otherwise known as the snoopers charter onto the statute books, and who was accused of tampering with a Whitehall report to remove the bits that suggested that punitive laws were not an effective way of dealing with illegal drug use. For a long time, her record on gay rights was a cause of concern to those battling for equality, though it appears that she seems to have had a change of heart, because in 2013 she voted in favour of gay marriage. Whether any of her other hardline opinions will soften once she has stepped through 10 Downing Street's famous black door remains to be seen. For free real time breaking news alerts sent straight to your inbox sign up to our breaking news emails Sign up to our free breaking news emails Please enter a valid email address Please enter a valid email address SIGN UP I would like to be emailed about offers, events and updates from The Independent. Read our privacy notice Thanks for signing up to the Breaking News email {{ #verifyErrors }}{{ message }}{{ /verifyErrors }}{{ ^verifyErrors }}Something went wrong. Please try again later{{ /verifyErrors }} Syrias al-Nusra Front rebels are proving themselves tougher and far more tactically minded fighters than the Isis hordes who swept across Syria and Iraq two years ago to create an Islamic State in the two great Arab nations. In the countryside around Aleppo the rif district in Arabic Nusra has inflicted unexpected casualties on Iranian Revolutionary Guard forces and their Afghan and Iraqi allies who are fighting alongside them south of Syrias largest city. You cannot sleep many nights in Aleppo. The tank and artillery fire across the city has now reached battlefield proportions. Two years ago, the government-held western sector of the city was surrounded by Nusra and Isis. Now the rebels themselves are virtually besieged by Major General Suheil al-Hassan who has been battling for three weeks to cut their last military supply route to Turkey. With his tanks now less than half a mile from the Castello Road, and thus able to cut the highway with concentrated artillery fire, his Tiger Brigade has fought off a brutal suicide bombing attack by both Isis and Nusra forces around a village called Malah. But elsewhere around the northern Syrian city, Nusra has been proving its power against the Assad regimes allies. South-west of Aleppo, the Iranian Revolutionary Guards were swept out of their front-line positions west of the old Aleppo-Hama international highway, losing 13 of their men. The Iranians own local contingent of Afghan forces, a ragbag of Shiite Hazara Afghans forced into battle to acquire refugee status for their families sheltering in Iran, also suffered six dead but others are believed understandably, given their wretched circumstances to have fled the battle. Around 26 Iraqis fighting alongside the Iranians were also killed, along with several Iraqi men reported captured. Their fate not, perhaps, to be contemplated in this brutal conflict is unknown. More seriously for the Syrian government and its army, who have lost up to 62,000 dead in the five-year war, there are reports in Aleppo that a hundred army cadets were killed in the same battles around the villages of Khan Touman, Eiees and Al-Hader. Russian forces patrol Palmyra after the recapture of the city from Isis (Getty) (GETTY) Most Syrian army field commanders now individually report that Nusra is the more formidable force, one of them describing Isis as television terrorists, suggesting that their video horrors impress the West more than their own Syrian soldiers. Although Syrian troops have been executed en masse by Isis, new arms shipments paid for and transported, the Syrians believe, by Qatar have made Nusrah a far more formidable force. In his forward desert base of Asouriya south-east of Aleppo, however, General Mohamed Dib described to The Independent how his soldiers advanced up to 50 miles into Raqqa province towards the Tabqa airbase, which was captured by Isis in August 2014; its fall two years ago was followed by the predictable and publicly witnessed execution of 160 of the Syrian defenders. General Dib therefore had good reason to recapture this place of infamy for his army. Syria's war: Civilians hit in Aleppo airstrikes They took control of the Fayan gas field, but Isis suddenly descended upon Dibs forces from three directions from Deir ez-Zour, Mansoura, Atnan and Tabqa itself, names which have no meaning in the West but which appear on all Syrian military tactical maps and they did so with fleets of suicide car bombs. My soldiers managed to destroy 15 car bombs, suicide tanks and BMP armoured vehicles with explosives inside them, Dib recounted. In this situation, we had to defend ourselves from three sides. They tried to surround some units of the army. Video film shown to The Independent and taken from within the Syrian lines show rivers of army tracer fire concentrating on a distant car during a night-time battle, a vehicle almost invisible until it suddenly explodes in yellow light. There were Syrian martyrs, General Dib added. We had to form a defence line. There were terrorist bodies on the main road, it was a fierce battle and we killed 32 of them. In the Raqqa province, we killed four men whom we believe were Chinese. They also used motor gas bombs against us. Its unclear what the general meant by gas bombs, although he later described a mustard gas rocket that incapacitated 32 of his soldiers. However, the battle was phrased, however, the Syrian army ended up close to its start line on the edge of Raqqa province, a bleak and windswept place of low desert hills, rocks and dust-storms where an army intelligence officer grimly confirmed to me that Isis was just up the road on the other side of a Syrian tank sheltering behind a sand berm. The Syrians were impressed, however, by the news that a unit of the latest CIA outfit dressed up to liberate Syria the New [sic] Syrian Army, trained in Jordan were virtually wiped out when it attempted to cut the border highway between Damascus and Baghdad. Deserted by the US air support they had been promised at least one American plane, according to the Washington Post, was diverted to an anti-Isis attack outside Fallujah in Iraq Isis fell upon the vulnerable NSA and threw them back towards Jordan. The usual Isis videos followed, of corpses, captured guns and wrecked vehicles. The Syrians appear to have more trustworthy air coordination from the Russian air force, which has just lost a helicopter to a missile attack apparently launched by Nusra in the north of the country. All in all, then, a harsh picture of the war in Syria right now, no sign of an end, no surrender. As for the Syrian army, will they try to recapture Raqqa again and deprive Isis of its Syrian capital, or concentrate on the relief of the partially government-held but surrounded city of Deir-ez-Zour? My guess is Deir ez-Zour, because Syrian soldiers there are still in battle order and can be used to prosecute the war. But another general his frankness probably necessitates his anonymity suggested it wasnt a case of one or the other. He put two fingers on his desk and said to me, very loudly: Both! Neil Young at Slane Castle: Shay Healy pictured at the concert,10/07/1993 (Part of the Independent Newspapers Ireland/NLI Collection). Neil Young at Slane Castle: Davy Carton of The Saw Doctors,July 10th 1993 (Part of the Independent Newspapers Ireland/NLI Collection). Neil Young at Slane Castle, The Saw Doctors and Lord Henry Mountcharles on a row boat, July10th 1993 (Part of the Independent Newspapers Ireland/NLI Collection). Neil Young at Slane Castle, Neil Young on stage,10/07/1993 (Part of the Independent Newspapers Ireland/NLI Collection). We may not be graced with a Slane Castle festival this year but we can take a moment to relive festivals gone by. Yesterday in 1993 the Castle grounds were full of revellers who had turned out to see Canadian rock legend Neil Young. After a decade or so spent in the wilderness away from the music charts, Neil Young had made an explosive comeback in 1989 with his single Rockin in the Free World, which reached number 2 in the US charts. This was followed by the album Ragged Glory in 1990 and Harvest Moon in 1992. As far as Irish festival weather goes, 1993 at Slane was nothing to write home about. But for the thousands of people who had made the annual pilgrimage Neil Young more than made up for the lack of sunshine. Expand Close Neil Young at Slane Castle, The Saw Doctors and Lord Henry Mountcharles on a row boat, July10th 1993 (Part of the Independent Newspapers Ireland/NLI Collection). / Facebook Twitter Email Whatsapp Neil Young at Slane Castle, The Saw Doctors and Lord Henry Mountcharles on a row boat, July10th 1993 (Part of the Independent Newspapers Ireland/NLI Collection). He was supported by 4 Non Blondes, Van Morison and a relatively new three-piece outfit from Seattle, Pearl Jam. Pearl Jam had accompanied Young for the duration of his European that year. Expand Close Neil Young at Slane Castle: Shay Healy pictured at the concert,10/07/1993 (Part of the Independent Newspapers Ireland/NLI Collection). / Facebook Twitter Email Whatsapp Neil Young at Slane Castle: Shay Healy pictured at the concert,10/07/1993 (Part of the Independent Newspapers Ireland/NLI Collection). It was, as Sunday Independent reporter Stephen Dodd noted, a mixed bag in terms of the crowd, with some younger fans lining up for Pearl Jam whilst it seemed Neil Young had attracted a slightly more subdued crowd: At the front they danced their way to joy, or, in many cases minor personal injury. "Inflatable plastic hammers bobbed around the melee while the unfortunate unconscious people- an essential indication of success at any outdoor festival- were passed from hand to hand. "But further back the more mature segments of the audience seemed uncertain how they should respond. Enda Kenny has ruled out an early departure and said his focus has always been on securing Ireland's future Taoiseach Enda Kenny has dismissed calls for him to resign early. After Fine Gael backbencher Brendan Griffin broke ranks and demanded a new leader by September, Mr Kenny insisted he has a mandate and job to complete. The Taoiseach previously said he would serve a full term in office but not lead his party into the next election. At an event at the Sacred Heart Hospital and care home in Castlebar, Co Mayo, Mr Kenny ruled out an early departure and said his focus has always been on securing Ireland's future. "I have no intention of being diverted from that work that I have undertaken, and for which I have received a mandate to fulfil," he said. "That's my focus and my focus is entirely on the future. "For those who might be interested, I will not be diverted from that task and that duty and that responsibility which is the mandate given to me by the people." Health Minister Simon Harris, Education Minister Richard Bruton and Foreign Affairs Minister Charlie Flanagan have all ruled out supporting a leadership heave. Questions over Mr Kenny's future were sparked by Kerry TD Mr Griffin, who said a new leader would be the only way to stop Fianna Fail forcing another election in the autumn. He also refused to rule out pushing for a vote of no confidence in Mr Kenny. Fianna Fail agreed to support the Fine Gael minority government for three budgets but Mr Griffin said his counterparts cannot be trusted not to use budget decisions as an excuse to force another general election. Mr Kenny is in Germany tomorrow for talks with Chancellor Angela Merkel. One of the key issues is Brexit with the Taoiseach warning that Ireland is facing a major challenge by the UK's planned break with the European Union. Students' representatives have warned the Government a loan scheme for university and college courses would lumber young people with another mortgage. A report for the Department of Education set out three options for third level funding - "free fees", keepin g the 3,000 euro registration fee or borrowings for a study now and pay later system. Peter Cassells found higher education needs an extra one billion euro over the next 15 years, including 600 million euro by 2021 just to make up for the cuts imposed during the recession. And he said the numbers going to university and college are going to increase by a third and that the financial black holes in the sector are hitting the Institutes of Technology hardest. Annie Hoey, president of the Union of Students in Ireland, said there was a concern that political will would determine whether loans are forced on to students. "It needs to made clear that this is a matter of political will - the fact there are three options," she said. "If a loan system is brought in it will be because a government is choosing that option. "They will be choosing to put a mortgage on young people for their education." She added: " Publicly-funded free education is not impossible. If it was, so many other countries in Europe wouldn't offer it." Under a proposed student loan system, third level education would be provided free for all but those who graduate and go on to earn more than a set threshold would then pay for their course. Education Minister Richard Bruton said more funding for colleges and universities would have to be matched by improvements in the system. He highlighted the need for 50,000 retraining places over the next five years and a 7% increase in enrolment for people from the most disadvantaged socio economic groups. He also said part-time study should increase by 25%. "Over recent years, the higher education sector responded well to massively increased student numbers in the context of tightened budgets," Mr Bruton said. "This has been a great achievement but is not sustainable, and we are now starting to see difficulties emerge in achieving public policy goals in areas like skills and disadvantage." Tom Boland, chief executive of the Higher Education Authority, said: " There can be no question that urgent intervention is required to address a rapidly deteriorating financial situation across all of our institutions. "This poses an immediate risk to their ability to maintain high quality provision and to meet the continuing growth of student demand which, as the report makes clear, will place significant pressure on the sector over the next 15 years." Ned Costello, chief executive of the Irish Universities Association, said the report clearly illustrates the crisis in higher education funding. "An immediate infusion of funds is needed: firstly just to ensure viability and secondly to begin to return our exceptionally high student staff ratios to normal levels," he said. The Anti Austerity Alliance said the three options have created a huge problem for the Government and Fianna Fail and called for a new, bigger student movement to fight fees. Ruth Coppinger TD said: " The introduction of a student loan model will put students off going to college. The prospect of having huge amounts of debt around their necks will put many from disadvantaged or working class backgrounds off." The Central Bank has received a number of inquiries from leading UK-based insurance companies following the Brexit vote, raising the prospect that more jobs could shift to Ireland. Banks and tech companies are among those already being targeted by the IDA in the aftermath of the referendum. If any major insurance operations were to move to Ireland from the UK, it would be a major coup for the jobs agency. Its is believed that a number of Lloyds syndicates - units that price and write insurance - are among the heavyweights seeking details of the regulatory impact of Brexit on their current operations and requirements, which may need to be met in the event of any relocation here. Days before the June 23 referendum, the Central Bank warned of reduced competition in the insurance market in the event of a British exit. "Depending on the nature of the Brexit, if it were to occur, Irish insurers may face restrictions upon their ability to conduct cross-border business into the UK and, similarly, UK insurers operating in Ireland may face restrictions," said the Central Bank at that time. The Central Bank has been holding a series of round-table discussions with leaders from a variety of sectors. Professor Patrick Honohan, former governor of the Central Bank, recently called for "cool heads". Writing as a fellow of the Peterson Institute of International Economics, Prof Honohan said the Norway model could be an acceptable interim solution for British negotiators. The IDA is hoping to capitalise on Brexit by luring companies from the UK that need to be positioned in the EU to effectively carry out their business. Banks and tech firms are among those being targeted. Within hours of the referendum result being known, the IDA contacted new and existing investors to pitch Ireland. It is hoped the fact Ireland is an English-speaking member of the EU, coupled with the critical mass of tech giants already here, will act as a magnet. Residents of an island off the coast of Ireland are considering the possibility of joining an independent Scotland following the Brexit referendum. The population of Rathlin Island, off the coast of county Antrim, are concerned about the consequences of Brexit, Scotsman.com has reported. The island lies just 19 kilometres west of Kintyre, a peninsula in western Scotland. The island has benefited greatly from EU funding previously, particularly in relation to a modern harbour and connections to the National Grid. A spokesperson told the Herald Scotland: In the immediate aftermath of the referendum result, people on Rathlin talked, like people all over the UK, of little else. And very quickly talk turned, only half jokingly, to new ways of thinking about the islands relationship with its neighbouring islands. A unilateral declaration of independence worked for some, but others looked east and north to Rathlins historic friends in Scotland. Recruitment firm Cpl Resources has said Britain's decision to leave the European Union has brought both challenges and opportunities to company's operations in Ireland. In a trading update released to shareholders this morning, Cpl said it was "monitoring" the situation around Brexit and is assessing the implications to its business. In January the company announced strong gains in revenue and profits in the opening half of the year and said both have continued to grow in the second half of the year. Cpl predicts its profit to be in line with market expectations and said its balance sheet and cash flow remain strong. The company intends to release its full year results on September 1. Diversified Irish distribution and logistics group DCC will be the focus of attention for investors this week, as it holds its fortieth annual general meeting in Dublin on Friday. It also issues a trading statement the same day. DCC's interests span fuel and technology distribution, healthcare, and waste management. It generates a large chunk of its profits in the UK. It is the largest supplier of home heating oil in the UK, and also owns unmanned petrol stations in countries such as France and Sweden. It also operates about 40 motorway service stations in France. DCC has also agreed to buy 139 forecourts in Denmark, where it already has a presence. DCC shareholders will no doubt be wondering what Brexit has in store for the company. DCC moved its share listing to London in 2013 and reports its financials in Sterling. If anything, weaker Sterling should give some translation boost from its euro-denominated business on the Continent. DCC chief executive Tommy Breen told the Irish Independent in May that the group had analysed any likely Brexit impact on the group. "We think there'll be very limited direct impact," he said. "We operate in a number of European countries, but we don't physically trade across borders." Mr Breen conceded that volatility and uncertainty would be the main challenges from Brexit. It will be interesting to see if the past few weeks have impacted the DCC business. Obama meets families of personnel at Rota. Susan Walsh (AP) Having cut short his visit to Spain due to the events in Dallas last week, President Barack Obama left out a planned trip to Seville and instead travelled to the Rota naval base in Cadiz on Sunday. Obama is the first US president to visit Rota, where four US Navy destroyers are based, part of a NATO anti-missile shield ostensibly set up to protect against Iran, but one that has more ambitious aims given its strategic location. Rota naval base was created as part of a deal between General Francisco Franco and US President Eisenhower in 1953 Received amid applause by US personnel and Spanish troops stationed at the base, Obama met with them and their families, and then in a speech defined Spain as one of the biggest allies of the United States. We could not ask for a better ally than Spain, he said, adding that the United States remains committed to NATO. He also called on Spain to remain strong and united," themes that he had outlined in an interview with EL PAIS ahead of his Spain visit. Obama was joined on the trip to Rota by US ambassador James Costos and his partner, Michael S. Smith, and was met by Pedro Morenes, Spains minister of defense. The president highlighted the importance of Rota, which was created as part of a deal between military dictator General Francisco Franco and US President Eisenhower in 1953. For more than 60 years Spain has welcomed many Americans to Rota, he said, adding that the alliance between the United States and Spain was sustained by shared values such as democracy, pluralism and a shared commitment to freedom. During his visit, Obama visited the USS Ross, one of the four destroyers based at Rota and then, shortly before 8pm local time, he boarded Air Force One to return to the United States, where he will visit Dallas on Tuesday. English version by Nick Lyne. US billionaire John Malone has sealed his latest Irish deal, adding UTV Ireland to the Virgin Media business here. Virgin Media, part of Malones Liberty Global group, said it has entered into an agreement with ITV to acquire UTV Ireland for 10 million. Expand Close Coronation Street / Facebook Twitter Email Whatsapp Coronation Street The agreement includes a 10 year deal covering rights to ITV produced programming including soaps such as Coronation Street. Liberty Global bought TV3 last year. The company said the deal is an essential step to securing loss making UTV Irelands long term future. In a statement Christy Swords, Director, Broadcast Finance & Operations for ITV, said: Launching UTV Ireland last year represented a significant achievement by the teams in Dublin and Belfast in challenging circumstances. ITV took over the business a few months ago and we've concluded that bringing TV3 and UTV Ireland together under common ownership offers the best prospect of delivering a strong and sustainable Irish commercial broadcaster, underpinned by a long-term programming agreement with ITV. Expand Close Emmerdale / Facebook Twitter Email Whatsapp Emmerdale We recognise that this has been an uncertain period for the staff of UTV and UTV Ireland and we have been determined to reach a speedy resolution. We believe that the decision we have made represents an important step forward for the future of the channel. Supply of Irish homes for sale has reached the worst level in 50 years, estate agents are warning. Stock Photo: PA Supply of Irish homes for sale has reached the worst level in 50 years, estate agents are warning. And in further signs of a dysfunctional market, prices in some parts of the country are rising at a faster pace than during the boom - while in the capital they have flatlined. According to June's Irish Independent/Real Estate Alliance Average House Price Index, the average three-bed semi now costs 195,361. It is an increase of over 4,000 (+2.18pc) since the end of March, or 4.49pc against the same time last year. Sale prices of average semi-Ds soared as much as 14pc in Roscommon, 8pc in Laois and 7pc in Kilkenny, while there were no price rises in Cork City or north County Dublin. Dublin city centre prices rose by just 1.4pc, while in the south of the county they were up by 2.1pc - slightly ahead of 2pc for Galway city. The REA network, which represents estate agencies in all counties, cites lack of supply and bank lending regulations which are now causing city buyers to skip beyond even the commuter belt. Expand Close 'Click to enlarge' / Facebook Twitter Email Whatsapp 'Click to enlarge' Recent property reports have suggested supply may be starting to improve. However, the REA says shortages, which have seen supply run at 20pc of what is normal in some locations, are in fact worsening. Some agents around the country have sold so few properties in that quarter that they questioned whether such a low base figure could provide an accurate barometer for prices. "We are seeing firms which are in business for 50 years which have never experienced such a low level of supply, and this is responsible for causing sharp increases in prices in some areas over the past three months," said REA Chairman Michael O'Connor. Among the steepest rises were for semis in Kilkenny city, which rose by 20,000, or 12.5pc, in the past three months, a figure that is entirely driven by record low supply, according to Michael Boyd of REA Boyds. "We opened 170 residential files in 2000 - so far this year we have opened 16 and only half of these have actually gone on the market," said Mr Boyd. "Our analysis of the Price Register tells us that there are 15 fewer units per month selling in the county than this time last year - and that this is the lowest level since these records began. "We desperately need new building to start, especially as prices for quality stock are now well into viable levels for builders to commence." Harry Southern, of REA Southern, Carlow, said while there is a steady supply of second-hand housing coming in, this is the first time since the 1980s that they have had no new homes for sale. Healy Hynes of REA Hynes, Athlone, said while supply is up on this time last year, in the context of historic norms it is still at "famine" levels. REA Chairman Michael O'Connor said: "There is no doubt the major factors affecting the Irish property market at the moment are supply of housing, the Central Bank restrictions, the banks' mortgage lending policies and high rents." Few 25-year-olds from Limerick can claim to be pals with Elon Musk, the enigmatic Tesla founder, or to have raised almost $300m in venture capital. But John Collison, co-founder of payments start-up Stripe, has paid the price for his early success. Ive already gone grey, he says, pointing at his salt-and-pepper hair. The young entrepreneur has spent the past six years building a new kind of payments platform, engineered specifically to help internet companies. It allows start-ups to take payments almost instantly by dropping a few lines of code into their website. Previously, getting a merchant account from the bank to process payments was a nightmare, Collison claims. Banks had taken the system they built in the Eighties and grafted it on to the internet. Then if you wanted to take money, you had to build the thing yourself. Collison and his older brother Patrick, technology whizzkids of the first order, decided to tackle the problem. Its helpful when youre young and you think: 'Ah, how hard can this be? says Collison. Read More If anyone could find a technological solution to the problem, its these two. At the tender age of 16, Patrick won the 41st Young Scientist of the Year prize for his work with the programming language, Lisp, and left school early to take up a coveted place at MIT. In his first year there, he founded an online auctions business and was accepted into Y Combinator, Americas most famous start-up incubator. Just 10 months later, he sold that business for $5m. John, meanwhile, sold his first business, Shuppa, while still in his teens, and won a place at Harvard in 2009. The brothers began working on Stripe together in 2010, but it was heavy going in the early days. Expand Close John Collison from Stripe at the Dublin Web Summit / Facebook Twitter Email Whatsapp John Collison from Stripe at the Dublin Web Summit Lots of people told us it couldnt be done, John says. Theres a lot of inertia in the non-digital industries. We spent two years building Stripe to push through that inertia. Collison is talking to The Daily Telegraph in the lobby of the Fairmont Hotel, Monaco; he is in town representing Ireland in the EY World Entrepreneur of the Year awards. Stripe is technically headquartered in San Francisco, prompting some critics to grumble about its inclusion at the event but thats of little significance, as the company doesnt win. But how does Collison feel, being thrust into the entrepreneurial spotlight at such a young age? Shouldnt he be out having fun and partying like other twenty-somethings? Im smashed right now! he jokes. Stripe isnt the first company to tackle payments; its biggest competitor in this area is PayPal, which has been the go-to middleman for almost 20 years. But PayPals basic service requires shoppers to be redirected to a whole separate site to pay. Companies can upgrade to a more expensive deal and build their own payments platform using PayPal widgets but its trickier, according to Collison, and requires more developer time. Read More We have a very clear objective we are doing the best thing for the seller, they are who pays us money and we never forget that, he said, in a later interview in Stripes sparkling new offices off the Old Street Roundabout in London. PayPal has a complex multi-party arrangement with both consumer and merchant, so its a bit more cumbersome. Stripes big breakthrough could be on the horizon, however. It has just signed a new framework contract with the Government, which could result in the start-up processing all kinds of payments, from renewals of passports to new driving licences. Negotiations are still in the very early stages but this could be really big for the business, Collison says. In aggregate, the UK Government does a huge number of payments, so the total addressable market is quite large. Were taking it quite seriously. Its other clients arent small fry, either: Kickstarter, Made.com, and Unicef are among the hundreds of thousands of companies using Stripe right now. Its not clear how much revenue the start-up is generating numbers are a closely-guarded secret. We are opaque with numbers, Collison admits. But its one of the few advantages we have as a new, small company. We arent trying to please short-term-oriented investors. Stripe may have started in payments but it is aiming for a much bigger market. Its latest product launch, Atlas, helps international start-ups to incorporate, taking away another entrepreneurial headache. A recent Atlas client, Instabug, is based in Giza, Egypt, but has already signed major customers ranging from Yahoo! to Hilton Hotels. More than 10,000 people applied to incorporate companies within 48 hours of the Atlas launch in February. Collison says: There should be more Instabugs in the world. We want to grow the total amount of online commerce, he says. At the moment, globally, just 2pc to 5pc of commerce is online. Were creating a new market. We talk about increasing the GDP of the internet. This is not as crazy as it sounds. Digital giants such as Amazon and eBay before it have both driven up the global value of online commerce. Collison is a particular admirer of Amazon, which he describes in awe as a juggernaut that just cannot be stopped. He likens Stripe to Amazon Web Services, the Seattle giants cloud rental service. Amazon Web Services for payments is an apt description of Stripe, he says. Just like AWS gives start-ups access to massive computer servers that they cant afford alone, with Stripe you instantly have access to a really sophisticated payments platform that would otherwise take years to build, he says. Stripes particular focus seems to be on cross-border payments: it aims to make it as easy as possible for international customers to shop with internet companies. Weve partnered with [Chinese payments firm] Alipay, says Collison. Sites that didnt sell into China now are. Were unlocking millions of new buyers. The majority of Stripes revenue now comes from outside the US, with the company expanding into Singapore, Brazil, and Hong Kong in the latter part of 2016. The physical geography of where you are sitting at your laptop is less important in this day and age. Theres no such thing as the 'Irish internet. Its just the internet, he says. We think the rest of world hasnt caught up with that fact. Increasingly, Collison says he sees start-ups going global early particularly to Asia. For instance, British food delivery start-up Deliveroo, a Stripe customer, is just three years old but has already expanded to more than 60 cities, including Hong Kong and Singapore. You didnt see that 10 years ago, companies were much more staged. Now people view it as a land-grab, Collison says. The online payments issue will not be solved overnight; Collison claims it may take up to 10 years to create a fully-activated online customer base around the world. Luckily, Stripes backers are of the patient variety. Its investors include: Airbnb backer Sequoia Capital whose partner Michael Moritz sits on its board; Founders Fund, which has invested in Spotify; American Express; venture capitalist Peter Thiel, and Elon Musk. Elon Musk is a cool cookie, Collison says. The $5bn start-up is one of Silicon Valleys increasingly less rare unicorns companies valued at more than $1bn. Not all these are great companies, so we will see not just one, but multiple flame-outs of unicorns in the near future, Collison says. But this is a long-term project for us. Telegraph Media Group Limited [2022] The fashion world's endemic problems - its elitism, racism and destructive focus on skinniness - won't be news to anyone. But what might be surprising to most is to hear the industry's shallowness highlighted by someone at its very heart. Three years ago, Cameron Russell, probably the closest thing America currently has to a supermodel, took the stage at the prestigious TEDx series of talks, and delivered a nine-minute lecture in which she said her success was the result of "winning the genetic lottery" and called herself a "pretty, skinny, white woman" who was a beneficiary of a "legacy of gender and racial oppression". She urged women to let go of the fantasy that beauty equals happiness: "If you ever think, 'If I had thinner thighs and shinier hair, wouldn't I be happier?' you just need to meet a group of models. They have the thinnest thighs and the shiniest hair and the coolest clothes and they are the most physically insecure women, probably, on the planet." The talk was discussed around the world, far eclipsing (in terms of views online) equally intelligent efforts by the likes of Colin Powell (proving part of Russell's thesis perhaps). It also caused murmurs on both sides of the Atlantic, with ABC News amongst those wondering if she wasn't using the very privilege she was speaking about to bite the hand that feeds her. Russell, after all, has made a fortune climbing to the very pinnacle of her industry, fronting advertising campaigns for Calvin Klein, Ralph Lauren, Armani and Prada; she has displayed her million dollar legs for Victoria's Secret and was shot by Steven Meisel for the cover of Italian Vogue - one of the most sought after spots in modelling. "A lot of newspapers did point out those things and I did hear that hand that feeds phrase," says Russell, who is sitting on the stoop outside her home in Brooklyn on a sweltering June night. "But people in the industry watched it and told me they thought it was very balanced and fair and said things about the fashion industry which were true in the larger sense as well. After the talk I was invited on CNN to speak about it and I was sitting right across from a Tea Party senator and I told him 'It's easy for me to talk about race because I got where I am because of how I look'. Whereas it's more difficult for a senator to talk about race because they also feel quite strongly, rightly so perhaps, that they also worked quite hard to get where they are. In modelling you might work hard to lose five pounds around your waist but it doesn't really count how hard you work overall unless you are skinny. In that sense, fashion is a really clean and simple forum to speak about issues of privilege; it's pure, distilled privilege, which can help us to think about the influence of privilege in all areas of life." You tend to believe Russell when she speaks about these issues, not only because of her passion and because she's drop dead gorgeous and you're hypnotised, but because her own privilege was so multi-layered. She grew up in Massachusetts in a family of some means. Her mother, Robin Chase, now one of the most successful female entrepreneurs in the US, is the co-founder of the car-hire club Zipcar. Her father is an engineer and chief executive officer of GoLoco, a car-pooling and social networking company. "I grew up with two really nerdy parents in a progressive, activist, feminist household, even though we never used those words really. We understood that men and women were equal. When I was 12 my mother started Zipcar and that was spectacular. I didn't realise at the time how unusual it was for a woman in her 40s with three children under 12 to start a company, until I moved to New York a few years later and learnt about who aspired to be entrepreneurs and realised it was mostly young, white men." In 2013, the year Russell gave her Ted talk, Chase was part of the $500m sale of Zipcar to Avis but before that she had a hand to play in her daughter's career. "I had been scouted a few times as a teenager and then visited New York and got scouted a few times on the street. My mom had interviewed someone who ended up working for the Ford modelling agency and she called him to ask if it was a good idea. They ended up signing me." The modelling world has its fair share of predatory agents and lecherous photographers. Russell tells me that this is a reflection of broader society but it is probably worse in fashion. "You can ask any 16-year-old girl what it's like being her and she'll probably mention street harassment, for instance. I was an assertive 16-year-old, I had the confidence to walk off a couple of jobs when I didn't feel comfortable. I will say that working in fashion over a few years probably degraded that confidence that I came in with. It does that to all women I think." During her Ted talk Russell had images of herself flash up on the screen to demonstrate the falseness of the imagery that sells clothes. In one shot, she was pouting and bronzed, a cover model goddess, in the next she was a fresh-faced child, hanging out with her friends. The punchline was that the shots were taken in the same week. "I felt, at 16, that I was consenting and I was confident. But I came of age in an industry where sexuality and gender are almost entirely performative. I learned how to be sexy before I even had sex. That's a funny thing. And another funny thing was that I was never one of those girls who pored over fashion magazines. I remember doing a test for my first shoot and when I stood on the little 'x' I just gave a huge smile because that's what you did when a camera was on you; I had no idea that you had to be serious. One of the biggest misconceptions is that the pictures are retouched and none of the girls are that skinny, but if you're 15 or 16 the likelihood is that that is really your body." Video of the Day As a young person, she was fascinated with politics, and true to her description of herself as a preternaturally confident girl, Russell wanted to become president when she was growing up. At 9-years-old she met Bill Clinton - there is a charming photograph of her shaking the former president's hand - and as a teenager she volunteered on several Democratic campaign trails. After that she studied economics and political science at Columbia University, while modelling for international fashion houses at the same time. She remains politically switched on and has been following her country's presidential race closely. "Leaving Trump aside for one moment, I support Hillary and will vote for her, however, I wish she had people close to her who were urging her into more Progressive stances. I love that Bernie was a candidate who got Americans to embrace socialism on a national scale. There are also reasons why he wasn't a spectacular candidate. I love Elizabeth Warren - she would be an incredible VP. Hillary's ties to Wall Street are her big problem and Warren would be a great balance for that." There is a paradox to a lot of Russell's patter in that even the interview we're having is part of the problem she's complaining about: I am speaking to her, first and foremost, because she's beautiful and a highly successful model. But, like a Miss World contestant with a valid opinion on world peace, she seems to think she can work within the system. She never thought about quitting modelling in order to be taken more seriously, for instance, she says. But she says that the power of her good looks has a bearing on many social and professional interactions. "Sometimes it's totally frustrating to have people react in such a big way - positively or negatively - to how I look. But that is also a great barometer for me to decide if someone is genuine. Why do they want to connect with me, to work with me, to speak with me even. If it's 'because I'm a model' I am super quick to read that." How did she know that was not the case with her boyfriend, a cinematographer named Damani Baker, with whom she has been together for a few years. "We met after I wrote a short script for a climate change video. We worked together on that as a creative project and met each other as equals." I can sort of feel her reading me a bit when I ask her if she gets to keep the clothes and if she sees a lot of puking and eating disorders backstage at catwalk shows. Only sometimes does she get free stuff, she tells me and as for eating disorders "I mean I'm not a clinician, so I'm not entitled to say, but obviously weight and therefore food can be preoccupations." She ate Burger King all the way through school and college, without gaining an ounce and her whippet-like metabolism is still mercifully keeping everything in check. Despite her depiction of herself as an interloper in a world of superficiality and image, she says that there are certain items of clothing that make her come over all covetous. "I think there is an assumption that when we're talking about fashion that it's high fashion. Even though I don't wear it a lot, I have a sweater my mom made for me and it's very hot to wear, so only suitable for deep winter. But it is my most valued piece." I choke a little as I picture a wardrobe of unloved Versace and Prada and she tosses me a bone: "In terms of high fashion it's interesting when you play a character. It's interesting in a filmic sense, I'm thinking of a video I did for Prada when we were in a dark studio and we went outside and had to pretend to audition for an old film. And I did feel swept up in the romance and the look." Climate change is a pet cause of hers and last year she called upon her fellow supermodels to march across Brooklyn Bridge to raise awareness for climate change. The supermodels came out in their droves, and Twitter and Instagram went into meltdown. Lily Donaldson, Bella Hadid, Stella Maxwell, Grace Bol, Barbara Palvin, Toni Garrn and more broadcast the climate march to a combined six million people on their social media accounts. She says the biggest misconception about models is that they are thick, but I would have thought it was that they were humourless; with the notable exception of Jennifer Lawrence, there aren't many gorgeous, witty people on the public stage. You imagine they never had to try as hard as the rest of us in the banter stakes. Everyone just falls around at what they have to say, like George Clooney and Julia Roberts falling around for each other on red carpets. Cameron, to be fair to her, does have a sense of humour. We get talking about public art in New York (she is the founder and director of Big Bad Lab, a creative collective, which, in her words, aims to "build participatory art and media platforms") and I mention in passing to her a prominent street artist, who still takes commissions but, famously, insists on being paid in cocaine. "I think it's fabulous that in this day and age in Manhattan you still have someone operating outside the capitalist system," she responds. Quite. She doesn't quite know what she's going to say in Galway at the Arts Festival yet - they didn't check the title of her talk with her - and she sounds like she's planning to wing it with an extended Q&A session roughly based around her TED talk. But perhaps more than her sense of humour or intelligence, her ability to riff entertainingly without notes is what really separates her from a world where beautiful people need teleprompters. "I think if you believe in what you're saying and know what you're talking about people will listen," she tells me. I agree. It's hard to say no to a goddess. Cameron Russell will take part in a First Thought discussion entitled 'Looks Aren't Everything' at Galway International Arts Festival on Wednesday 13th July at 6pm in the Bailey Allen Hall, NUI Galway. Tickets at giaf.ie A MAN grabbed a salt shaker from a restaurant table and threw it down a city centre street where it shattered, narrowly missing a group of women. A court heard that James McArdle (24) also began hurling abuse at gardai when they caught him injecting himself in a doorway. Judge Patricia McNamara jailed him for four months. McArdle, of no fixed address at the time of the two incidents, admitted breach of the peace and public intoxication. Dublin District Court heard plain clothes gardai were on patrol at South William Street last March 31 when they saw McArdle acting suspiciously. They kept him under observation and saw him pick up a salt shaker from an outdoor restaurant table. He threw it down the street and it smashed to pieces on the ground, narrowly missing the women. Tourists On May 4, gardai were on patrol at Bedford Lane when they saw the accused injecting himself with a needle in a doorway. He was told to stop and became verbally abusive to gardai as tourists passed by. He was unsteady on his feet and was intoxicated at the time and gardai arrested him. McArdle was in custody on remand on other charges when he appeared in court. He was single, had no children and lived at home with his mother on Blessington Street in the inner city, his solicitor Eoin Lysaght said. He had been homeless and addicted to drugs but was now off drugs and welcome back in the family home. He was also suffering from arthritis. McArdle wished to apologise, Mr Lysaght said. He also apologised in court. "He realises he is going to get a sentence today, and I would ask you to be as lenient as possible," Mr Lysaght said. The judge gave the defendant two consecutive two-month sentences. "Thanks very much, judge, I appreciate that," McArdle said. A 15-year-old boy has been sent forward for trial to the Central Criminal Court accused of murder in connection with the fatal Halloween stabbing of a man in Dublin. The boy, who cannot be named because he is a minor, is accused of the murder of Lorcan O'Reilly (21) last year. He was aged 14 at the time of Mr O'Reilly's death. Mr O'Reilly, from Robert Emmet Close in south inner city Dublin, was stabbed in the nearby Oliver Bond flat complex in the early hours of Nov. 1 last year. The incident happened at approximately 2.30am when he had been at Halloween festivities with friends. He sustained a single stab wound to the heart and was rushed to St James's Hospital but was pronounced dead a short time later. The youth appeared at the Dublin Children's Court on Monday when a book of evidence was served on him. Judge John O'Connor told the teenager, who was accompanied to court by his mother and another relative as well as his solicitor John Quinn, that he was being returned for trial to appear during the current term of the Central Criminal Court. The teen was warned that he must inform the prosecution within 14 days if he intended to use an alibi in his defence. Legal aid to include representation of senior counsel was granted. The boy, who remained silent, made no reply when he was originally charged in April and has not yet entered a plea. Detectives had arrested the 15-year-old boy on March 31 and detained him at a Dublin Garda Station. On April 1, he was remanded in custody by the Dublin Children's Court but he was granted High Court bail the following week. Judge O'Connor has already warned the teenager that he must obey the bail terms or he could be remanded in custody. His bail required an independent surety in the sum of 6,000. The teenager must sign on daily at his local garda station, obey a nightly curfew and he has surrendered his passport which must remain in the possession of gardai. Judge O'Connor had also told the teen he has to have a charged mobile phone with him and be available to answer calls from gardai. The teenage defendant's identity cannot be revealed because he is aged under 18, a minor who has a right to anonymity. During his first hearing on April 1, Det Sergeant Adrian Whitelaw had said that after the boy was cautioned and charged he had nothing to say. Last month Judge O'Connor had told a solicitor for the DPP that he would take a dim view if there were any more delays in serving the book of evidence. The president of the United States spent under 24 hours in Spain after cutting his trip short due to the Dallas shootings back home. But in that time, Barack Obama managed to meet with King Felipe VI, acting prime minister Mariano Rajoy, and three opposition leaders: Pedro Sanchez of the Socialist Party, Pablo Iglesias of Podemos and Albert Rivera of Ciudadanos. I will definitely return to Spain, because Spain is beautiful. The culture, the food, the people Barack Obama Besides Madrid, Obama also made a stop at the US military base of Rota, in the southern province of Cadiz, where no American president had set foot in its entire 63-year-history. Plans for a visit to the Andalusian capital of Seville were scrapped as Obama sought to return to the US as soon as possible in the wake of the Texas attack. In visiting the country, Obama followed a tradition that began in 1970, when Richard Nixon made a trip to Spain while it was still under the rule of General Francisco Franco. Since then, every sitting president has made an official visit to Spain at least once. The press At a press conference on Sunday, Obama made a very brief comment about the upcoming elections in his own country, noting that the nature of the relationship between Spain and the United States does not depend on the party in power. He also had words of praise for the country, expressing hope that it will not be another 15 years before a US president comes again. I will definitely return to Spain, because Spain is beautiful. The culture, the food, the people, he added. My daughters also love coming here. If we tell them we are coming here, thats a good way to bribe them. Mariano Rajoy Obama and Rajoy at La Moncloa. Mandel Ngan (AFP) Acting Prime Minister Mariano Rajoy, of the conservative Popular Party (PP), gave the US president a leg of Spanish ham, complete with a cutting stand and carving knife. Obama responded in kind, offering Rajoy a glass box with his own signature engraved on the inside. Rajoy said he told Obama that Spain urgently needs to cement its economic stability and pass a budget for next year. He also cited his own biggest concerns for the country: jobs, the welfare state and the fight against terrorism. The opposition Ciudadanos leader Albert Rivera, who was the first of the opposition members to meet with the US president, underscored his own partys support to Spanish membership in NATO. He explained that Ciudadanos is a centrist party that defends policies of change and regeneration in Spain. Rivera also announced that he will attend the Democratic Partys Philadelphia convention on July 27 and 28. Pedro Sanchez, the Socialist Party nominee, revealed that he and Obama discussed bilateral cooperation. I am thrilled to have been able to speak with @POTUS about the political situation and the necessary cooperation between Spain and the United States, wrote Sanchez in a tweet. As for Pablo Iglesias, head of the left-wing, anti-austerity Podemos, he gave the US president a book about the Lincoln Brigade, which fought on the republican side during the Spanish Civil War (1936-1939). In it, Iglesias wrote the following dedication: The first Americans who came to Europe to fight against fascism were the men and women of the Lincoln Brigade. Please convey to the American people the gratitude felt by Spanish democrats for the anti-fascist example provided by these heroes. Among them was Oliver Law, the first African American to lead US troops. In memory of these heroes, a warm hug [for you] President Obama. King Felipe VI From King Felipe VI, the US president received what is being described as a jewel of a book: an English edition of Don Quixote, bound in leather entirely by hand and translated by John Rutherford, a professor of Spanish literature at Queens College in Oxford. English version by Susana Urra. A prolific burglar who broke into a community centre three weeks after being released from an eleven year sentence has avoided another jail term. Anthony Connors (40), who has 47 previous convictions, committed the offence after he relapsed into drug use following his release from prison in September 2015. Connors, of South Richmond Street, Dublin pleaded guilty at Dublin Circuit Criminal Court to burglary at the Daughter's of Charity Community Services, Henrietta Street on October 13, 2015. Judge Karen O'Connor had adjourned sentencing after hearing evidence last May to allow Connors attend for residential drug treatment. She noted today that despite his intentions this had not worked out and he had been brought back before the court for sentencing. She noted he had spent most of his life in custody since 14 years old and had been a chronic drug addict but is now methadone free. Judge O'Connor said it was an aggravating factor that the premises carried out good work in the community but accepted in relation to burglaries it was at the low end of the scale. She imposed a one year sentence which she suspended in full and warned Connors that if he did not get help he would spend more time in prison. Garda Aidan Noonan told Fiona McGowan BL, prosecuting, that an employee at the non profit organisation, which provides educational and social care services to people in disadvantaged areas, found a laptop which she had been using the previous day was missing from her desk. Gardai were contacted and viewed CCTV. They were able to identify Connors hanging around and acting suspiciously in the area. He went upstairs and was then seen coming downstairs carrying a laptop bag. He was arrested the following day. Connors received an eleven year sentence in 2009 for offences including burglary, false imprisonment, robbery and handling stolen property. He was released on September 26, 2015. Mark Lynam BL, defending, said Connors had spent much of his long sentence on protection and in solitary confinement. He said he struggled and was overwhelmed by anxiety on release, slipping back into drug use. He had hoped to go into residential treatment on release but none was available. He said Connors was now methadone free and felt if he was released without dealing with his addiction problems he would struggle again. He said Connors wanted to make a change in his life. Two fund-appointed receivers are seeking possession of a south Dublin property being operated as a guesthouse by the wife of bankrupt developer Thomas McFeely. Nina Kessler has failed to show she has any valid lease for the property at Abrae Court, Zion Road, Rathgar, valued at some 1.1m, the receivers allege. The property was provided as security for unpaid loans advanced to another couple - Frank Brady and Pauline Gibson, the receivers said. Their case is against Mr Brady, a bankrupt, Faussagh Avenue, Cabra, Dublin; his wife, Pauline Gibson, Landsdowne Gate, Drimnagh, Dublin and Ms Kessler. Mr Brady was declared bankrupt in 2013 and cannot defend the proceedings unless the official administering his bankruptcy chooses to do so. The latter has decided not to. On Monday, Judge Brian McGovern granted an application by Cian Ferriter SC, for the plaintiffs, to fast-track the action in the Commercial Court. Ms Gibson, representing herself, did not object to the case being admitted. The judge, who was told Ms Kessler was also not objecting to the matter being fast-tracked, has returned the case to November. The proceedings are by receivers Luke Charleton and Marcus Purcell, of accountancy firm EY, and Promontoria (Aran) Ltd (PAL) , a fund which acquired certain loans made to Mr Brady and Ms Gibson. The plaintiffs want an order for possession of the guesthouse property and, if necessary, judgment for some 6.06m against Ms Gibson arising from those loans. They have separate proceedings against Mr Brady, Ms Gibson and Harry Slowey, Merdon, Dalkey Avenue, Dalkey, to get possession of another property at 51 Middle Abbey Street, Dublin. Judgment is also sought agaist Ms Gibson over the same loans and the receivers accept any judgment against Ms Gibson may only be entered in one of the cases. The second case was also transferred to the Commercial Court which was told by Aidan Redmond SC, for Mr Slowey, his client hoped it could be mediated. That case concerns allegations a purported lease granted by Ms Gibson in favour of Mr Slowey in relation to the Middle Abbey Street property, being used as a methadone clinic, is void and of no legal effect. In the first action, it is claimed any alleged lease granted by Mr Brady and/or Ms Gibson to Ms Kessler for the Rathgar property is void and of no legal effect. It is also alleged Mr Brady and Ms Gibson breached the terms of a June 2006 mortgage between them and First Acrtive plc. Mr Charleton said the joint receivers were appointed on February 29th last over the Abrae Court property, provided as security to First Active plc under a June 2006 mortgage. Ulster Bank in December 2011 agreed to provide loan restructuring facilities to the couple. The terms of the 2006 mortgage restrained creation of any lease concerning Abrae Court without the lender's consent and provided the total debt would become immediately repayable if the borrowers defaulted on payments, he said. In December 2014, the PAL fund acquired the couple's loans and in November 2015, a demand was issued to the couple for repayment of some 6m. A business plan from the couple was rejected on behalf of the fund last January. Last March, Ms Kessler indicated she had a lease for the Abrae Court property but in April she suggested the original copy of the lease may have been among material removed from her office by the official dealing with her husband's bankruptcy, he said. Solicitors for the receivers were instructed Ulster Bank had not given written consent to the purported lease, he said. They had asked Ms Kessler to provide conclusive evidence of her title and also asked Ms Gibson to accept Ms Kessler had no valid lease. In an email of June 7, Ms Gibson said she had no knowledge of what arrangement Ms Kessler had with Ulster Bank and also stated "any monies paid out were paid directly to Ulster Bank from Nina". It remains unclear whether Ms Kessler is paying rent or other payments to Mr Brady and/or Ms Gibson, Mr Charleton said. A 'study now, pay later' college fees scheme leaving students with up to 20,000 of debt is proposed as the future of third-level funding. The report of an expert group today warns urgent action is needed to address the crisis in funding higher education. The most controversial suggestion is a student loan scheme where graduates would pay back the costs of their tuition. The loans of 4,000 to 5,000 or higher per year, depending on the level of fee set and the length of the course, would be repaid after they graduate and start earning above a certain salary. The current college fee, known as the student contribution, is 3,000 a year, which is waived for those who qualify for grants. The report also looks at other options including greater State aid and increasing the amount raised from the training fund levelled on employers to bring in an extra 150m a year. Expand Expand Expand Expand Expand Expand Expand Expand Previous Next Close 'Click to enlarge' / Facebook Twitter Email Whatsapp 'Click to enlarge' However, the report does warn the current funding model is not sustainable and points to the requirement to create a third more places over the next 15 years to avoid a smaller percentage of Leaving Cert students going on to third level. The report sets out three options to increase funding: a State-funded system with the scrapping of the 3,000 college fees; increased State funding with continuing 3,000 fees; increased State funding and a loans scheme. This would involve the scrapping of the upfront 3,000 college fees and replacing them with loans. These income-contingent loans would be repaid by graduates when they hit a certain income level. The repayments would be deducted by the Revenue Commissioners. The report says an extra 1bn is needed in day-to-day spending to restore the cuts since the economic downturn and to meet that rising demand for student places - that's a 50pc increase on current spending. Read more: Fears over UK student fees may spark points race Expand Close The cover of Peter Cassells report / Facebook Twitter Email Whatsapp The cover of Peter Cassells report In the next five years, colleges will need an extra 600m. In addition, the report says a capital investment fund of a staggering 5.5bn is required over the next decade and a half. This funding is needed for new and replacement buildings as well as refurbishments and other capital costs. The group was chaired by Peter Cassells, the former general secretary of the Irish Congress of Trade Unions. Mr Cassells warns that one of the options outlined, to bring more money into the system, must be pursued. He says he recognises the pressure on public funds and households. But he does appear to veer towards the student loan model. Expand Close Peter Cassells, former union chief who headed up the report / Facebook Twitter Email Whatsapp Peter Cassells, former union chief who headed up the report "However, if we are to really tackle the current funding crisis and deliver on the level of vision that is set out in this report - if we are to achieve a step-change in quality levels, comprehensive student financial support, and a more holistic treatment of all students across the spectrum of undergraduate, postgraduate and part-time provision, I believe that ultimately a more comprehensive and fundamental change in the funding model is required. "One that will provide for a sharing of costs but that will do so in a fair and attainable matter," he says. The report starkly warns the contribution of higher level education to the country is now "severely threatened". "Falling resources since 2008, a deteriorating student:staff ratio, inadequate facilities and other pressures are having a severe impact, particularly on the ability to provide high-quality undergraduate programmes." The expert group says "the status quo, or incremental adjustment to it, will not be sufficient". Read more: Third-level funding to be linked to female promotions Education Minister Richard Bruton is expected to welcome the report and agree a properly funded higher education system is key to achieving the goals of society and the economy. The minister faces a challenge to achieve a consensus on a way to provide new funding. However, he will emphasise that doing nothing is not an option. Mr Bruton will point to the advantages of delivering extra places for students from disadvantaged areas, upskilling and reskilling places and increased research enrolments. Student loans: How it will work 1. 5,000 per year college fees, paid for through loans 2. Student graduates with 20,000 debt 3. Repayment begins when they graduate and earn 26,000 in wages 4. Payments deducted from wages by Revenue 5. Upfront payment can be made to avoid debt To read the report of the expert group on future funding for higher education, 'Investing in national ambition: a strategy for funding higher education', log on to Independent.ie Fire fighters battled a fire at a Dublin shop for two hours on Sunday night. The fire broke out in Oldtown, near homes in the Fingal area. Dublin Fire Brigade was called to the shop shortly after 9pm last night and the fire took two hours to extinguish. Six units of the fire brigade attended the scene and three breathing apparatus teams fought the fire. The cause of the fire is unknown and no injuries were reported. Gardai have yet to make an arrest in relation to a weekend hit-and-run in Dublin which left a man with spinal and leg injuries. Stock photo Gardai investigating a hit-and-run incident which left a cyclist with serious injuries are following a definite line of inquiry. A black Volvo was seized in Coolock in Dublin following the collision which happened on the Strand Road in Baldoyle shortly before 1am on Saturday. No arrests have yet been made but gardai say they're following a definite line of inquiry. The Volvo is believed to have overtaken a line of traffic before striking the 26-year-old cyclist. The injured man was rushed to Beaumont Hospital with leg injuries. He is said to be making good progress having suffered a broken leg. The victim, a foreign national, has been working as a porter in a Dublin hotel and is understood to be studying English since moving to the capital a number of months ago. Meanwhile, a female motorcyclist in her 40s has died following a single-vehicle collision on the M9 in Kildare. The woman was travelling between junction one and two, near Kilcullen, when she collided with the central divide at around 8.30pm on Saturday. She was pronounced dead at the scene. Gardai have appealed for any witnesses to contact them at Kildare on 045 527730. Little Alfie Hunter (5) has become Bionic Boy after getting a new robotic hand specially made for him. Alfie from Ballynahinch in Co. Down was born without his right hand but he didnt let that hold him back. He met all his milestones as a child but now that hes getting a bit older he sees wee ones the same as him with two hands and tells them hes getting a robot hand, said Alfies mum Laverne. Megan Tissington (21) from Dublin designed the robotic arm for Alfie as part of her final year project at The National College of Art and Design. Her project called aumentarM is a 3D printed arm and hand system for children aged between four and 12 years. Alfie chose this hand and material because he loves how robotic it looks. This is something that kids seem to enjoy in a prosthetic, they love the unnaturalness of a robot hand (Alfies word for a prosthetic) and they often want it to be able to perform Inspector Gadget style functions. To me that makes perfect sense; if you have a bionic hand, it should at least let you do things that regular hands could never do, said Megan. Alfie has 3 siblings Ryan (17), Jordie (15) and Evie (3) and mum Laverne said it has been tough at times on his older siblings having to stand up for their brother when he was being picked on at school. Theyve had to endure others teasing him at school and saying nasty things but they have remained above it at all times and stuck up for their brother through thick and thin. There was a time when Alfie didnt want to go out in big crowds for fear of being picked on, but I always told him, tell people you are special, you were born this way, and one day youre going to have a big robot hand which Alfie loved explaining to people, said Laverne. Laverne explained that parents need to educate their children about disabilities. I prefer when kids come up to Alfie and ask him about it and then move on. Its the parents who hush their kids and tell them to ignore it that leads them to think that theres something wrong with it, she said. Kids can be cruel, but its all about education. The prosthetic hand costs roughly 200 to make in Alfies size but as he continues to grow he will need a new one every year. The cost of the 3D printed prosthetic will increase as the size does. It is realistic to assume that it will cost up to 5,000 to be able to provide Alfie with 3D printed prosthetics until such a time as he has stopped growing, said designer Megan. Once he has stopped growing, he will need a more permanent prosthetic, which is where things will begin to get incredibly costly. Depending on the type of prosthetic, you are typically looking at around 30,000 to 40,000 for a fully functioning upper limb prosthesis that would allow the same degree of motion as a human arm or hand. As costs could rise to 60,000 for fittings and maintenance of the prosthetic limb Megan launched a GoFundMe page for Alfie. The Hunter family have been fundraising since Alfie was born but with four kids Laverne was grateful that Megan was starting up a new fundraiser for Alfie. Megan has been fantastic. I couldnt have done it without her. I'm so grateful to everyone involved to see Alfie getting the hand he's been longing for makes me so happy and I can't thank everyone enough, said Laverne. You can donate money for Alfie here Some 59 cars, jeeps and vans were seized last year following drivers failure to pay their M50 tolls. BMWs, Mercedes Benz cars, Volkswagen Passats, Ford Transit vans were all among the vehicles seized by sherrifs last year. The average bill owed to the state by these motorists was 28,627 in 2015. And the average number of tolls unpaid each year by these repeat offenders is 189. Transport Infrastructure Ireland said today that Eflow, the State-owned operator, sees 97pc compliance on payments. Less than one per cent of drivers accounted for over 35pc of all unpaid M50 tolls domestically in 2015, it said. The newest element of eFlows enforcement process has been the use of criminal summons, which, when applied can subject evaders to additional court fines of up to 25,000. Read More In April 2015, a 2007 BMW, with a decree value of 10,706.20, was seized in the Blackrock area. A 2008 Ford Transit van with a decree value of 5,156.91 was also among the vehicles seized last year. While a 2005 BMW 320, with a decree value of 9,648.70, was seized in the Shankill area last year. Last September, a 2006 Toyota Avensis, with a decree value of 15,724.80, was seized in the Clondalkin area. Minister of State for International Development and the Diaspora, Joe McHugh, giving an emergency supply package to a newly arrived South Sudanese refugee at the Nyumanzi Refugee Transit centre in northern Uganda on Sunday. Minister McHugh is on a three day visit of Irish Aid funded projects in Uganda. Minister of State for International Affairs and the Diaspora, Joe McHugh, today signed a five-year 83 million aid package to support projects for the poorest communities in Uganda. He is pictured here with the Uganda Minister of State of Finance Anite Evelyn A referendum giving millions of Irish living abroad a vote in the next Presidential election is being planned for the first half of next year, Minister for the Diaspora Joe McHugh has said. And the Minister revealed a package of measures to allow Irish emigrants return home including matching jobs skills with needs is currently being examined by a high level Interdepartmental Government Committee. Expand Close Minister of State for International Development and the Diaspora Joe McHugh meets pupils from an Irish Aid funded school in Karamoja in Northern Uganda yesterday. The Minister is on a three day visit of Uganda to see Irish Aid funded projects. Pictured from left local MP John Baptiste Lokii , Minister Joe McHugh and Aine Doody, Deputy Head of Cooperation, Irish Embassy, Kampala / Facebook Twitter Email Whatsapp Minister of State for International Development and the Diaspora Joe McHugh meets pupils from an Irish Aid funded school in Karamoja in Northern Uganda yesterday. The Minister is on a three day visit of Uganda to see Irish Aid funded projects. Pictured from left local MP John Baptiste Lokii , Minister Joe McHugh and Aine Doody, Deputy Head of Cooperation, Irish Embassy, Kampala Speaking to Independent.ie after a special reception in Kampala to meet Irish citizens living in Uganda the Minister said while the priority is to give Irish emigrants a right to vote in Presidential elections this could evolve to general elections. The French diaspora get to vote for three or four seats in the French parliament and this is something that could happen in Ireland too but we must get the Presidential vote over the line first. Ending a three-day visit to see Irish Aid funded projects in the East African country the Minister said definite proposals on a referendum will be put to the Global Civic Forum next February, with a view to having a vote within months after that. He said the issue was dicussed at the inter departmental working group on diaspora affairs which he chairs We received a presentation last Wednesday from officials We still need to figure out a proper time frame as to how this works but my aim is to have a vote next year. We are driving the issue hard and the Taoiseach is very interested in it. There is an impatience on his part and his view is even to have to wait six months from now is too long. The Civic Forum in February is a place where we will have something real and tangible to present which will then go to cabinet. The Minister said he will be meeting Irish companies in September who have skills shortages which could be matched by Irish emigrants who want to return home. He said he has had discussions with Google, Facebook and LinkedIn about developing a major digital communications campaign to share information globally on the skills needs of companies in Ireland. Expand Close Minister of State for International Development and the Diaspora, Joe McHugh, giving an emergency supply package to a newly arrived South Sudanese refugee at the Nyumanzi Refugee Transit centre In northern Uganda yesterday. The Minister is on a three day visit of Irish Aid funded projects in Uganda / Facebook Twitter Email Whatsapp Minister of State for International Development and the Diaspora, Joe McHugh, giving an emergency supply package to a newly arrived South Sudanese refugee at the Nyumanzi Refugee Transit centre In northern Uganda yesterday. The Minister is on a three day visit of Irish Aid funded projects in Uganda Mr McHugh said the majority of Irish people who emigrated in recent times are highly educated and skilled. They do not all want to come home but those who do should be asked what their skills and needs are. Meanwhile Minister McHugh yesterday launched an 83 million investment strategy for engagement with Uganda over the next five years. The strategy provides a framework for Irish Aid support for poverty reduction in Uganda. The strategy is geared towards projects supporting the poorest and most vulnerable in Uganda including education, social protection, preventing HIV/AIDS, and promoting human rights and good governance. Close to half of the funding will be spent in the north-eastern sub-region of Karamoja, where poverty rates are higher than anywhere else in Uganda. Minister McHugh visited Irish supported projects in the region during his visit. The Minister also met yesterday with the Ugandan Investment Authority and highlighted the Governments commitment to increasing trade and investment links between both countries. We believe there is potential to strengthen our trade with Uganda and the East African Community in a range of areas, such as agribusiness, aviation, construction and engineering. These are the responsibility of the editor and convey the newspaper's view on current affairs-both domestic and international In many ways, for Spanish companies the US market is still as remote as the Chinese empire was for Italians in the time of Marco Polo. We know it exists, we know something about it (perhaps even a little too much) from novels, movies, television series and from what visitors and tourists have to say about it. Obamas visit was not about trade, but a diplomatic and institutional obligation towards one of Europes most important economies But for a Spanish company, setting up in the United States is still extremely difficult. Not so for the big players such as Spains two biggest banks or its utilities, which are well-placed to study the opportunities there and to invest accordingly. The problem for smaller Spanish businesses is that there are so few opportunities across the Atlantic that they can take advantage of. And the reasons for this, reasons that apply to other European companies as well, is the United States very different approach to doing business, although these cultural differences are probably less notable and problematic between large corporations than they are between smaller businesses. At the end of the day, the legal and financial languages spoken between companies that provide services for large segments of the market are not that different in Florida, Los Angeles, Madrid or Frankfurt. The real entry barriers for smaller players are the specifics of industries, competition, health and safety regulations, and how people do business. Sign up for our newsletter EL PAIS English Edition has launched a weekly newsletter. Sign up today to receive a selection of our best stories in your inbox every Saturday morning. For full details about how to subscribe, click here The ongoing negotiations between the EU and the United States over the Transatlantic Trade and Investment Partnership (TTIP) show how deep these differences are: Paris and Berlin are very unhappy with many aspects of the deal the Americans have put on the table. President Barack Obamas visit to Spain will not resolve these deep-seated problems, nor will it bring about improvements in trade or business. This is hardly surprising, given that his visit was not about trade, but a diplomatic and institutional obligation towards one of Europes most important economies: meanwhile, creating business ties between our two countries is a long-term, ongoing project. What's more, the presence of Spains business middle classes in the United States depends in large part on the TTIP being signed.The post-Brexit eurozone would benefit from signing the TTIP as soon as possible to reaffirm the economic recovery of the area, as well as a way to counter fears about the impact of the UKs decision to leave the European Union. In the meantime, Spanish companies that want to set up in the United States will continue to have to accept the reality of initially high investment, a focus on Spanish-speaking markets, and above all, lots of marketing. English version by Nick Lyne. A huge bonfire in Tigers Bay area of Belfast before it is lit on the "Eleventh night" to usher in the Twelfth commemorations. Photo: Niall Carson/PA Wire A huge bonfire in Tigers Bay area of Belfast before it is lit on the "Eleventh night" to usher in the Twelfth commemorations. Photo: Niall Carson/PA Wire The Eleventh Night bonfires in Northern Ireland have caused outrage as election posters and flags were set on fire. Pictures from a bonfire in Portadown show a bonfire topped with Ireland flags and messages such as IRA and 1916 being set alight. Expand Close The Eleventh Night bonfire sparked outrage. Photo: Twitter/John O'Dowd / Facebook Twitter Email Whatsapp The Eleventh Night bonfire sparked outrage. Photo: Twitter/John O'Dowd The bonfire also carried election posters belonging to Sinn Fein politicians Catherine Seeley and John ODowd, who was Minister for Education in the North until 2016. Ms Seeley took to Twitter to say that she and Mr ODowd were reporting the blatant hate crime. .@JohnODowdSF and I will be reporting this for the blatant hate crime that it is pic.twitter.com/R6XGLbtZPA Catherine Seeley (@CatSeeley) July 9, 2016 Mr ODowd told Independent.ie: The event as I understand was billed as a childrens fun day. It is totally unacceptable that these stolen posters appeared on the bonfire, especially in the context of an event which was supposed to be a childrens fun day, what message does the burning of images of elected representatives send out to children? He added that election materials should be treated with respect, regardless of what political party they belong to. The posters burnt were stolen during the election campaign and were reported as such. This incident and the burning of posters and flags at the main bonfire later in the evening have been reported to the Police as theft and a hate crime, he said. The Eleventh Night bonfires mark the annual July 12 celebrations in Northern Ireland. The celebration includes parades and events with the traditional Eleventh Night bonfires lit across the country. President Michael D Higgins lays a wreath at the National Day of Commemoration Ceremony in the Royal Hospital Kilmainham yesterday. Photo: Fergal Phillips Hundreds of former members of the Defence Forces donned their uniforms for the National Day of Commemoration Ceremony yesterday. The service, in the Royal Hospital Kilmainham, commemorated all those who gave their lives in wars or on UN service. Expand Close Veterans John Larkin and Bernard Campbell. Photo: Fergal Phillips / Facebook Twitter Email Whatsapp Veterans John Larkin and Bernard Campbell. Photo: Fergal Phillips President Michael D Higgins laid a wreath in memory of fallen members of the Defence Forces, as political figures from both sides of the Border paid their respects. The ceremony concluded with a minute's silence and a fly-past of four PC-9 aircraft. Retired private Bernard Campbell, from Cloughjordan, Co Tipperary, said: "A lot of our ex-Defence Force members are no longer with us. It is important that we remember our fallen comrades, people we knew." Meanwhile, Crumlin veteran Fran O'Toole (61) said he would sign up for military service again "in a heartbeat". Expand Close Former presidents Mary McAleese (left) and Mary Robinson. Photo: Fergal Phillips / Facebook Twitter Email Whatsapp Former presidents Mary McAleese (left) and Mary Robinson. Photo: Fergal Phillips The Taoiseach was joined by members of his Cabinet, including Tanaiste Frances Fitzgerald, Social Protection Minister Leo Varadkar and Health Minister Simon Harris. Other guests included former presidents Mary Robinson and Mary McAleese and Northern Ireland's Deputy First Minister Martin McGuinness. Ceremonies were also held in Cork, Galway, Kilkenny, Waterford, Limerick and Sligo. ENDA Kenny's constituency colleague, junior minister Michael Ring, has warned rebels against launching any move against the embattled Taoiseach. "Now is not the time (to move against Kenny)," Mr Ring said this morning. The Mayo TD told independent.ie today that he supports Mr Kenny "100 per cent" and warned rebels against issuing any vote of no confidence. "Now that the Brexit situation has happened, we need to pull together as a party. Now is not the time (to move against Kenny). I am backing him 100pc," he said. Mr Ring made the intervention after a Fine Gael TD indicated that he will table a motion of no confidence in his party leader Enda Kenny soon at a parliamentary meeting Kerry TD Brendan Griffin said this morning that he believes the Fine Gael party is currently rudderless" while Fianna Fail TDs are enjoying an air of confidence as their party performs well in the polls. Expand Close Brendan Griffin / Facebook Twitter Email Whatsapp Brendan Griffin The backbencher TD told RTEs Morning Ireland he fears Fianna Fail will use the next Budget as an opportunity to call another General Election. We are rudderless and we are drifting and that needs to be addressed. I simply do not trust Fianna Fail, I simply do not trust Micheal Martin there is a clear swagger amongst Fianna Fail TDs theyre riding high in the polls. I think the party is in a very dangerous position at the moment We are very weakened at the negotiating table. Mr Griffin said the summer recess would be the best time for a change in leadership, and he believes there is more than one candidate who could take up the leadership role. I think its healthy for the party and the country that we would have a change. Read More Meanwhile, Education Minister Richard Bruton, who led a leadership challenge against Enda Kenny in 2010, told RTEs Morning Ireland that he does not agree with Mr Griffins challenge. I dont agree. This is not the time for a leadership challenge. Its been barely two months since Fine Gael took up the leadership challenge. Within two weeks well be publishing an action plan for housing. A couple of weeks after that well have a strategy on education. He said Ireland needs the Taoiseach to steer it through a period of instability brought about by Brexit which he described as a body blow to Ireland. However, TDs and senators who would normally be seen as being close to Mr Kenny believe he is fatally damaged as a result of the talk over his leadership. One senior Fine Gael figure, speaking on condition of anonymity, told Independent.ie: "You can be rest assured there will be another election in 2016." Mr Kenny is in Mayo today but is not expected to publicly address the controversy until tomorrow, when he is due to travel to Berlin to meet German chancellor Angela Merkel. Read More Junior minister for employment and small business Pat Breen has also intervened to his Party leader. Mr Breen said any move against him would be totally wrong and that Mr Kenny needs time and space to reflect on the situation. Enda Kenny has displayed great energy in driving our economic recovery and that should not be forgotten. This is the wrong time for any internal party squabble, Mr Breen said. Children and Youth Affairs Minister Katherine Zappone has said she believes the people of Ireland are not ready for a referendum on repealing the eighth amendment. Speaking to RTE Radio Ones News at One, the Dublin South-West TD discussed her decision to vote against independent TD Mick Wallaces bill to allow for abortions in the case of fatal foetal abnormalities. I have been an advocate as a feminist really for the past 30 years to change the constitution with regard to abortion, she said, noting that she felt there is way too much restriction on the legislation for women in relation to terminations in this country. Having said that, I did study the bill very carefully. Obviously I listened to and accepted the advice of the attorney general. I talked to other legal advisers, I talked to medical professionals and concluded that it actually wouldnt bring about the desired effect of the authors of the bill. She added that she still would have voted against the bill had she been in opposition. When asked about the possibility of holding a referendum on the issue, Ms Zappone said she is not convinced that a vote would pass. I think to call one now - Im not convinced yet that enough people are with us, especially those who are still to be persuaded, part pf middle Ireland, to say yes, they agree that there are various circumstances where women do, in very difficult circumstances, its the best and the most correct and ethical action to choose abortion," she said. Im not convinced that our people are ready to pass that referendum, we need more time. I think the process of a Citizens Assembly is the best way to move in that direction. Taoiseach Enda Kenny is racing to the Dail's recess in the hope that the questions hanging over his leadership will be diffused over the break. After enduring perhaps his most gruelling week at the helm of Fine Gael, the Taoiseach aims to brazen it out for less than a fortnight before the summer holidays provide respite from the leadership storm. Pressure is mounting on Enda Kenny to clearly explain a timeline for his departure from the Department of Taoiseach with one Fine Gael TD saying he should resign by September. Kerry backbencher Brendan Griffin said the party cannot trust Fianna Fail not to use October's budget to spark an election. The two parties have a three budget deal but Mr Griffin believes that Michael Martin's party are already taking advantage of the arrangement. And he claimed the Independent members of government are forcing Fine Gael to deviate from its core values and principles. In a statement to RTE, Mr Griffin said Fianna Fail could create a reason "during Budget negotiations, most like on an issue that would make Fine Gael look as bad as possible". The TD said that not having a new leader in place by before an election the party "would be decimated and Fianna Fail would most likely return to power in large numbers." "I would have major fears for the progress we have made as a country in recent years in that event," he said. A senior Fine Gael source said: "I don't think he (Mr Kenny) has much of a strategy. I think everyone wants to get to the summer recess." And a Fianna Fail source agreed that Fine Gael was trying to run out the clock, saying: "I think that's obviously what they're up to. Enda Kenny is trying to get to the recess." Ministers Joe McHugh and Heather Humphreys last night added their voices to those calling for Mr Kenny to be given time to decide on his plans for stepping down. Mr Kenny, meanwhile, has maintained his silence on the matter, despite rumblings over the weekend that backbench TDs were discussing formally questioning his leadership at a Fine Gael meeting this week. The senior Fine Gael source said that Mr Kenny and his team of ministers had been on an election footing since last September. They have been working "hammer and tongs" over the campaign and the talks to form a government. He said that the main contenders to take over from Mr Kenny - the likes of Leo Varadkar, Simon Coveney and Frances Fitzgerald - had no appetite for challenging the leadership now. "They're all tired and exhausted and this (the debate over Mr Kenny's leadership)doesn't help any of the pretenders to the crown." The source dismissed the significance of any backbench plotting, saying those involved are disgruntled TDs who weren't given jobs by Mr Kenny. Last night, International Development Minister Joe McHugh said he believed Mr Kenny should be given the summer to make a decision on his future. Speaking during a visit to Uganda he said: "The Taoiseach has already indicated that he will not be leading out on the next general election. I think after all he has done for the country he should be afforded respect and given the summer to make a decision." Arts Minister Heather Humphreys also added her voice to Cabinet colleagues rallying around the embattled Taoiseach. She said: "Enda Kenny has my absolute and full support and I feel that he should be given the time and space to decide himself when to go." Social Protection Minister Mr Varadkar however, while continuing to back Mr Kenny, was slow to say if he backed the Taoiseach's reappointment of Dr James Reilly as Fine Gael deputy leader. That unexplained announcement last Wednesday took Mr Kenny's Cabinet colleagues by surprise and became a lightening rod for discontent in the party. Asked on RTE's 'The Week in Politics' if he backed Mr Kenny's choice, Mr Varadkar initially said he wasn't consulted and it was the Taoiseach's decision. When asked again, he said: "I think it's something that came as a bit of a surprise to a lot of people in the party in general but it is a decision that Enda Kenny is entitled to make and he has made it. "Therefore I support it." Taoiseach Enda Kenny has said he has "no intention of being diverted" from the task of running the Government. Speaking in Castlebar at the Sacred Heart Hospital he told those gathered he was focusing on the tasks before him including the impact of Brexit on Ireland and setting up a task force for Dublin's north inner city. "Let me say I have no intention of being diverted from that work that I have undertaken and for which I have received a mandate to fulfill," he told Independent.ie. "That is my focus and my focus is entirely on the future and I will not be diverted from that task and that duty and that responsibility which is the mandate given to me by the people." He said he would also concentrate this week on putting together the final plans for the North Inner City task force in Dublin "following a number of serious murders in that area". Welcoming the media to the event Mr Kenny said: "I'd like to say it's been a great privilege to be elected Taoiseach for a second time by the Dail. "Let me say this very clearly. My objective has always been to secure the future of our country. That is what the people expect of their Taoiseach and their government. Read More "And that is what the Fine Gael people around the country expect of their leader and that is what I intend to deliver for them," he added. He said he will travel to Germany tomorrow to meet with the German Chancellor to discuss Ireland's unique relationship with the UK. "We have a major challenge up ahead and we are going to need support from other countries in explaining what it is that that relationship is," he added. Earlier, Mr Kenny had joked that there would be no election any time soon. Recalling previous visits to the residential care unit to assess residents ability to vote in elections, he added to laughter from the room: "Of course we won't have to do this for a very long time in the future". Meanwhile, Health Minister Simon Harris expressed his support for Enda Kenny today and said he believes the Taoiseach will know himself when the time is right to indicate when he will step down. Mr Kenny will know when it is the correct time to have an orderly transition, said Mr Harris. He also ruled out suggestions that loyalists of Mr Kenny will put down a motion of confidence to clear the air. The Taoiseach has worked extraordinarily hard to bring my party back from the doldrums when he took over in 2002 and I have no doubt that he wants to leave the party in a good state whenever he decides to leave. He added:I know Endas priority, along with all members of the Cabinet, is to deal with the challenges we face. Anything else is a distraction and we dont need a distraction, said Mr Harris. He was speaking during a visit to Arc Cancer Support Centre in Eccles St in Dublin where he expressed admiration for the good work being done. He insisted:The government must get on with the job. We live in different times.We have a minority government . There are challenges facing the country. Enda has vast experience and he has my full support. I know the Taoiseach will make his own plans in due course. The Strongman Competition at the Hook Lighthouse in Co Wexford. Fancy yourself the strongest man in Ireland? Those keen to test their strength may get the chance to do so at the Hooks Strongman Challenge next month. Competitors will be put through their paces during a series of six gruelling challenges over the August bank holiday at the worlds oldest working lighthouse in Wexford. The competition is an open weight category aimed to test the athletes physical and mental strength, and will kick off with a 350kg frame carry. Also known as a Farmer's Walk, the event challenges competitors' speed, grip and strength as two athletes will be given a 90-second time limit to carry the frames 30 metres. Next up is the over-head press medley, which includes dumbells weighing 75kg the weight of an average man that have been pressed by one hand; steel block weights clocking in at 85kg and 110kg; a 125kg axel and finally a whopping 150kg log that must all be pressed over the head. Two athletes at a time will participate, and will be given 90 seconds to lift all of the above overhead. In the keg toss, participants must throw eight kegs increasing in weight from 18kg to 28kg over a bar of five metres within the 90 second time limit. The silver dollar deadlift begins with an opening weight of 300kg, which will rise by 20kg with each round, during which each competitor has 60 seconds to attempt the weight bar. In the penultimate challenge, six atlas stones must be lifted onto a wall in 90 seconds. The first stone, weighing 100kg, must be lifted onto a 6ft wall. The wall height decreases with each stone, while the stones increases in weight to a final 160kg. The final event involves a loading race with 120kg sandbags as the loading objects. With a time limite of two minutes, competitors must carry the bag for 15 metres four times before placing it on a platform of 4ft 6inches in height. Think you have what it takes? The competition will take place on Sunday, July 31, from 1pm, and all proceeds will go to the Irish Motor Neurone Diseases Association. The former Republic of Ireland strongest man, Italys strongest man and a number of world-class power lifters will compete for the title of the Hook Strongman 2016. In addition to the Strongman Challenge, pirates will descend on Hook Lighthouse for some family fun games during the bank holiday festival. Guests can also look forward to live music and a barbeque. Three Irish aid workers are in hiding in South Sudan following the outbreak of violence in the nation's capital over the weekend. The three people work for Concern, which says it has "huge" worries for its 260 staff currently in the war-torn country. Two Irish staff are outside the South Sudanese capital of Juba and are not believed to be in immediate danger. But Concern's country director for South Sudan, Feargal O'Connell, from Dublin, said he and some of his colleagues have been forced to stay inside their Juba office since the conflict escalated on Friday. "Not so long ago, there was a helicopter gunship overhead and we heard two explosions - the second of which shook the windows of our office," he told the Irish Independent. "That was followed by intense small arms fire." "That's how things have gone since Friday. There has been some very intense firing in the capital. "We are hearing that around 10,000 people have been displaced since Friday," he continued. Concern currently has 260 staff in South Sudan and said it is very anxious to ensure their safety as the renewed civil war intensifies after a brief truce. Many of the charity's staff there are hiding out in their homes, their workplaces and anywhere they think is safe. Read more: Irish citizen on lockdown in South Sudan as violent gun battles rage The renewed conflict in Juba has been sparked by tensions between the country's president, Salva Kiir, and vice president Riek Machar. The two leaders fought each other in a two-year civil war that first broke out in 2013. It is believed that 272 people have been killed since clashes between rival factions began again on Thursday. Mr O'Connell said there were no plans to evacuate Concern's offices in Juba at present. "We feel confident at the moment that the Concern compound is the safest place we can be in right now," he said. "We are reviewing the situation on an almost hourly basis, but for now we will stay in the office." Meanwhile, Junior Minister for Development Joe McHugh yesterday announced 600,000 in emergency humanitarian assistance for refugees fleeing the conflict in South Sudan. He made the announcement after visiting a refugee settlement on the border between South Sudan and Uganda. Hundreds of people crossed into Colombia from Venezuela on Sunday. GABRIEL BARRERA (EFE) Hundreds of people stood in line on Sunday on the sidewalks of Sixth Avenue, in downtown Cucuta, carrying as many bags as they could manage. They made up the more than 35,000 Venezuelans who crossed into Colombia that day to buy staple foods and other basic products. Neither the weight of their load nor the fact that they spent the equivalent of two months minimum wage (15,000 bolivares, around $15 at black market rates) could deter Venezuelan shoppers as they filled their carts with oil, sugar, flour, bread, coffee, milk and toilet paper. They had been waiting for this day for nearly a year, after Venezuelan president Nicolas Maduro decided to shut down his side of the border, citing the need to crack down on smuggling. The decision to open the border temporarily came shortly after a group of 500 women calling themselves Las Damas de Blanco (The Ladies in White) pressured border agents into letting them through to buy food and medicine for their families. Humanitarian corridors to assist schoolchildren and the sick remain open since August of last year We knew something could happen, but we were not expecting this massive arrival, said David Castro, manager of Los Montes supermarket, which registered a 190% rise in sales compared with ordinary Sundays since the border shutdown. Although we were missing a few products due to a transportation strike, the essential ones, the ones they buy, were in stock, added Castro. In order to serve the thousands of Venezuelans who descended on the establishment, Los Montes upped its personnel to 40 from the usual 10, closed its doors at certain hours of the day to control the flow of shoppers, and provided free buses to the border. Standing in line awaiting the bus that would take them back to Venezuela, a group of women who declined to give their names opened up their grocery bags to reveal their purchases. Sign up for our newsletter EL PAIS English Edition has launched a weekly newsletter. Sign up today to receive a selection of our best stories in your inbox every Saturday morning. For full details about how to subscribe, click here. Ive spent around 5,000 pesos ($17) in San Cristobal. I could no longer find sanitary pads or medicine for headaches, explains one. If they open up again tomorrow, I am coming back. I dont mind spending all my money. Theres nothing left in Venezuela, says another. Police officers accompanied the visitors throughout their time in Colombia to ensure that their journey would be trouble-free. An operative of 1,000 men worked to make sure their transit was peaceful, said Gustavo Moreno, head of the border police. No incidents were reported. Before boarding the buses, some Venezuelans cried out: Thank you Colombia! Long live Colombia! For many of them, it had been a long time since theyd seen so much food together at a store. Some shoppers, such as Efrain Lopez, who lives in the state of Falcon, traveled 13 hours for the chance to cross into Colombia. When the border was closed, much of the activity in the area ceased altogether: the currency exchange stands, the drivers offering to help carry products from one side to the other. Now, though, some of the former bustle has returned to the area. We knew something could happen, but we were not expecting this massive arrival David Castro, Los Montes supermarket manager Weve made twice as many sales, says one cashier at a grocery store named El Triunfo. On the floor next to her there was a paper bag where she placed the bolivar notes. We charge a 0.25 surplus, she explains. At 8pm, as the border shut down again, police officers, military personnel, immigration agents and Tax Agency inspectors at the Colombian checkpoint went over people and bags to make sure there was nothing inside except food and supplies. A few meters away, Venezuelan guards looked on but did not request any form of ID. Most of them made it back, says Victor Bautista, who advises the Colombian Foreign Ministry on border issues. The working sessions between both countries remain open, and both ministers are going to meet before 20 days have elapsed. Meanwhile, humanitarian corridors to assist schoolchildren and the sick remain open since August of last year. Venezuelans remain hopeful that the border will reopen again. But nobody knows for sure which way the Maduro administration will turn. English version by Susana Urra. One man died after incident on the N72 at Eden Hill, Mallow (Photo: Google Maps) Two men have died in separate road collisions on Monday morning. One man died after his car collided with a truck in Cork at 4.30am. Another man was killed when the car he was driving collided with a van near the Longford/Westmeath border at 6am. In Cork, the man in his early thirties was fatally injured in the collision and was pronounced dead shortly after the crash at 4:30am. He was the sole occupant of the car that collided with the truck on the N72 at Longueville, Eden Hill, Mallow. He was pronounced dead at scene. His body was taken to Cork University Hospital where a post mortem is to be arranged. He was the sole occupant of this car. No other injuries were reported. The N72 is closed at Longville to facilitate Garda Forensic Collision Investigators. Diversions are in place. Investigating Gardai are appealing for witnesses and anyone with information is asked to contact them at Mallow Garda Station on 022 31450, The Garda Confidential Line 1800 666111 or any Garda Station. Meanwhile, the other man in his 30s died when the car he was driving collided with a van on the N4 between Edgeworthstown and Rathowen, County Longford/Westmeath at around 6am. He was the sole occupant of this car and was pronounced dead at scene. The driver and sole occupant of the van, a man, aged in his early 30s was brought to Midland Regional Hospital, Tullamore with serious non-life threatening injuries. A section of the N4 is currently closed to facilitate Garda Forensic Collision investigators. Diversions are in place. Investigating Gardai are appealing for witnesses and anyone with information is asked to contact them at Granard Garda Station on 043 6687660, The Garda Confidential Line, 1800 666111 or any Garda Station. Separately, gardai are hunting for a driver in a hit-and-run incident in Dublin which has left a man in a serious condition. 26-year-old male cyclist was hit by a car in the early hours of Saturday in Baldoyle, north Dublin, and taken to Beaumont Hospital. While his condition has improved, he remains seriously ill. Gardai are searching for the driver of a black Volvo that was found in Coolock. In another incident, a woman in her 40s was killed on Saturday night when her motorbike crashed into a central divide on the M9 motor-way in Kildare. She was pronounced dead at the scene. There has been a huge drop off in the number of people paying bills (stock photo) Irish Water payments almost halved in the last billing cycle after the Government announced the charges would be suspended for nine months. New figures revealed Irish Water customers paid 18.3m during the recent fifth billing cycle in April and May. This is a 45pc decrease from 33.4m in the previous cycle. According to Irish Water 65pc of customers have paid some or all of their domestic water charges to date. Irish Water said that while payments almost halved more households contributed in some way to their charges in the recent cycle. Some 989,000 householders paid in the fifth cycle in comparison to 975,000 at the end of the fourth. Read More The Government confirmed that any shortfall in funding from domestic charges during their suspension will be provided to Irish Water by the Exchequer. This will allow Irish Water to continue to deliver on its Business Plan. Irish Water said they wont issue any bills to domestic customers during the suspension period but that they will remain liable for balances due on any bills issued to date. The 53-year-old was ultimately found by a boat crew from the Doolin unit of the Coast Guard amid rough conditions off the Clare coast A woman who died after being swept into the sea by a rogue wave was in the water for almost an hour. The 53-year-old was ultimately found by a boat crew from the Doolin unit of the Coast Guard amid rough conditions off the Clare coast. The woman, who is believed to be from Lithuania but was living in Tipperary, was part of a group that had been fishing at Ballyreen, south of Fanore. The area has been the scene of several tragedies in recent years. It is understood that she was swept off the rocks by a wave and taken out to sea. The alarm was raised at around 9am when the Coast Guard received a report of a person in the water at Blackhead in Co Clare. Later, however, it was confirmed that the incident had occurred further south, at Ballyreen. Read More An ambulance crew and garda patrols were first to arrive at the scene, while the Doolin unit of the Coast Guard was mobilised. A rescue boat was quickly launched, but weather conditions at the time were almost too dangerous to put the boat to sea, with winds reaching Force Six to Seven. The woman could be seen treading water for a time and was later seen floating on her back before her friends lost sight of her. Members of Doolin Coast Guard located the woman. She is understood to have lived in Nenagh for the past five years where she worked in a shop. U.S. singer Taylor Swift (L) and British actor Tom Hiddleston arrive at Gold Coast airport in Australia. nPicture: AAP/Matt Roberts/via REUTERS Taylor Swift and her #GirlSquad during her Fourth of July celebrations Taylor Swift and Tom Hiddleston are seen at LAX on July 06, 2016 in Los Angeles, California. (Photo by starzfly/Bauer-Griffin/GC Images) Taylor Swift and Tom Hiddleston are seen at LAX on July 06, 2016 in Los Angeles, California. (Photo by starzfly/Bauer-Griffin/GC Images) Tom Hiddleston is staying mum about his new relationship with Taylor Swift after dodging questions from reporters about their new romance. The British actor and his pop star girlfriend landed in Australia on Thursday, where he is filming the third instalment of the Thor franchise. Since then, the loved-up couple has been spotted out and about around the country's Gold Coast, where a curious reporter from local news station 9News tackled Tom while he was taking a breather from a jog on Saturday. The newsman asked the star, "How is Taylor enjoying the beautiful Gold Coast?", to which Tom laughed awkwardly and politely responded, "I'm not going to answer that, if it's all right." The reporter continued to prod by asking what he and Taylor were planning to doing during their time in Australia, to which the Brit said, "I don't know... It's just good to be back." Expand Close U.S. singer Taylor Swift (L) and British actor Tom Hiddleston arrive at Gold Coast airport in Australia. nPicture: AAP/Matt Roberts/via REUTERS / Facebook Twitter Email Whatsapp U.S. singer Taylor Swift (L) and British actor Tom Hiddleston arrive at Gold Coast airport in Australia. nPicture: AAP/Matt Roberts/via REUTERS Eager to change the subject, Tom wasn't as reluctant to answer questions about filming Thor: Ragnarok, telling 9News he is "very much" looking forward to reprising his role as Loki and reuniting with co-stars, including Aussie hunk Chris Hemsworth. Read More "I was on set yesterday and met everybody and saw Chris and (director) Taika Waititi and can't wait to get going," he said. Although he refused to discuss Taylor, the couple was later photographed walking hand-in-hand following a dinner date in Broadbeach at the Gemelli Italian restaurant on Sunday. "Tom looked smitten with her as they talked softly and she giggled a lot," a source tells Us Weekly. "They were beaming as they gave warm smiles to onlookers, like any new couple who just can't hide their feelings for each other." Expand Close Taylor Swift and Tom Hiddleston are seen at LAX on July 06, 2016 in Los Angeles, California. (Photo by starzfly/Bauer-Griffin/GC Images) / Facebook Twitter Email Whatsapp Taylor Swift and Tom Hiddleston are seen at LAX on July 06, 2016 in Los Angeles, California. (Photo by starzfly/Bauer-Griffin/GC Images) The couple's trip Down Under is the latest stop on their worldwide whirlwind romance ever since their relationship went public last month. They have spent time together in Nashville, Tennessee, Tom's native England, Rome, Italy, and most recently celebrated America's Independence Day holiday at Taylor's Rhode Island retreat. Video of the Day SUBSCRIBERS OF UCOMS ALL TIME BEST OFFER TO ENJOY ADDITIONAL BENEFITS Armenia-Azerbaijan: EU sets up monitoring capacity along the international borders PACE co-rapporteurs on Armenia concerned by reports of alleged war crimes or inhuman treatment perpetrated by Azerbaijans armed forces There is still 35% gender pay gap: Sona Ghazaryan Google Ad Global Finance Names Ameriabank the Safest Bank in Armenia Mikayel and Karen Vardanyans provided 136 million AMD support for the overhaul of the Myasnikyan statue, which was in unsafe state of disrepair Believe me, as a representative of a country which uses the Schengen system very often, it is quite important. Vardanyan I really look forward to having answers from the Azerbaijani side for these alleged gross human rights violations: Secretary General I call on Armenian and Azerbaijani parliamentarians to use this Assembly as an agora of opportunities President Tiny Kox UCOMS SPECIAL OFFER OF THE UNLIMITED INTERNET IS NOW TERMLESS There is no place for the death penalty in a State that respects human rights: PACE General Rapporteur EU and CoE call on two Member States that have not yet acceded to this Protocol Armenia and Azerbaijan to do so without delay An urgent debate requested on "The military hostilities between Armenia and Azerbaijan". UCOM AND PES-PES CONTINUE COOPERATION WITHIN THE FRAMEWORK OF EDUCATIONAL PROJECT The statement of the meeting between Prime Minister Pashinyan, President Aliyev, President Macron and President Michel of October 6, 2022 Largest Corporate Bond Program at the Securities Market of Armenia Completed Successfully Google Ad The statement of the Defender on the video of the execution of Armenian PoWs by the Azerbaijani armed forces LEVEL UP ONLY FOR STUDENTS: UCOM OFFERS X2 AND X3 MORE INTERNET STATEMENT BY SECRETARY ANTONY J. BLINKEN This criminal act is another proof that the Armenophobia policy. Tatoyan Nikol Pashinyan, Nancy Pelosi discuss a number of issues related to the Armenian-American agenda and regional developments Delegation by Nancy Pelosi Accompanied by Alen Simonyan Visits Tsitsernakaberd Memorial Complex Speaker of the U.S. House of Representatives Nancy Pelosi Arrives in Yerevan Armenian Revytech, global technology leader SAP and financial services software specialist SAP Fioneer sign a cooperation agreement With 120 million drams donated by Mikael Vardanyan, the defenders of the homeland will be treated in a new building OSCE Chairman-in-Office and OSCE Secretary General call for immediate cessation of hostilities along Armenia-Azerbaijan border Statement by the Ministry of Foreign Affairs of the Republic of Artsakh USA Embassy Message for U.S. Citizens ANCA Issues National Call to Action to Stop Taxpayer Funding of Aliyevs Aggression Indian authorities struggled to contain street protests by Kashmiris defying patrols and a stringent curfew after at least 25 people died in clashes that followed the killing of a top rebel leader. Paramilitary troops and police in riot gear patrolled villages and towns in the Himalayan region. Most shops were shuttered, businesses were closed, and mobile phone and internet services were suspended in parts of the region. But crowds ignored the clampdown and clashed with government troops in parts of the main city of Srinagar and several other places in the region. At least two teenagers injured in the clashes died in a hospital on Monday. The protests erupted on Saturday, a day after Indian troops killed Burhan Wani, the young leader of Kashmir's largest rebel group, Hizbul Mujahideen, which has been fighting since the 1990s against Indian rule. Wani, in his early 20s, had become the iconic face of Kashmir's militancy, using social media to rally supporters and reach out to other youths who had grown up while hundreds of thousands of Indian armed forces have been deployed across the region. Police Inspector-General Syed Javaid Mujtaba Gillani described Wani's killing as the "biggest success against militants" in recent years. Pakistan's foreign secretary expressed his concerns over the killings of Wani and civilian protesters to Indian authorities Monday evening. Aizaz Ahmad Chaudhry told Indian High Commissioner Gautam Bambawale that the use of force against peaceful protesters was a human rights violation and that a fair inquiry should be made into the killings, according to the secretary's statement. Pakistan and India each administer part of Kashmir but claim the region entirely. In the portion controlled by India, opposition to India is strong. Many in the region of 12 million people resent the deployment of hundreds of thousands of Indian troops and openly voice support for the rebels fighting for independence or a merger with neighbouring Pakistan. As news of Wani's death spread among Kashmiris, spontaneous protests grew and crowds of youths threw rocks at Indian police and paramilitary soldiers, shouting "Go India, go back!" Police said protesters attacked them and burned scores of police and paramilitary posts and some homes of pro-India politicians. At least 24 civilians and one policeman have died from wounds sustained in clashes since Saturday, as law enforcement officers used live ammunition, pellet guns and tear gas to try to break up the protests. Most of those killed were teens and men younger than 26 from southern Kashmir, police said. In addition, more than 150 civilians and 100 government troops have been injured. At least 10 of the injured civilians were in serious condition. In several neighbourhoods in Srinagar, activists painted graffiti on iron shutters of shops and walls, deploring India and eulogising Wani. Messages that they wrote included "Burhan our hero" and "Burhan still in our hearts". Since the 1990s, more than 68,000 people have been killed in Kashmir's uprising against Indian rule and the subsequent Indian military crackdown. Amid the protests, Indian officials suspended an annual Hindu pilgrimage to a mountain cave that draws about half a million people each year. Authorities also postponed school and college examinations and suspended rail services. AP One hundred protesters are under investigation Rioting in the German capital by left-wing protesters which left scores of police officers injured over the weekend have been condemned by Berlin officials. City interior minister Frank Henkel called the riots in the Friedrichshain district, which followed police operations last month at buildings taken over by squatters, a "leftist orgy of violence". City officials are holding a meeting on how to react to Saturday night's events, which police called "the most aggressive and violent protests in the last five years". The issue comes amid rising political tension before regional elections in September. German police said two people are still in custody for breach of the peace, and 100 protesters are under investigation. Hundreds of barristers have advised David Cameron to tread carefully before taking steps to withdraw the UK from the European Union. More than 1,000 have signed a letter to the British Prime Minister that says the referendum result is "advisory" and not legally binding. They say primary legislation will be needed before Article 50 of the Lisbon Treaty - the route via which member states leave the EU - can be triggered. The lawyers also say the government should organise an independent investigation into the pros and cons of withdrawal before making exit plans. They say their letter will reach Mr Cameron this week and proposes a way forward that "reconciles the legal, constitutional and political issues" triggered by the Brexit vote. Philip Kolvin QC, who co-ordinated the creation of the letter, said: "Parliament is sovereign and the guardian of our democracy. "MPs are elected to exercise their best judgment on the basis of objective evidence, to safeguard the interests of the country and their constituents for this and future generations. At this time of profound constitutional, political and possibly social and economic crisis, we look to them to fulfil the responsibility placed upon them." One barrister involved, Aidan O'Neill, a constitutional and EU law specialist, said: "The Brexit referendum has made clear that the UK is not a united nation-state, but a divided state of nations. "But it has given no mandate or guidance as to what our nations' future relationship might be with Europe, and with each other." The death of a Spanish matador, who was gored by a bull live on Spanish television, has reignited a national debate about bullfighting, as his wife said his passing was "unfair" and that her husband had lost his life in "glory". Victor Barrio (29) was gored in the thigh and chest during a bullfight in the eastern town of Teruel on Saturday. Although medics treated him by the bullring, they could not save his life. He was the first Spanish matador to die in the bullring since 1985. Yesterday, Barrio's widow, Raquel Sanz, thanked well-wishers for their support. "Thanks to everyone," she wrote on Twitter. "I cannot reply to you, I have no words. My life has gone, I have no strength, although I have a lot of thanks." Even as tributes to Mr Barrio poured in, including one from prime minister Mariano Rajoy, who tweeted his condolences, animal rights activists began to speak out against the Spanish tradition, with many criticising the matador. "With one less murderer walking around, the rest of us can rest more easily," said one comment under an article in the Left-wing newspaper 'Publico' by a reader named as Bender. Another reader, called Vivaercante, wrote: "There are few such absurd ways to lose your life." PACMA, an animal rights political party, meanwhile began a campaign to save the mother of Lorenzo, the bull which killed Barrio and which is due to be slaughtered, as is the custom when a matador is fatally gored. Community members demonstate after a vigil in memory of Alton Sterling, who was shot dead by police, at the Triple S Food Mart in Baton Rouge, Louisiana, U.S. July 6, 2016. REUTERS/Jeffrey Dubinsky The former New York City mayor spoke during a TV interview (AP) Former New York City mayor Rudy Giuliani has accused the Black Lives Matter movement of being inherently racist during a TV interview. During an appearance on CBSs Face the Nation on Sunday, Mr Giuliani said: When you say black lives matter, thats inherently racist. Black lives matter. White lives matter. Asian lives matter. Hispanic lives matter. Thats anti-American and its racist. His comments came amidst the ongoing protests across the country following the fatal shootings of black men by white police officers and the killing of five police officers in Dallas. Mr Giuliani said the medias coverage of the deaths has created a disproportion. He added that the Black Lives Matter movement has promoted violence against the police by putting a target on police officers backs. He said of the activists: They sing rap songs about killing police officers, they talk about killing police officers, they yell it out at their rallies and the police officers hear it. Mr Giuliani also argued that black children are at more risk of being killed by another black child than by a police officer. Expand Close Community members demonstate after a vigil in memory of Alton Sterling, who was shot dead by police, at the Triple S Food Mart in Baton Rouge, Louisiana, U.S. July 6, 2016. REUTERS/Jeffrey Dubinsky / Facebook Twitter Email Whatsapp Community members demonstate after a vigil in memory of Alton Sterling, who was shot dead by police, at the Triple S Food Mart in Baton Rouge, Louisiana, U.S. July 6, 2016. REUTERS/Jeffrey Dubinsky If you want to deal with this on the black side, youve got to teach your children to be respectful to the police, and youve got to teach your children that the real danger to them is not the police, he said. The real danger to them, 99 out of 100 times are other black kids who are going to kill them. Thats the way theyre going to die, he claimed in the interview. A Russian rock musician has died after his powered paraglider plunged into a lake south of Bucharest, Romania. The man, identified as Nik Baydin, a Russian who plays with Romanian band The R.O.C.K, died on Sunday evening after the flying apparatus, known as a paramotor, fell into a lake near the village of Comana. Medics tried to resuscitate him for one hour, but failed. The Romanian pilot was transported to a hospital to be treated for his injuries. Baydin, a vocalist and guitarist for the band, lived in Bucharest, and the group had staged a concert there on Friday. Fans have been called to gather to remember Baydin on Monday evening in Bucharest. He is survived by his British wife, Fern Baydin. AP SUBSCRIBERS OF UCOMS ALL TIME BEST OFFER TO ENJOY ADDITIONAL BENEFITS Armenia-Azerbaijan: EU sets up monitoring capacity along the international borders PACE co-rapporteurs on Armenia concerned by reports of alleged war crimes or inhuman treatment perpetrated by Azerbaijans armed forces There is still 35% gender pay gap: Sona Ghazaryan Global Finance Names Ameriabank the Safest Bank in Armenia Mikayel and Karen Vardanyans provided 136 million AMD support for the overhaul of the Myasnikyan statue, which was in unsafe state of disrepair Believe me, as a representative of a country which uses the Schengen system very often, it is quite important. Vardanyan I really look forward to having answers from the Azerbaijani side for these alleged gross human rights violations: Secretary General I call on Armenian and Azerbaijani parliamentarians to use this Assembly as an agora of opportunities President Tiny Kox UCOMS SPECIAL OFFER OF THE UNLIMITED INTERNET IS NOW TERMLESS There is no place for the death penalty in a State that respects human rights: PACE General Rapporteur EU and CoE call on two Member States that have not yet acceded to this Protocol Armenia and Azerbaijan to do so without delay An urgent debate requested on "The military hostilities between Armenia and Azerbaijan". UCOM AND PES-PES CONTINUE COOPERATION WITHIN THE FRAMEWORK OF EDUCATIONAL PROJECT The statement of the meeting between Prime Minister Pashinyan, President Aliyev, President Macron and President Michel of October 6, 2022 Largest Corporate Bond Program at the Securities Market of Armenia Completed Successfully Google Ad The statement of the Defender on the video of the execution of Armenian PoWs by the Azerbaijani armed forces LEVEL UP ONLY FOR STUDENTS: UCOM OFFERS X2 AND X3 MORE INTERNET STATEMENT BY SECRETARY ANTONY J. BLINKEN This criminal act is another proof that the Armenophobia policy. Tatoyan Nikol Pashinyan, Nancy Pelosi discuss a number of issues related to the Armenian-American agenda and regional developments Delegation by Nancy Pelosi Accompanied by Alen Simonyan Visits Tsitsernakaberd Memorial Complex Speaker of the U.S. House of Representatives Nancy Pelosi Arrives in Yerevan Armenian Revytech, global technology leader SAP and financial services software specialist SAP Fioneer sign a cooperation agreement With 120 million drams donated by Mikael Vardanyan, the defenders of the homeland will be treated in a new building OSCE Chairman-in-Office and OSCE Secretary General call for immediate cessation of hostilities along Armenia-Azerbaijan border Statement by the Ministry of Foreign Affairs of the Republic of Artsakh USA Embassy Message for U.S. Citizens ANCA Issues National Call to Action to Stop Taxpayer Funding of Aliyevs Aggression Solar Impulse 2 is heading for Cairo in Egypt after taking off from Seville in Spain An experimental solar-powered plane has left Spain for Egypt on the penultimate leg of its globe-circling voyage. Organisers said the Solar Impulse 2 took off from Seville airport on Monday and was heading for Cairo. The flight, piloted by Andre Borschberg, accompanied by fellow Swiss Bertrand Piccard, is expected to last 50 hours and 30 minutes. The round-the-world voyage began in March 2015 in Abu Dhabi in the United Arab Emirates and is also due to finish there. The plane arrived in Seville on June 23 after an unprecedented three-day flight across the Atlantic. The wings of Solar Impulse 2, which stretch wider than those of a Boeing 747, are equipped with 17,000 solar cells that power propellers and charge batteries. The plane runs on stored energy at night. AP Twin brothers Brandon-Lee Thulsie and Tony-Lee Thulsie were charged with conspiring to blow up the US Embassy in Pretoria Two sets of South African siblings have appeared in court on terror-related charges, including a pair of twin brothers accused of plotting to blow up the US Embassy and various Jewish institutions in the country. The four were allegedly planning to fly to Syria, where they were due to undergo training by a terror organisation, police spokesman Hangwane Mulaudzi said. They had been under surveillance for nearly a year. "The allegation is that after the training, they would come back to South Africa," Mr Mulaudzi said, adding that security officials hoped there would be more arrests. Twin brothers Brandon-Lee Thulsie and Tony-Lee Thulsie were charged with conspiring to blow up the US Embassy in Pretoria and various Jewish targets. Mr Mulaudzi said the twins were arrested in Johannesburg on Saturday. A provisional charge sheet says the brothers may have links to Islamic State. Siblings Fatima Patel and Ibrahim Mohammed Patel appeared in a separate court on Monday after a stun grenade and live ammunition were confiscated during a raid on their home outside Johannesburg on Saturday night, said Phindi Louw, a spokeswoman for the National Prosecuting Authority. All four of the accused are expected to have the chance to apply for bail next week. Mr Mulaudzi said the arrests are not connected to the warning the United States issued last month of possible terror attacks in South Africa during the holy month of Ramadan. The South African government accused Washington of creating unnecessary alarm and undermining the country's fight against terror. This is not the first time South Africa has arrested someone suspected of trying to leave to join Islamic State. Last year, a 15-year-old girl was arrested on a flight in Cape Town while on her way to join the extremist group, the state security ministry said. It was the country's first known detention linked to Islamic State. AP The United States will send 560 more troops to Iraq to help establish a newly retaken air base as a staging hub for the battle to recapture Mosul from Islamic State (IS) militants. US defence secretary Ash Carter revealed the deployment on an unannounced visit to the country. Most of the new troops will be devoted to the build-up of the Qayara air base, about 40 miles south of Mosul, and will include engineers, logistics personnel and other forces, Mr Carter said. They will help Iraqi security forces planning to encircle and eventually retake the key city. He said: "These additional US forces will bring unique capabilities to the campaign and provide critical enabler support to Iraqi forces at a key moment in the fight." Mr Carter revealed US president Barack Obama's decision as he spoke to about 120 troops in a building at Baghdad's airport. Many were members of the 101st Airborne Division, known as the Screaming Eagles. The increase brings the total US force authorisation in Iraq to 4,647, and comes just three months after Mr Obama's last troop addition. Mr Carter told reporters earlier that US advisers are prepared to accompany Iraqi battalions if needed, as those units begin the siege of the key northern city. One potential job is helping Iraqi troops use highly technical bridging capabilities to get across the river into Mosul. Mr Carter called this weekend's recapture of Qayara a key strategic victory. Speaking to reporters before he arrived in Baghdad, he said the air base will be one a hub from which "Iraqi security forces, accompanied and advised by us as needed, will complete the southern-most envelopment of Mosul. That's its strategic role, and that's its strategic importance". He likened the air base to how forces used the eastern city of Makhmour. There, US troops set up a fire base for artillery to support advancing Iraqi units. Marine Staff Sgt Louis F Cardin was killed at the fire base in March in an IS rocket attack. Iraqi forces retook the air base from IS on Saturday. Iraqi p rime minister Haider al-Abadi hailed the success as a key step toward Mosul, Iraq's second largest city. Residents there should "get ready for the liberation of their areas," Mr al-Abadi said. US officials said American advisers are already working at brigade level with Iraqi special operations forces, but they have not yet accompanied them on operations. Mr Carter is expected to meet al-Abadi and minister of defence Khalid al-Obeidi, and Lt Gen Sean MacFarland, the top US military commander for the Islamic State fight. The main topic, he said, will be the next steps in the military campaign, with a particular focus on Mosul. IS captured Mosul in the summer of 2014. It has used the city as a main headquarters since. Mr Carter's visit to Iraq comes on the heels of the two-day Nato summit where allies agreed to expand their military support for the war. In addition to Qayara, Iraqi government troops have recently retaken Ramadi, Fallujah and a number of towns along the route to Mosul. But IS militants still control large swathes of the country and continue to launch deadly attacks, including a massive suicide bombing last week at Baghdad's bustling commercial area of Karada. As many as 186 were killed. AP Volkswagen has said it will repay early a pair of loans from the European Investment Bank totalling 975 million euro (nearly 850 million) as the company grapples with fallout from its diesel emissions scandal. Volkswagen said the loans were approved at the end of 2014, and stressed that the repayment decision was voluntary. The company said it decided on the move "to defuse the public debate" in view of investigations into the scandal surrounding emissions-cheating software, but that there is no direct connection of any kind between the loans and the diesel issue. Volkswagen faces investigations by various authorities in the emissions scandal. A medical student who joined Isil has become the first British female recruit to be killed after she was caught in an air strike in Iraq, reports claim. Rowan Kamal Zine El Abidine (22) died during the attack on Thursday, but her husband and baby daughter are thought to have survived. News agencies in Sudan - where the student's family are from - did not specify where in Iraq the strike took place, but it is believed Ms El Abidine was in Mosul, where foreign recruits often live in the terror group's main base. Before joining Isil, Ms El Abidine left the UK to attend the University of Medical Sciences and Technology in the Sudanese capital of Khartoum. She made headlines last year when it was reported that she and eight other British students from her university had left to join Isil. The students, who were born and raised in England, but had been studying medicine in Khartoum, flew to Turkey in March last year before crossing over the border into the Isil-controlled town of Tal Abyad, Syria. One of the students reportedly told her parents via Whatsapp that they had gone to Syria to "help, not to fight" by treating victims. The British Foreign Office said it could not confirm Ms El Abidine's death. Meanwhile, Isil lost an area the size of Ireland - a quarter of its territory - to hostile forces in the last 18 months in Iraq and Syria and is likely to further step up attacks on civilians in coming months, research firm IHS said in a report yesterday. The territory controlled by the ultra-hardline Sunni group shrank from 90,800sq.km in January 2015, six months after it declared a caliphate in Syria and Iraq, to 68,300sq.km, the research firm said. This has led the group to step up attacks on civilian targets in the Middle East and in Europe and this is likely to intensify, IHS said. Rowan Kamal Zine El Abidine, 22, was one of nine medical students who left their university to join Isis in 2015 A medical student who joined Isis has become the first British female recruit to be killed after she was caught in an air strike in Iraq, reports claim. Rowan Kamal Zine El Abidine, 22, died during the attack on Thursday, but her husband and baby daughter are thought to have survived. News agencies in Sudan where the students family are from - did not specify where in Iraq the strike took place, but it is believed Ms El Abidine was in Mosul, where foreign recruits often live in the terror groups main base. Before joining Isis, Ms El Abidine left the UK to attend the University of Medical Sciences and Technology in the Sudanese capital of Khartoum. She made headlines last year when it was reported that she and eight other British students from her university had left to join Isis. Escaped Isis sex slave calls on Britain to help Yazidis The students, who were born and raised in England, but had been studying medicine in Khartoum, flew to Turkey in March last year before crossing over the border into the Isis-controlled town of Tal Abyad, Syria. One of the students reportedly told her parents via Whatsapp that they had gone to Syria to help, not to fight by treating victims of war. The Foreign Office said it could not confirm Ms El Abidine's death. SHARE By Elizabeth Lafleur, The Greenville News A night after a peaceful rally turned confrontational in downtown Greenville, dozens of residents and community leaders gathered Sunday at historic Springfield Baptist Church to send a message of unity to the community. The church, organized by former slaves in 1867, played host to a gathering of residents and people in power who all stressed a unified message in the wake of tragedies across the country: Discourse and conversation are welcome, violence is not. State Rep. Leola Robinson-Simpson told those in attendance: "Stay on the battlefield, but be nonviolent." Robinson-Simpson spoke of her history as a protester and demonstrator during the civil rights movement of the 1960s. She emphasized that they got their point across without violence, while encouraging young people to keep up the good fight. Greenville Police Chief Kenneth Miller and Republican nominee for Greenville County sheriff Will Lewis also encouraged people to voice their opinions, but to stay within the law. The gathering came just hours after Greenville city officials announced they were tightening demonstration rules after a peaceful rally turned confrontational late Saturday night. Five people were arrested, a police officer was choked and a Taser was used on one person following a peaceful march in downtown Greenville, authorities said. At a news conference Sunday afternoon, Miller said police will begin enforcing the city's rules on picketing for future demonstrations. "Most groups are orderly and coordinate with us," Miller said. "That is what we'd prefer to do here." Miller says he has been in contact with organizers and provided them with the city's picketing ordinance. The rally Saturday night escalated into a confrontation with police when demonstrators voiced plans to block Interstate 385, authorities said. Police said one demonstrator was arrested after "actively fighting and choking a Greenville police officer." A Taser was used on this person resulting in injuries typically associated with the use of a Taser, according to police. There were no other injuries, police said. Miller and Greenville Mayor Knox White held a news conference, along with several city leaders and local NAACP leaders Sunday afternoon to address the weekend rallies and resulting arrests. Bishop Sam Zimmerman, a local NAACP leader rode along with police on Saturday night. He was not there at the confrontation but said Sunday that during the hours he was with police, "We saw compassion and tolerance, the exercise of restraint. We were satisfied." Jack Logan, a local Greenville activist, road along with police on Saturday night and said police gave demonstrators every opportunity to voice their opinion while protecting the safety of others downtown. The demonstration Saturday deteriorated as demonstrators refused to remain on sidewalks, a rule dictated in that ordinance. The situation further deteriorated when roads were blocked and demonstrators said they wanted to shut down I-385. When police stopped the group at I-385 and Academy Street, demonstrators tried to push through a line of police. Derrick Quarles, organizer of the rally, said marchers wanted to shut down the highway in a live stream posted Saturday night on Facebook. "We're sick and tired. We're not going home until our voices are heard and we get some action," Quarles said. "We're shutting down 385, because 385 is the highway that people use to come into downtown, and downtown is regarded as a safe and sacred and holy piece of land." SHARE ALLISON WELLS/SPECIAL TO INDEPENDENT MAIL Detective Michael Arflin has been writing witty posts for the Clemson Police Department page on Facebook. By Allison Wells, Special to Independent Mail CLEMSON There's a new funny man in town, and he wears a uniform and badge. Every morning Detective Michael Arflin of the city of Clemson Police Department shares information on the department Facebook page about the previous days' arrests. But he provides more than just the straight facts about cases of driving under the influence or breaks-ins. This officer uses humor. For example, he posted on June 29 that "There are two in the 'Gray-bar Inn' this morning. The first went to the local Neighborhood Market to do a little shopping I said shopping... not buying. The young man in question picked up a blue case of beer that someone had just left sitting there on the display with all the other similarly colored boxes, and went out the front door bypassing the checkout lines completely. He thought it cheaper and more time efficient that way." Or this one from July 6 about an officer stopping a passing motorcycle, "The motorcycle was travelling the speed limit...the problem arose when the officer noticed it had a 'MOPED' tag on it. The driver provided a license, he just missed the part about it needing to be 'his.' Once again, the spidey-sense we are issued went off and the officer asked him for his name. The driver, having not planned this out past a certain point (referred to as 'at all'), could only recite the first name on the ID." In a job that demands such professionalism, Arflin appreciates that he can let loose at least a little, he said. "I decided to let the inner me out. Laughter is everything. The only medicine," he said from his desk at the police department. Laughter has caused him to have his own fan club. The social media page initially did not have a lot of activity, but some of his recent posts have garnered more than 430 likes and 120 shares. Like other people who have said positive things, Clemson resident Marissa McFarland is an avid reader of the Facebook posts and said she looks forward to them daily. "Thank you for my morning laugh and keeping this town safe," she commented on June 28. Others have asked the man behind the screen when his comedy book will be coming out. "My wife told me not to get any ideas," joked Arflin, who has worked for the Clemson Police Department for eight years. The department Facebook page was launched in April, and the comedy-club style of reporting began June 28 after Arflin realized nothing was happening in the space. The number of interactions on the page jumped from a total of fewer than 1,000 to more than 20,000 practically overnight. Arflin got the idea to become creative after becoming familiar with the Facebook page of the police department in Bangor, Maine, he said. In terms of what he writes, "I get inspiration everywhere. You go back to school-age logic like 'liar, liar pants on fire,' or a line from a George Carlin show," Arflin said. When asked which of his posts so far is his favorite, he referred to his first comedic attempt: "She was arrested for mistaking a pair of flashing blue lights for the start of a street race. The race was short because she had not properly trained or brought proper equipment for the chosen activity, again saving the motoring public unneeded destruction." Another benefit of the Facebook page is that the public has been able to connect on a more personal level with the department, authorities said. After there was a supposed intruder at Clemson Elementary School earlier this spring, the page was used to dispel rumors quickly. Arflin said, "I want people to see I'm just like them. Just because I have a badge and a gun doesn't change that." There's no sign of a slowdown in the humorous truths shared each morning. "The best humor is the truth," Arflin said. Ban Ki-moon: I urge all Governments, businesses and civil society to support and invest in teenage girls "On this World Population Day, I urge all Governments, businesses and civil society to support and invest in teenage girls. Everyone deserves the benefits of economic growth and social progress. Let us work together to ensure a life of security, dignity and opportunity for all," United Nations Secretary-General Ban Ki-moon said in his message on the occasion of World Population Day. Below is the full text: "The international community has committed to a new sustainable development agenda built on the principles of equity and human rights. A central objective of the Sustainable Development Goals is to leave no one behind. Despite significant gains made in reducing poverty and improving opportunity and well-being for many people around the world, hundreds of millions remain desperate for a chance of a better future, Among those least served by previous development initiatives are girls, particularly those in their formative teenage years. Just when girls should be in school and imagining the possibilities ahead, too many are held back from pursuing their ambitions by social and cultural traps. While a boys options and opportunities tend to expand when he becomes an adolescent, those of a girl too often shrink. Half of all sexual assaults worldwide are committed against girls aged 15 or younger. In developing countries, one in three girls is married before she reaches 18. And teenage girls are less likely than teenage boys to start or finish secondary school. Rectifying these inequalities is critical for the success of the 2030 Agenda for Sustainable Development. That is why it includes the specific Goal of achieving gender equality and empowering all women and girls. On this World Population Day, I urge all Governments, businesses and civil society to support and invest in teenage girls. Everyone deserves the benefits of economic growth and social progress. Let us work together to ensure a life of security, dignity and opportunity for all." The entire film fraternity and movie buffs are waiting with bated breath for the release of Superstar Rajinikanth's 'Kabali'. The pre-release buzz for this Pa.ranjoith directorial has gone to a extremely huge level even when compared to the buzz for other Rajinikanth films in the recent past. In 'Kabali' Rajinikanth plays an ageing don and comparisons with his previous don flick 'Baasha' which was one of the biggest blockbusters in the Superstar's illustrious career are unavoidable. However actor Kishore in his exclusive interview to Indiaglitz, explained what makes 'Kabali' unique and different from 'Baasha'. Kishore has acted as one of he lead villains in 'Kabali'. "We can not say like that just because of the common underworld background. There are so many love stories. Love is common but that will be narrated with different characters, background and backdrops. Like that this is based in Malaysia. It will have a political backdrop. The political and social reasons of what lead to the formation of an underworld in Malaysia have been discussed. Tamilians, Chinese and Muslims are the three major communities living there. The film deals with how they came into this, how they evolve, what is their relationship with the politics etc. Ranjith has tried to show many serious issues in this film. Despite this being a commercial film, there will be a lot of serious issues in the undercurrent." Kabali produced by Kalaipuli.S.Thanu is expected to hit the screens on July 22. The film stars Rajinikanth and Radhika Apte in lead roles. Prevent Unauthorized Transactions in your demat / trading account Update your Mobile Number/ email Id with your stock broker / Depository Participant. Receive information of your transactions directly from Exchanges on your mobile / email at the end of day and alerts on your registered mobile for all debits and other important transactions in your demat account directly from NSDL/ CDSL on the same day." - Issued in the interest of investors. KYC is one time exercise while dealing in securities markets - once KYC is done through a SEBI registered intermediary (broker, DP, Mutual Fund etc.), you need not undergo the same process again when you approach another intermediary. No need to issue cheques by investors while subscribing to IPO. Just write the bank account number and sign in the application form to authorise your bank to make payment in case of allotment. No worries for refund as the money remains in investor's account." www.indiainfoline.com is part of the IIFL Group, a leading financial services player and a diversified NBFC. The site provides comprehensive and real time information on Indian corporates, sectors, financial markets and economy. On the site we feature industry and political leaders, entrepreneurs, and trend setters. The research, personal finance and market tutorial sections are widely followed by students, academia, corporates and investors among others. Commission should be set up to study Eurnekians plans - architect (video) Architect Hrachik Poghosyan is angered by public apathy towards the fate of the old tower of Zvartots international airport. The architect was saddened to see that only 20-30 people participated in the Friday protest against the demolition of the old round building. Wake up, people! They are destroying your property. What do you think to do? he said. Director of ArmProject Institute Grigor Azizyan says he never approved the idea of solving issues through marches and demonstrations. He says it is better to hold public discussions with clever people who are aware of the problem. We should solve problems with public discussions rather than mass gatherings. Architect Anahit Tarkhanyan, the daughter of architect Artur Tarkhanyan, one of the authors of the Zvartnots airport design project, was one of the organizers of the Friday protest against the dismantling of the round construction of the airport. She knows why Argentine-Armenian billionaire Eduardo Eurnekian wants to demolish the building, moreover, she see corruption behind the deal. She says a commission should be set up to study Eurnekians plans and understand what he wants to build in lieu of the old building. Eva Plaza never dreamed of becoming a lawyer or owning a business. But the sudden loss of her father when she was just 8 dramatically changed her life. Born in Torreon, Mexico, Plaza and her three young siblings were reared by a single mom in El Paso, Texas. Her father died tragically at 33, without seeing a doctor, from a ruptured peptic ulcer. Without role models, Plaza overcame long odds, paved her own way, and became a partner in a top Los Angeles law firm. When my father passed away, we lost our home, and we had to move into public housing, Plaza said. Security, or lack of security, colored what I was going to do. As the eldest child, she felt responsible for supporting her working-class family. The usual answers were doctor or lawyer, she said. I thought I would be a better lawyer. But becoming a lawyer let alone a partner in a predominantly white, male-dominated industry was no easy feat for a female Mexican immigrant. Nobody took me under their wings, said Plaza. I learned by doing and not being afraid. And not accepting no for an answer. Plazas accomplishments are rare. Fewer than 35 percent of all American attorneys are women, the Bureau of Labor Statistics reports. The legal industry is nearly dead last in hiring and retaining women and minority lawyers, said Joel Stern, CEO of the National Association of Minority & Women Owned Law Firms. Less than 2 percent are partners. These disparities help explain why minority lawyers increasingly launch their own firms. There are a lot of barriers, images and stereotypes that women have to push through, like women are not aggressive enough nor strong litigators and/or too combative and will not be good managers, Stern said. Despite these obstacles, Plaza graduated from U.C. Berkeley Law School in 1984. She served the U.S. Justice Department as a trial counselor and later oversaw enforcement of the Fair Housing Act as an Assistant Secretary at the Department of Housing and Urban Development in Washington, D.C. After two decades, Plaza left her secure and comfortable federal career. For family reasons, and a strong desire to reinvent herself and follow intellectual pursuits, Plaza moved to Los Angeles to start her own law practice. An easier path would have been to remain in politics, Plaza said. But that would have been more of the same. [The transition] took a lot from me, a lot of energy, my money and uncompensated time. This was not the road most easily traveled. She opened the Plaza Law Group, which thrived. Plaza soon thereafter met Gerry Fox, founder of Gerald Fox Law, who offered her a partnership. Eva is a fearless litigator, said Fox, but the most important thing about Eva is that she treats everyone with honor and dignity. Her presence is a role model for younger lawyers to learn how to act. Plaza sits on non-profit boards including that of the Latino Donor Collaborative, where she met Luis de La Cruz, owner of Andale Construction, now her client. I am very proud to know Evas background, said de La Cruz. Coming from El Paso with limitedresources demonstrated that she is an awesome intellectual person. And being in a mans world, she demonstrated that the Si, se puede [Yes, you can] concept is still alive. Beyond handling Foxs large cases, Plazas pro-bono work helps low-income families. She also advances minority attorneys as co-chair of the Lawyers Committee of Compton, a non-profit that provides free legal services. Eva is paving the way for new attorneys, like myself, said Ingrid McCall, the groups interim executive director. Her mentorship has been invaluable. I encourage other lawyers to do the same and start to volunteer. But law firms are businesses, too. Successful partners need to attract clients continually, which Plaza does. You need to have a method for bringing and serving clients, or you have to have a special skill that will help keep or attract new clients said Francisco Montero, managing partner at Fletcher, Heald & Hildreth. Montero also has seen technology transform the law business. The speed-of-response and expectation levels for lawyers has grown exponentially, Montero said. You are expected to respond at all hours to emails, social media and blogs. Robert White of the California Minority Counsel Program applauded Plaza. Its great to see someone like Eva succeed, who has persisted, who has done the marketing, put in the miles and developed her own business, White said. Eva epitomizes what goes best in the legal field. We need more people like her. Eva Plazas journey confirms Alexander Graham Bells observation: When one door closes, another one opens. It took Timothy Martin just eight years to go from gas-station chef to soul-food restaurant mogul. Growing up in Norfolk, Va., Martin and his 10 siblings eagerly anticipated the dinners their father, Ernest, prepared. Hed work eight hours a day, come home and by 4:35 p.m., he was cooking, Martin said, recalling platters of savory meatloaf, crispy fish and juicy pork chops. As a teenager, Timothy courted his girlfriend, Ernestine, now his wife of 26 years, sitting in the kitchen as she fried chicken for her family. Later, as a husband and father of two sons, Martin became the cook. He earned high praise from his colleagues at Dominion Chrysler Plymouth where he was named top salesman for 12 of his 17 years on staff when he shared with them leftovers of his family meals. I used recipes from my father, who makes the best fried chicken you ever tasted, he said. My macaroni and cheese came from my mother. There are only two days a year when she cooks on Thanksgiving and Christmas and she only makes one thing: macaroni and cheese. Martin entered the restaurant game in 2008 in Virginia Beach when he and his brother, Delano, opened Martins Kitchen. When they parted ways two years later over different visions, Martin reached an agreement with a BP gas station/convenience store to lease their small kitchen for $1,600 per month. People would come in to pay for their gas and smell that chicken frying and those pork chops and come right on over, Martin said, laughing. I sold a main dish and two sides for $6.95 or $7.95. Thats about the same price as now. These days, the entrepreneur-chef draws crowds to three Martins Soul Food restaurants in the Hampton Roads area: his flagship, on Northampton Blvd., Virginia Beach; his headquarters restaurant on Virginia Beach Blvd. in Norfolk; and a store on Bainbridge Blvd. in Chesapeake. A franchise eatery bearing his name is located in Gloucester. He is opening a new restaurant in Norfolk next month and another next summer. Martins three restaurants serve an average of 550 meals per day on weekdays and 850 on weekends. He employs 18 people. His business grew exponentially several years ago after his first restaurant was featured in The Virginian-Pilot newspaper. Business just exploded, he said. His partner in the three restaurants he owns is Cynthia Terry, a former customer and supermarket training specialist who manages the Northampton restaurant. Terry began patronizing Martins Kitchen because of the chitterlings Theyre so good you dont even want to use hot sauce! and the customer service. The Martin brothers would talk and joke with her son, Courtney, now 15, then painfully shy. They would really draw him out, Terry said. It was so nice that they would take time like that with a customer. Martin endured two bumps in the road on his rise. One was the zoning-related closure of a Portsmouth nightclub, where he ran an eatery in the 1980s. The second was the stroke he suffered in October 2014 while putting away groceries in one of his restaurants. Two days later, I was back testifying about it, Martin said. He works six days a week. Martin credits his work ethic to Ernest Martin, now 83, who operated a forklift for 48 years and never missed a day; and his business savvy to his mother, Orla, 79, once a retail-sales star. His father also served as founding pastor at the non-denominational Full Gospel Church of Deliverance in Norfolk for 50 years. When he retired in 2013, Martin became the pastor. Al Smith, the former owner of the Chrysler dealership where Martin worked, recently reunited with his former salesman while visiting Hampton Roads from Arizona, where he now lives. Smith said he was delighted to see the success that Martin has achieved. Im not surprised that he opened a restaurant, said Smith, remembering Martins cooking. Martin said he feels blessed that his business is thriving. A reporter called and said July 6 is National Fried Chicken Day and they wanted to feature us, he said. Im honored. Martin said he next wants to advocate for black business and share his knowledge with aspiring entrepreneurs. And he wants to spread the message that African-Americans should support black businesses. If every black person would support one black business each week, we could really impact the economies of our communities, he said. We could employ more people, and that would help our children and families. Our communities and this whole nation would be different. Republican MP not satisfied with fight against corruption (video) Presidents of Armenia and Azerbaijan, Serzh Sargsyan and Ilham Aliyev, are likely to meet in the near future, Republican lawmaker Gagik Melikyan said on Monday summing up the results of the Warsaw-hosted NATO summit. The third meeting of the two presidents has been arranged by France. This time the meeting will be attended by French president Francois Hollande. I hope the meeting will take place but I do not know anything about the date and venue of the meeting. I think they will be clarified and people will be informed about them in due time. Addressing the NATO summit, Serzh Sargsyan said that that the OSCE Minsk Group is the only platform for the settlement of Karabakh conflict. Does it mean that the Armenian side refuses to discuss the issue in NATO? I do not think so. The Karabakh conflict has always been discussed in NATO summits. As usual, our Foreign Minister repeated in this summit that all decisions reached on the Karabakh issue should be respected by Azerbaijan, including the observation of the truce and introduction of mechanism to investigate ceasefire violations. Speaking about domestic issues, specifically the fight against corruption announced by the Armenian government in spring, the lawmaker said at present he is not satisfied with the results. Though they are taking definite steps in this direction I think they do not give satisfactory results. I am sure that more concrete steps will be taken to eradicate corruption not only in the sphere of army-building but also in other spheres, the MP said in conclusion. John Abraham is one of those celebrities who has always sincerely done something about animal welfare. Remember how he signed and auctioned his North-East United FC jersey and the funds collected were redirected to Animal Rahat, an NGO that provides for animals desperately in need of care. Not many know that John had also called the Ministry of Environment and climate and had urged them to make all circuses in India animal-free. In a recent conversation with Bollywoodlife, John gave his piece of mind on the recent incident where a pup was thrown off the terrace. Expressing his views on why India desperately needs stricter animal laws, he said, Missmalini Though I am not in a position to speak about this and Im not completely aware about the laws, but I think we need stricter laws for animals. There is no other way. We need to have laws in place that could actually control and bring people to book and stop them from harming any living being. We are talking about a pup being thrown off a terrace! Sad, ridiculous and unheard of! When he was asked to give his views on culling of animals, he had a valid point to make. He said, Twitter What were you up to when you were thirteen? Most of us were either too busy playing around or enjoying the initial years of teenage. However, 13 year-old Suleiman's life was very different. He is a winner of one of India's most popular talent hunt shows and India voted for him to win! He conquered the hearts of many, all thanks to his flute. Suleman won the seventh edition of India's Got Talent on Saturday night, a show that was based purely on audience's votes. Colors Channel After winning the show, he spoke to media and a slightly modest Suleiman said, I am so happy I finally won Indias Got Talent. It is a huge achievement for me. I guess Antara and Papai, too, deserved to win. Their performance was beautiful!" Talking about how he made his father's dream his biggest reality, he said, Colors Channel Winning the show is my biggest achievement as it made my fathers dream come true. The show has given me a platform to showcase my talent in front of the world and the opportunity to pursue my talent further. I would like to thank my father and my guru Pandit Hariprasad Chaurasiaji without whom I wouldnt have reached here. He has already performed in cities like Bangalore, Bhubaneswar, and Ahmedabad. Music and sense of tunes are something that he has inherited from his extremely talented parents, who too are professional musicians. Colors His parents noticed his love for flute when he was barely three and the rest as they say, is history. Currently training under Pt Hariprasad Chaurasiya, he is in no hurry to enter the music industry. For him, completing his education is more important right now. Apart from all the popularity that he garnered from the show, he has been awarded a cash prize of Rs 50 lakh, a Maruti Suzuki Celerio car and a specially crafted trophy engraved with the signatures of shows jury members including Kirron Kher, Malaika Arora Khan and Karan Johar! Watch Suleiman's best performances on India's Got Talent right here and you'd know why he deserved to win. Way to go, kid! More power to you. Trying to decorate a boho style room on a budget neednt break your bank. The bohemian movement originated from unconventional lives of 18th century artists, writers and other creatives who were opposed to traditional bourgeois lifestyles. The very nature of the boho look aesthetic is a mix of laid-back and ethnic elements - with a disregard for traditional contemporary themes. Therefore, it is easy to achieve this style on a budget. download3dhouse/representational image Although there are numerous themes within the bohemian style itself - Moroccan, Indian, Middle Eastern, Mexican, natural, beach-y, American Southwestern, opulent, minimalistic, rustic, glam, and so on - one can incorporate several themes for a more eclectic, globe-trotter style. The technique to this is to mix and match elements of decor. If your style is more minimal, dont over-stuff the room - add rustic pieces of furniture with plain walls, then add a few selected accessories. For a more luxurious impact, use strong colours and ornate motif prints. Dont go by whats in vogue as your reference. There are no rules, so go with your personal taste. Here are a few elements you could incorporate: 1) Drapes, canopies and room separators flickr Add a bright wall hanging to draw attention to a room and make a statement. Old saris make wonderful drapes for windows and a few of them can even be used as a canopy. Separate rooms with beaded curtains (so 70s!) or with old-fashioned oriental room dividers. 2) Colour-s plash your walls harpersbazaar Or just colour one of them with vibrant and jewel colours. Magenta, saffron orange, gold turmeric, aqua teal, cerulean, forest green and amethyst (Think Indian spice boxes and the jewels of the Sultans) are great ideas to go with. For an added bold statement, stencil on Baroque motif designs. 3) Repurpose old items and display vintage heirlooms popsugar Have a collection of mismatched teacups and mugs? Use them as plant pots and pencil holders. Or transform a collection of old spoons into a hanging windchime. Or use broken glass and china plates in a mosaic tile design! When it comes to reusing old antiques, the options are endless! 4) Flora and fauna houzz Adding plants gives an earthy feel to any environment, and not just bouquets arranged in vases. Try miniature succulents in pots or creeping and climbing plants like money plants. Flowers and scattered petals floating in an antique bowl filled with water, else fresh or faux flower garlands, can be used as wall hangings. 5) Comfortable and cozy sheets heatheranddaisy.wordpress Bohemian aesthetics are fundamentally cozy, relaxed and comforting. Mix and match textiles with stencils and prints for your sheets and pillow covers. Indian-style rajais, traditional vintage American quilts, and mirror-embroidery throws can bring a significant impact without having to change wall paint colours. 6) Accessories with a cluster of small treasures tumblr A tarnished metal sculpture, crystals, sea shell collections, mismatched vintage bottles, and other objects clustered together on a coffee table or a dressing table can really transform a room. Put together a collection of personal objects that tell a story. 7) Lights maisonmarrakech2010.blogspot If funky tasseled lampshades arent your thing, go for mismatched candle clusters on an old vintage tray or Moroccan hanging lamps. Diffused lighting gives a romantic ambience to any room. 8) Artwork isabelletuchband A lot of local artists have great works. Alternatively, purchase a print of your favourite painting and frame it with a decorative frame. Scour flea markets for old cinema posters. Artwork and photographs can also be clustered together, or just a single one can be displayed as a centerpiece on one wall. Or better yet, unleash your creativity and paint a masterpiece yourself. No art skills? Then create a fun abstract piece! 9) Furniture fengshuidana A lot of vintage markets or second-hand shops carry hidden gems which are still in good condition. An old dressing table or dining table can be transformed into a shabby-chic unit by applying a new coat of paint and distressing the surface. 10) Floor Seating Space residencestyle Floor seating space is an authentic Middle Eastern interior style. Convert a corner or one wall side of your room to a floor seating area. Put together several floor cushions along with a few printed and embroidered throws for a cozy space to relax. Huma Mobin, a creative manager from Lahore, Pakistan was all booked to go on a Mediterranean holiday with her new husband and in-laws to Greece but her husband was denied a visa. What happens next is a hoot of a story! Huma Mobin Huma and her husband, Arsalaan Sever Bhatt, got married in December 2015 after dating for 2 years and went to Maldives for their honeymoon. This trip to Greece was supposed to be their second honeymoon, which she said, was meant to be a chance for us to spend time with my husbands parents, Tanya Suhail and Suhail Bhatt. The family believes that travelling is an investment with infinite results. The in-laws and her ended up going to Greece because why waste the money, right? And Huma took a trail of photos (which are quite the opposite of the Follow Me To series) posing without her husband that has gone viral on social media for their cuteness overload. And so began her 10-day vacation without her number one man. Here she is at Santorini: Huma Mobin How can she enjoy the beautiful views of Santorini without him? Huma Mobin Huma Mobin Posing at the Acropolis without her husband: Huma Mobin And another at the heritage site, Bollywood style. Huma Mobin Huma also travelled to Athens without Arsalaan: Huma Mobin Five days into the trip, Huma dropped her phone in the Aegean and she had to travel without the two most important things in her life: Huma Mobin She turned to the company of old men in Crete: Huma Mobin Way to ruin her honeymoon Greek Embassy! Huma Mobin Huma Mobin Huma Mobin Maqbool Bhat in 1984, Afzal Guru in 2013 and now Burhan Wani-a four decade long history of militancy in Kashmir valley doesn't have many local heroes to boast of and until now, it's considered to be a problem exported by Pakistan. But Wani's encounter and the violence that followed is an indication, no matter how bleak it might look, that we have provided the Kashmiri separatists, a hero out of a militant and the fabricated legend of Wani is likely to be used to brainwash young Kashmiris who were until now more or less away from militancy. BCCL Here nobody takes credit away from Indian Army for slaying Wani, but perhaps backlash of this sort wasn't expected at all. The 'Poster Boy' Wani's death did lend the Separatists an unprecedented advantage to play with. In an Interview with DNA, Lt Gen Syed Hasnain Ata, former GOC of 15 Corps based in Srinagar who was credited to being one of the few popular military Generals to break into the Kashmiri society and lend an ear to their grievance said that 'Burhan Wani dead is more dangerous than Burhan Wani alive' while speaking on the military gains over Burhan Wani's encounter. AFP According to government data, between January 1990 and April 2011, a total of 43,460 people were killed in the Kashmir insurgency. Of these, 21,323 were terrorists, 13,226 were civilians killed by terrorists, 3,642 civilians killed by security forces and 5,369 policemen killed by terrorists. The 21,323 terrorists include both Kashmiri and foreign militants. The hard truth is, terrorists slaughtered more civilians than Indian security forces ever did. These statistics show that until now Kashmiri youth's participation hasn't been alarming and it's the terrorists from other land especially Pakistan who have run riot in valley killing not only the people from security forces but also the Kashmiri locals. But Wani's encounter is speculated to give the Kashmiri Separatists a local martyr which they didn't have for long. "There are so many stories woven around Wani that he has become a legend across this region. For almost 15 years, Kashmiri youngsters refrained from joining the militant ranks. Burhan brought the militant movement back to youngsters here Earlier, local recruits would be sent across the border for arms training. After Burhan became known, we have witnessed a new situation. There are more than 60 local militants active in south Kashmir who have trained locally," told a police officer to Indian Express while hinting towards the possible increase in local participation in militancy. TOI Pakistan's operation Gibraltar in 1965 which was based on the doctrine of rebellion by Kashmiris once Pakistani forces would enter Kashmir ended in a failure because Pakistan didn't get any support from Kashmiris. Therefore, in 1970s, Pakistan set up militant groups to train young Kashmiris and Afghans to fight in India. But soon the support for Pakistan faded and the sentiment of Azadi took over. By 1991, the Jammu Kashmir Liberation Front (JKLF) had a ready army of Kalashnikov-wielding men roaming the bylanes of Srinagar, Baramulla, Anantnag and Sopore, calling for azaadi from "Indian rule". Hence ISI cut off of weapons and training aid to JKLF in favour of Hizbul Mujahideen, which had pro Pakistan slant unlike pro-azaadi. But Wani's death might have given Pakistan with an opportunity to send a message via their separatists stooges that local Kashmiris alone can't achieve Azaadi own their own and they need Pakistan's support. An audio clip, allegedly bearing the voice of Burhan's mother was being circulated in Kashmir in the aftermath of violence has her shouting: "Tum kitne Burhan maaroge?". A crowd responds, "Har ghar se Burhan niklega." Then the crowd takes over: " (not intelligible) aage badho, hum tumhare saath hain. Jeeve jeeve Pakistan. Jeeve jeeve Pakistan. Jeeve jeeve Pakistan. Jeeve jeeve Pakistan." BCCL Wani's encounter and what followed that made him an overnight legend in the valley, is a different preposition altogether and Indian establishment needs to find answers before its gets too late. Air travellers may now be spared last-minute sky-high airfares. Air India (AI) will now match Rajdhani second AC fares on important metro routes on flights between Delhi and Mumbai, Delhi and Chennai, Delhi and Bengaluru and Delhi and Kolkata. BCCL Airline chief Ashwani Lohani, in a bid to make last-minute fares affordable, has decided that the airline will sell its unsold seats on flights on these routes at Rajdhani AC II fares within four hours of departure of these flights. The Rajdhani AC II fares from Delhi to Mumbai, Chennai, Bengaluru and Kolkata is Rs 2,870; Rs 3,905; Rs 4,095 and Rs 2,890, respectively. news.com.au AI started selling seats at these fares from Sunday on its website and airport counters. Domestic traffic between the metros accounts for over half the entire domestic air travel. "The government want to make air travel affordable for everyone and we will do everything to do that," Lohani said. Also Read: Stuck With An Unconfirmed Rajdhani Ticket? Fret Not, Air India Will Fly You For The Same Cost AFP The move may lead to other airlines also following suit. An unsold seat on a flight is a dead loss for airlines and managing to sell them at AC II fares may be a better option that taking off with empty seats. Rakesh Nair/BCCL This will also help take pressure off the government from complaints of very high last-minute airfares, especially during festival and other other peak travel seasons. You can carve a Jharkhand out of Bihar, but you can't get rid of Bihar's cheating streak. ndtv Also read: Bihari Parents Are Doing Mission Impossible Stunts To Help Their Kids Cheat No surprises were had when a photo of the most relaxed cheating youve seen surfaced from the RS Mor College in Dhanbad. Over a 1000 college students literally had a field day, lounging in their college lawns, in cozy groups of 3 and 4, writing their exams. No invigilators were present to stop the blatant cheating that students didn't bother to hide. The college authorities told NDTV that there weren't enough seats for the students to sit indoors - the classrooms could only seat 600 of the 664 examinees. Mass Cheating In Bihar's Samastipur College Sadly Shows How 'Copy Pasting' Is Inbred In Our Nature The incident comes weeks after the infamous Bihar cheating scandal, which saw arrests after Class 12 board exam toppers didn't have a clue about the subjects they had supposedly aced. bccl Bihar is no stranger to mass exam-cheating. A couple of years ago a video of parents and friends climbing school walls to hand cheat-chits to students who were appearing in the exams had exposed the amount of fraud happening in exams in the state. Bihar Teachers Can't Spell, And They're Getting Bribes Instead Of Answers In Exams For example, Ruby Rai, The class 12 Humanities topper doesnt know to pronounce the subject she aced (#ProdigalScience), doesnt know how many marks she needed to top, or even what political science is. This Is The System That Led To Bihars Prodigal Science Topper Almost Getting Away A picture of a black woman peacefully facing cops at the Black Lives Matter protest in the capital city of Louisiana is being compared to Tiananmen Squares Tank Mans image that became hugely popular as a symbol of resistance in 1989. Reuters The photo was taken by New Orleans-based photographer, Jonathan Bachman in Baton Rouge in America's Louisiana at a peaceful Black Lives Matter protest that has started again after two African-Americans in Louisiana and Minnesota were killed by cops in separate incidents. The girl is wearing a strappy dress and confronting the cops silently with a look of determination on her face, contrasting the bulky and agitated appearance of the officers. There is a shadow of strong resistance in the photo that bears striking resemblance to the image from 1989 of a lone Chinese man facing four tanks at a pro-democracy protest in Tiananmen Square. The man, whose identity could not be found has come to be known as 'Tank Man'. Reuters Black Lives Matter protests have sparked over many states in the U.S again after a peaceful protest in Dallas turned violent when a sniper gunned down four cops. Twenty-five years ago, a pro-democracy protest in Beijing's Tiananmen Square ended in a massacre when Chinese troops killed an unknown number of people and since then the Chinese government has tried to conceal the events that took place on June 4, 1989. Were excited to announce that indmin.com is now part of fastmarkets.com. A new look and an improved experience means you can still stay ahead of this fast-moving market with price data, news and market intelligence right here on Fastmarkets. Discover more than 2000 prices, news and analysis in primary and secondary metals markets. We cover base metals, industrial minerals, ores and alloys, steel, scrap and steel raw materials. If you already have a Fastmarkets account, youll still have uninterrupted access to your markets by logging in with your current details. Legalized Murder and the Politics of Terror By Chris Hedges July 11, 2016 " Information Clearing House " - " Truth Dig " - Police officers carry out random acts of legalized murder against poor people of color not because they are racist, although they may be, or even because they are rogue cops, but because impoverished urban communities have evolved into miniature police states. Police can stop citizens at will, question and arrest them without probable cause, kick down doors in the middle of the night on the basis of warrants for nonviolent offenses, carry out wholesale surveillance, confiscate property and money and hold peoplesome of them innocentin county jails for years before forcing them to accept plea agreements that send them to prison for decades. They can also, largely with impunity, murder them. Those who live in these police states, or internal colonies, especially young men of color, endure constant fear and often terror. Michelle Alexander, author of The New Jim Crow: Mass Incarceration in the Age of Colorblindness, calls those trapped in these enclaves members of a criminal caste system. This caste system dominates the lives of not only the 2.3 million who are incarcerated in the United States but also the 4.8 million on probation or parole. Millions more are forced into permanent second-class citizenship by their criminal records, which make employment, higher education and public assistance, including housing, difficult and usually impossible to obtain. This is by design. The rhetoric of compassion, even outrage, by the political class over the police murders in Baton Rouge, La., and near St. Paul, Minn., will not be translated into change until the poor are granted full constitutional rights and police are accountable to the law. The corporate state, however, which is expanding the numbers of poor through austerity and deindustrialization, has no intention of instituting anything more than cosmetic reform. Globalization has created a serious problem of surplus or redundant labor in deindustrialized countries. The corporate state has responded to the phenomenon of surplus labor with state terror and mass incarceration. It has built a physical and legal mechanism that lurks like a plague bacillus within the body politic to be imposed, should wider segments of society resist, on all of us. The physics of human nature dictates that the longer the state engages in indiscriminant legalized murder, especially when those killings can be documented on video or film and disseminated to the public, the more it stokes the revenge assassinations we witnessed in Dallas. This counterviolence serves the interests of the corporate state. The murder of the five Dallas police officers allows the state to deify its blue-uniformed enforcers, demonize those who protest police killings and justify greater measures of oppression, often in the name of reform. This downward spiral of violence and counterviolence will not be halted until the ruling ideology of neoliberalism is jettisoned and the corporate state is dismantled. Violence and terror, as corporate capitalism punishes greater and greater segments of the population, are, and will remain, the essential tools for control. No one, with the exception of the elites, champions neoliberal policies. Citizens do not want their jobs shipped overseas, their schools and libraries closed, their pension and retirement funds looted, programs such as Social Security and welfare cut, government bailouts of Wall Street, or militarized police forces patrolling their neighborhoods as if they were foreign armies of occupationwhich in many ways they are. These policies have to be forced on a reluctant public. This is accomplished only through propaganda, including censorship, and coercion. Unfortunately, all the calls by the political class for reform in the wake of recent murders by police will make things worse. Reform has long been a subterfuge for expanded police repression. This insidious process is documented in Naomi Murakawas book The First Civil Right: How Liberals Built Prison America. [Click here to see excerpts at Google Books.] Murakawa wrote that lawmakers, especially liberal lawmakers, confronted racial violence as an administrative deficiency. Thus, they put in place more procedures and professionalization to define acceptable use of force. They countered the mob violence of lynching, she points out, with a system of state-sanctioned murder, or capital punishment. The liberals brand of racial criminalization and administrative deracialization legitimized extreme penal harm to African-Americans: the more carceral machinery was rights-based and rule-bound, the more racial disparity was isolatable to real black criminality. In other words, the state was permitted limitless violence so long as it conformed to clearly defined laws, administrative protocol, and due process, while those who were the victims of this violence were said to be at fault because of their supposed criminal propensities. The so-called professionalization of the police, the standard response to police brutality, has always resulted in more resources, militarized weapons and money given to the police. It has been accompanied, at the same time, by less police accountability and greater police autonomy to strip citizens of their rights as well as an expansion of the use of lethal force. If the state of siege of our inner cities were lifted, if prisoners were allowed to return to their communities and if evictions, which destroy the cohesion and solidarity of a neighborhood, were to end, the corporate state would face a rebellion. And the corporate state knows it. It needs to maintain these pod-like police states if it is to continue the relentless drive to further impoverish the country in the name of austerity. The continued cutting or closing of the few social services that keep people from facing total destitution, the massive unemployment that is never addressed, the despair, the hopelessness, the retreat into drugs and alcohol to blunt the pain, the heavy burden of debt peonage that sees families evicted, the desperate struggle to make money from the illegal economy and the forced bankruptcies all are about social control. And they work. The state insists that to combat the lawlessness of those it has demonized it must be emancipated from the constraints of the law. The unrestricted and arbitrary subjugation of one despised group, stripped of equality before the law, conditions the police to employ brutal tactics against the wider society. Laws that are not equal for all revert to rights and privileges, something contradictory to the very nature of nation-states, Hannah Arendt wrote. The clearer the proof of their inability to treat stateless people as legal persons and the greater the extension of arbitrary rule by police decree, the more difficult it is for states to resist the temptation to deprive all citizens of legal status and rule them with an omnipotent police. The miniature police states are laboratories. They give the corporate state the machinery, legal justification and expertise to strip the entire country of rights, wealth and resources. And this, in the end, is the goal of neoliberalism. Neoliberalism, like all utopian ideologies, requires the banishment of empathy. The inability to feel empathy is the portal to an evil often carried out in the name of progress. A world without empathy rejects as an absurdity the call to love your neighbor as yourself. It elevates the cult of the self. It divides the world into winners and losers. It celebrates power and wealth. Those who are discarded by the corporate state, especially poor people of color, are viewed as life unworthy of life. They are denied the dignity of work and financial autonomy. They are denied an education and proper medical care, meaning many die from preventable illnesses. They are criminalized. They are trapped from birth to death in squalid police states. And they are blamed for their own misery. Disenfranchised white workers, also the victims of deindustrialization and neoliberalism, flock to Donald Trump rallies stunted by this lack of empathy. The hatred of the other offers them a sense of psychological protection. For, if they saw themselves in those they demonized, if they could express empathy, they would have to accept that what is being done to poor people of color can, and perhaps will, be done to them. This truth is too hard to accept. It is easier to blame the victims. Our political elites, rather than addressing the crisis, will make it worse. If we do not revolt, the savagery, including legalized murder, that is the daily reality for poor people of color will become our reality. We must overthrow the corporate state. We must free ourselves from the poisonous ideology of neoliberalism. If we remain captive we will soon endure the nightmare that afflicts our neighbor. Chris Hedges, spent nearly two decades as a foreign correspondent in Central America, the Middle East, Africa and the Balkans. He has reported from more than 50 countries and has worked for The Christian Science Monitor, National Public Radio, The Dallas Morning News and The New York Times, for which he was a foreign correspondent for 15 years. Send Our War Criminals to the Hague Court By Eric Margolis July 11, 2016 " Information Clearing House " - This weeks Chilcot report on Britains role in the 2003 invasion of Iraq was as polite and guarded as a proper English tea party. No direct accusations, no talk of war crimes by then Prime Minister Tony Blair or his guiding light, President George W. Bush. But still pretty damning. Such government reports and commissions, as was wittily noted in the delightful program Yes, Prime Minister, are designed to obscure rather than reveal the truth and bury awkward facts in mountains of paper. And beneath mountains of lies. The biggest lie on both sides of the Atlantic was that the invasion and destruction of Iraq was the result of faulty intelligence. The Bush and Blair camps and the US and British media keep pushing this absurd line. This writer, who had covered Iraq since 1976, was one of the first to assert that Baghdad had no so-called weapons of mass destruction, and no means of delivering them even if it did. For this I was dropped and black-listed by the leading US TV cable news network and leading US newspapers. I had no love for the brutal Saddam Hussein, whose secret police threatened to hang me as a spy. But I could not abide the intense war propaganda coming from Washington and London, served up by the servile, mendacious US and British media. The planned invasion of Iraq was not about nuclear weapons or democracy, as Bush claimed. Two powerful factions in Washington were beating the war drums: ardently pro-Israel neoconservatives who yearned to see an enemy of Israel destroyed, and a cabal of conservative oil men and imperialists around Vice President Dick Cheney who sought to grab Iraqs huge oil reserves at a time they believed oil was running out. They engineered the Iraq War, as blatant and illegal an aggression as Hitlers invasion of Poland in 1939. Britains smarmy Tony Blair tagged along with the war boosters in hopes that the UK could pick up the crumbs from the invasion and reassert its former economic and political power in the Arab world. Blair had long been a favorite of British neoconservatives. The silver-tongued Blair became point man for the war in preference to the tongue-twisted, stumbling George Bush. But the real warlord was VP Dick Cheney. There was no flawed intelligence. There were intelligence agencies bullied into reporting a fake narrative to suit their political masters. And a lot of fake reports concocted by our Mideast allies like Israel and Kuwait. After the even mild Chilcot report, Blairs reputation is in tatters, as it should be. How such an intelligent, worldly man could have allowed himself to be led around by the doltish, swaggering Bush is hard to fathom. Europes leaders and Canada refused to join the Anglo-American aggression. France, which warned Bush of the disaster he would inflict, was slandered and smeared by US Republicans as cheese-eating surrender monkeys. In the event, the real monkeys were the Bush and Blair governments. Saddam Hussain, a former US ally, was deposed and lynched. Iraq, the most advanced Arab nation, was almost totally destroyed. Up to one million Iraqis may have been killed, though the Chilcot report claimed only a risible 150,000. As Saddam had predicted, the Bush-Blair invasion opened the gates of hell, and out came al-Qaida and then ISIS. The US and British media, supposedly the bulwark of democracy, rolled over and became an organ of government war propaganda. Blair had the august BBC purged for failing to fully support his drive for war. BBC has never recovered. Interestingly, this weeks news of the Chilcot investigation was buried deep inside the New York Times on Thursday. The Times was a key partisan of the war. So too the Wall Street Journal, Fox News, and the big TV networks. Without their shameful connivance, the Iraq War might not have happened. Bush and Blair have the deaths of nearly 4,500 US soldiers on their heads, the devastation of Iraq, our $1 trillion war, the ever-expanding mess in the Mideast, and the violence what we wrongly blame on terrorism and so-called radical Islam. The men and women responsible for this biggest disaster in our era should be brought to account. As long as Bush and Blair swan around and collect speaking fees, we have no right to lecture other nations, including Russia and China, on how to run a democracy or rule of law. Bush and Blair should be facing trial for war crime at the Hague Court. Eric S. Margolis is an award-winning, internationally syndicated columnist. His articles have appeared in the New York Times, the International Herald Tribune the Los Angeles Times, Times of London, the Gulf Times, the Khaleej Times, Nation Pakistan, Hurriyet, Turkey, Sun Times Malaysia and other news sites in Asia. http://ericmargolis.com/ Copyright Eric S. Margolis 2016 The No-State Solution to the Israel-Palestine Conflict By Jeremy R. Hammond July 11, 2016 " Information Clearing House " - It is time for the citizens of the world to effect the paradigm shift required to bring about a peaceful resolution to the worlds most infamous conflict. Twelve years ago today, the International Court of Justice (ICJ) issued an advisory opinion at the request of the United Nations General Assembly on the legality of the wall Israel has constructed in the West Bank. The ICJ affirmed that all of the Gaza Strip and the West Bank, including East Jerusalem, are occupied Palestinian territory, and that Israels wall, as well as its settlements, violate the Fourth Geneva Convention. The ICJs ruling helps to underscore the prejudicial nature of the discussion about the Israeli-Palestinian conflict in the Western mainstream mediaand particularly in the US. The media never fail to elevate Israels policy aims to the same level of legitimacy as international law. For example, we can frequently read in the New York Times, the Washington Post, et al, that East Jerusalem or areas where Israeli settlements are located are disputed territorythus placing equal weight to Israels position as the entire rest of the planet, which recognizes Israels settlements as illegal and East Jerusalem as occupied Palestinian territory. Needless to say, this is not balanced journalism, but extremely prejudicial to the rights of the Palestinians living under foreign military occupation. When the illegality of the settlements is alluded to by the mainstream media (all too infrequently), they typically obscure it by saying something like: Most countries do not recognize the legitimacy of Israels settlements. This leaves readers with the impression that the matter is controversial, that there is debate about it within the international community, that there are two legitimate points of view. It affords validity to Israels position when it has none. Translated from newspeak, what that means is that every single government on planet Earth other than Israel itself recognizes the settlements as a violation of international law. The media bend over backwards to accommodate and attempt to legitimize Israels criminal policies. How can the media get away with such outrageously biased reporting? Furthermore, why is the US mainstream media so prejudiced against the rights of the Palestinians? The answer is simple: the policy of the US government is one of unconditionally supporting Israels violations of international law and the human rights of the Palestinian people. The US Role in the Israeli-Palestinian Conflict While the US has long sought to characterize itself as an honest broker between the Israelis and the Palestinians, the truth is scarcely concealed beneath the thin veil of rhetoric. The US supports Israels violations of international law financially, militarily, and diplomatically. Military aid to Israel tops $3 billion annually, which aid serves in part as a US taxpayer subsidy for the arms industry as Israel invests in US military technology and hardware. US-supplied arms are routinely used by Israel to commit war crimes, such as its deliberate targeting of schools and hospitals in Gaza under the Israel Defense Forces (IDF) Dahiya Doctrinea reference to the leveling of the Dahiya district of Beirut during Israels 2006 invasion of Lebanon and a policy designed to use intentionally disproportionate force in order to punish the civilian population. This policy was implemented during Israels military assaults on Gaza in 2008-09 (Operation Cast Lead), 2012 (Operation Pillar of Defense), and 2014 (Operation Protective Edge). The world superpower also uses its weight to protect Israel from censure for its perpetual violations of international law, acting to prevent Israeli officials from being held accountable for their crimes. For example, in the aftermath of Operation Cast Lead, the US sought to bury the report of a UN fact-finding mission (the so-called Goldstone Report) that found both Israel and Hamas had committed war crimes. The USs goal was to ensure that the reports recommendations were not implementedparticularly the recommendation to refer the matter to the International Criminal Court (ICC) absent credible investigations by the Israeli government and Hamas governing authority into allegations of war crimes, which never occurred (the IDFs self-investigations, needless to say, were rightfully recognized by the international community as a whitewash). For another example, in February 2011, the Obama administrationits own rhetorical opposition to Israels settlements notwithstandingwent so far as to veto an uncontroversial UN Security Council resolution condemning Israel for its continued expansion of illegal settlements in the occupied West Bank. The Mainstream Medias Complicity The mainstream media in the US serve the role of manufacturing consent for government policy, with the intelligentsia acting as high priests of the state religion, as dissident American intellectual Noam Chomsky has described it. As before the USs illegal war on Iraq (among countless other examples), the media mindlessly parrot government propaganda. It is axiomatic among academics and journalists who have a voice in the mainstream that, while the US government might sometimes make mistakes, it only ever acts out of benevolent intent. Voices that dont subscribe to this belief system are excluded from the discussion. There is indeed something truly religious, as Chomsky has observed, in the fervor with which responsible American intellectuals have sought to deny plain fact and to secure their dogmas concerning American benevolence, the contemporary version of the civilizing mission. Far from serving the role of properly informing the public in order for Americans to be able to make objective judgments about world affairs, the media serve to indoctrinate Americans in narratives about the Palestine conflict that fundamentally obscure its true nature. This extends to the medias reporting on the conflicts origins. There are a great many things that everyone knows about the conflict that in fact have no basis in reality. For example, it is a widely believed myth that the UN created Israel or otherwise conferred legal authority to the Zionist leadership for the unilateral declaration of the existence of their Jewish state on May 14, 1948. This claim is absolutely false. Moreover, the UN plan to partition Palestine into separate Jewish and Arab states called for expropriating land belonging to Arabs in order to redistribute it to Jews. The representatives of member countries who drafted this plan recognized that this prejudiced the rights of the majority inhabitants, but the Arabs rights were simply of no consideration to policymakers still operating within a framework of racist colonialism, and so they premised their plan upon the explicit rejection of the right of the Arab majority to self-determination (notwithstanding how this violated the very UN Charter under whose authority they were ostensibly operating). Needless to say, such minor details as this are never reported when the media fill the public in on the conflicts origins. Another thing that everyone knows about the conflict is that the combined Arab armies invaded Israel after the May 14, 1948 declaration of its existence, in an effort to wipe the nascent state off the map. As the New York Times and other major media report it, todays refugee problem is an unfortunate legacy of Palestinians having to flee or being expelled by Israeli forces as a consequence of this Arab aggression in 1948. Another minor detail willfully omitted in reports by journalists like the Times Ethan Bronner is that by the time the neighboring Arab states managed to muster a military response, 300,000 Arabs had already been ethnically cleansed from their homes in Palestine. By the time the armistice agreements were signed in 1949, over 700,000 Palestinians had been ethnically cleansed, never permitted to return to their homes despite the recognition under international law that refugees of war have a right to do. Although the Jewish community in 1948 owned less than 7 percent of the land in Palestine, by the time the war was ended, Israel had conquered territory beyond even that allotted to it under the never-implemented UN partition plan (never implemented because the UN Security Council recognized that the only way to do so would be by force, and that it had no authority to partition Palestine against the will of the majority of its inhabitants). Then again in 1967, as the mainstream media tell it, Israel faced a genocidal threat from its neighboring Arab states, and so launched a preemptive attack against Egypt to defend itself and its citizens from extermination. Never mind that, as no less authoritative a source as former Israeli Ambassador to the US Michael B. Oren has documented, Israels own intelligence assessed that Egyptian President Gamal Abdel Nasser had no intention of attacking Israelbecause he wasnt insane. Israel had already invaded Egypt once before, in 1956, in collusion with Britain and France, and the CIA observed that Egyptian forces in 1967 had taken up defensive positions in the Sinai Peninsula and informed President Lyndon B. Johnson that a war was brewing and that it would be started by Israel. Former Israeli Prime Minister Menachem Begin, too, has acknowledged that this was a war of choice, and that the Egyptian troop presence in the Sinai didnt prove that Nasser intended to attack Israel. During that war, of course, Israel invaded and began its occupation of Gaza and the West Bankan occupation that persists still today nearly five decades on. The ethnic cleansing also continues incrementally as Palestinians homes are demolished or life is otherwise made so miserable for them that they are forced to relocate in order for Jewish settlements to be built, facts on the ground designed to prejudice the outcome of negotiations under the US-led so-called peace process. And while the media report on the peace process as though the US was truly an objective mediator, the truth, also scarcely concealed beneath the thin veil of rhetoric, is that it is the process by which the US and Israel block implementation of the two-state solution, in favor of which there is otherwise a consensus among the international community. This consensus is based upon the requirement, emphasized in UN Security Council Resolution 242 (passed in the wake of the 1967 war), that Israel must withdraw to the 1949 armistice lines (also known as the 1967 lines or the Green Line for the color with which it was drawn on the map) in accordance with the principle of international law that the acquisition of territory by war is inadmissible. It is also based on the internationally recognized right, reflected in UN General Assembly Resolution 194 (passed during the 1948 war), of Palestinian refugees to return to their homeland. While the US professes to support a two-state solution, it is emphatically not the same as the two-state solution. The latter is premised upon international law and respect for the equal rights of the Palestinians, while the former is premised upon the use of violence to coerce the Palestinians into accepting Israels demands to surrender their rights, including by ceding even more of their land and renouncing their right of return. What Hope for Peace? There is a popular view that the Israel-Palestine conflict is inevitable, too complicated for a practical solution to ever be found, which leads to resignation that it will just persist forever. This view is mistaken. There is a solution, which is for international law to be applied. This is the outcome that Israel and the US have fought so aggressively to prevent under the peace process, which is premised upon the rejection of the applicability of such treaties as the UN Charter and the Geneva Conventions and, instead, elevates Israels wants over Palestinians rights. Hence the accommodative reporting in the mainstream media describing East Jerusalem as disputed territory, etc., ad nauseum. So what can be done about this situation? How can the Palestinians ever hope to see justice done, and how can peace ever be realized? The answer is simple. The citizens of the world simply need to stop waiting for the governments of the world to solve the problem. There needs to be wider recognition that the worlds governments, far from being part of the solution, are part of the problem. This includes the UN organization, which played no small role in helping to create the conflict in the first place, and which continues to play a duplicitous rolemost specifically, the UN Secretariat under Ban Ki-moons leadership has been complicit in Israels oppression of the Palestinians (e.g., calling for negotiations without preconditions in his role as Quartet partner, which is a euphemism that simply means the Palestinians must cease demanding that Israel cease its illegal settlement construction before rejoining talks under the guise of the US-led peace processamong numerous other gross abuses of the authority of his office). Israel is able to act with such impunity because it has the backing of the worlds most powerful government. The US government, in turn, is able to persist in its complicity in the oppression of the Palestinian people because the media manufacture consent for its criminal policies. Most Americans simply have a perception of the conflict that has no bearing on reality. The mainstream discussion about the subject is fundamentally misrepresentative of the conflicts true nature. That needs to change. What is required is a paradigm shift. The public needs to stop buying into the perpetually told lies and propaganda. Americans, along with other citizens of the world, need to become properly informed. There are of course those who will cling to their worldview regardless of the facts, and those whose own prejudices will blind them to the truth. But those of us who are honest and actually care about the victims of the violenceon both sides, both Jew and Arabhave a responsibility to educate ourselves and take an active role in sharing knowledge with others. We need to reach a critical mass of knowledgeable citizenry, a tipping point at which enough people are properly informed about the conflicts true nature that it no longer remains feasible for the US government to continue its policy of trying to sustain the status quo of occupation and oppression. This applies to citizens of other countries, too, whose own governmentseven those ostensibly supportive of Palestinians rightsare blinded to the reality that the peace process is designed to prevent a peaceful solution and which thus act complicity by advocating the continuance of this farce. This framework for negotiations needs to be replaced with a real peace process, one which doesnt reject the applicability of international law and isnt fundamentally prejudiced against the rights of those who are living under an oppressive occupation regimein which the oppressed arent forced to negotiate with their occupiers over the extent to which they can retain their own land. The world is moving in this direction, albeit not nearly quickly enough to be of any comfort for the victims. The European Union, for example, has revised its guidelines for trading with Israel to include the requirement that goods produced in illegally constructed Israeli settlements be labeled as such. The growing boycott, divestment, and sanctions (BDS) movement can claim some success in this regard, but there is another important factor frequently overlooked that led to this development: the UNs recognition in 2012 of Palestine as a non-member observer state. With the UNs recognition of Palestinian statehood comes access to international legal institutions such as the ICJ and ICC, to which the Palestinian Authority (PA) may now turn in order to seek legal remedy for Israels violations of international law. So why hasnt the PA already done so? The Role of the Palestinian Authority The answer to that question, too, is simple. The PA was established under the peace process to serve the aims of the US and Israeli governments. It is, simply stated, Israels collaborator regime in the occupied territories that serves to keep the Palestinians in line by repressing popular uprisings against the occupation regime. This is not to say that the PA leadership under President Mahmoud Abbaswho remains in office illegitimately, his term having long ago expiredis entirely dedicated to serving Israels interests. But the US and Israel have their ways of forcing his compliance, such as Israels withholding of Palestinian tax dollars it collects on the PAs behalf in the occupied territories, or the USs threats to cut off aid to the PA if it steps out of line. Of course, these are bluffs on the part of Israel and the US since they need the PA in order to sustain the status quo of occupation. Neither wants to risk causing the collapse of the PAleast of all the Israeli military establishment, which prefers to have a collaborator regime in place to do its dirty work for it. While Abbas has taken an important step by successfully submitting Palestines application for a status upgrade in the UN General Assembly, he has to date remained too cowardly to take the next step by pursuing legal claims against Israel in the international institutions now available to his government. It is the risk that Palestine might eventually do so, no doubt, apart from the influence of the BDS movement, that has prompted the EU to revise its trade guidelines with Israel so as to take a modest step away from its complicity in the wholesale criminal violation of Palestinians rights. A Global Intifada This raises a conundrum for the Palestinians. The weight of the worlds governments, meaningless rhetoric to the contrary nothwithstanding, is against them. Absent recognition as a state, they had no recourse to legal mechanisms to compel Israels compliance with international law. Yet even with such recognition, they remain powerless given complicity of their own government in their oppression. So it comes to this: if the PAwhich has been all too willing to lay Palestinians rights on the negotiating table in order to preserve the privileged status of its crony eliteswill not act to support the rights of its own people, then the Palestinian people must act to rid themselves of its rule over them. It is time for another popular uprising, an intifada grounded in the principle of non-violent resistance to occupation and oppression. Hamas and other armed groups must realize that, apart from being illegal and immoral, committing acts of terrorism or engaging in war crimes such as indiscriminate rocket fire into Israeli residential communities are a strategic mistake since such actions serve to hand Israel the very pretext it requires in order to preserve its occupation regime. This is not to say that the Palestinians must renounce their right to legitimate armed resistance against foreign military occupation, which, too, is codified under international law; it is simply to recognize the futility of trying to gain freedom in this particular case through the barrel of a gun and to see that disallowing Israel even the slightest pretext for its own incomparably greater violence is the surest path to creating the conditions necessary for Israels policies to no longer remain politically feasible. It is up to the rest of us to support the Palestinians in that struggle. We must all rise up in solidarity with the oppressed and become active participants in this Third Intifada. The governments of the world arent going to get the job done. It is up to the informed citizens of the world to effect the paradigm shift required to compel state leaderships to cease being part of the problem and to do what is right for the victims on both sides. That will require a change in the nature of the medias reporting on the conflict, which, although a daunting task, in this age of the internet and social media is foreseeable. It is up to each of us who cares about human rights to take an active role in the discussion, to educate ourselves and others about the true nature of the Israel-Palestine conflict, and to share that knowledge with others by whatever means available. Enough people need to be knowledgeable enough about the conflictand the US governments role in itthat it no longer remains permissible for the mainstream media to serve as the governments very own Ministry of Propaganda. That is to say, it is time for the worlds citizens to free themselves from the indoctrination of the state religion and recognize that the state itselfas an institution fundamentally grounded in the use or threat of violence to compel desired behaviorsis the enemy of Liberty and of Peace. Yet so long as these political institutions remain on this planet, they ought to hold themselves to their own obligations under the treaties that comprise the body of international lawand they ought to hold each others leaderships accountable when those laws are violated and especially when war crimes are committed. It is toward this end that our collective efforts ought to be focused. Peace can be achieved. There is a path to resolving the Israeli-Palestinian conflict. But we shouldnt make the mistake of focusing so much on establishing respected borders between conflicting parties that we fail to realize what a peaceful, civilized world would look like: one without borders. Following Horrific Violence, Something More is Required of Us We need a profound shift in our collective consciousness in order to challenge an entrenched system of racial and social control -- and build a new America. By Michelle Alexander Michelle Alexander first shared this post on her Facebook page. July 11, 2016 " Information Clearing House " - " Bill Moyers " - I have struggled to find words to express what I thought and felt as I watched the videos of Alton Sterling and Philando Castile being killed by the police. Thursday night, I wanted to say something that hasnt been said a hundred times before. It finally dawned on me that there is nothing to say that hasnt been said before. As I was preparing to write about the oldness of all of this, and share some wisdom passed down from struggles of earlier eras, I heard on the news that 11 officers had been shot in Dallas, several killed from sniper fire. My fingers froze on the keys. I could not bring myself to recycle old truths. Something more is required. But what? I think we all know, deep down, that something more is required of us now. This truth is difficult to face because its inconvenient and deeply unsettling. And yet silence isnt an option. On any given day, theres always something Id rather be doing than facing the ugly, racist underbelly of America. I know that I am not alone. But I also know that the families of the slain officers, and the families of all those who have been killed by the police, would rather not be attending funerals. And Im sure that many who refused to ride segregated buses in Montgomery after Rosa Parks stood her ground wished they couldve taken the bus, rather than walk miles in protest, day after day, for a whole year. But they knew they had to walk. If change was ever going to come, they were going to have to walk. And so do we. What it means to walk today will be different for different people and different groups and in different places. I am asking myself what I need to do in the months and years to come to walk my walk with greater courage. Its a question that requires some time and reflection. I hope its a question we are all asking ourselves. In recent years, I have come to believe that truly transformative change depends more on thoughtful creation of new ways of being than reflexive reactions to the old. What is happening now is very, very old. We have some habits of responding to this familiar pain and trauma that are not serving us well. In many respects its amazing that we endure at all. I am inspired again and again by so much of the beautiful, brilliant and daring activism that is unfolding all over the country. Yet I also know that more is required than purely reactive protest and politics. A profound shift in our collective consciousness must occur, a shift that makes possible a new America. I know many people believe that our criminal justice system can be fixed by smart people and smart policies. President Obama seems to think this way. He suggested yesterday that police-community relations can be improved meaningfully by a task force he created last year. Yes, a task force. I used to think like that. I dont anymore. I no longer believe that we can fix the police, as though the police are anything other than a mirror reflecting back to us the true nature of our democracy. We cannot fix the police without a revolution of values and radical change to the basic structure of our society. Of course important policy changes can and should be made to improve police practices. But if were serious about having peace officers rather than a domestic military at war with its own people were going to have to get honest with ourselves about who our democracy actually serves and protects. Consider this: Philando Castile had been stopped 31 times and charged with more than 60 minor violations resulting in thousands of dollars in fines before his last, fatal encounter with the police. Alton Sterling was arrested because he was hustling, selling CDs to get by. He was unable to work in the legal economy due to his felony record. His act of survival was treated by the police as a major crime, apparently punishable by death. How many people on Wall Street have been arrested for their crimes large and small crimes of greed and fraud that nearly bankrupted the global economy and destroyed the futures of millions of families? How many politicians have been prosecuted for taking millions of dollars from private prisons, prison guard unions, pharmaceutical companies, oil companies, tobacco companies, the NRA and Wall Street banks and doing their bidding for them killing us softly? Oh, thats right, taking millions from those folks isnt even a crime. Democrats and Republicans do it every day. Our entire political system is financed by wealthy private interests buying politicians and making sure the rules are written in their favor. But selling CDs or loose cigarettes? In America, thats treated as a serious crime, especially if youre black. For that act of survival, you can be wrestled to the ground and choked to death or shot at point blank range. Our entire system of government is designed to protect and serve the interests of the most powerful, while punishing, controlling and exploiting the least advantaged. This is not hyperbole. And this is not new. What is new is that were now watching all of this on YouTube and Facebook, streaming live, as imagined super-predators are brought to heel. Fifty years ago, our country was forced to look at itself in the mirror when television stations broadcast Bloody Sunday, the day state troopers and a sheriffs posse brutally attacked civil rights activists marching for voting rights in Selma. Those horrifying images, among others, helped to turn public opinion in support of the Civil Rights Movement. Perhaps the images weve seen in recent days will make some difference. Its worth remembering, though, that none of the horrifying images from the Jim Crow era wouldve changed anything if a highly strategic, courageous movement had not existed that was determined to challenge a deeply entrenched system of racial and social control. This nation was founded on the idea that some lives dont matter. Freedom and justice for some, not all. Thats the foundation. Yes, progress has been made in some respects, but it hasnt come easy. Theres an unfinished revolution waiting to be won. Warsaw Summit: Western Leaders Ignore Reality The Saker July 11, 2016 " Information Clearing House " - So the much advertised NATO summit in Warsaw finally took place. It was a total success, at least if the criterion is that the outcome matched the expectations: Poroshenko and Nadezhda Savchenko were invited and treated like a respected guest Russia was condemned for her aggressions in Georgia, Crimea and the Ukraine The Poles plastered Warsaw with posters saying ACHTUNG RUIA The Balts each got one NATO battalion to deter the Russian Bear Russia was condemned for not abiding by the Minks2 Agreement Enough hot air was released to worsen global warming by at least 10 degrees Frankly, I dont feel like commenting on all this idiocy. Besides, all these pseudo-decisions never were the true purpose of this summit. This summit had a totally different objective and that objective too was fully achieved. The real purpose of the summit was to force each western political leader to chose between reality and ideology. And they all made the correct choice, of course. They categorically rejected reality and enthusiastically embraced ideology. This is why Russia was accused of not complying with an agreement she is not even a part to. This is why Russia was accused of aggression against Georgia even though even the EU concluded that Georgia had started the war This is why a non-existing Russian threat was denounced This is why the Russian armed forces were declared deterred by a few NATO battalions This is why the Russian invasion of the Donbass was denounced This is why the 100% legal referendum in Crimea was completely ignored The unanimous determination of all the western leaders to ignore reality and to firmly and publicly hold on and proclaim ideological nonsense as truthful was, in reality, the expected goal of the summit . AngloZionists love to send messages and here several messages were sent loud and clear. Message to Russia : you dared to oppose us and you thought that being in the right might help you. Well, Russia, screw you Russia, and screw reality! You either are with us (i.e. under our control), or you will be treated like a pariah. Message to the European people : least any of you consider defying us, we are showing you a united front. A united from of the parasitic superstructure ruling the EU on behalf of the local 1%er comprador elites of Russia and on behalf of the AngloZionist Empire. So dont even think of being independent, free or any other such nonsense. We run the planet and you obey. Message to the rest of the planet : dont even think of joining or even supporting Russia because if you do, we will snap you like a twig. We run the planet and we make and break anybody. Last but not least, Message to themselves : we are in control, we will prevail, the Russians will have to back down, we are invulnerable. I would submit that this last message might be the most important of them all. Because, of course, the AngloZionist leaders are afraid. Afraid mainly of Russia, of course, but even more by what Russia represents. They are afraid of a Dollar which is sustained by nothing, not oil and not even USN aircraft carriers. They are afraid by the growing realization that less and less people out there are afraid of them. They are afraid by countries such as Russia, Iran or China daring to openly declare that they will follow another economic and civilizational model. But more than anything else they are terrified by the growing realization that their own people (at least theoretically) hate and despise them. The most pathetic thing about all this is how the AngloZionists are misreading the Russians. From a Russian cultural point of view, everything the West and NATO has been doing as signs of weakness. Why would a strong alliance need to engage in meaningless threats (NATO battalions or ABM systems)? Why would a strong alliance seek safety in numbers? Why would a strong alliance act is if reality did not exist? From a Russian point of view all that saber-rattling and grandstanding amount to a gigantic admission weakness and they are totally unimpressed. And they are not shy about expressing their contempt for what they see as a pathetic display of incompetence by a clueless western leadership. That is not to say that the Russians are not worried. They are. Very. Because they also understand that for all their pathetic lack of political vision and even basic professionalism, the AngloZionists are still very dangerous. It does not take a great deal of intelligence to trigger a nuclear war. So while the Russians are now openly contemptuous of the Empire, they still realize that it is precisely the lack of competence of the West which will require a tremendous amount of caution and patience from the Russian leadership to softly land the Empire without triggering a planetary nuclear war. You could say that Russia fears the US/NATO/EU weakness a great deal more than she fear the US/NATO/EU strength. Finally, many Russians realize that the Western civilization is as discredited, morally bankrupt and, basically, dead, as the Soviet civilization was by the late 1980s. There are no more western values, at least not in any quality other then as the object of jokes and disgusted sneers. Everybody pretends, but nobody really believes any of it. All the grand conceptual building composed of notions such as democracy, human rights, freedom, justice has come crushing down and is now beyond repair. The good news is that this collapse is not final, and just as Russia eventually re-discovered herself after 2000, so will the West, both in the USA and the Europe. I can easily imaging the people of the West eventually turning back to their ancient historical roots, but they will do that in a new, modern way. Just like the Russia of 2000-2016 is not the Russia of before 1917, so the new West will emerge as something new, but with roots in the distant past. But before that happens the West will have to undergo a painful and very dangerous process of disintegration similar to what the Soviet Union went through between roughly 1980 and 2000. Dmitry Orlov is absolutely correct. The collapse of the West is inevitable and the study of the collapse of the Soviet Union yields many interesting lessons. But for the time being we are stuck in our current reality. A world split in two with, on one hand, a dying, delusional and weak Empire and, on the other, pretty much the rest of mankind. In this dying and unstable world, the NATO summit in Warsaw played the same role as the XXVIth Congress of the CPSU in the Soviet Union in 1981: a touching show of unity in the face of an inevitable collapse. If we remember what happened to the USSR and Russia in the following two decades we can only conclude that we are about to enter a most difficult and dangerous period of history. http://thesaker.is/ Home Sign up for our FREE Daily Email Newsletter Is the Real Scandal the Clinton Foundation? Michael Hudson says the media has failed to look beyond the emails and into potential conflicts of interest during Hillary Clinton's tenure as Secretary of State By Michael Hudson And Paul Jay Transcript July 11, 2016 " Information Clearing House " - " TRNN " - Welcome to the Real News Network. I'm Paul Jay. On Thursday morning, the mediafest and politicalfest around Hillary Clinton's email scandal continued, as the head of the FBI, James Comey, spoke at a congressional House oversight committee. Here's a little clip of what was said there. But let me just foreshadow--maybe the emails aren't the real issue that should be in front of these hearings. Now, here's the chairman of the House Oversight Committee, Jason Chaffetz, questioning James Comey and a bit of his answer. JASON CHAFFETZ: It seems to a lot of us that the average Joe, the average American, that if they had done what you laid out in your statement, that they'd be in handcuffs. And I think there is a legitimate concern that there is a double standard. Your name isn't Clinton, you're not part of the powerful elite, that Lady Justice will act differently. JAMES COMEY: I believe this investigation was conducted consistent with the highest traditions of the FBI. Our folks did it in an apolitical and professional way. There are two things that matter in a criminal investigation of a subject. And so when I look at the facts we gathered here--as I said, I see evidence of great carelessness. But I do not see evidence that is sufficient to establish that Secretary Clinton, or those with whom she was corresponding, both talked about classified information on email, and knew when they did it they were doing something that was against the law. So give that assessment of the facts and my understanding of the law, my conclusion was, and remains, no reasonable prosecutor would bring this case. No reasonable prosecutor would bring the second case in 100 years focused on gross negligence. JAY: Now joining us from New York is Michael Hudson. Michael's a Distinguished Research Professor of Economics at the University of Missouri, Kansas City. His latest book is Killing the Host: How Financial Parasites and Debt Bondage Destroy the Global Economy. Thanks for joining us, Michael. MICHAEL HUDSON: Good to be back here, Paul. JAY: First, let's talk a little bit about what we just heard. The chairman of the House Oversight Committee says, is there a double standard here? Somebody else might be in handcuffs, and Hillary Clinton's not being charged. I guess a lot of people are asking that question. The FBI director says this doesn't rise to the level of criminality; it's carelessness. I don't know the law well enough. I'm certainly not a lawyer. But it seems to me that the deliberate, willful decision to use a private server--and some people have said one of the reasons could be to avoid Freedom of Information Act requests--and I don't know if that rises to the level of criminality. But it's sure wrong. HUDSON: Well, it's obvious that Hillary wanted to keep some information from the public finding out. The information that she wanted to keep from the public probably didn't concern national security so much as concern her own private dealings, because nobody has, I think, in American history, has merged their public service as secretary of state or president with their private gains to the extent that Hillary really has. And by that I mean the Clinton Foundation, overall. Here's the problem, you can imagine. She's going to Saudi Arabia, she's going to Europe, she's going to the Near Eastern countries. Saudi Arabia has asked her--and this is all very public--we want more arms. We want to buy arms in America. We know that Saudi Arabia is one of the major contributors to the Clinton Foundation. On the other hand, Hillary's in a position to go to Raytheon, to Boeing, and say look, do I have a customer for you. Saudi Arabia would love to buy your arms. Maybe we can arrange something. I'm going to do my best. By the way, you know, my foundation is--you know, I'm a public-spirited person and I'm trying to help the world. Would you like to make a contribution to my foundation? Well, lo and behold, the military-industrial complex is one of the big contributors to the Clinton Foundation, as is Saudi Arabia, and many of the parties who are directly affected by her decisions. Now, my guess is what she didn't want people to find out, whether on Freedom of Information Act or others, are the lobbying she's doing for her own foundation, which in a way means her wealth, her husband's wealth, Bill Clinton's wealth, and the power that both of them have by getting a quarter billion dollars of grants into the foundation during her secretary of state. JAY: As far as we know, there's no direct evidence that she did precisely what you're saying. And that--. HUDSON: [No direct] at all. JAY: And that actually say--"Give money to the foundation; I will facilitate such-and-such a contract." There's no evidence of that, correct? HUDSON: That's right. And partly there's no evidence because her private emails are not subject to [inaud.]. They're not subject to finding out this. We don't have any evidence one way or the other. So certainly there is no evidence. There is only the appearance of what looks to me to be an inherent conflict of interest with the foundation. JAY: And there's no direct evidence that any abnormal amount of money has gone to Bill Clinton, in terms of fees and expenses. One can assume he's well-compensated. But it does have charitable status, it has to file a 990. They are under charitable law regulations, and so far I don't know of any reporting that says that they have violated the--. HUDSON: You're right. The advantage of being under charitable law is it's in a foundation that--you can look at it in effect as your savings account. And you can treat it--you can do with a foundation whatever you want. Now, if you or I had a quarter billion dollars, what we'd want to do is influence policy. Influence the world. Well, that's what they want to do. They want to use the foundation to support policies that they want. And here we're not dealing with unexplained enrichment. This isn't money that comes into them that goes into an offshore account in Switzerland or the Cayman Islands. It's hidden in plain sight. It's all the foundation. It's tax-exempt. It's legitimate. So she's somehow been able to legitimize a conflict of interest, and what that used to be called corruption in office. Or at least the appearance of what could be corruption in office. And the fact is, that is what there has been a blacked-out screen painted over it, and we don't have any idea what she's been saying to these affected parties that not only has she been dealing with, the secretary of state, but it turned out to be major contributors to her and Bill's foundation. JAY: Now, the reason the emails rose to such prominence, because it was the potential of criminal charges. That seems to have ended now. The Clinton foundation certainly has been reported upon in various places in the mainstream press. It never rose to the same level of attention as the emails. But why do you think that is? Because you think there's enough fodder there that that could have been quite a media fest. Feast, I should say. HUDSON: Well, there's no direct link between the foundation that says it's existing to promote various social purposes, and Hillary's actions as secretary of state. But there's such overlap there. I can't think of any public official at cabinet level or above, in memory who's ever had an overlapping between a foundation that they had and had control, personally, and their public job. So there's never been so great a blurring of categories. JAY: So why isn't this a bigger issue in the media? Corporate media? HUDSON: I don't--I think the media are supporting Hillary. And that's a good question. Why are they supporting her so much with all of this? Why aren't they raising this seemingly obvious thing? I think the media want two things that Hillary wants. They want the trade agreements to essentially turn over policy to, trade policy to corporations, and regulatory policy to--. JAY: You're talking about TTIP and [TTP]. HUDSON: [They're neocons.] They're the agreement of politics. If the media agree with her politics and says, okay, we want to back her because she's backing the kind of world we want, a neocon world, a neoliberal world, then they're going to say, this is wonderful. We can now distract attention onto did she leak a national secret. Well, the secrets that are really important aren't the national classification secrets. They're the personal, personal, the big-picture secrets. And it's the big picture we don't have a clue of as a result of all of these erasures. JAY: Okay, thanks very much for joining us, Michael. HUDSON: Good to be here. JAY: And thank you for joining us on the Real News Network. Michael Hudson is research professor of economics at University of Missouri, Kansas City and a research associate at the Levy Economics Institute of Bard College. Click for Spanish , German , Dutch , Danish , French , translation- Note- Translation may take a moment to load. What's your response? - Scroll down to add / read comments Sign up for our FREE Daily Email Newsletter For Email Marketing you can trust Donate Please read our Comment Policy before posting - It is unacceptable to slander, smear or engage in personal attacks on authors of articles posted on ICH. Those engaging in that behavior will be banned from the comment section. The US Establishment Plans War to Stop Trump From Alexander Dugin, Russia's number one geopolitical thinker Video and Transcript July 11, 2016 " Information Clearing House " - Greetings, youre watching Dugins Guideline. The situation around Russia at the international level is gradually worsening. Even the most attentive international analysts have observed this. Recently, the Stratfor Think Tank published an article with an expressive title: The U.S. and Russia Plan for Conflict. Why are they drawing attention to this conflict now? The opposition between the Land (Russia) and the Sea (the USA and NATO members) is something constant and unchangeable. If one loses something, the other gains something, and vice versa. Only naive people and the direct agents of influence can believe in the reset of the friendship with the West. But why has the duel between these civilizations become more intensive only recently? There are many explanations for it. But lets regard the internal situation in the US, as it is here we can find the most exact answer. In fact, Donald Trump is a real challenge for the whole American Establishment, which doesnt represent America, but the world financial oligarchy, transnational corporations, and fanatic-globalist sects. Trump is the candidate of America, for America is a nation-state with specific interests and understandable national interests. Russia, in fact, is not an enemy for such a state, but it is an enemy for globalists and Atlanticists, as Russian sovereignty does not allow them to fully control the world. Russia is not an enemy for America. If Trump wins the elections, he will be on the side of realism, as he promised. And the main contradictions between Russia and the USA will disappear: each one will concentrate on its own problems and will not interrupt one another. It is an ideal scenario. But the globalists didnt establish their domination of the world (first of all in America), create their networks, and plant liberal agents of influence to give up so easily. They can fall only because of Trump! He can create the conditions for peace. But the globalists need war. They dont need peace, Trump, or Russia. That's why they try to aggravate relations with Moscow. They are currently interested in this more than usual. Most likely, the goal of those who arrogantly think of themselves as the World Government or its equivalent is increasing the tension with Russia so much so that on the eve of the election the situation turns not into a cold war, but into a hot one. Yes, a Third World War is very likely. Even this idea is horrible. Of course, being on the edge and actually crossing it are two different things, but the risks are very high. If the critical level is reached, after the elections the globalists will get some dividends, despite the final winner: Hilary as the direct candidate of the maniac neocons or populist Trump. If Hilary comes to office, she can slow down the conflict and gain some points by playing the peacekeeper. Her popularity is crucial, as the majority of Americans doesnt accept her and never will, even if globalists impose her. Thats why Hilary is interested to face our country at the edge of war. Hilary is not just a person, but also the face of the powerful globalist elite. If Trump wins, in a direct conflict he will be unable to implement his project of armed neutrality with Russia, as his position of a mutually beneficial detente will be regarded as betrayal. So the escalation will slow down Trump in the event of his victory. And finally, if everything goes wrong in the USA, and we cant discount it, the emergency situation and the high threat of war with Russia can be the cause to prolong Obamas term. Later he can be blamed for everything, but Trumps history will end, and the globalists will wriggle out. So we have to be prepare for the worst case scenario. It is unlikely that the USA will star a war against Russia, but they are able to pretend that they are ready to do it. And such geopolitical poker is not just a game. Goodbye, youve watched the prewar Dugins Guideline. We can avoid war only if we are strong and are ready to go to the end. Not pacifism, but defensive power is the guarantee of a real and stable world. A 50-year-old man, Totoola Adetunji has been charged to the Igbosere Magistrates Court, Lagos for allegedly biting a police officer. The accused who together with his accomplices (now at large) is facing trial on a four-count charge of conspiracy, assault, breach of peace and obstruction. The prosecutor Sgt. Kehinde Omiseki said the accused unlawfully assaulted one Corporal John Olusegun by biting him on his left arm and also conducted himself in a manner likely to cause breach of peace by throwing stones, sticks and bottles at some Police officers on lawful duty. He said that the accused prevented the police officers from performing their lawful duty by blocking the road with stick and tricycle. According to the prosecutor, the offences contravened Sections 117 (2), 166 (d), 171 and 409 of the Criminal Law of Lagos State, 2011. The accused denied the charges as he pleaded not guilty. The Magistrate, Mr P. A. Adekomaya, granted the accused bail in the sum of N50, 000, with one surety in like sum and that the surety must produce evidence of three years tax payment and have his address verified. The case has been adjourned till Tuesday, Aug. 30. Six of the 100 suspected Boko Haram members declared wanted by the Nigerian Army have been arrested last Friday in Lagos by the vigilantes otherwise called the Civilian Joint Task Force (CJTF). They include: Ibrahim Ali, Abubakar Ahmed, Goigoi Kamsalem, Ibrahim Mohammed, Banagana Blam Ali and Adam. The Seriki Hausawa in Ijora, Alhaji Mustapha Mohammed, who heads the vigilante group said: Adam was arrested in an abandoned 40-foot container inside a church in Festac Town. He added that: Ali and Blam were arrested during a raid of suspected terrorists hideout at Isheri; Ahmed, Kamsalem and Mohammed were nabbed on Victoria Island, where they were staying with friends. Mohammed said the suspects have been transferred to Borno State, where they are wanted by the military, adding that they looked unkempt and hungry when they were arrested. He said: We got an intelligence report that Adam was hiding inside an abandoned 40-foot container on a church premises. It was the security man attached to the church that hid him inside the container. When we arrived on the church premises, we headed straight to where the container was kept. The security man attached to the church was not around then. The container was locked from the outside with a padlock. The pastor of the church was embarrassed to see us, but by the time we explained our mission to him, he along with others stood aside to see and by the time we broke the padlock, Adam was hiding in the far corner of the container. As soon as the pastor sighted the suspect, he started singing praises. Mohammed said his group started operation in Lagos in 2011, preventing the terrorists from carrying out their dastardly acts by gathering information and arresting the insurgents and handling them over to the authorities. He reiterated his groups commitment to continue to protect Lagos from the Boko Haram menace. Those arrested in the past by his group, he said, were handed over to the Department of State Services (DSS) in Shangisha, the Assistant Inspector-General of Police (AIG) Zone two or the police commissioner. You know we are from Borno State where these people also come from and we know their communities. Our people back home do monitor them, and once they leave Maiduguri, we would be alerted so that we can be on the lookout. Once they arrive in any community in Lagos, our members are always on ground to fish them out based on intelligence report and once they are arrested, we hand them over to security agencies for further investigation and prosecution, he said. Top Nigerians yesterday gave their reasons why Chief Army Staff, Lt. Gen. Tukur Buratai, must resign from his position or be sacked by President Muhammadu Buhari, following the revelation that he bought two houses in Dubai, worth $1.5m. INFORMATION NIGERIA has put together 7 reasons by them. 1.Femi Falana, SAN; Buratai could not have saved that much legitimately How an army General managed to save $1.5m has not been disclosed to Nigerians. Since the General was in charge of procurement for the Nigerian Army at the material time, the cock and bull story of the military high command has been called names, If the Chief of Army Staff does not deem it fit to resign forthwith, President Buhari should not hesitate to remove him in the interest of national morality. 2.SERAPs executive director, Adetokunbo Mumuni said for the anti-corruption war to be seen as fair The buck stops at the table of the EFCC and ICPC to investigate the COAS. Did Buratai and his two wives acquired the property in Dubai and paid for it in a single transaction?. As a civil servant, how much had he received in salaries and emoluments? Are these big enough to acquire the properties? For the anti-corruption war to be seen as fair and not selective, the anti-graft agencies must investigate Buratai. It is their duty, 3.Second Republic House of Representatives member, Dr. Junaid Mohammed says because he was part of them The fact that he was a long serving Director of Procurement at Defence Headquarters when some of the nastiest procurements were made, not only for the Army but for the Armed Forces, makes it incumbent on him to vacate his seat. 4.Chief Mike Ozekhome; To prove that APCs war against corruption isnt selective Let the government for once prove us wrong that its so called anti-corruption war is not targeted at profiled opponents by putting him through trial as a serving military officer. Just for once, I want to be proved wrong. 5.Publicity Secretary of Afenifere, Mr. Yinka Odumakin says because his defense is weak His position has become untenable with that expose and very weak, feeble and sloppy defence. It is preposterous to ask the Federal Government that cleared him, to now fire him. And resignation is not in the dictionary of Nigerian officials but he obviously is a blight on the anti-corruption war. 6. Catholic Archbishop of Abuja, John Cardinal Onaiyekan, says its our money that is if hes found guilty I am not one of those who believe that when somebody has stolen a lot of money, you simply retire or sack the person. That is not what it should be; if it is stealing, he should be made to return the money. It is not a question of sacking somebody and you feel that is the punishment. 7.How much does he earn as an army officer; National Publicity Secretary of the National Advance Party, Mr. Tosin Odeyemi. After a rally in Ado-Ekiti on Friday, Governor Ayodele Fayose of Ekiti sat down for interview and spoke on his reasons for taking a stand against President Muhammadu Buhari. He also spoke about the allegation that a sum of money transferred to him from the former NSA. INFORMATION NIGERIA has put together 8 very interesting things we learnt from the chat. 1.He said he was well grown enough to know what he represented. The people that voted largely for President Buhari were under 40 years old because they dominated the social media and Bible says My people die because of lack of knowledge. 2.Fayose noted that he knew the total misrule of 1984 to 1985 how the military suffered Nigerians, how a lot of leaders were clamped in chains using decree 2. 3.The governor stated that Buhari is oppressing some people now either because they are not from your region or because you had issues with them before; it is a mission for vengeance. 4.Just like a prophet, he warns that the people who brought Buhari will end up inside his stomach. 5.The governor also stated that Buharis government is a one chance government where nepotism and lies have prevailed. 6.Gov Fayose said Buhari is trying to silence FFK and Metuh for spending money during election but didnt Buhari also spend money during his own election. 7.And for those of you, who might think this is something personal, Fayose also spoke about that, saying there is nothing personal between him and President Buhari, but only wants to make it expressly clear that what the APC government is doing is not in the interest of Nigeria by trying to cow the people once opinion differs from theirs. 8.On the allegation that he got money from Sen Obanikoro to prosecute the his election, he said he had no encounter with Obanikoro financially and that no money was transferred to him from the office of the former NSA. It is no longer news that Peter Okoye of the famous group, Psquare pulled out of their highly publicized Congo concert which was to hold last weekend. Information Nigeria reported how he called out his twin brother, Paul and the organizers for using his name even though he had pulled out of the show. Whats news is that, Paul Okoye, who kept mute on the issue went ahead to perform in Congo as Psquare and shared photos on social media. According to the All Progressives Congress, those saying former president Goodluck Jonathan is better than President Muhammadu Buhari may not know the things GEJ did during his time in office. INFORMATION NIGERIA brings you the 18 points they made. 1-Where were the common sense Senator and the wailers when Senate invited Deziani over N10B for chartering a private jet and Jonathan openly ask her not to honour the invitation? 2-President Jonathan sacked the then President of the Appeal court because he refused to compromise on the case involving the ruling party and ruling that forensic examination be allowed on materials used for the 2011 Presidential election. Even when the NJC asked that he be reinstated, Jonathan refused yet, he is your HERO OF DEMOCRACY. 3-Why didnt we hear noise calling Jonathan a dictator when the house of Reps was locked up in the bid to impeached Tambuwal and we saw Rep members flying over fence and gates with some even tear gassed by the Jonathan police? 4-All the security details attached to then Speaker of House of Representatives, Aminu Waziri Tambuwal were withdrawn by the Federal Government led by Jonathan yet, you still didnt see any reason not to worship him. 5-Bode George was tried in the law court and he was jailed for two years and served the term. Saint Jonathan came and asked the court to reversed that judgement that later said Bode George wasnt guilty as charged and he became an innocent and not ex convict but till date Bode never sued for damages. 6-For weeks in 2014, the Governor of Kano State kept shouting that security aides posted to watch over the state house were recalled as a result of orders from above, not once did you accuse Jonathan of tyranny. 7- For appointing Sanusi Lamido as Emir of Kano which was within the rights and powers of the Kano State Government, Jonathan ordered security agents to lay siege at the Emirs palace. For days, the Emir operated from the Kano State Government House. You didnt call Jonathan by the dictatorship that he was acting out then. 8-For the first and only time in the history of Nigeria we had a first lady that also picked up position as the perm sec in the president state without working to attained such position. What did the wailers do? 9- Jonathan withdrew the security details attached to then Governor Amaechi, Jonathan grounded the plane of Amaechi, Jonathan masterminded and hugely supported the division and crisis in the Rivers State House of Assembly, Jonathan allowed his wife to govern Rivers from Abuja, Jonathan used Mbu to embarrass and harass a sitting Governor, Jonathan crippled Rivers because Amaechi was the Governor yet, you still see Jonathan as a demigod. 10- In this same country, we saw how Jonathan supported the destruction of the once vibrant Governors Forum by supporting 16 against 19 yet, you see him as one decent man who should be seen as a Hero. 11-We have not forgotten how Jonathan used state power to prevent sitting Governors from reaching a part of the country to support the reelection of one of their own because they were in the opposition and you who is laying claim to fairness and pretending to love Democracy still claim you miss this same character. 12-It was in this country under Jonathan the saint that we saw for the first time the military ambushed daily news papers distributions. They were seized and destroyed without explanations. Yet Jonathan wasnt tagged a tyrant 13-In this same country, we saw the circulation of an undisputed video by an army officer detailing how soldiers were used to rig the election in Ekiti State to favour the thug governing the state today and yet, you see same Jonathan who was Commander in Chief of all these barbarism as decent enough to be called a hero. 14- In his desperation to see the Governor Nyako impeached, The Presidency under Jonathan had the accounts of Adamawa State frozen. A State Government account oh and not personal account of the Governor and not once did you condemn the action nor call Jonathan the dictator he clearly was then. 15-Same Jonathan you can almost worship today single handedly denied his then state Governor the right to seek the nomination of his party because he had personal grievances against him yet, you never for once accused him of abuse of power. 16-It is in Nigeria we saw how a serving Senator was shot at in a political gathering by the Nigeria police that are supposed to protect our law makers and such Senator is lucky to be alive today after been flown abroad for treatment. 17-For the first time in our history as a country, the CBN Governor was unilaterally sacked by the President without following the due process of our law because he asked questions regarding careless stealing of the nations money supervised and supported by Jonathan yet, you never called Jonathan the dictator he clearly was. 18-Under Jonathan was when we witness how 5 house of assembly members out of 32 almost impeached a sitting governor that lead to serious fracas. One arrested for killing Armenian family in Javakhk Armenias Ministry of Foreign Affairs says one person has been arrested in connection with the murder of an Armenian family in the village of Vachian, located near the town of Akhalkalak, Georgia. Armenia's Ambassador to Georgia Yuri Vardanyan had telephone conversations with Governor of Samtskhe-Javakheti Akaki Machutadzi and Police Chief Teymuraz Kalandadze and discussed with them the murder of an Armenian family in the village of Vachian. As reported by the Georgian side, an investigation is underway and the suspect has been arrested. The Armenian Embassy is in constant contact with Georgian law enforcement agencies and is closely following the developments. We express our deepest condolences to the relatives and friends of the family, the MFA said on Monday. Neighbors have discovered the bodies of a 37-year-old mother and her three children in the Armenian village of Vachian. Ofelya Kachotyan and her three children, aged 5, 16 and 18, were the only inhabitants of the house. Mrs. Kachotyans husband, according to neighbors, is working in Russia. According to preliminary news reports by Rustavi 2 TV, the family was murdered two day ago. The mother and her two daughters were beaten by a blunt instrument and the boy was strangled. The Speaker of the House of Representatives, Honourable Yakubu Dogara, at a meeting with newspaper editors in Abuja on Saturday has expressed shock at the level of corruption discovered by anti-graft agencies so far describing it as unimaginable. While making reference to the recent discovery of billions of looted funds buried in a farm in Abuja, Dogara said he was shocked by the monumental looting of the treasury in the past. The speaker added that he had never heard of such impunity in which people stole for the sake of stealing, condemning the new tricks of suspected looters to bury their loot in farmland. He said: But, if I am just arrested, charged to court and maybe some money recovered from me and, at the end of the day, nothing happens, a lot of people may not be deterred in the future from engaging in corrupt practices. If you look at the massive looting of the treasury (actually, I have been in government for quite some time), I never could have imagined the scale of corruption that we are witnessing right now, where people took lot of money running into billions and buried them in farms. As we are speaking now, he continued, they are recovering monies from someones farm somewhere around Abuja. It is very unfortunate where people stole money just for the sake of stealing. If you were the one in charge of fighting corruption, you would have even been shocked by the scale of the problem. I guess part of the problem we have is that the scale of the problem far outweighs the anticipation of the agencies. So, if care is not taken, we may not get things right in the process. They will have to keep their heads level to be able to be in charge of the fight and to do it effectively. The Speaker, who maintained that President Muhammadu Buhari-led administration remained committed to winning the war on corruption in the country, said the process of doing that had become a subject of concern to some people, especially concerning the operations of the Economic and Financial Crimes Commission (EFCC). If you look at what it has done so far, there is even a discussion as to whether or not they are proceeding in the right direction and whether or not it is not time for us to sit down and do an assessment of how the fight has been, in view of the fact that in the last one year, I do not think there has been any major conviction. It has always been a case of this person has been arrested and detained and some things have been done or he has been charged to court and then the story ends there. If we continue like this, only God knows if we will succeed in fighting corruption. If the end is just to arrest people, charge them to court and thereafter nothing happens; no one is convicted; because conviction, even if you are not jailed, has a way of deterring people. The fact that you are carrying that negative appellation as a former convict has the potential to deter people from corruption in the future. If we are talking about corruption, the speaker continued, naturally, it will relate to those that had opportunity to serve in government. You will recall that, especially that the PDP had been in power for a number of years, more than a decade and if you were to weigh members of opposition that are in government now and had opportunity to serve, those that would have tendencies to engage in pilfering of resources, majority will come from PDP, except we are not being realistic. An Algerian journalist and blogger was sentenced to two years in jail and ordered to pay a fine for insulting President Abdelaziz Bouteflika, a defence lawyer said Monday. Mohamed Tamalts lawyer, Amine Sidhoum, told AFP that he would lodge an appeal against the prison sentence and the fine of 200,000 dinars ($1,800, 1,630 euros). Tamalt, who lives in London, was arrested in June during a visit to Algiers on charges of offending the president of the republic and Algerias constitutional bodies, under articles of the penal code, the lawyer said. The 42-year-old also runs a website and reportedly posted on Facebook allegations about the president, Algerian officials and members of their families. He was liable to a fine under the penal code but not a prison term, according to the lawyer. There was no immediate official confirmation of the ruling. Source:AFP A Federal Capital Territory (FCT) High Court sitting in Jabi, Abuja has adjourned hearing into the case of alleged forgery of the Senates Standing Rule for which Senate President Bukola Saraki, his deputy, Ike Ekweremadu, and two others are standing trial. Messrs Saraki, Ekweremadu, the immediate Clerk to the National Assembly, Salisu Maikasuwa and his deputy, Benedict Efeturi, were accused of masterminding the use of an altered Senate Standing Rule book that was used to elect principal officers of the upper legislative chamber on July 9, 2015. According to the Office of the Attorney General of the Federation, which is prosecuting the quartet, the accused persons by their conduct, committed an offence punishable under Section 97 (1) and 364 of the Penal Code Act. Messrs. Saraki, Ekweremadu, Maikasuwa and Efeturi pleaded not guilty when they were first arraigned before Justice Haliru Yusuf on June 27, 2016 and challenged the prosecution to prove its case against them. The prosecution, led by the Director of Public Prosecutions (DPP), Mohammed Diri, was expected to open its case today, Monday, but the court registrar, Victor Ifeachor, told the court that the case had been adjourned till September 28 following the ongoing vacation of FCT High Court, which began today, July 11. All four accused persons were present at the court for the hearing but left almost immediately after the announcement of an adjournment. We reported earlier that Britains prime Minister, David Cameron will resign on Wednesday, paving the way for his predecessor, Theresa May. If his demeanor is anything to go by, Cameron is leaving the job with a considerable degree of cheer as he hummed cheerily as his time at No. 10 Downing street, the Prime Ministers official residence comes to a close. The Special Adviser to President Muhammadu Buhari on Niger Delta, Paul Boroh, has expressed concern over the delay in payment of stipends of former militants in the Niger Delta region. Mr. Boroh, a retired Brigadier General, expressed his concern in a statement signed by Mr Piriye Kiyaramo, Bayelsa Liaison Officer, Presidential Amnesty Programme in Yenagoa, the Bayelsa State capital yesterday. The statement quoted Boroh, the Coordinator of the Presidential Amnesty Programme, as describing the delay in payment as unfortunate. It said while reassuring the ex-militants of regular stipends, Boroh assured them that the payment would soon commence since the three days public holiday was over. I must say that the hiccups which were brought to my attention during the long public holiday by the Central Bank of Nigeria have been sorted out, it said. Some of the ex-militants on July 4 blocked the popular East-West road at Mbiama over backlog of unpaid stipends. The statement quoted Boroh as expressing regret at the pains the delay had caused the former militants, urged them to remain calm. I want your cooperation as the present administration under President Muhammadu Buhari proffers lasting solution to the problems in the region, the statement quoted Boroh as saying. (NAN) Human rights activist and Senior Advocate of Nigeria, Mr. Femi Falana, yesterday told the Chief of Army Staff, Lt.-Gen. Tukur Buratai to resign from office. Falana, who was reacting to recent damning revelations that Buratai purchased properties worth $1.5million in Dubai, the United Arab Emirates, asked President Muhammadu Buhari to sack him if he failed to voluntarily step down. Although the Army chief had admitted owning properties with his two wives in Dubai, he said the money was from personal savings and was paid in instalments, and not proceeds of corruption. But the online medium, which first broke the news of his foreign properties ownership, has continued to release vital documents and receipts as well as records of financial transactions, that contradict Lt.-Gen. Buratais claims. While describing the Army chiefs position as a cock and bull story, the senior lawyer wondered how a General in the Nigeria Army could manage to save $1.5million, adding that Nigerians deserve to know how he saved the proceeds he used to buy the properties. If the Chief of Army Staff does not deem it fit to resign forthwith, President Buhari should not hesitate to remove him in the interest of national morality. In view of the ban on the opening and operation of foreign accounts by public officers, the Code of Conduct Bureau should be involved in the investigation, Falana said. Mr. Falana stressed that for Buhari to show Nigerians that truly there was no sacred cow in the fight against corruption, Buratai should step down and allow a full scale scale investigation by the Arms Procurement Panel and the Economic and Financial Crimes Commission (EFCC). The All Progressive Congress (APC) in Edo State has denied rumours making the rounds that the name of Hon. Philip Shaibu has been submitted to the national leadership of the party for onward transmission to the Independent National Electoral Commission, INEC, as the APC deputy governorship candidate. The governorship election in Edo has been slated for September 10 with the APC candidate, Godwin Obaseki, set to contest against Pastor Osagie Ize-Iyamu of the Peoples Democratic Party, PDP. Shaibu, who campaigned for the emergence of Obaseki as the APC governorship candidate, is the member representing Estako Constituency in the House of Representatives. He is also the former Majority Leader of the state House of Assembly. Reacting to reports that Shaibu has been nominated as running mate to Obaseki, the Edo APC denied it, only describing the speculation as interesting. Leaders of the APC from Edo North and Edo Central are fighting over the slot. Speaking in Benin City, the state capital on Sunday, the Edo APC Chairman, Anselm Ojezua, explained that the deputy governorship candidate could emerge from any zone, adding that this can only be decided after a meeting of the stakeholders. On reports that he was being asked to resign for Edo Central to produce the deputy governorship candidate, Ojezua stated that the nomination of a running mate has nothing to do with his office. How does it have to do with anything? It does not affect my position. We have not picked any name, he maintained. Some traditional rulers from the South-East geo-political zone yesterday appealed to the various youth groups currently agitating for a sovereign state of Biafra, to embrace dialogue with the federal government. The monarchs made the appeal in a communique they issued after a workshop in Owerri, the Imo State capital. The workshop with the theme: Conflict Prevention, Peaceful Coexistence, Tolerance and Alternative Dispute Resolution for South-East Traditional Rulers, was organised by the Institute for Peace and Conflict Resolution (IPCR) and the United Nations Development Programme (UNDP) to strengthen the traditional rulers capacity in peace building and conflict resolution. The workshop was attended by monarchs from Abia, Anambra, Ebonyi, Enugu and Imo states as well as representatives of governors of Abia and Imo. The communique noted that traditional rulers and civil society organisations were critical stakeholders in the drive for peace in the zone. We recommend the continuation of appeal to the youths in the region currently engaged in self-determination struggle to embrace dialogue and peaceful methods. We further resolve that all Nigerians should embrace the principles of tolerance and peaceful coexistence, the communique said. They recalled that the zone had passed through myriads of violent conflicts, insecurity and developmental challenges in recent times and called on the federal government to five the South-East a sense of belonging. The self-determination groups, through their activities, are radicalized youths, which usually bring them into direct confrontations with the law enforcement agents resulting in avoidable fatalities, loss of revenue and economic incapacitation. The South-east is challenged by multiple conflicts and insecurities like cultism, attack on oil installations, herdsmen attacks, land disputes and self-determination campaign for Biafra fuelled by perceived marginalization, the communique said. Federal and state governments should find creative ways to engage the already radicalised youths in dialogue. State governors within the region should equally see the need to create more economic opportunities to reduce unemployment. The conflict between farmers and herders and the resultant killings and destruction of farmlands should be addressed urgently. We implore the Federal Government to proffer an immediate, balanced and acceptable solution to the problem, the communique said. Yunusa Dahiru, the tricycle operator from Kano accused of kidnapping Ese Oruru, a minor from Bayelsa State and marrying her, has been released on bail after spending over four months at the Okaka prisons in Yenagoa. Dahiru, whose illegal marriage to Ms. Oruru resulted in her getting pregnant, was arraigned before a federal high court in Yenagoa, the Bayelsa State capital on five count charges of criminal abduction, sexual exploitation, illicit sex, and carnal knowledge of a minor. The court granted him bail in March but he could not meet the stringent conditions. However, following a plea by Dahirus lawyers, the bail conditions were relaxed by the trial judge, Justice Ajiya Nganjiwa, and he was released last Friday. In an interview with Daily Trust in Kano yesterday, Mr. Dahiru popularly known as Yellow, said, I have learnt my lessons. This case has taught me a lot of lessons and shaped my life. I will be cautious on how to relate with others in my future life. Though I was sent to prison, Muslim brothers from the North under the auspices of Muslim Lawyers Association of Nigeria (MULAN) are always helping me. I thank everybody that directly or indirectly assist me in this case. I am the happiest person today because I am reuniting with my family after spending six months in prisons. Though the case is not over, I am confident the truth will prevail. A group of Ekiti Professionals has described unfolding political events in Ekiti State as heart bleeding. The professionals, who converged on the platform of E-11, said while recent developments in the state did not come as a surprise to them, they were deeply concerned and worried about the future of the state and the dire consequences upon the future generations. The E-11 was reacting to the recent travails of the state governor, Ayodele Fayose, in the hands of the Economic and Financial Crimes Commission, EFCC. The group, in a statement at the weekend by its chairman, Femi Ajiniran, recalled that the happenings and scenarios playing out in Ekiti were avoidable and could have been avoided, if our people had acted according to our warnings. Mr. Ajiniran further recalled his groups repeated warnings to people of the state to beware of Fayoses deceitful tendencies and not trust him with the sensitive position of governor for a second term, but the warnings were ignored. The group said less than two years into the governors tenure, its fears are now being confirmed, if not fully confirmed by unfolding events. When E-11, came together as professionals from Ekiti in year 2003, our concerns and interest were mostly centred on the glory of Ekiti, and its people, their welfare, wellbeing, happiness and prosperity. Our desire then, was to see the advent of this glory, and become active participants in bringing it about and sustaining it. We were determined to bring our exceptionally gifted citizens on board, so that we can collectively liberate our people from shackles of oppression and poverty. It was the uncoordinated attitude of this same Governor Fayose during his first coming, as well as his reign of terror and lack of respect for elders and traditional authorities in Ekiti that prompted us to come together so that we could rescue Ekiti from his reign of terror and to make Ekiti a conducive place to work, to live and to invest. We have had four executive governors elected into office since the advent of democratic rule in 1999; none has troubled the state and destroyed its honour, and the dignity of its people like the present governor. We cried out prior to the 2014 governorship election in the state, we wrote to PDP at both the national and state level, not to present a candidate that will reverse the progress and the gains we have made in the sixteen years of our democracy but for selfish reasons, the then ruling party at the national level imposed Mr Fayose on the State, and went ahead to rig the election in his favour. We saw it coming, when a candidate in an election was promising the unemployed indigenes three square meals per day at the government house, if elected. Our people were deceived. There was no clear manifesto or road map of how to tackle the economic problems in the state. The antecedent of Mr. Fayose made him unfit for the office, his academic qualification has always been controversial and questionable. There was nothing about him that could justify his winning the election and occupying such an exalted office but for our weak democratic system as well as the federal power, money and the role of the Nigerian military that gave him victory, it said. The E-11 noted that its attempts to use the judiciary, through an eligibility case it instituted against Fayose was also frustrated, as he allegedly sponsored several attacks on its members, legal team and judges that handled the case inside the court room. It pointed out that since assuming office two years ago, Governor Fayose has carried on with reckless abandon, in the process, doing what it termed a lot of collateral damage to the image of the state and the dignity of its people. His conduct officially and unofficially has shown that he has neither capacity nor the wisdom to hold such an exalted office. According to Ajiniran, Fayose has reduced the exalted office of governor down to his level with his uncoordinated behaviours, shabby appearance and disgraceful public conducts. What is happening in Ekiti at the moment negate the dreams of our heroic progenitors, who saw early in life that education is the torch light through which we can trace the pathway to personal development and by extension community development, and therefore invested heavily in our education, so that we can develop ourselves and our communities. We are so sure that the spirit of our fathers cannot be happy seeing their dreams and the fame as well as the good image they bequeathed unto us being destroyed by an outcast, who has never been part of our good history, he stated. Unknown gunmen have kidnapped Chief Joseph Tsegba, father of former Speaker (pro tempore), of the Benue State House of Assembly, Terngu Tsegba. The senior Tsegba was abducted around 8 pm on Saturday from his home in Adekaa, Gboko town, Benue State. A relative of the victim, Mr. Terfa Ape, who confirmed the development, pleaded with the abductors to release the old man as he needs to take his medication. Chief Tsegba is the kindred head of Anzua Ward, Gboko Local Government. It was learnt that the abductors are yet to contact the family while efforts to reach the Benue Police Command spokesperson, Moses Yamu, were unsuccessful. A 50-year old teacher, Musiliu Yusuf, on Monday told an Igando Customary Court in Lagos that his wife was impregnated by one of her lovers in their matrimonial home. The man also said his wife was in the habit of beating him on the slightest provocation, forcing him to pack out of his matrimonial home. My wife committed adultery, she got pregnant for one of her lovers in our matrimonial home, Mr. Yusuf said while testifying in a divorce suit instituted by his wife, Hassana. My wife is promiscuous; my fellow landlords are her boyfriends. She got pregnant for one of them, they mock and make jest of me whenever they see me. I stopped making love to her in June 2014 due to her infidelity and in 2015 she told me she was pregnant. I rejected the pregnancy and even after delivery of the baby, I refused to care for her because the baby is not mine, the estranged husband said. The respondent tendered the list of hotels visited by his wife and some of her lover as exhibits. I packed out from the house I built on four different occasions because I fear for my life as my wife always beat me on any slight provocation. I finally ran out on June 14, 2015 and refused to go back just to save my life because I dont want to die, he said. Mr. Yusuf said contrary to his wifes claim that they both built the house and school, he never collected any money from her. The respondent also presented as exhibits all the title documents of the properties which were in his name. Hassana , 39, a teacher, who filed the suit to end her 13-year-old marriage, said that her husband threatened to kill her in order to inherit what they both acquired. He threatened to kill me so that he can take over what we both laboured for. He always boasts that nobody will question him if he kills me. We jointly bought a coaster bus and two plots of land. We used a plot to build a house, the other a school, she said. The petitioner said that her husband was in the habit of accusing her of infidelity. My husband is suspicious of my moves; every man I talk to is my boyfriend. He has no trust, whatsoever in me, the estranged wife said. Hassana said that her husband packed out of their home without any notice to an unknown destination. On many occasions, he had abandoned me and the children. He once left the house for a year, she said. The mother of four said because she refused to grant her husband loan, he rejected her fourth child. Delivering his judgment, the President of the court, Mr Adegboyega Omilola, said that it appeared that the estranged couple were tired of the marriage and all efforts to reconcile both parties had failed. Since both parties consented to the dissolution of their marriage, this court has no choice than to dissolve the marriage. The court pronounces the marriage between Hassana Yusuf and Musiliu Yusuf dissolved today; both parties henceforth cease to be husband and wife. Both are free to go their separate ways without any hindrances and molestation, Omilola ruled. Source; NAN The organized labour in Nasarawa State has directed state and local government workers to disregard the threat by Governor Tanko Al-Makura to sack any worker who does not resume work on Monday. The strike we started on July 4 is indefinite; disregard the call by the government to resume work on Monday, July 11, leaders of organized labour in the state said in a statement issued on Sunday in Lafia. The statement was jointly signed by the State Chairmen of the Nigeria Labour Congress (NLC) and Trade Union Congress (TUC), Abubakar Adeka and Danladi Sabo-Namo respectively. The workers embarked on indefinite strike on July 4 to protest the decision of the government to slash their salaries by 50 per cent. Gov. Al-Makura had while speaking at the celebration of the 35th coronation anniversary of Aren Eggon, Dr. Bala Angbazo, threatened to commence recruitment of fresh graduates to replace striking workers if they fail to return to their duty posts. The governor, who noted that the 50 per cent slash in salaries cut across both public officers and civil servants in the state, stressed that it was a sacrifice everyone needed to make in view of the dwindling economy and sharp decline in state allocation from federation account. But the organized labour would have none of it as its statement yesterday insisted on continuing the strike while efforts were being made by the labour unions to protect rights of workers. We are fighting to protect the rights of the workers and shall not relent until such rights are restored, the statement declared. It said that workers were law abiding citizens and would continue to be so in the interest of peace and development of the state and the country. Following reports of planned negotiations between the federal government and the Niger Delta Avengers, NDA, the leader of Niger Delta Peoples Volunteer Force, Alhaji Mujahid Dokubo Asari has broken his silence, warning against his inclusion in the negotiation team. Dokubo-Asari Dokubo-Asari He also warned against associating his name in the matter, stating categorically that he had not appointed anyone to be his representatives. Asari who was former national president of Ijaw Youth Congress and also the leader of Niger Delta Peoples Salvation Front, NDPSF, vowed never to negotiate with the government of President Mohammadu Buhari. He instead accused the President of waging a genocide war against the Ijaw nation, saying that the President was paying lip service to the negotiation plan. Asari who conveyed his views through a public disclaimer he splashed on his Facebook wall on Sunday night, stating that he believed in the cause of Ijaw Nation,Niger Delta Region and The United States of Biafra(USB). He warned that for every action there must be an equal and opposite reaction.You cant sow to the winds and expect not to harvest a whirlwind in return. The former warlord stated that he would never condemn the activities of the Niger Delta Avengers, NDA, saying that unless there was a Sovereign National Conference, SNC, was convoked on issues of Ijaw, Niger Delta region and USB, and a plebiscite that would be monitored by the United Nations, UN, there would be no peace in the mentioned territories. Asari wrote: The public should note that I,Alhaji Mujahid Abubakr Dokubo-Asari,Alabo Edi Abali -Abala Buruburu 1 of Kalabari had not entered,directed,appointed or delegated any person or group of persons to negotiate or enter into dialogue with any president,vice president,governor,minister,national security adviser,any committee or institution in furtherance of the nigerian states pretension to the pursuance of peace in the occupied Ijaw,Niger Delta Region and The United States of Biafra(USB). Therefore, anybody who had presented himself/herself claiming to me or represent me in any manner of discussion, fora or conference in furtherance of this pretentious negotiation or dialogue is a fraud and therefore should not be accorded any recognition as a person representing me, the Niger Delta Peoples Salvation Front and Niger Delta Peoples Volunteer Force. I am convinced and firmly believe that the occupation gambari and their collaborators in the Nigerian state led by General Muhammadu Buhari is not interested in any or in any type of peace in Ijaw, the Niger Delta Region,In the Territories of the United States of Biafra or even in any part of nigeria. The body language of general Muhammadu Buhari is to carry out a genocide and a pogrom against the Ijaw,Niger Delta and the United States of Biafra(USB). Therefore,there is no way I will be hoodwinked to be part of any sham that the government of the nigerian state led by General Muhammadu Buhari is masquerading as negotiation or dialogue that will lead to peace in the aforesaid territories. The same way the bride Amnesty was introduced-which I and the volunteers rejected-was used to bribe the faint hearted amongst our compatriots,the cult gangs and fraternities,and the criminal elements in the Niger Delta to allow the our hydro carbon resources-which is our God given and exclusively belong to us- to be freely extracted to satisfy the extravagant and immoral appetite of the gambari and their collaborators Today most of the recipients of the Amnesty Bribe are full of regrets and wish that they turn back the hands of clock. In furtherance to the above stated reasons,I have no cause to be part of any negotiation or dialogue in the present general Muhammadu Buhari contrived crisis in the Ijaw territories to give him an excuse to carry out his well thought out plan to execute his genocide and pogrom against us.since I am not a member of the Niger Delta Avengers or any of the other internet groups trying to obliterate the shine of the heroic explores of the Niger Delta Avengers.Some people had severally opined that if I am not an Avenger I should publicly disclaim themWhy should I do so? What is my business to be a busybody in this matter?Why should I take pannadol for someone else headache? I will never disclaim,denounce or condemn the Niger Delta Avenger. For I am a known advocate of this truism that for every action there must be an equal and opposite reaction.You cant sow to the winds and expect not to harvest a whirlwind in return. Finally,the public should know that I am not part of any negotiation or dialogue and had not mandated,nominated,appointed or authorized any person or group of persons to represent me in any dialogue or negotiation with the government of the Nigerian state led by general Muhammadu Buhari. My believe is that only a SOVEREIGN NATIONAL CONFERENCE with the resolution for a PLEBISCITE SUPERVISED BY THE UNITED NATION can lead to permanent peace in Ijaw,Niger Delta and the Biafran territories. Source: Vanguard Punch A four-man team will today take over the prosecution of the Senate President, Dr. Bukola Saraki; his deputy, Ike Ekweremadu, and two others on charges of forgery of the Senate Standing Orders used for the presiding officers elections of the 8th Senate in June 2015. Vanguard ABUJAAHEAD of the Federal Governments forgery suit against Senate President, Bukola Saraki, his Deputy, Senator Ike Ekweremadu, outgoing clerk to the National Assembly. The Sun RIGHTS activist and Senior Advocate of Nigeria, Mr. Femi Falana, yesterday urged Chief of Army Staff, Lt.-Gen. Tukur Buratai to resign from office. Thisday The Afenifere Renewal Group (ARG) and Indigenous People of Biafra (IPOB) yesterday rejected President Muhammadu Buharis position that Nigerias unity was not negotiable, saying Nigeria could only remain united if all ethnic nationalities agreed to live together. Daily Times The Ooni of Ife, Oba Adeyeye Enitan Ogunwusi (Ojaja II), has told chieftains of the Peoples Democratic Party (PDP) in Osun State that laws of the land must be respected over the detention of Senator Iyiola Omisore and Femi Fani-Kayode. Guardian Daily Trust Vice President Yemi Osinbajo has shrugged off calls to restructure Nigeria saying it is not helpful and will not make any difference. The Nation A crisis of confidence has hit Nigeria-Sao Tome and Principe Joint Development Authority (JDA), following alleged arbitrary sack of 35 workers by the management. Leadership The killing of 81 people over the weekend in various communities in Benue has once again brought to the fore one of Nigerias biggest and yet ignored problems. Fulani herdsmen, who are nomads and take their cattle across states for grazing purposes have clashed with farmers on whose lands their animals have grazed without permission. To be fair, the herdsmen themselves have for years reported incidents of cattle rustling and a lack of a timely solution has slowly seen a simple situation grow into a disaster. 2016 has been dominated by brazen attacks by Fulani herdsmen on communities such as Agatu in Benue state and Ukpabi-Nimbo in Enugu state. The Federal Governments response, if it can be called that, has been the unimaginative and tired suggestion of creating grazing reserves. Criticism over a bill to that effect seems to have knocked the stuffing out of that idea and the Buhari administration has resumed its curious silence. To be sure, it is the failure of the Nigerian government to protect their citizens that has brought us here and given how nonchalant the government appears now that the situation is clearly out of hand, there appears to be a clear lack of direction at the Federal level. The numbers are piling up and were quickly becoming insensitive and indifferent- these people should not be reduced to mere statistics. They are citizens of Nigeria, parents, brothers, friends, whom the government has failed. The negligence of security forces can also not be dismissed. In the case of the deadly attack in Ukpabi-Nimbo, security forces had been alerted by residents severally when they observed the movement of large numbers of Fulani herdsmen in the otherwise sleepy town. In Benue, the situation was the same, with residents citing negligence on the part of security officials as the biggest problem. It is unconscionable to have a government which will react to foreign disasters promptly but will then fiddle while our home front burns. Amid claims by the opposition that members of the governing All Progressives Congress, APC, are carrying themselves like saints, Speaker of the House of Representatives, Yakubu Dogara, has said that is not true. The Speaker, who defended the anti-corruption war of the President Muhammadu Buhari administration, also explained why casualties of the war are mostly from the Peoples Democratic Party, PDP. Dogara told journalists in Lagos at the weekend that the reason why many APC members are not casualties of the anti-graft campaign was because they did not have the opportunity of being in government. If we are talking about corruption, naturally it will relate to those that had opportunity to serve in government, he said. According to the Speaker, PDP had been in power for 16 years, therefore, it is only logical that when the anti-graft agencies go after those that had opportunity to serve to give account of their tenures, those that would have tendencies to engage in pilfering of resources, majority will come from PDP, except we are not been realistic. Though we cant claim that all the members of APC are saints, you pointed out that APC spent money in the course of the campaign, but where did this money come from? As it is, we havent really had any facts about government officials forwarding money to the cause of the campaign. Majority of the money that was stolen was channeled towards the PDP campaign, he added. Dogara also said: You know that this arms purchase monies, for instance, virtually everything was given for the prosecution of PDP campaign. I do not think a dime went to any member of the APC; we were all in government then. I cant remember a discussion like that then, but I knew when some of the funds were been given to some of our friends as well, but I believe that no one that is of APC stock was given that money. The whole thing was scripted. Embattled former Deputy Governor of Osun State, Senator Iyiola Omisore, has denounced the Chairman of the Peoples Democratic Party, PDP, in the state, Soji Adagunodo, for leading a delegation of party chieftains to beg the Ooni of Ife, Oba Adeyeye Ogunwusi (Ojaja III) on his behalf. Adagunodo last Wednesday led some PDP leaders to the palace of the Ooni to beg him to forgive Omisore for failing to pay homage since his enthronement last December. The delegation also pleaded with the Ife monarch to use his goodwill to assist Omisore to get out of the detention of the Economic and Financial Crimes Commission, EFCC. The Osun PDP chair pledged to personally bring the former deputy governor to the palace upon his release to make his ways straight with Ooni Ogunwusi. Reacting to the visit, a close ally of the detained politician, who doubles as Publicity Secretary of the PDP in the state, Mr. Diran Odeyemi, said described the action of Adagunodo as tantamount to mockery and pretense. Odeyemi, who made this known in a statement on Sunday, said that When EFCC came after Omisore, it is logical to assume that Adagunodo group will be happy that their enemy is now out of the scene and away from their sight. It is also likely that the open display of sympathy and latter-day affection may not be genuine. How can we explain the sudden love for a man you so much hate while in freedom? Their actions and utterances may be Oju aye (eye service.). The statement added that, In their attempt to register the group as supportive of the man they despise, they started crying more than the bereaved, running around to nowhere and with no justifiable reasons for their actions and utterances. In Omisores case, they cannot be a good advocate to defend his course. It is bias and double standard. For Adagunodo, it is a dangerous game. Head or tail, his intention can never stand the test of absolute sincerity. Why going from pillar to post over a sensitive matter still under investigation? You can only plead when confronted with evidences of guilt not prior. This is why Adagunodos plea to the Ooni of Ife on behalf of Omisore is a strange strategy that can be viewed as a further attempt to nail Omisore by his enemy posing as his friend. One may also be right if you call it a back door political coup to dent Omisores image. It is a mockery visit for a bad mission under pretense. Odeyemi noted that although the Ooni did not give the PDP chairman and his followers the normal respect he always accords his valued guests, he stated that the monarch used the opportunity to further vent his anger on Omisore. Oba Ogunwusi was presented a veritable opportunity to stylishly vent out his subtle anger on Omisore. Kabiyesi in his wisdom complained of not being visited by Omisore. His prayer for Omisore is loaded and more or less sounded neutrality in his matter. It is normal and expected. Kabiyesi scored a good goal from a loose ball passed to him by Adagunodos group, the Osun PDP spokesman added. Serzh Sargsyan visits Embassy of Argentine in Yerevan On July 11, on the occasion of Argentines Independence Day , Serzh Sargsyan visited the Embassy of Argentine in Yerevan. The President of Armenia congratulated Ambassador Gonzalo Urriolabeitia, the entire staff of the Embassy and in their person the people of Argentine on the occasion of the 200th anniversary of the countrys independence and wished the friendly Argentine prosperity and new success. The President of Armenia wished the Ambassador of Argentine impressive achievements in the strengthening of the Armenian-Argentinean relations. The President expressed confidence that thanks to the practical steps of the leadership aimed at the advancement in various areas, Argentine will continue to uphold its considerable role in the regional as well as global processes. I am confident that our interstate relations based on mutual trust will develop upward, registering achievements which will further deepen and strengthen our bilateral cooperation, underscored President Sargsyan and assured that Armenia is ready to do her best to elevate the Armenian-Argentinean relations to a qualitatively new level. Serzh Sargsyan noted with satisfaction that close relations between Armenia and Argentine manifest themselves also in the special attitude towards the issues which are sensitive for the two countries. In this context, the President of Armenia praised Argentines unbiased position on the NK conflict, as well as the considerable contribution of the people and government of Argentine to the international recognition of the Armenian Genocide. In the dynamic development of bilateral relations, the President of Armenia and Ambassador of Argentine stressed the importance of the active political dialogue and high-level reciprocal visits. According to the parties, the strong Armenian-Argentinean friendship is also testified to by the fact that Armenia and Argentine were the first to open embassies in their respective regions. Ambassador Gonzalo Urriolabeitia stressed the role of the Armenian-Argentinean community in the development of the interstate relations. Relations between our people have a much longer relations than the period since the establishment of diplomatic relations between our two states. In difficult for the Armenian nation time, which was conditioned by historical events, part of the nation had found refuge in Argentine and was able in a short period of time to display its positive trends, acquire great reputation, to integrate into the Argentinean society and contribute to the development of the country, noted the Ambassador of Argentine to Armenia Gonzalo Urriolabeitia. He expressed gratitude to the President of Armenia for the visit to the Embassy, congratulations and warm words. The Ondo State Commissioner for Environment, Sola Ebiseni, has vacated his post in the administration of Governor Olusegun Mimiko as he nurtures ambition to contest under the platform of the Peoples Democratic Party (PDP) in the upcoming gubernatorial election. Ebiseni, a lawyer by profession hails from Ilaje in the Southern Senatorial District The ex-commissioner admonished Governor Mimiko for affording him the opportunity to serve the state as a commissioner. Other aspiring candidates for the November 26 governorship election, Attorney General and Commissioner for Justice, Eyitayo Jegede (SAN), Commissioner for Local Government and Chieftaincy Affairs, Bamiduro Dada are expected to follow suit in accordance with the rule Meanwhile, the PDP in the state has claimed that the rumour flying round that the party has adopted a particular aspirant for the election is false as it maintained that the party has not conducted the primary election to determine the flag bearer. The party publicity Secretary, Banji Okunomo in a statement said: PDP has a constitution which guides its affairs,the issue pertaining to picking the candidate who would be the flag bearer of the party in the forth coming governorship election is purely a constitutional affair of the party. Provisions for the partys primary are purely unambiguous in the constitution of the party, PDP believes strongly in internal democracy and as such issues of imposition of candidates on the party would not arise we believe so much in democratic appeals,fairness,equity and justice.Among other things,the party would consider issues of leadership prowess,popularity and acceptance of candidates to the electorate before such is picked as party flag bearer. Our primary would be conducted at a date which would be fixed within the period which INEC allows by which time the flag bearer of the party would emerge, the statement reads. The ruling party, All Progressives Congress has said through its National chairman that the anti-corruption war of the Muhammadu Buharis government is neither selective nor partisan. INFORMATION NIGERIA in this piece brings to you what he said. He noted that Peoples Democratic Party chieftains are being investigated because most of them received funds from Sambo Dasuki, former national security adviser. He said that when the former NSA was sharing money from his ATM machine, he didnt extend an invitation to members of the opposition party. Prosecution depends on evidence. Once there is evidence, if anyone has evidence, let him provide it. he also said. He also said there are a few APC leaders who are being called to question and that the campaign is not as one sided as it seems and it is not something to be apologetic for. Oyegun also said the ruling party is not interested in an opposition that is enmeshed in crisis, insisting that the APC is not in any way responsible for the internal strife in the PDP. All I can say is that they cannot eat their cake and have it. When their factional chairman left us, they were celebrating, they were happy saying oh, weve got a big fish. Now that they see the kind of man he is, they are crying wolf. We have nothing to do with the travails of the PDP because in reality; we want a virile opposition. We want an opposition that is responsible, that has ideas to contribute he also maintained. The APC chieftain further stressed that the federal government isnt investigating the campaign funds expended by the PDP, but rather, public funds that were pumped into the 2015 election. Oyegun said individual donors, businesses and companies who contributed the election campaign of the PDP arent under investigation. Ahead of the September 10 governorship election in Edo State, the National Chairman of the All Progressives Congress (APC), Chief John Odigie-Oyegun, has stressed the need for party stakeholders to close ranks and support the candidature of Mr. Godwin Obaseki. Chief Odigie-Oyegun, who made the call on Monday in Abuja, also congratulated Mr. Obaseki on his emergence as the APC flag-bearer following his victory at the June 18, 2016 governorship primaries of the party held in Benin City. As the party prepares for the September 10, 2016 governorship election in Edo State, I call on all aspirants, party leaders, stakeholders and teeming members of our great party to close ranks and unite as a strong political fighting force behind the candidature of Mr. Obaseki for a landslide victory at the forthcoming Edo State governorship election. SEE: Edo 2016: APC Governorship Aspirants Close Ranks For Obaseki The request by the aspirants was that the Edo State APC governorship election primaries should be transparent, free, fair and credible. This, the party achieved creditably during the primaries. Going forward, we should avoid triumphalist actions and avoid creating new stresses within the party. We should all seek to reunify the party to face the September 10, 2016 governorship election, said the APC National Chairman. He also congratulated the Governor Aminu Bello Masari-led seven-member Primary Election Committee for conducting a very transparent, free, fair and credible exercise in Edo State. Odigie-Oyegun also thanked the Hon. Opeyemi Bamidele-led three-member Edo State governorship Election Appeal Committee for carrying out its assignment and submitting a report. The Nigerian Police in the Federal Capital Territory, (FCT), have arrested six suspects in connection with the murder of itinerant preacher, Mrs Eunice Olawale Elisha, in Abuja. Mrs. Elisha was found dead with her bible, megaphone and mobile phone by her body at the early hours of Saturday at Pipeline area, Kubwa, Abuja. The FCT Commissioner of Police, Alkali Usman confirmed the arrests as he said the the six suspects are in custody undergoing interrogation. He however, assured other residents of the polices commitment and determination to fish out perpetrators of the crime and bring them to justice and also promised to make the outcome of the investigation public. The Commissioner urged the public to remain calm and cooperate with the Police in the investigation. The Police Public Relations Officer, Anjuguri Manzah, in a statement released yesterday said: The commissioner of Police FCT has ordered the deputy commissioner of Police, Criminal Investigation and Intelligence Department, to lead discreet investigation into the murder of Mrs. Eunice Olawale Elisha, 40 years old, who was murdered by unknown persons in the early hours of Saturday at Gbazango Pipeline area of Kubwa. Contrary to reports from some sections of the media that the deceaseds head and legs were chopped off, the command wants to state that apart from marks of injury inflicted on some parts of her body, all parts of the deceased body were intact and the Police have taken custody of her remains for preservation and examination. A member of the House of Representatives, Ayodele Joseph (APC/Apapa Constituency) has appealed to Nigerians to be patient with President Muhammadu Buhari, expressing confidence in the presidents ability to bring the desired change to the countrys economy. The lawmaker said irrespective of party affiliations, religion or ethnicity, Nigerians should be patient with Buhari as good times beckon. Mr. Joseph, who is member of the House Committee on Ports, Harbours and Waterways, spoke at the weekend during his maiden Town Hall Meeting Sustaining the change agenda through empowerment and distribution of empowerment items to his constituents. It was learnt that 70 people benefitted from the empowerment items that included block molding machines, hairdryers, power-generating sets, motorcycles, tricycles, sewing machines, among others. According to the lawmaker, The path to destruction might be swift and devastating, but the process of reconstruction is usually rigorous and tasking, and might seem slow. That is similar to what we are going through as a nation. I, therefore, implore you to keep up the faith and be patient to reap the fruits of this administration. Our determination to pull through together must remain unshaken. Joseph lauded leaders, party chieftains and members of the All Progressives Congress (APC) for their support and the opportunity to represent them, promising not to fall short of their trust. Today marks the beginning of our march towards sustainable partnership. I ask for your support and cooperation on this journey of making this constituency better and more prosperous. And the partnership that evolved today is purposeful, not only for empowering our people, but to share ideas and interact with you with the aim of serving you better. Our hope will not be in vain because this administration will usher good tidings, he said. In 2014, Naval Admiral William H. McRaven, a former United States Navy Admiral, returned to his university and spoke to graduates about life lessons he learnt from the strenuous basic SEAL training. The United States Navy SEAL (SEAL stands for SEA, Air and Land teams), are an advanced section of the US military and arguably one of the finest and well trained military forces in the world. They are notably known for a clandestine raid it conducted on May 1, 2011 in Abbottabad, Pakistan that killed popular terrorist Osama Bin Laden. It was an in-depth speech by the respected Admiral which relates to every department of our lives. Information Nigeria highlights the most important takeaways from his speexh that Nigerians can use every day. 1. If you want to change the world, start off by making your bed Mundane as it may sound, making our bed is the most important duty every morning that many Nigerians take for granted. If you make your bed every morning, you will have accomplished the first task of the day. It will give you a small sense of pride and it will encourage you to do another task and another and another Making your bed will also reinforce the fact that little things in life matter. If you cant do the little things right, you can never do the big things right- William H Maybe if we can sort out the simple, boring task of making our bed every morning, we can sort out our complex life issues too. 2. You have to be your best in your darkest moment It takes a brave man to be a SEAL. Some of the SEAL training requires them to perform aggressive and extremely hard and dangerous underwater operations. In his speech, William H talks about how in a ship attack training exercise, a pair of SEAL divers are dropped outside an enemy harbor and must swim for over two miles to their target ship without aid tools like depth gauge and a compass. Approaching the enemy ship, the surrounding environment underwater is dark, and to complete the mission, a SEAL must swim under the ship and locate the keel, the center line, darkest and deepest part of the ship, amid deafening noise from the ship machinery, being calm, composed and using all your tactical skills taught in training is the only way to finish this grueling task. So in tough times, you must be at your best in your darkest moments. 3. Do not ever ring the bell In SEAL trainings, a brass bell is placed at the centre of the training complex for every trainee to see for students who are fed up of the exercise and want to quit. It is easy: Ring the bell, drop your helmet on the ground and youre done. No more demanding tasks and difficult missions. However, is giving up the solution? A saying goes around in Nigeria that Theres no food for a lazy man. If you want to change the World, dont ever ring the bell. Start each day with a task completed. Find someone to help you through life. Respect everyone. Know that life is not fair and that you will fail often. Take some risks, step up when the times are toughest, face down the bullies, lift up the downtrodden and never, ever give up. It matters not your gender, your ethnic or religious background, your orientation, or your social status. Our struggles in this world are similar and the lessons to overcome those struggles and to move forwardchanging ourselves and the world around uswill apply equally to all. Changing the world can happen anywhere and anyone can do it.- William McRaven) The big question however is, what is our bell in life? Our last breath Never ring it! On this day in 1991, a Canadian-chartered DC-8 plane, carrying Muslim pilgrims crashed at Jeddah, Saudi Arabia international airport, killed all two hundred and sixty one (261) people on board. The aircraft involved in the accident was a 1968-built Douglas DC-8-61, registration C-GMXQ, owned by the Canadian company Nolisair, and usually operated by Nationair; at the time of the accident, it was being wet-leased to Nigeria Airways, which in turn sub-leased it to another company to transport Nigerian pilgrims to and from Mecca. The aircraft departed King Abdulaziz International Airport bound for Sadiq Abubakar III International Airport in Sokoto, but problems were reported shortly after takeoff. Prior to departure, the lead mechanic had noticed that the #2 and #4 tyre pressures were below the minimum for flight dispatch[1] and attempted to inflate them, but no nitrogen gas was readily available, and the project manager, unwilling to accept a delay, disregarded the problem and readied the aircraft for dispatch. The crew attempted to return to the airport for an emergency landing, but the aircraft caught fire, experienced an inflight break-up, and crashed 2,875 metres (9,432 ft) short of runway 34L. When the aircraft was about 18 kilometres (11 mi) from the airport and at an altitude of 671 metres (2,201 ft), a number of bodies fell from it, indicating that the fire by that time had consumed, at least partially, the cabin floor. All 261 occupants on boardincluding 247 passengersperished in the accident. As of July 2014, the accident remains the deadliest crash involving a Douglas DC-8, as well as the second deadliest crash taking place on Saudi Arabian soil, after Saudia Flight 163 The Nigerian Prisons Service has retired two of its top officials from service. The spokesperson for the prisons service, Francis Enobore, announced the retirements in a statement on Monday. The statement listed the affected officers as Deputy Controller-General Aminu Suley and Assistant Controller-General Ali Bala Salami. The retirement of Messrs. Suley and Salami was due to their seniority over the current Controller-General of Prisons, Jaafaru Ahmed, the statement added. It reads: Following the appointment of Jaafaru Ahmed as the Controller-General of the Nigerian Prisons Service, the Civil Defence, Fire, Immigration and Prisons Services Board ( CDFIPB), in an emergency meeting held on the 27th of June, 2016, approved the retirement of a Deputy Controller-General and an Assistant Controller-General of Prisons from the service. They are Aminu Suley, mni and Ali Bala Salami, mni respectively. The letter signed by the Secretary of the Board, Alh. A. A. Ibrahim dated 28th June, 2016 indicated that the decision was taken due to the officers seniority in service to the new Controller-General of Prisons and in consonance with military and paramilitary convention. While wishing them good luck in their future endeavours, the (CDFIPB) commended the officers for their contributions to the development of the Nigerian Prisons Service. It would be recalled that Ahmed was appointed Prisons boss by President Muhammadu Buhari after the retirement of Dr. Peter Ekpendu from service on May 17, 2016. Nigerias Vice President, Yemi Osinbajo has said that the calls for the restructuring of Nigerias federal restructure are misguided. The statement was made by his Senior Special Assistant on Media and Publicity, Mr. Laolu Akande, while delivering the second foundation lecture of the Elizade University, Ilara-Mokin, Ondo State, titled The future is here earlier than we thought. The Vice President was quoted as saying, Even if states are given half of the resources of the Federal Government, the situation will not change. The only change is to diversify the economy, He went on to add, We are not earning enough from oil and taxes anymore. The nation is blessed; every state can feed itself and also export if we engage in agriculture, His comments will come as a bit of a shock especially because top APC Chieftains including Ahmed Bola Tinubu and former V.P Atiku Abubakar have called for a restructuring of Nigerias federal structure. Gas losses: Is there theft or is it due to system malfunction? (video) The Ministries of Economy, Energy and Natural Resources, together with the Public Services Regulatory Commission (PSRC) have sent a comparative reference to the ad hoc committee studying the operation of the gas supply system in Armenia with an answer to the submitted 19 proposals. Those 19 observations were compiled as a result of one-month activities. Only Gazprom Armenia CJSC avoided answering, saying they did not participate discussions and could not express an opinion on the proposals. Talking to A1+ Company, economist Mikael Melkumyan said he was not pleased with the reply. We had numerous questions but compiled in this reference only the key ones, he said. First of all, I would like to talk about the problem of natural losses because the doses mentioned here do not instill confidence. Our studies have showed that gas prices are determined by a special methodology. And, all of a sudden, we find out that the natural losses were not calculated by that method and reached unexpected large volumes. We want to know whether gas is stolen or is it a technical problem, he said. Mr Melkumyan says they plan to meet representatives of relevant agencies in September to discuss the issue. In 2005, U.S. Rep. Bill Pascrell (D-N.J.) introduced an H-1B reform bill that picked up just five co-sponsors. The bill went nowhere, but he didn't give up. The "Defend the American Dream Act" returned in 2007. It didn't advance, however. Pascrell tried again in 2010 with another H-1B reform bill, which joined the others in the legislative graveyard. On Friday, Pascrell returned with his latest effort, the "H-1B and L-1 Visa Reform Act of 2016." It is co-sponsored by Rep. Dana Rohrabacher (R-Calif.), who has co-sponsored a previous effort by Pascrell. This proposal would require employers "to make a good faith effort" to recruit U.S. workers before bringing in foreign employees and prohibits employers from replacing American workers. It would also prohibit firms from hiring H-1B employees if more than 50 people and more than 50% of their employees are H-1B and L-1 visa holders. "Without the critical reforms our bill proposes, American workers will continue to be unfairly displaced and visa workers will continue to be mistreated -- both of which are unacceptable," said Pascrell. The legislation is unlikely to advance. One big strike against Pascrell concerns his committee memberships. He is on the Ways and Means Committee and not the Judiciary Committee, which has control of immigration legislation. There's been no action so far in either chamber to move any H-1B immigration legislation, and it seems unlikely anything will happen before the November election. But the importance of Pascrell's effort may be in what it might mean after the election. Pascrell has been a longtime supporter of Hillary Clinton, the presumptive Democratic presidential nominee. In 2007, during Clinton's bid for the Democratic nomination that ultimately went to President Barack Obama, Pascrell was co-chair of Italian-Americans for Hillary. He has backed Clinton in her latest bid. If Clinton wins the presidency, Pascrell may be in a position to influence her on H-1B issues. Clinton has avoided H-1B reform as an issue and has not said what changes, if any, she would like to see to the program. But if Donald Trump, the presumptive Republican nominee, wins in November, he could have an ally in Pascrell on H-1B reform, too. Pascrell's visa reform proposal appears aligned with those sought by some of the program's key Senate critics, including Sen. Chuck Grassley (R-Iowa), chairman of the Senate Judiciary Committee, and Sen. Jeff Sessions (R-Ala.), the chair of the Immigration subcommittee. Sessions was the first U.S. senator to endorse Trump. Clinton, as president, will also have to work with U.S. Sen. Dick Durbin (D-Ill.), who has been a longtime H-1B reformer and legislative co-sponsor with Grassley. This story, "After 12 years seeking H-1B reform, Rep. Pascrell tries again" was originally published by Computerworld . Could Amgen continue to soar in this bear market? Trade Precise - 5 minutes ago Amgen (AMGN) price did a mini pull back then broke out on 24 Oct and committed above $260. According to Wyckoff trading method, there is no immediate threatening supply hence the price could continue to... AMGN : 266.60 (-0.02%) Bulls to Get Challenged Monica Kingsley - 50 minutes ago S&P 500 has been rejected at 3,900, but is showing premarket fine resilience in light of the META disappointment and AAPL is reporting today. Sectoral market breadth is simply improving, value and... AgMaster Report 10/27/2022 The PRICE Futures Group - 59 minutes ago DEC CORN The Dec Corn chart above is a perfect microcosm of the CBOT commodities a supply Bull facing off a Demand Bear resulting in a tight congestion pattern a range-bound (670-700) since... Superlatives The PRICE Futures Group - 1 hour ago There were a lot of superlatives in the Energy Information Administration (EIA) Status report this week. The EIA reported that, "US crude exports hit a record high. On the other hand, net, crude imports... More than 65 million people worldwide are now displaced from their homes, escaping conflict or persecutionthe equivalent of the population of France. Over 21 million of those displaced have crossed international borders and are classified as refugees, creating a historic global crisis. We've been covering philanthropy's response to this crisis for over two years, including some recent good newsat last!that private giving for refugees soared in 2015. One encouraging trend, here, is growing corporate involvement in assistance to refugees. Beyond such longtime players in the humanitarian crisis space as UPS, IKEA, and Western Union, we've reported on companies like Vodafone, Google, and Cisco stepping forward to lend a hand. Related: Now, some companies and their philanthropic arms are aiming to do more. In a recent call to action, the Obama administration announced that 15 companies have committed to help refugees in three impact areas: education, employment and enablement (think internet service, bank accounts and infrastructure projects). Big corporations such as Accenture, Google and Mastercard are among the founding members of this private-sector push. Meanwhile, Microsoft Philanthropies, the software giants charitable giving arm, has kicked in $20 million in cash grants and products to facilitate refugee relief efforts. Microsoft Philanthropies has partnered with more than a dozen nonprofits to deliver support to refugees around the world. Grants to NetHope, Mercy Corps, and the International Rescue Committee have helped those organizations offer food, water, housing, medical care, legal services and trauma counseling to Syrian refugees. Microsoft is also funding education initiatives, such as its YouthSpark Schlaumause program, which provides Arabic-to-German language training to 30,000 displaced children now living in Germany. As we've reported before, an important aspect of private sector involvement in responding to the refugee crisis is drawing on the specific expertise of corporations. Vodafone and Cisco, for example, have both provided refugees with tech needs. And it would make sense that Microsoft is also engaging in this way, making computers and the internet available to refugees. [T]echnology has essentially become a new form of aid as it enables communication between refugees and their families, coordination within and between aid agencies, access to information about migration routes, and the rebuilding of refugees lives, says Jane Meseck, director of global programs for Microsoft Philanthropies. How this plays out in practice can be pretty moving. After a bomb killed his wife, 74-year-old Atallah Taba fled his home in Damascus and walked hundreds of miles before ending up in Cherso refugee camp in Greece. When Microsoft partner NetHope installed Wi-Fi in the camp, Taba was able to contact his daughter for the first time in two years to let her know that he was still alive. Internet connection has become a lifeline for refugees, according to Meseck. Technology is enabling displaced people to communicate with their families, but also to apply for asylum online and to develop skills to gain employment. Microsoft is a big funder of programs across the United States and worldwide. In 2012, the company launched a $500 million global initiative called YouthSpark to improve computer science education. It renewed the commitment with an additional $75 million in 2015, and earlier this year handed out a round of grants to 100 nonprofit partners in 55 countries. Related: England-based Access Self Storage, which operates more than 50 facilities throughout the United Kingdom, has added valet-storage service in the Greater London area. Called Box Storage For Me, the offering provides an online platform through which customers can schedule an initial delivery of storage bins, item pickup for storage, and then return delivery of bins to their location when needed, according to the company website. Pricing begins at 3.12 per bin per month, with the best rates available based on the number of bins stored and duration of storage. Bins are stored at an Access facility in London, which is monitored by closed-circuit television. Customers arent permitted to visit the storage location, according to the website. Instead, when they need their stored items, they can schedule their delivery online. The return delivery fee is 5 per bin but can drop as low as 3 based on the number of bins in storage and length of stay. The company requires three working days for deliveries. Customers must use Access-provided bins, which are affixed with individual tracking codes and tamper-proof seals. The company accepts oversized items that wont fit inside bins but asks customers to package them if possible. Valet-storage service is available to business and residential customers. Additional services offered by Access include document management, flexible office space, mailboxes and vehicle parking. Package delivery and receiving is available through DHL. Update 10/20/16 StorageVault has closed on its self-storage acquisition in Calgary. The 12.5 million common shares issued as part of the deal have a hold period that expires on Feb. 18. The asset will be the sixth StorageVault location in Alberta, not the fifth as previously reported. In conjunction with the closing, Access executed a four-year lease on the property and subsequently entered into a share-conveyance agreement with the seller instead of its previously announced intent for purchase options and financing, according to a press release. Under the new structure, Access purchased 10.5 million of the shares issued to the seller as part of the StorageVault acquisition for $8.4 million. The remaining 2 million shares were sold to an unidentified third party, with an option for the seller to rebuy. Access will have the first right to purchase those shares if the seller re-acquires them. Access now owns more than 73.5 million shares of StorageVault representing 27.81 percent of the operators total issued and outstanding common shares, the release stated. 7/11/16 Canadian self-storage operator StorageVault Canada Inc. has agreed to buy a facility in Calgary, Alberta, for $22 million. As part of the deal, the unidentified seller will accept 12.5 million shares of StorageVault stock at 80 cents per share. StorageVault will also assume the propertys $12 million mortgage, according to a press release. "This transaction is unique in that we are purchasing from a motivated vendor who is taking $10 million of the purchase price in StorageVault stock at $0.80 cents. It is a premium asset in a top-five city in Canada, which is partially opened and in lease-up," said Steven Scott, CEO. The deal, expected to close by Aug. 31, also involves Canadian self-storage operator Access Self Storage Inc., which is a major shareholder of StorageVault, the release stated. "Since StorageVault is in the business of acquiring mature cash-flowing assets, Access Self Storage will step in to mitigate the development and lease-up risk, Scott said. To remove the development risk, Access will provide the construction financing needed to complete the project and will oversee the construction. In addition, Access will sign a four-year lease to run the facility and receive an option to later acquire the property, according to the release. The property will be the fifth StorageVault location in Alberta. StorageVault also recently closed on the acquisition of a facility in Vernon, British Columbia, for $8.24 million. The company has purchased two other facilities since the beginning of the year, including a 66,000-square-foot Winnipeg Mini Storage property. The operator is also expected to close on two Ontario storage facilities in August for $3.3 million, according to a source. Access Storage operates about 75 self-storage facilities comprising more than 4 million square feet and more than 1,000 portable-storage containers. It owns facilities in Alberta, Manitoba, Nova Scotia, Ontario and Quebec, with its Quebec locations branded as Depotium Mini-Entrepot, according to the company website. StorageVault operates several self-storage facilities and more than 3,200 portable-storage units in Alberta, British Columbia, Manitoba, Ontario, Quebec, Saskatchewan and Nova Scotia. @PtboSpeedway @UnitedLMSeries Peterborough, ON (July 11, 2016)- Mother Nature may have washed out the latest attempt at Toonie Grandstand Admission/Fan Appreciation Night, but her efforts did nothing to dampen the spirits or the enthusiasm of supporters at Canadas Toughest 1/3-Mile Paved Oval. Peterborough Speedway is next scheduled to host the return of the APC Pro Late Model Series along with the home track Battlefield Equipment Rental 4Fun, Trent Lakes Complete Plumbing Renegade Truck and Paul Davis Systems Thunder Car divisions Saturday, July 16. In just its second season of operation, the travelling APC Pro Late Model show has been bringing strong car counts and solid shows to venues across the province. The upcoming date at the tight, tricky bullring on Mount Pleasant Road, west of the Liftlock City will have a significant local presence with Cavans Dan McHattie who scored a runner-up finish earlier in the year as well as Warkworths Derek Lynch who is also doing a part-time schedule on the NASCAR Pintys Series and Thunder Car graduate Willie Reyns, from Port Hope, Ontario are expected to be in the starting grid and chasing a checkered flag in the 100 lap main event. Track owner and promoter J.P. Josiasse who pulled on his racing gear and made the starting field in his own #24J machine last year says he understands why fans look forward to seeing this show. The grid is made-up from some of the best Late Model stock car pilots from a number of different tracks to compete on the same stage, said Josiasse. These are drivers that our fan base has heard about over the years and to have them compete here at Peterborough Speedway is very exciting. Every driver who rolls through our pit gate will be looking to add a very prestigious event win to their resume. For the Saturday, July 16 return of the APC Pro Late Model Series at Peterborough Speedway, pit gates will open at 2:00, with the spectator grandstands unlocked at 4:00 and the first on track action at 5:00. Looking ahead on the calendar finds the Country 105 Mid Summer Bash with Mini Stock, Renegade Truck, Thunder Car, Late Model and Ontario Modifieds Racing Series action Saturday, July 23rd. The month concludes with the Touring Chase for the Autumn Colours Classic Pole Qualifier Saturday, July 30th featuring the OSCAAR Super Late Models, Modifieds, Midgets and Pro Midgets; along with 4Fun and Mini Stocks. Full schedule details, along with up to the minute news and results are always available at www.peterboroughspeedway.com, by liking the track on Facebook or following it on Twitter. You can also download the free Peterborough Speedway app on your Smartphone. Prepared by: Jim Clarke, Clarke Motorsports Communications/First Draft Media clarkemotorsports@hotmail.com, www.facebook.com/clarkemotorsports 613.968.6410 Five years ago the IBM computer Watson won a game of Jeopardy! against two former champions. Today its helping doctors treat lung cancer patients. Tomorrow artificial intelligence will give us smarter robots, autonomous automobiles and much more. Meanwhile, on the medical front advances in genomics are promising to one day make it easier to ward off disease before it begins, treat those who do become sick with regimens tailored to their bodies and even create new organisms to serve us in whatever capacity we need: plastics, vaccines, food. Although no one can predict the future with certainty, investorsespecially those with long horizons, like those saving in retirement planscan benefit from working with active asset managers that take todays most promising innovations into account when building investment portfolios. After all, investing for retirement, like innovation, is a forward-looking exercise. It challenges plan participants to solve today for a needretirement incomethat will only become pressing tomorrow. And it is more successful when the investor looks beyond current market trends to the developments that are creating the economy of the futureand thus will be the greatest sources of profit going forward. We believe innovation is the most powerful force in the economy, says Brad Neuman, client investment strategist at Fred Alger Management, which offers growth-oriented, actively managed mutual funds and institutional accounts. It can generate incredible investment opportunities. Academic studies show that the most innovative companies generally have higher future sales growth, higher future market share gains and higher future stock returns. To illustrate how dramatic the impact of innovation can be, Neuman notes that, in 1800, producing one hour of candlelight cost the equivalent of five hours of labor. By 1880, using a kerosene lamp, generating an hour of light required only 15 minutes of work. By 1950, with conventional filament bulbs, producing an hour of light required about 7 seconds of work. Today, with LED bulbs, the time is down to about half a second. That equates to more than a 70,000-fold increase in productivity, or about 5 percent annually, Neuman says. A similar trend can be seen in computing, where the development of ever-cheaper processing has proceeded at an exponential rate, from electromechanical computers built with levers and gears, to computers utilizing vacuum tubes, to the advent of the transistor, to switch electronic signals and finally to integrated circuits containing the equivalent of billions of transistors at a fraction of the cost. While specific computing technologies have come and gone, the amount of processing power that one dollar can purchase has grown at a relatively consistent exponential rate for more than 100 years. If this growth continues, affordable computers could reach the processing power of the human brain in about 30 years. For anyone with an investment horizon of ten or more years, Neuman concludes, innovation needs to be a focus. Alger sees a future driven by innovations in the technology and medical fields, including not only artificial intelligence but also big data, the Internet of Things, cloud computing, deep learning, voice recognition and genomics. Among the specific sectors and types of companies Alger sees profiting from these innovations, Neuman says, are security, including military and commercial drones and robots; automotive, through the development of autonomous vehicles; manufacturing, through greater automation; and health care. He also foresees gains for pharmaceuticals companies and pharmacy benefit managers: As scientists find ever faster and more economical ways to sequence genomes, drug makers will be able to create better products, while pharmacy benefit managers will be better equipped to help insurance companies determine which drugs are most effective and thus should have a place in their formularies. All this can benefit retirement plan participants tooif they seek out active investment managers committed to identifying todays most innovative companies. What happened when a Group of prominent chief investment officers from U.S. public and private pension funds, endowments, foundations and a health care system met for two hours to discuss the most compelling investment issues of the day? The nine CIOs, who hailed from across the asset owner spectrum, found they had a surprising number of challenges in common. Investing in hedge funds and other alternatives to traditional stocks and bonds was the No. 1 issue for the group, which also expressed concerns about currency manipulation and the effects of changing global demographics. A healthy debate over the merits of active versus passive investment management and the importance of finding the right managers also emerged as important themes. Fresh from a night of celebration at New Yorks Mandarin Oriental hotel, this years Money Masters winners gathered the next morning, May 20, at the Union League Club for Institutional Investors annual U.S. investor roundtable. After grabbing coffee, bagels and croissants, and posing for pictures, they took their places around the table. Denise Strack, CIO of the $6.5 billion Gordon and Betty Moore Foundation, kicked off the introductions. Strack, who has spent 14 years the last eight as CIO at the Palo Alto, Californiabased nonprofit, which was started by an Intel Corp. co-founder, directs a team of ten investment specialists. Previously, she headed the private equity portfolio at her alma mater, Stanford University. Seated next to Strack was Lifetime Achievement winner Peter Gilbert, who retired last November from his position as CIO of Lehigh University in Bethlehem, Pennsylvania. Gilbert set up the schools first investment office in 2007, as the endowment was approaching $1 billion, just in time to ride out the 200809 global financial crisis. Before that he led the then-$34 billion Pennsylvania State Employees Retirement System for close to 15 years, after holding investment positions with the New York City Comptrollers and Mayors Offices. On Gilberts left was Scott Pittman, CIO of New Yorkbased Mount Sinai Health Systems $1.6 billion investment office since January 2009. The Baylor University grad previously worked for five years in the investment office of his alma mater, where he also taught finance and microeconomics. Next to Pittman was Kathleen Gallagher, CIO at Dearborn, Michiganbased Ford Motor Co.; a 30-year Ford veteran, she oversees $65 billion in global defined benefit assets and $14 billion in defined contribution assets. Gallagher took the job in 2007 after serving as financial controller of engine operations and global risk management co-director. Weve spent a lot of time using our investment strategy to secure the retirement benefits for our half million participants and at the same time insulate the parent from any continuing noncore risk, she notes. At one end of the table sat the two public pension CIOs: Elizabeth (Liza) Crisafi of the $6.7 billion San Diego City Employees Retirement System and Ashbel Williams Jr., who is chief executive of the $175 billion Florida State Board of Administration in Tallahassee. Crisafi, who started at SDCERS just before the financial crisis, took over as CIO in 2009, revamping the entire portfolio, adding alternatives and firing close to a dozen underperforming managers. Williams, who first worked in his current role back in the 1990s, returned in 2008 after a decade in asset management in New York City. After his return he added hedge funds and launched a private equity portfolio. We have a million beneficiaries, and I take honor in helping those who have given their lives to public service, says Williams, pointing out that the pension plan is 90 percent funded. Meet the Panelists Click below to view profiles. Closing the circle were Sally Staley, CIO of Cleveland-based Case Western Reserve Universitys $1.8 billion endowment; Charles Van Vleet, CIO and assistant treasurer of Providence, Rhode Island, manufacturer Textrons $6 billion defined benefit pension and $3.7 billion global retirement fund; and John Alexander Jr., CIO of Clemson Universitys $560 million endowment. Staley, who started Case Westerns investment office ten years ago, was among the first endowment officers to establish a risk management role. Before that she specialized in international fixed income on both the buy side, at the State of Wisconsin Investment Board, and the sell side, at Salomon Brothers and Merrill Lynch & Co. Van Vleet, who also worked on Wall Street, in banking and asset management, for 25 years before moving in 2005 to the corporate pension side at United Technologies Corp., boasts a plan that is 93 percent funded and still open to new employees. Alexander, who likes to say he is the entire investment staff at Clemson, arrived on campus in 1990 as a finance professor with a Ph.D. from Florida State University. He began developing investment models in 1999 and assumed the CIO role in 2005. He runs a strategic asset allocation that is more than 70 percent index funds and exchange-traded funds (ETFs). Since the global financial crisis, C-suite investment executives have had to battle to deliver the fund performance essential to providing pensions, grants and academic scholarships for their institutions. With interest rates close to zero and central banks around the world unwilling to raise them, these investors have learned to look far and wide for new ideas. All of the roundtable participants have been aided by their diverse investment experiences. Senior Writers Frances Denmark and Julie Segal facilitated the roundtable discussion. An edited version follows. Institutional Investor: What role do alternative investments play for you in a world of zero interest rates? Elizabeth (Liza) Crisafi: About five years ago we made a decision to increase our exposure to alternatives. When I came on board in 2008, [SDCERS] had 11 percent in real estate and that was it. Now we have 10 percent allocated to private equity, 3 percent to infrastructure and an opportunistic bucket that can stretch to 8 percent of the total portfolio; it currently includes opportunistic real estate and global credit mandates. Starting in 2009 the timing of our private equity program couldnt have been better. John Alexander Jr.: To us [at Clemson] the more provocative question is the hedge fund space. Were indexers and were keenly cost-conscious. Were running most of our public equity portfolio at [a cost of] about 18 basis points. We dont want to pay high fees for high correlations. I think certain sectors of the hedge fund space are going to start to drive toward commoditization, and whenever you have something that starts to drive toward commoditization, then that calls for lowering of cost. Were trying to encourage our hedge fund consultant [Cambridge Associates] to be a little more tactical possibly in their positioning across the different hedge fund sectors. Further, in those areas that are liquidity-driven with higher correlations, consider replication to save on cost. And in those areas that are lower correlation and skill-driven, be willing to pay the higher fees. Scott Pittman: I think your comments are well placed. I fear that hedge funds have already moved into the commoditization framework. Whether the number is 10,000, 11,000 or 15,000, you lose count after so many thousands. There are certain strategies that may be even more challenged than others given the crowded trades and some of the quantitative, or even smart-beta, systematic-type funds that drive a lot of the momentum and take away some of the short opportunities. I agree with all these points, but weve got a large hedge fund portfolio. We have managers within that portfolio that we are paying higher fees as a result of the simple fact of what hedge fund managers can achieve. If youre going to use hedge funds in your portfolio, you have to have concentration with managers. There are managers within the industry that justify their fees. Investors create an additional layer of problems in that they take the good managers, put them into a big portfolio of other actively managed funds and re-create the market with active fees. Alexander: You bring up a good point because we modeled it out last year. Wouldnt it be wonderful if we could all buy top quartile all the time? Kathleen Gallagher: But we do. Were all above median. [Laughter] Alexander: Speaking at a recent conference [with a lot of hedge funds], I said rather than paying 2 plus 20 on a 10 percent long-short equity position, youd be better off buying a 4 percent absolute-return position at the hedge fund fees and 6 percent in the S&P 500 at 10 basis points. Then, of course, before I got chased out of the building, I had to immediately point out that all long-short managers in the audience were, of course, top quartile, and my comment only applied to the average. Denise Strack: We dont look at hedge funds as one single opportunity. There are so many different kinds. I think a lot of what youre talking about does apply to your long-short, generic [fund manager], who is really long-biased with a few shorts in there. But we have, like you, invested a pretty large allocation to multistrategy managers with an absolute-return mind-set. We look at net returns. So the fees are high, but the net returns have paid off. The other part that weve kind of chuckled at is, were now running after the end of a pretty long bull market and hedge funds often do fall out of favor in that time period. While theres a lot of public talk and chatter around getting rid of your hedge fund portfolio, this is not the time for us to do it at all. Charles Van Vleet: I think were ready to stop calling hedge funds an asset class. Its really just the vehicle, because some hedge funds are net long-short, some are net long, some are commodity, some are rates. Its just a vehicle. Peter Gilbert: I see hedge funds as a fee structure, and you can use them in so many different ways. You can try to use that talent if you look for risk premiums that you can get at a very low cost, where you dont need to pay 2 and 20. You have to be flexible, and the environment changes all the time where you can find different strategies, different trade claims, whatever it is. You want to find managers that recognize that and then can take advantage of it and help you along the way if you have a small staff like we had. Pittman: We have equity-focused, credit-focused, macro and real assets, so hedge funds are actually across the entire portfolio. Theres no actual hedge fund asset allocation category. Van Vleet: I serve on the board of the MFA, the Managed Funds Association, and they know that this industry is under attack. Somehow its become the poster child for excess and Wall Street greed, and it is not an asset class; its simply a vehicle. It may be the only vehicle that we can get certain things. It may be too expensive or not. Im really judging by net returns. I dont get obsessed about fees. Net return is what I care about. But Im also trying to break my committee from thinking about hedge funds as an asset class. I ask, please give me an allocation that you want to equity. Let me decide whether it should be public or private, U.S. or international, whether its going to be liquid or illiquid. You might want to give me a liquidity budget. But again, if I decide Im going to access equity through a hedge fund versus private equity or through public or through a derivative, Im trying to elevate the conversation to a factor space, not a product space. Sally Staley: I do agree hedge funds are not an asset class, but we organize the portfolio with a separate bucket, or a target percentage, for hedge funds. Weve tried over the years sprinkling hedge funds throughout the other true asset classes but find it really plays havoc with benchmarking. It works for you in some market conditions. It doesnt work in others. So I prefer keeping them segregated or classified in their own segment of the portfolio. But they have a job to do. Their job is to be the risk rudder. At the same time, they produce absolute return at least, dont lose money. It gets us as close as possible toward our expected return, or our needed return, of around 8 percent. To do that we ask whats the nature of the net return stream that the product produces? We dont care whether its a long-short fund or a credit fund or a macro fund. For the past couple of years, weve been finding funds we wouldnt normally have come across in our usual travels smaller, less known or in more niche-y sectors. We are modeling those return streams into a portfolio thats got ten or 12 names in it that together, and properly proportioned, give us the highest possible Sharpe ratio. Strack: Weve defined the target of that bucket as equitylike returns with half the volatility. A couple of more bull market years, and it will be a challenge. Denise, tell us about the Moore Foundations venture capital program. Strack: You run the numbers over and over again and the average venture capital fund makes the Nasdaq returns with twice the risk, so its the opposite of what you want. But I have Gordon [Moore] as my founder. Thats been very fortunate for us, so we leverage that as much as we can. Were up to 8 or 9 percent in venture, and were running at about 1,000 basis points above the average fund. On hedge funds we were really, really good. I hope we can stay really, really good. How has the manager selection process changed with the commoditization of hedge funds? Gallagher: We all may think of this very differently. Were starting to reverse engineer them all and think about the simplest questions: How do I make money here? What is it you do? What is your skill? What is your edge? Those were all the first principles of investing. There are some tremendous hedge fund managers who may be poorly described as hedge fund managers; theyre just great investors. We should have them. But we should understand them better and maybe have bigger allocations to them so that we can reap the benefits rather than overdiversifying into big portfolios that defeat our own goals. How do you find top managers? Gallagher: Weve begun to do more truly opportunistically. It starts with the notion of needing a return stream to pay bills. Our opportunistic investments may be an example. If you think in very simple first principles of investing, you can find all sorts of incredibly gifted investors who are very active in small, niche spaces, many of them created in the past eight years because of all the disruption and disintermediation of the big banks. We are all liquidity providers, and there is scant liquidity for a lot of really great ideas, many of which are small or dont last very long. Weve been fortunate to have found, by being willing to do the work, places where you can achieve terrific returns, cash yields, in the longer-dated-than-absolute-return hedge fund space but by no means as illiquid as private equity or infrastructure. For those of us who have cash flows that are near-term and went through a period where private equity duration might have been infinity in 2008 and 09, the ability to get immediately invested in many cases to have a cash yield, a cash component, of a very attractive yield; to have maybe a five-year tenure, a seven-year tenure is very attractive. But you have to accept the fact that you may only be able to do this for a very few years, then youll need to move on. Van Vleet: I agree. Its the most exciting space because banks commercial and merchant banks are disintermediated. We are better lenders than banks for two reasons. One is that our loans are one-to-one loans, not levered loans. Second, there isnt a term structure mismatch. Gallagher: Absolutely. Van Vleet: The economy is stronger if we are the providers of that three- to eight-year capital rather than a bank. Its actually very exciting, but the transition is rough. Because banks have to post more capital, they have exited the business of buying and holding trade claims, and we can be in it. Banks used to be in the business of factoring. They would buy a companys future receivables and give the company the money now. Banks no longer want to hold that factoring book. We should be there to do that. Litigation claims are becoming institutionalized: Somebody wins a big lawsuit and the money doesnt come for two years; they want to cash out now. Banks and law firms used to be the only providers. Now we can participate in litigation claims. We are shadow bankers, and I think its working out great. Gallagher: Its been interesting, as a long-term participant, watching deal flow move from traditional channels to other players, who are now part of the deal flow and can be an agent at facilitating our ability to provide liquidity with whoever needs it. There is far less credit formation in the economy than there ever was. If we can be part of that, I think thats a terrific outcome. Ashbel Williams Jr.: We have relationships with a lot of opportunistic credit-oriented funds, including liquid and less liquid structures. Theyre all over this, and I think more people are coming in. A diversified portfolio of third-party credit shops gets you exposure across cap focus, industry focus, geographic focus, and looks at it holistically. You can get unlevered, high-single-digit returns on a book like that if its properly underwritten and if youre using the right credit partners. Pittman: Credit-related opportunities are definitely the growing opportunity today, especially as bank capital has pulled back and markets appear to be later-cycle. Its not just traditional credit strategies but rather using a credit perspective as a lens to view opportunities across all assets in lending, real estate, royalties and sectors such as health care. Were spending more time to be better positioned in targeted areas that we find compelling in the current return environment. What other opportunities are you looking at now? Crisafi: We work with two advisers who wanted to put together a pie chart of venture, buyouts, mezzanine allocations. We said: Throw out the pie chart. We want to be completely opportunistic. We want to avoid the J curve. We invested in a lot of private equity secondaries and co-investments. Our IRR [internal rate of return] is 18 percent. Our alternatives are whats holding up now, when our public markets portfolio is not doing a whole lot. Its been a bit of a struggle to get the trustees comfortable with that. We had a number of educational sessions. In our infrastructure sleeve were invested in a desalinization plant in Carlsbad [California] thats going well, but I want to figure out how to be more invested in water. I think thats going to be a commodity, and I think its going to be in short supply. Staley: Another place weve been looking for opportunity is in the equity allocation, which we treat as one global equity allocation, looking more granularly into the many smaller markets, emerging markets, that have index funds that track the whole market, or some part of it or sector. Index funds and ETFs make those smaller markets investable. Theres a place for both passive and active investing. We can find return streams in unusual places that have really attractive characteristics and incorporate them into our global equity portfolio. We dont spend a lot of time with fundamental research on a country or opinions on the direction of the equity market. We see a potential for return in a place where we dont have active management or we cant get there or we just dont want to start and potentially stop an active management relationship. There is a burgeoning role for index funds and ETFs that can take you into the further corners of the world. Where does everyone stand in the active versus passive management debate? Alexander: Sally brings up a good point. I think that the more finely passive management indexing slices things, that erodes a significant portion of the competitive advantage of active management. I can buy individual countries hedged or unhedged, and domestically we can select among market capitalization and sectors. That increases our ability to take concentrated positions in the portfolio. We find that the finer they slice the indexes, the more effective our internal modeling becomes and takes away some of the competitive advantage of active management. Van Vleet: Youre not really passive, John. Youre taking the active role. Alexander: If youre going to use a strategic indexed approach, it has to be based on a strong global marcroeconomic view that your investment committee can understand and accept. We havent held a single bit of small-cap equity since 09. Consultants tend to have a seven- to ten-year view; thats less than helpful when it comes to achieving returns in the current fiscal year. Staley: Following on what John just said about the short term and long term, right now while youre managing a perpetual pool of capital, theres a big time mismatch there, especially when were judged so closely on the quarter or the fiscal year or three-year numbers. What we do to look good in that short time frame may be very different from what you would choose to do if you had 20 years for it to work out. Yet the money should be there long after I leave and my successor leaves and so forth. I think thats really a clash in the portfolios we manage today, in the type of decisions we tend to make. In terms of active versus passive, were looking for alpha in so many places. You may be using passive or indexed return streams, but as you pull those into a portfolio and combine them, youre seeking to add alpha in that way. Its another technique for getting to a return and beating a benchmark. Strack: Ive had the exact opposite experience on the active versuspassive decision in smaller markets and especially in the emerging markets. We made a decision to take a fairly passive emerging-markets portfolio this was six years ago and migrate toward country-specific active managers. The goal was to find the best we could and not worry too much about the geographic or cap-size movement; just let these guys make that decision. Weve moved 75 percent of the emerging-markets portfolio into active management, and over the past five years weve had 600 basis points of alpha over the index. But when you do that, the big fear is, is Russia going to turn or Im going to have too much Brazil or Im going to have too much China or whatever that is. Weve used a completion index to take that fear away. I do believe in some of those markets; if you can get someone on the ground that knows exactly whats going on day to day, you can make huge alpha in those areas. But it also requires somebody to travel to these areas. Gilbert: What I find really interesting about this conversation is that theres no one way of doing this, that there are a lot of different approaches you can take to get alpha or to meet your funding requirements. It depends on what you think are your skills and the way you approach it. One is to have passive instruments that you handle actively and you make active bets with them, or you can find managers with unique skills. You may start off with a passive approach, and then you come across managers that have skill. Theyre hard to find, but you can build out a portfolio like that. Alexander: Well, Peter, youre just talking about the efficiency ladder, right? We all know the less efficient the market, the more active management pays off. Van Vleet: And emerging markets are a perfect example. Alexander: Yes, absolutely. Strack: Same thing with venture. Thats where we get our big alpha. Gilbert: When I was at a big public pension fund in New York City, we tended to use a lot of passive exposure in broad equity areas. Then when I was at Pennsylvania, we early on discovered hedge funds and then we used a portable-alpha program. It was fantastic while it lasted. Just before I left there, in 2007, our biggest concern was that correlations were increasing between the hedge fund alpha and the equity beta. At that point, the last thing we did before I left the Pennsylvania state pension fund to go to Lehigh was take off a third of the equitized exposure. The correlation between the active and passive components was the biggest strategy risk. The passive strategies and portable-alpha made sense in a very large state pension fund, but the use of these things changes over time. When I came to Lehigh, we were able to get active managers who did really well, who were smaller and could focus on much more niche areas. A lot of our long-only strategies came from hedge fund managers that were starting these strategies. We were able to access hedge fund talent with lower fees. It seems like everybody here still is a big believer in active management. Gallagher: It depends. Gilbert: If you can find the talent, which you cannot necessarily find. You have to be very, very selective, and you have to do a lot of research to really understand what theyre doing. Otherwise youre paying a lot of money for the market. Williams: To me several things come out of this. First of all, the fundamentals matter, and if you fall prey to the peril of pigeonholing things and looking at products in the wrappers theyre handed to you in, thats a losers game. But if you understand how to look through the product wrappings and understand what the underlying exposures are, reconcile that with your own governance and your own expertise, and go back to the basics of what works, you can come up with some appealing things. I like cooking analogies. If youre a person who is insecure about your cooking knowledge and you therefore follow a recipe very specifically without understanding variance in the inputs that are available to you based on whats decent in the market on a given day, youre going to have issues. If you understand the underlying chemistry and physics and you can tune things on the fly to match what works, youll be fine and youll always produce edible stuff. Gallagher: And when the season changes, you change your ingredients. Williams: Think of the blessings we have around this table. Several people have made comments about our long-term nature thats unbelievably powerful unlike a lot of our commercial counterparts in the asset management business, and Ive been there. I get this. Were not struggling to window-dress at quarters end or years end. Quite the opposite. Theres nothing I like more than a major upheaval where I can end somebodys pain for a fee thats going to serve my beneficiaries for the next 20 years. Thats what we do. We write checks when everybody else is running and dying for liquidity, which is great. Its a very powerful asset, so I think weve got a lot that we can do, opportunistically. How important are the asset managers to total portfolio return? Strack: Everything. Were not market timers, and we cant predict the future. We rarely overweight, underweight, et cetera. But what we can do really well is pick good managers. Id rather have a good manager in a bad market than a bad manager in a good market in many ways, because eventually the markets switch and your bad manager in a bad market is really, really painful. Pittman: It is very much about the manager selection and not just about active management. Youre not timing markets, but youre just using your managers as an additional resource to figure out where opportunities are that you might be able to add to at the portfolio level where it appears opportunities will persist for a longer period of time. Williams: In many cases it is a client servicing relationship. In others its more of what I would call a partner relationship. I prefer those. What Ive found is there are circumstances in which you can share perspectives with people and find ways to do things together that are mutually beneficial, even if its something as simple as using a partner that has depth in a certain area that you lack. Its a firm or an individual in whom you have trust and confidence in their expertise, using them to validate another strategy youre thinking about doing. You may not even be doing it together. If youre doing it together, so much the better. Gallagher: I have a couple of comments on managers. Theres so much to gain by a fruitful, long-term relationship with the best of the best out there, and certainly weve benefited from it at Ford. We think of them as partners and co-thinkers. History is a benefit in many of these relationships. They know you well. You know them well. Your interests are aligned. You have reasonably high confidence of a shared alignment and success in the future. All of that is terrific. The caveats that go along with that are, our responsibilities around continuous due diligence because these firms do change. Even individual gifted investors become less gifted or have a personal change in circumstances. You just cant ever rest on what you thought you knew about either a firm, a team or an individual. Were spending a lot of time on intergenerational transfer of the equity in the private firms. Founders need to be monetized. It needs to be a healthy transition. As many times as there can be happy endings, there can be very unhappy endings. Certainly, a big piece of our role is to prevent those unhappy endings to see them coming before they blow up in our face. It will always be a commercial relationship. Being a fiduciary comes first and friendship comes second. One of the best pieces of advice when I moved into this role and I tell every new member of my team is, Just remember, you will never be so good-looking, so intelligent and so funny as when you were on the client side of the investment management industry. What is your biggest concern as you look toward the future? Van Vleet: We are entering into years of currency wars and currency turmoil. There are only three levers that can be pulled to manage an economic cycle. The first one, thats easiest to pull, is the monetary. The second, which is more difficult to pull, is called the fiscal, and the third one is painted red with a big stripe in it that says, Please, only in case of emergency pull this lever. We are now looking at pulling that lever in several different countries, and thats a very big, gross, ugly lever that currency lever because its like trying to hit a fly with a sledgehammer. Alexander: I would take the opposite side of the same coin. I think currency is strongly related to commodity supercycles. So in addition to currency, Im looking hard at whats going to happen to oil hedges that are coming off this summer. Staley: My biggest concern is how were going to make the type of return that easily supports our spending needs as an endowment and, in turn, supports the university for all of the things that higher ed is under the spotlight for: the cost of higher education, scholarships and financial aid. Congress may want us to spend more when were not even achieving a return that supports what we spend now. That would raise the return hurdle or add to spending and make the job all the more difficult. Williams: What worries me is the intersection of two very fundamental problems that pose powerful headwinds for financial markets and therefore investment returns. The first is global demographics. Every developed industrialized power is either in neutral or reverse, or close to it. The U.S. has got very mildly positive demographics, which sets us apart from Europe and Japan. The only places in the world that have birthrates that meaningfully exceed replacement rates are the Middle East and Africa. The problems with that are that if you dont have strong economies to absorb young people, its incredibly destabilizing. Theres also a vacuum right now in public policy leadership. These are times in which we need visionary leadership at national and international levels to reach across those divides and demographics in the industrialized, developed have countries and the less developed, less organized, less industrialized have not countries to make it work. Crisafi: The thing that concerns me most is the low-return environment, that this is going to be the second year where were not hitting our 7 to 8 percent return. Thats concerning to me because we have a $2 billion unfunded liability. Weve made so much progress on the funding ratio. When I started, it was around 68 percent, and now were up to 78 percent. Were fortunate in that the City of San Diego is on a payment program and theyve been paying that. Were supposed to be fully funded in 15 years. My concern is if we are in an extended period of low returns, that pain just gets bigger and bigger for the plan sponsor. Pittman: We see large developed and emerging economies all pursuing similar policies. Everyone is on one side, and there are few counterbalances in the global economy. Global debt has increased, and the levers of growth have been pulled as asset valuations have inflated. Risks are increasing with currencies, policies of protectionism and social frustrations. Gilbert: Beyond the continuing low-growth environment, if you get inflation, you may get stagflation, which is very difficult to deal with. The traditional view of fixed income and bonds being a safer investment will not work. We will need a rethinking of what the liabilities are and how people are going to be able to replace their income when defined contribution plans have been very difficult for people to use to accumulate retirement assets because of the poor investment selection there. The Social Security system is now a one-legged stool. Its not going to support people. Strack: We have a global portfolio of grants that are trying to make long-term impact, positive impact, for us and the world. In order to do that, they need to maintain purchasing power. How do you do that in a low-rate environment where interest rates are virtually zero and valuations seem a bit stretched? Also, because our spending and our investments are very global, I fear getting challenged by the unpredictable nature of currency. How do I invest with the uncertainty of something that has so much policy underneath it? I hold my breath more than anything else. Get more on investors. Follow Frances Denmark (@francesdenmark) and Julie Segal (@julie_segal) on Twitter. With an aim to share its expertise and knowledge to deliver consumer trust and confidence in the financial sector, the Financial Ombudsman Office (FOS) will hold its 2016 Australia National Conference.The conference and a welcome dinner will be held on 7-8 September 2016 at the Melbourne Convention Centre with the theme Beyond the promise a new resolve.The theme is a reflection of FOS commitment to improve dispute handling, and to achieve improved standards, ethical practices, and service levels across the whole financial sector with the support of stakeholders.The conference will feature ten breakout sessions. One session will feature a mix of insights from FOS, industry, consumer representatives, and health professionals on issues that arise in general insurance disputes concerning mental health.Another session will explore the proposal of a new life insurance framework and how these changes may affect disputes seen at the FOS. The session will cover misrepresentation and non-disclosure, and the relationship and obligations of the client, advisor, and insurer; as well as explore the sale of life insurance, claims denials, the new life insurance code of practice, and issues concerning mental health and insurance.Other sessions will be on the FOS approach to financial difficulty issues, lending to small business, nonviolent communication, advice on SMSFs and gearing, fast track disputes, conciliation for improved dispute resolution, elder financial dispute, and IDR and working with vulnerable consumers.The conference is now open for registration. Price of attendance range from $645.00 to $695.00 for members and $760.00 for non-members. Interested parties can find about the program and register here RELATED ARTICLES: Rogers & Gray, Albert Brock Co. Cape Cod, Mass.-based Rogers & Gray Insurance has acquired the independent insurance agency, Albert G. Brock Co., located on Nantucket Island, Mass. The newly formed entity will now be known as Brock Insurance, a division of Rogers & Gray. The Brock agency has served Nantucket Island businesses and residents for 130 years and will continue to operate in the same location, and employees will remain with the agency. Founded in 1906 in Orleans, Rogers & Gray Insurance is an Insurance Journal Top 100 independent insurance agency and was selected as Insurance Journals Best Independent Insurance Agency to Work For in the nation in 2015. Farmers National Bank, Bowers Insurance Agency The Farmers National Bank of Canfield, Ohio, has acquired the Bowers Insurance Agency Inc. The transaction closed on June 1, 2016, and is expected to be accretive to earnings immediately. The Bowers Group will continue to operate under its name from its location in Cortland, Ohio, but is expected to merge with Farmers National Insurance LLC, Farmers wholly owned insurance agency subsidiary. The Bowers Group will be a business extension of Farmers Wealth Management division, and Farmers financial experts will be able to offer full-service programs to all current Bowers Group customers, including private client, retirement, investments, trust and insurance. NFP Corp., Benefits Solutions Plus Insurance broker and consultant, NFP Corp. has acquired Benefits Solutions Plus Inc. (BSP), based in Anoka, Minn. BSP is a benefits brokerage that specializes in providing group health plans and ancillary products for small- to mid-size employers. BSP Principal Allan Glad has three decades of insurance and benefits industry experience. He will be senior benefits consultant at NFP. New York-based NFP provides employee benefits, property/casualty, retirement, and individual insurance and wealth management products and services through licensed subsidiaries and affiliates. Bearence Management Group, AERO Risk Management Bearence Management Group, based in Des Moines, Iowa, and AERO Risk Management, headquartered in Minneapolis, have formed a strategic partnership to provide clients of both firms with access to expanded risk management and insurance placement capabilities. In conjunction with the agreement, AEROs specialized aviation risk and insurance services will be available to Bearence clients, and Bearences broad range of property and casualty, employee benefits, and financial strategies capabilities will be available to AERO clients. In addition to Des Moines, Bearence Management Group has offices in Mendota Heights, Minn., and Overland Park, Kan. The firm was founded in 2005. AERO Risk Management has specialized risk management and insurance brokerage services to clients whose primary business is aviation, and to those who use aviation to support their business. Arthur J. Gallagher & Co., Ashmore & Associates Insurance Agency Arthur J. Gallagher & Co. has acquired Ashmore & Associates Insurance Agency Inc. headquartered in Lubbock, Texas. Terms of the transaction were not disclosed. Founded in 1984, Ashmore & Associates Insurance Agency Inc. is a retail insurance broker providing property/casualty, employee benefits consulting, and risk management insurance services for commercial and personal lines clients in the central United States. The firm specializes in placing coverage for the manufacturing, healthcare and energy industries. Wilburn Ashmore, Elizabeth Ashmore and their team will continue to operate from their locations in Lubbock, Canadian and Midland, Texas, under the direction of Bret VanderVoort, head of Gallaghers South Central retail property/casualty brokerage operations, and John Neumaier, head of Gallaghers South Central employee benefit consulting and brokerage operations. Confie, ExpressLink Confie has acquired ExpressLink Inc., a Calabasas, Calif.-based provider of auto dealer point-of-sale insurance and related products and services. Brian Murphy will continue as president of ExpressLink. Huntington Beach, Calif.-based Confie is a national insurance distribution company primarily focused on personal lines and small commercial insurance. Risk Strategies, OakBridge Advisors Risk Strategies Co. has acquired employee benefits firm OakBridge Advisors in Newport Beach, Calif. Founders Edward Kirkwood and Lawrence Hartley their staff will continue serving their current client base. They will work with Risk Strategies other California offices in Irvine, Glendale, San Francisco and Sacramento. Risk Strategies is an insurance broker that offers risk management advice and insurance placement for property/casualty, healthcare and employee benefits risks. Cross Insurance Bardwell, Bowlby & Karem Cross Insurance has purchased Pittsfield, Mass.-based independent insurance agency Bardwell, Bowlby & Karam. Terms of the acquisition are not being disclosed. Bardwell, Bowlby & Karam is a regional property/casualty retail insurance agency providing commercial and personal insurance, along with financial services and risk management. Under terms of the acquisition, Bardwell, Bowlby & Karam will continue under the same leadership and staff, and will join forces with Cross Surety Inc., to offer bonding services for its clients. Michelle Orlando will oversee the combined insurance and bonding operation as president. Ed Chagnon will continue to run the commercial insurance operations, and Ed OBrien continues managing the personal lines insurance operations. Founded in 1954, Cross Insurance has grown from a small, family-owned and operated insurance agency based in Bangor, Maine, into one of the largest insurance providers in New England with 700 employees in more than 35 offices in the region. Oswald Companies, The Hoffman Group Oswald Companies, headquartered in Cleveland, Ohio, has acquired The Hoffman Group, an Ohio insurance firm founded in 1919. Hoffmans employees are now employee-owners of Oswald and will operate out of offices in Cleveland and Medina, Ohio. The acquisition marks Oswalds fifth acquisition in the past five years. In addition to significant investments throughout its five regional office markets Akron, Columbus, Cincinnati, Detroit and Toledo in 2016, Oswald has also expanded its Cleveland headquarters at Oswald Centre. Digital Benefit Advisors, The Stevenson Group Digital Benefit Advisors (DBA), has acquired its second Houston-based firm, The Stevenson Group. Principals Brian and Audrey Stevenson, along with their 16-member team will continue servicing clients of the firm, which has been providing professional services for more than four decades. Topics Ohio A.J. Gallagher Connecticuts insurance commissioner announced Tuesday that nonprofit health insurer HealthyCT must be placed under state supervision to protect the companys 40,000 policyholders. Commissioner Katharine Wade said HealthyCTs financial condition has become unstable, seriously jeopardized by a recent $13.4 million payment the company was required to make to the federal government under a program intended to spread risk for insurers participating in Affordable Care Act exchanges, such as Access Health CT. This is not an action that we take lightly but did so in order to immediately protect the companys 40,000 policyholders in Connecticut and make certain that their claims will be paid under the terms of their policies and for the duration of their policies, Wade said. The Wallingford-based Consumer Oriented and Operated Plan, or CO-OP, was ordered to stop writing new policies. Access Health CT announced Tuesday it would no longer sell coverage offered by HealthyCT on the exchange. It currently has 11,299 customers with HealthyCT health plans. HealthyCT CEO Ken Lalime said individuals with HealthyCT plans will be covered through Dec. 31. They will need to choose a new insurance carrier during open enrollment this fall, for coverage beginning Jan. 1, 2017. For businesses with a HealthyCT plan that has an effective date on or before July 1, they will be covered through their plan year. Lalime said they must choose a new carrier on renewal in 2017. HealthyCT is committed to its customers and partners; and we will continue to support them, pay claims and meet other financial obligations during the period of supervision, he said. HealthyCT, which has a patient-centric model of care, was formed in 2011 to participate in the Affordable Care Act. But it has struggled like some other CO-OPs and small nonprofit insurers to attract enrollees, languishing behind major insurers. It decided in 2014 to also sell insurance outside the states marketplace to larger employers to help expand its enrollment. Related: Copyright 2022 Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. Topics InsurTech Connecticut BrickStreet Mutual Insurance said it finalized an agreement to acquire the workers compensation block of business from Pittsburgh-based HM Insurance Group (HM), a Highmark company. The purchase involves workers compensation policies and accounts for approximately $120 million in premium, according to the announcement. HMs current workers compensation employees will become BrickStreet employees and remain in Pennsylvania, effective August 1. BrickStreet is a monoline workers compensation provider headquartered in Charleston, West Virginia, with offices in Charlotte, North Carolina, and Naperville, Illinois. The company currently writes business in 12 states, including Pennsylvania, as well as the District of Columbia. The purchase will allow BrickStreet to further expand its presence in Pennsylvania and the region. According to BrickStreet Chief Executive Officer Greg Burton, the company is opening a regional office in downtown Pittsburgh and will continue to use HMs established agents in Pennsylvania. HMs agents will be also able to take advantage of BrickStreets appetite as well as the opportunity to write accounts with regional and national exposures. BrickStreet Insurance, a mutual company owned by its policyholders, became West Virginias first private workers compensation carrier on Jan. 1, 2006. Headquartered in Pittsburgh, HM Insurance Group is a national carriers of employer stop loss. HMs product portfolio also includes managed care reinsurance that is marketed under the brand RBS Re and workers compensation that is sold exclusively in Pennsylvania. Its subsidiaries include HM Life Insurance Co., HM Life Insurance Company of New York, HM Casualty Insurance Co. and Highmark Casualty Insurance Co. HM Insurance Group holds insurance licenses in 50 states and the District of Columbia and maintains 23 regional sales offices across the country. Topics Mergers & Acquisitions Workers' Compensation Talent Pennsylvania The Insurance Society of Philadelphia (ISOP) announced that Maurice R. Hank Greenberg, chairman and CEO of C.V. Starr & Co. Inc., is the recipient of its 2016 Distinguished Leadership Award. The Distinguished Leadership Award recognizes an industry executive who demonstrates exemplary leadership, has promoted the stature of the insurance and associated industries in the community, and global marketplace, and who has provided ongoing support to community events and charitable enterprises. As part of the award the recipient is provided with $10,000 to be directed to a charity of his or her choosing. The award will be presented at ISOPs Independence Gala networking and charity event in Philadelphia on Nov. 16. Proceeds from the Independence Gala support ISOP and its scholarship fund. During the gala members will also raise money for Citizens for Fire Prevention to purchase lithium battery smoke detectors for Philadelphia residents. Greenberg was named head of American International Group (AIG) in 1962, where he served until 2005. Under his leadership AIG reached $242 billion in market capitalization in 2000, the equivalent of more than $337.6 billion today. A veteran of World War II and the Korean War, Greenberg is a recipient of the French Ordre National de la Legion dHonneur for his service in World War II. He has also received the Bronze Star Medal of the United States and the insignia of Commandeur de lOrdre National de la Legion dHonneur for his leadership of AIG. In addition to supporting charities in North America and Asia, Greenberg has also served on the boards of several organizations, including the Council on Foreign Relations and Federal Reserve Bank of New York. The Insurance Society of Philadelphia is thrilled to welcome Mr. Greenberg to the Independence Gala, said Ken Ewell, member of ISOPs board of directors and chair of the Independence Gala Dinner Committee. During his illustrious career, he helped build the largest insurance and financial services corporation in history, through which Mr. Greenberg helped provided security to a countless number of families. Founded in 1901, ISOP is a non-profit organization dedicated to education and professional development of insurance professionals working in the insurance, legal, healthcare and financial services industries. ISOP offers classes and continuing education credit opportunities; it also hosts The Independence Gala, Philly I-Day and Week of Giving. Topics Leadership As Chinas medical bills rise steeply, outpacing government insurance provision, patients and their families are increasingly turning to loans to pay for healthcare, adding to the countrys growing burden of consumer debt. While public health insurance reaches nearly all of Chinas 1.4 billion people, its coverage is basic, leaving patients liable for about half of total healthcare spending, with the proportion rising further for serious or chronic diseases such as cancer and diabetes. That is likely to get significantly worse as the personal healthcare bill soars almost fourfold to 12.7 trillion yuan ($1.9 trillion) by 2025, according to Boston Consulting Group estimates. For many, like Li Xinjin, a construction materials trader whose son was diagnosed with leukemia in 2009, that means taking on crippling debt. Li, from Cangzhou in Hebei province, scoured local papers and websites for small lenders to finance his sons costly treatment at a specialist hospital in Beijing, running up debts of more than 1.7 million yuan, about 10 times his typical annual income. At that time, borrowing money and having to make repayments, I was very stressed. Every day I worried about this, said Li, 47, adding that he and his wife had at times slept rough on the streets near the hospital. But I couldnt let my son down. I had to try to save him, he said. Lis boy died last year. The debts will weigh him down for a few more years yet. Medical loans are just part of Chinas debt mountain consumer borrowing has tripled since 2010 to nearly 21 trillion yuan, and in eight years household debt relative to the economy has doubled to nearly 40 percent but they are growing. That is luring big companies like Ping An Insurance Group, as well as small loan firms and P2P platforms, as Chinas traditional savings culture proves inadequate to the challenge of such heavy costs. The stress is particularly apparent in lower-tier cities and rural areas where insurance has failed to keep pace with rising costs, said Andrew Chen, Shanghai-based healthcare head for consultancy Parthenon-EY. Its a storm waiting to happen where patients from rural areas will have huge financial burdens they didnt have to face before, he said, adding people would often take second mortgages on their homes or turn to community finance schemes. Roots of Poverty Chinas government has moved to ramp up rural health insurance, boost coverage for major illnesses and put pressure on drug companies to slash prices, but it is an uphill battle. Official data show up to 44 percent of families pushed into poverty were impoverished by illness. The Ministry of Health, which did not immediately respond to requests for comment, is currently investigating the impact of these costs on the countrys labor force. Typically, what happens in China is the whole family contributes when someone gets a severe disease like cancer, Severin Schwan, chief executive of Roche Holding AG, the worlds biggest maker of cancer drugs, told Reuters. When it comes to innovative medicines, the financial burden is just too much. Families can go broke. Roche itself has schemes in China to make cancer drugs more affordable, including an insurance scheme developed with Swiss Re. There are no reliable figures for total healthcare lending, as lenders do not usually advance the money for healthcare-specific purposes. If you want to use it for medical bills, cosmetic surgery or plastic surgery thats all fine, says Ping An Puhui, which advertises that its loans can alleviate the pain of illness and bring new hope to sick families. But there is plenty of anecdotal evidence from online lenders that it is a growing segment. Our loan numbers have risen steadily, and no small number of people have used these for medical purposes, said Li Jin, a customer service worker at peer-to-peer (P2P) lender ppdai.com. Healthcare costs are high, and lots of people dont have good state cover, so they need a loan. China Minsheng Banking Corp has launched a healthcare loan scheme in the western city of Chengdu, while Shanghai Pharmaceuticals Holding Co Ltd rolled out a loan scheme in April to help patients access expensive drugs. Some desperate patients are pawning their personal belongings. They use things of various value from jewelry to purses and even cars, said Chen Yi, an office worker at online lender minbaodai.cn. Previously the majority of people were looking for extra cash flow for their business, but last year and this weve seen a rise in healthcare loans. ($1 = 6.6696 Chinese yuan renminbi) (Additional reporting by SHANGHAI newsroom; editing by Will Waterman) Topics China Drugs Swiss Re Corporate Solutions has appointed Jingwei Jia as chief executive officer, China, effective immediately. Jia will be based in Shanghai and drive Swiss Re Corporate Solutions strategy of building its China operations into a leading commercial insurer. Since joining Swiss Re in 2003, Jia has held senior business development and underwriting roles in China, Singapore, the U.K. and the U.S. He succeeds Andreas Hillebrand, who led Corporate Solutions China since the acquisition of Sun Alliance, and will return to his post in Zurich as global head of credit and surety. Jias appointment is subject to approval from the China Insurance Regulatory Commission. Our focus will be on building our reputation for helping Chinese corporations cover risks, especially those associated with climate change, construction, manufacturing and renewable energy, commented Jia. With his multi-cultural perspective and experience as chief operations officer of our China operations, Jingwei will be invaluable in growing the company, says Fred Kleiterp, CEO Asia Pacific, Swiss Re Corporate Solutions. He is the ideal successor to lead our team in China. Swiss Re Corporate Solutions has Asia-Pacific-based offices in Beijing, Brisbane, Hong Kong, Labuan, Melbourne, Nanjing, Osaka, Shanghai, Singapore, Sydney and Tokyo. Source: Swiss Re Corporate Solutions Topics China Swiss Re Managing general agency and surplus lines broker J.M. Wilson has promoted Ashley Montgomery to transportation underwriter in Kansas City, Mo., office. Montgomery is responsible for quoting new and renewal transportation risks, corresponding with company underwriters, and serving independent insurance agents in Alabama, Arkansas, Kansas, Mississippi, Missouri and Oklahoma. She joined J.M. Wilson in 2015 as assistant underwriter to the Property/Casualty and Transportation Teams. Prior to joining J.M. Wilson, Montgomery was an assistant underwriter in the endorsement unit for a national processing center. Source: J.M. Wilson Topics Underwriting Kansas Armenia's place in NATO-Russia relations (video) Relations between Russian and NATO will continue to remain unfriendly after the NATO summit in Warsaw, political analyst Stepan Safaryan told A1+. The decisions adopted by NATO and deployment of military contingent on the border with Russia remain unacceptable for Russia. Russias stance on the issue remains uncompromising. Likewise, NATOs policy towards Russias demands remains unyielding, he said. The political analyst does not expect a big clash between the two geopolitical poles but if the military balance is changed both sides will try to show their power through their actions. The closer Georgias integration into NATO gets, the more aggressive Russia will become in its actions in the South Caucasus, specifically towards Georgia and the NATO bloc members. Armenia together with Russia is on the other side of the trenches and this is a potential challenge for us," Mr. Safaryan said. Experts do not rule out that Russia may exert pressure on Armenia in order to exclude the extension of the Individual Partnership Action Plan (IPAP) with NATO. Eduard Sharmazanov, Spokesman for the ruling Republican Party of Armenia, does not expect such a development. I think you did not understand such a thing from the presidents speech. Among other issues, the NATO summit also addressed the Nagorno Karabakh conflict. NATO Secretary General Jens Stoltenberg called on the conflicting parties to refrain from using force and find a peaceful solution to the conflict. NATO seems to be questioning the issue of preserving the OSCE Minsk Group. Azerbaijan hopes this fragment will stop existing and relies on NATO or its member states to justify its hopes by some venture, said Mr Safaryan. On July 9, participating in the meeting of the Heads of State and Government of the NATO member and non-member states dedicated to the Resolute Support mission in Afghanistan, Serzh Sargsyan said the status quo will change when the people of Nagorno Karabakh will received the opportunity to exercise their right of self-determination. An Indianapolis suburb removed social media pages for the city and its police department after a lawsuit accused it of violating residents First Amendment rights by removing posted comments. The American Civil Liberties Union of Indiana filed the lawsuit last week against the city of Beech Grove on behalf of two women who say the city removed their critical comments from the police departments Facebook page. The social media pages were taken down on July 6. ACLU attorney Jan Mensz said removing the pages is another blow to free speech. When a government entity opens up a space for public comment, it cannot regulate those comments based upon someones viewpoint, Mensz told WTHR-TV. The City of Beech Grove has put all public speech on its social media sites at risk of further censorship. The women are not seeking compensation, but want their comments reposted. According to the ACLU, the two womens posts posed valid questions about crime reporting in the city, as well as police action and inaction related to the removal of political yard signs. The police department said it was advised by its legal counsel to remove the page, but said its a loss of the departments most effective tool for soliciting tips on unsolved cases. City attorney Craig Wiley said he believes Beech Grove has a constitutional basis to defend itself against the lawsuit, but will try to reach a settlement with the ACLU because of the cost of litigation. The police solved a lot of crime. Theyve got a lot of tips and now weve got to take it down, Wiley said. Thats the casualty in this. Indiana University constitutional law professor David Orentlicher said a government agency is not required to create a social media page but once they open it to public comment, it cannot discriminate based on the comment posted. According to Wiley, a settlement with the ACLU would include a new city social media policy. Copyright 2022 Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. Topics Lawsuits Law Enforcement In April, some 1,200 home builders, inspectors, architects and engineers gathered in Louisville, Kentucky, to debate the standards that should guide construction of the next wave of U.S. houses. Engineers had a seemingly unobjectionable proposal: Make roofs in coastal areas less likely to fly off in a hurricane. The American Society of Civil Engineers estimates the shift would increase the cost of a home by less than 1 percent. The measures sponsor, an engineer at a research group backed by the insurance industry, told me the new standard could usually be met simply by changing the way a roofs components are nailed together. None of that mattered. The home building industry wouldnt support it. Roofing costs in high-wind regions would increase significantly, the National Association of Home Builders wrote to its members in advance of the meeting. Requested final action: Disapprove. It worked: The committee responsible for residential building codes, part of the Washington-based nonprofit International Code Council, voted down the proposal. It may have helped that four of the committees 12 members also represented the home builders association. Home builders oppose most building code changes. Proposals Stymied As climate change leaves Americans exposed to more extreme weather, the federal government, insurers and safety groups are pushing for building codes that will make homes more resilient. But those efforts are being slowed by home builders, through the byzantine system of developing and adopting new building codes. Only 6% of the proposals that NAHB opposed made it through the committee hearings intact, the home builders association boasted on its blog after a similar event last year. That leaves future homeowners at increased risk from stronger and more frequent hurricanes, storm surges, floods and other extreme weather events. From 2002 to 2015, presidentially-declared natural disasters have destroyed more than 147,000 U.S. homes and damaged another 3.6 million. And thats just the number of homes whose owners got individual assistance from the Federal Emergency Management Agency. The full scale of harm is almost certainly greater. Adapting to global warming can seem boring perhaps nowhere more so than when it comes to building codes. Every three years, the International Code Council publishes updated model building codes for homes, approaching five pounds in weight, which states and cities can then choose to adopt. But the politics of building codes, which shape the $500 billion residential construction industry, are anything but dull. In theory, power over ICC building codes is held by the roughly 27,000 government employees around the country who vote on the measures. Before those votes are held, however, new proposals are debated at meetings like those in Louisville, where committees decide whether the proposals are worthwhile; changes the committees vote down are less likely to make the final ballot. And on those committees, the industry enjoys far more influence. Among the proposals that home builders have opposed are a requirement that houses built in certain flood plains stop using unreinforced masonry foundation walls less than four feet high, and that homes at risk of hailstorms use tougher roof coverings. The home builders association has even used the ICC to block proposals from FEMA, including one this year that would have made homes in high-risk zones more flood-resistant. Affordability vs. Profitability The NAHB stresses the importance of keeping prices low to attract buyers. Fewer buyers would mean less revenue and less work for the associations 140,000 members. They will invariably hide behind the skirts of whats called affordability. What that really means is profitability, says Ron Jones, an NAHB board member since the 1990s and critic of its approach. To represent themselves as the protector of the American home buyer is pure hypocrisy. The pushback is also cultural. Its the most conservative industry there is, mainly because doing something new involves risk, said Peter Keyes, a professor of architecture at the University of Oregon. Inside NAHB headquarters in Washington, the dominant motifs are tradition and influence. The atrium holds the National Housing Hall of Fame, honoring leaders of the home building industry going back to the 1930s. In the conference room where I met Neil Burning, vice president of codes and standards, hung a plaque: Dedicated to the American ideal of better living through private building. Burning was unapologetic about opposing new code proposals, listing the hurdles each must clear to win the associations support: Among other things, the proposed change must reflect the associations existing policies, use readily available methods or materials, and have information about costs that the association finds acceptable. Finally: We always look to make sure it does not negatively impact housing affordability. Its not always clear which proposals make the cut. The association opposed 505 of the 1,994 proposed changes to the 2018 residential building code but wont release the full list. And the NAHB refused to tell me what position it had taken on the 12 proposals FEMA failed to get passed in Louisville. Still, Burning wasnt shy about his views on the agency. The reason why most of FEMAs were defeated at the hearings was because they were unprepared with substantiating data to support their positions, he said. FEMA View The agency, not surprisingly, disagrees. Our proposals to improve building codes across America are driven by the latest science and research available, FEMA spokesman Rafael Lemaitre said in an e-mail. These science-based code improvements can literally save lives, make our communities more resilient, and help families recover more quickly when disaster strikes. When I asked why the NAHB opposed the proposed rules for better protecting homes against hurricanes, floods and hail, it sent me a long list of arguments, ranging from the impact on construction costs to problems with compliance and enforcement. The NAHB also gave me a list of proposals it has endorsed even though they raise costs. Yet when I asked Burning what code changes the NAHB was pushing to adapt to the increase in extreme weather, if not those proposed by FEMA and others, he dismissed the premise that new codes were necessary at all. The current codes already resist these loads, he said. So what everybody should be pushing for is the adoption of the current codes we already have. In the complicated ethical universe of lobbying, its debatable whether the home builders association deserves scorn or praise. Its true that not every proposed building code is in homeowners best interests. Moreover, the NAHBs only explicit obligation is to its members; if home builders want to prevent tighter building codes, then the association is just doing its job. The same defense cant be claimed by the International Code Council, which says its mandate is ensuring safe, sustainable, affordable and resilient structures. I asked Trey Hughes, an ICC spokesman, whether it made sense to give home builders so much weight on the committee that decides the rules for new homes. He said part of it comes down to time and interest. Some of the challenges are getting people to actually serve, he said. These committees, they do a great deal of work. To what degree should homeowners also bear responsibility? Theres a laziness on the part of the consumer about demanding a more resilient housing stock, said Jones. But expecting the average buyer to consider the wind load of a homes roof may be unrealistic. Homeowners assume, incorrectly, that the home is already being built to a high standard, said Leslie Chapman-Henderson, president of the Federal Alliance for Safe Homes, an advocacy group in Florida. Because why wouldnt it be? This column does not necessarily reflect the opinion of the editorial board or Bloomberg LP and its owners. Related: Copyright 2022 Bloomberg. Topics Flood Homeowners Correction: The original version of this article omitted two of President Obamas nominees, Colorado Insurance Commissioner Marguerite Salazar and Susan Louise Castaneda of The Hartford. Insurance Journal regrets the error. President Barack Obama has nominated more individuals to sit on the governing board of a new national insurance producer licensing system. His three nominations this month bring the total of Obamas nominations to 10, still shy of the 13 needed for the board of the National Association of Registered Agents and Brokers (NARAB) to operate. The Senate has not yet acted on any of the nominations. Industry groups have been calling for the White House and Senate to move more quickly to fill the seats on the board to activate the program. On July 7, the administration nominated two regulators and an insurance company executive: John M. Huff is the director of the Missouri Department of Insurance, Financial Institutions and Professional Registration, and is the 2016 president of the National Association of Insurance Commissioners (NAIC). From 2006 to 2009, Huff was a managing director with The Swiss Re Group. He served two terms as a non-voting member of the U.S. Financial Stability Oversight Council until 2014. Robert Suglia is senior vice president and general counsel at Amica Mutual Insurance Co. in Rhode Island, a position he has held since 2008. Suglia has served on the governing committee of the auto insurance residual market organization in Massachusetts, Commonwealth Automobile Insurers and he has represented Amica on insurance industry boards and trade association committees through Amicas membership in the Property and Casualty Insurers Association of America and the National Association of Mutual Insurance Companies. Lori Wing-Heier is the director of the Division of Insurance of the Department of Commerce, Community and Economic Development for the state of Alaska, a position she has held since 2014. From 2004 to 2013, she served as the director of Risk Management for the Arctic Slope Regional Corp. She has also served as a senior vice president for Marsh USA and an account executive for Aon Corp.s Rollins Burdick Hunter. In May, President Obama nominated Colorado Insurance Commissioner Marguerite Salazar. Salazar has previously served as a regional director for the U.S. Department of Health and Human Services and before that as president and chief executive officer of Valley Wide Health Systems Inc. In April, the administration nominated independent insurance agent Angela Ripley, president of VW Brown Insurance Service in Columbia, Maryland. Ripley is also a national director for the Independent Insurance Agents and Brokers of America and previously worked at Erie Insurance Group. In March, Susan Louise Castaneda, assistant vice president and compliance officer for Operations, Technology and Data for The Hartford, was nominated. She has held numerous positions at The Hartford since 1992, including director of Property and Casualty Operations, compliance director for Personal Lines, underwriting lead for Personal Lines and underwriting manager, as well as consumer affairs positions. Prior to joining The Hartford, she workedfor Farmers Insurance and Allstate Insurance. In January, one year after the laws enactment, President Obama sent to the Senate the names of two state regulators, one property/casualty producer representative and one life/health producer representative for the NARAB board: Raymond G. Farmer, who has bees South Carolina insurance commissioner, since 2012. For 32 years prior to that, Farmer mostly worked for the American Insurance Association (AIA), a trade group for property/casualty insurance companies, most recently serving as Southeast vice president. Michael J. Rothman, who is the commissioner of the Minnesota Department of Commerce, which includes insurance regulation along with securities, real estate, utilities and other businesses. He was appointed by Gov. Mark Dayton in January 2011. Rothman serves on the executive committee of the NAIC, and is the president-elect of the North American Securities Administrators Association. Thomas McLeary, of Illinois, for a term of two years. He is a founder and president of Endow Insurance Brokerage, a Chicago life insurance and benefits brokerage. In 1992, McLeary was one of four individuals who formed the Premier Network Service Group, the first national African American property/casualty and financial services firm. Heather Ann Steinmiller, of Pennsylvania, general counsel with the Philadelphia insurance and benefits brokerage firm of Conner Strong & Buckelew. The 300-person brokerage has offices in New York, New Jersey, Pennsylvania, Delaware and Florida. NARAB gives agents and brokers who do business in multiple states the option of joining a national association through which they can satisfy various states licensing requirements through one process and portal. Participation in the NARAB is voluntary. NARABs 13-member board is supposed to include eight state insurance commissioners, three individuals with expertise in property/casualty insurance and licensing, and two members with similar expertise in the life or health insurance arena. The slow pace of nominations and the inaction by the Senate have frustrated supporters of the program who argue that NARAB will reduce costs and increase competition among insurance producers. Last week, the National Conference of Insurance Legislators (NCOIL) once again called on federal officials to implement the NARAB program, which was enacted as part of the Terrorism Risk Insurance Program Reauthorization Act of 2015 on January 12, 2015. The group of state officials who deal with insurance matters said the delay is causing a lot of unneeded frustration and hurting consumers. It is disconcerting that, 18 months after enactment and 15 months after the statutory deadline to appoint members, a sufficient number of members have not yet been appointed and confirmed so the committee can even meet, said Commissioner Tom Considine, NCOIL CEO. Because the Obama administration and the United States Senate have not fully acted, consumer and producer benefits remain unavailable. NAMIC, the insurer trade group, in a statement applauding Suglias nomination, also said it strongly urges the administration to continue filling out the NARAB board and for the Senate to swiftly take up and approve these appointments. Topics USA Agencies Property Casualty Property Casualty The Tennessee Emergency Management Agency says severe storms overnight July 8 are to blame for three deaths in the eastern part of the state. The agency says a 60-year-old man and 40-year-old woman were killed in Carter County when a tree fell on them while camping. In Knox County, a second woman was killed by a falling tree during a storm. Agency spokesman Dean Flener says the woman was outside but no other information about the circumstances of her death were available. Officials did not immediately know the womans age. Heavy rains early last week caused flash flooding that destroyed at least 20 homes and several businesses in Stewart County. Another seven homes, two churches, a ballpark and a restaurant all had major damage. In Sumner County, 30 homes had been damaged and four bridges had major damage. The storms prompted a state of emergency declaration early Thursday morning. That declaration remained in effect Saturday. National Weather Service Meteorologist-in-Charge at the Nashville office Larry Vannozzi said there were reports of northwest Tennessee counties receiving as much as 6 inches of rain overnight July 6 and counties surrounding Nashville saw as much as 4 inches. In Kentucky, the storms downed power lines and damaged buildings in the Paducah area. A small tornado hit nearby Metropolis, Illinois, but no life-threatening injuries were reported. Associated Press Reporter Travis Loller contributed to this report. Copyright 2022 Associated Press. All rights reserved. This material may not be published, broadcast, rewritten or redistributed. Topics Windstorm Tennessee Even six years after the Panama Papers leaked a confidential list of offshore accounts held by the global elite, tax morality is still very much on the table. Un ottobre da sogno per Antonio Conte: lex ct della Nazionale italiana, attualmente alla guida del Chelsea, nelle ultime quattro gare di Premier League ha collezionato solo successi, conditi da 11 reti segnate e addirittura nessuna incassata. Numeri da record che non sono certo passati inosservati alla Federazione inglese, la quale ha conferito al tecnico leccese lambito premio di Manager del mese. Unavventura oltremanica iniziata in sordina, quella di Conte, pur a fronte di tre vittorie nelle prime tre gare di campionato. A far vacillare, anche se solo per un momento, le certezze del patron del club londinese, Roman Abramovich, i risultati conseguiti tra la 4a e la 6a giornata, coincisi con un pareggio sul campo dello Swansea City e, soprattutto, con le due pesanti sconfitte subite dal Liverpool, sul terreno casalingo di Stamford Bridge, e dallArsenal. In particolare, la debacle interna coi Reds, aveva irritato non poco il numero uno russo, poiche occorsa proprio nel giorno della sua 250esima partita da presidente della societa. Come detto, solo un momento. Dopo lincontro dellEmirates, il tecnico salentino cambia modulo, adottando un piu equilibrato 3-4-3 e inserendo elementi di corsa come lo spagnolo Pedro. Una svolta totale perche, di li in poi, il Chelsea inanellera solo e soltanto vittorie: 2 gol allHull City e al Southampton in trasferta, 3 ai campioni dInghilterra del Leicester e 4 allo United in casa, con un meraviglioso numero zero nella casella delle reti subite. Un fantastico poker, ottenuto tra l1 e il 29 ottobre. Un cambio di marcia sbalorditivo, confermato dal 5 a 0 rifilato ai toffees dellEverton nel primo match di novembre, e una scalata che, man mano, ha portato i blues al secondo posto in classifica, a soli 2 punti dal Liverpool capolista. E allora, non poteva mancare il riconoscimento di migliore allenatore del mese, ottenuto surclassando tecnici del calibro di Jurgen Klopp (Liverpool), Arsene Wenger (Arsenal) e Mark Hughes (Southampton). Tanta, ovviamente, la soddisfazione: E un grande onore e voglio condividerlo con i giocatori e con la societa ha dichiarato Conte sul sito ufficiale della Premier League -. E la prima volta che lavoro in un altro Paese, con una cultura diversa, e portare la propria filosofia non e facile, ma ora sono contento di questa scelta. A completare la festa, la premiazione del fantasista belga, Eden Hazard, come miglior giocatore di ottobre. Due risultati importanti per il club, ottimo incentivo per la rincorsa al trono dei campioni, occupato dal Leicester di Ranieri. Il prossimo appuntamento per l11 di Conte sara al Riverside Stadium, tana del Middlesborough neopromosso. Il tempo di festeggiare e gia finito. U.S. real GDP growth slows from 2.9% in 2018 to 1.6% in 2020 China's real GDP growth slows from 6.6% in 2018 to 6.1% in 2020 S&P 500 earnings growth slows from 23% in 2018 to 8% in 2019 Core inflation rises from 1.9% in 2018 to 2.2% in 2019-20 10-Year U.S. Treasury Note yield hits 3.5% in second half of 2019 U.S. unemployment rate drops to 3.2% in 2019, creating more wage pressures Source: Goldman Sachs Significance For Investors While corporate tax reform, including tax rate reductions, have placed U.S. corporate earnings on a higher plateau, the huge year-over-year (YOY) growth rates in profits posted in 2018 will not be replicated going forward for the vast majority of companies. Overall, based on rolling up consensus estimates for each individual company in the S&P 500 Index (SPX), Goldman calculates that earnings growth for the index as a whole will drop from 23% in 2018 to 8% in 2019. Several sectors are expected to endure declines of more than 15 percentage points each in their annual earnings growth rates, per Goldman: energy will go from 102% to 25%, materials from 32% to 4%, financials from 29% to 10%, information technology from 23% to 5%, and communication services from 21% to 3%. As Nicholas Colas, co-founder of DataTrek Research, told CNBC: "Equity markets are saying to companies that the easy money already has been made. In 2019, they'll have to work for it." Because China has become the world's second-largest economy next to the U.S., and since it is a major buyer of goods and services offered by U.S. corporations, an economic slowdown there has major negative ramifications for U.S. companies, as well as for the overall U.S. economy. Goldman projects real, inflation-adjusted, GDP growth rates in China to remain relatively strong in 2019 and 2020, at 6.2% and 6.1%, respectively. However, this is on a decelerating path, having been 6.9% in 2016 and 6.6% in 2017. Increasing trade tensions between the U.S. and China are a related source of concern, as China has responded to U.S. tariffs on its goods by retaliating in kind. "A major trade war would lead to a significant reduction in growth," Bank of America Merrill Lynch warned in a note to clients during the summer, as quoted by CNBC. "A decline in confidence and supply chain disruptions could amplify the trade shock, leading to an outright recession," the note added. "Inflation...will rise from 1.9% this year to 2.2% in each of the next two years, a level slightly above the central banks [the Federal Reserve's] 2% objective." Goldman Sachs In previous reports, Goldman has warned about rising costs being a major headwind for stocks going forward. This is where the upward trends in general inflation, wages, and interest rates are matters of major concern, since they will depress profit margins. Increases in input costs generated by new U.S. tariffs on imported goods are another source of pressures on margins, and Goldman has been recommending stocks with high profit margins, as detailed in another Investopedia article, as well as stocks with high returns on equity (ROE), as we summarized in an additional report. Moreover, rising interest rates will make bonds more attractive relative to stocks, and depress equity valuations. Looking Ahead Goldman essentially is predicting slowdowns in both the economy and the stock market, rather than a recession and a bear market crash. Meanwhile, Lawrence Summers, a longtime economics professor at Harvard and former U.S. Treasury Secretary, has told CNBC that a slowdown in U.S. growth is a "near certainty" and that "the recession risk is nearly 50 percent over the next two years, maybe less." Given the divergence of opinion, investors would do well to prepare for the worst. EcoLur: Will Artsvanik community fall under tailing dump or not Artsvanik community residents are concerned with the expansion of Artsvanik tailing dump area. Artsvanik tailing dumps is a part of 'Zangezour Copper and Molybdenum Combine' CJSC infrastructures. According to 'Zangezour Copper and Molybdenum Combine EIA Report' project, Artsvanik tailing dump is designed for 310 million m3 tails, EcoLur reports. As of 1 January 2014, the tailing dump has been filled with 95 million m3 tails. Annually 14 million tons of tails is dumped into the tailing dump mixed with around 40 million m3 water. According to Artsvanik Community Head Anushavan Harutyunyan, 240 ha was first taken from Artsvanik community in 1973 for the needs of the tailing dump, then 102 ha in 2006. In 2011 with the governmental resolution 627-N 277 ha land area should have been alienated from Artsvanik for the purposes of the tailing dump. Nevertheless, this governmental resolution was recognized as eminent domain. On 21 May 2015 the Armenian Government recognized eminent domain over 491,8093 ha land areas within the administrative borders of Artsvanik, Sevaqar, Atchanan, Chapni and Syunik Communities. Most land area shall be alienated from Artsvanik community 274.8378 ha. 'If everything continues like this, it means our village will turn into a tailing dump. No, we are against it. We won't allow our ancestors' village to go to the combine and to make it a tailing dump. We have cemeteries near here, which are located in the are adjacent to the tailing dump...I don't think that either the government or the authorities or Qajaran combine would allow it...we won't understand that... This problem shall be solved in a way not to have negative impact either for us, or the combine or the state,' the villagers said in their talk with EcoLur. Artsvanik Community Head mentioned that during the consultation on land areas the combine administration assured them that they don't intend to expand the tailing dump area any more. 'I told them during the consultation that villagers are concerned as the matter whether or not they will stay here is solved.' High up in the Dublin Mountains the remains of one of the most notoriously dark sites in Ireland can be found. It's a place where myth, legend and history blur, and where truth might just be stranger than fiction. Even the name of the society that once met at the stone hunting lodge on Montpelier Hill evokes images of dark rituals and even darker secrets: the Hellfire Club. The hunting lodge is in ruins now, and it's no wonder, given the turbulent past of the site. According to lore, the place was cursed from the beginning. It was originally built in 1725 by William Connolly, the Speaker of the Irish Parliament, and it was said that in order to clear the land for the lodge, Connolly ordered the destruction of a cairn, an ancient burial monument. It wasn't long before the lodge's roof was destroyed in a storm, and it was said that the damage had been done in retribution for the defilement of the ancient site. Connolly died only four years after building the lodge, and it wasn't until the 1730s that it fell into the hands of Richard Parsons, first Earl of Rosse and founder of Ireland's Hellfire Club. Sign up to IrishCentral's newsletter to stay up-to-date with everything Irish! Subscribe to IrishCentral At first, the Hellfire Club gravitated toward what we'd recognize as the antics of an upper-class youth with too much time on their hands. They were practical jokers (often targeting the clergy) and womanizers; they drank and they gambled. Local accounts paint a grim picture of what was going on inside the club, but the exclusive nature of membership and the remote location of the lodge mean that there aren't many reliable accounts of just what went on there. One popular story is that while the club members regularly drank scaltheen (whiskey and hot butter) and toasted the Devil, they left a chair vacant for him if he ever decided to show up. And, supposedly, he did. The story says that it was a stormy night when the stranger knocked on the door of the lodge. He was invited in by the members, joining in their drunken revelry and their card games. It was only when one of the members dropped a card on the floor and went to pick it up that he noticed their visitor's cloven hooves. Other stories involve local priests exorcising a demon from the club's black cat mascot and a tale of human sacrifice, and while that all undoubtedly sits firmly in the realm of folklore, there are still some very real and very horrible stories that are true. Henry, the fourth Baron Barry of Santry, was one of the younger members of the club and, by all accounts, he was an angry drunk. One story says that he once burned a servant to death in his bed after drenching the sick man with brandy and setting him on fire. While he was supposedly successful in paying people to look the other way after that incident, he was eventually taken to trial and convicted over the stabbing death of another servant. Protected by powerful friends who nevertheless seemed to want nothing more than to be rid of him he spent the rest of his days alone in English exile. IrishCentral History Love Irish history? Share your favorite stories with other history buffs in the IrishCentral History Facebook group. The trial turned all eyes to the activities of the Hellfire Club, and it wasn't long after that several other members died in the 1745 Battle of Fontenoy. The original Dublin Hellfire Club was actually only around for a handful of years, but the legend has proved larger than life. It's even debated as to whether or not they ever met at the Montpelier Hill hunting lodge, with some historians placing their favored meeting spot at a tavern on Cork Hill. It's the Montpelier lodge that remains firmly linked with the club's dark deeds, though, lonely, foreboding and isolated. Today, the lodge is in ruins. A couple of different stories are told about just what happened to the building, with some claiming that the members set fire to the lodge when the Connolly family refused to renew their lease. Others say that a careless footman spilled a drink on one of the members, who retaliated by soaking him in brandy and setting him on fire; the fire spread, burning and killing as it went. And still others say that most of the damage was actually done when the lodge was stripped for building materials that were then used for the construction of another nearby lodge. Another group, who went by the tongue-in-cheek name of The Holy Fathers, reportedly used the lodge for their meetings between about 1771 and the turn of the century. Stories about that group were just as dark, and they were supposedly responsible for the murder of a farmer's daughter, who they then ate. Needless to say, there are countless stories about what went on at the Hellfire Club, and it's likely that we'll never actually know which are truth, which are fiction, and which are a little bit of both. The lodge on Montpelier Hill remains a foreboding place to visit, and those who are interested can head up there at any time between 7 a.m. and 9 p.m. (April through September) and 8 a.m. to 5 p.m. (October to March). The car park is off the R115 south of Rathfarnham about half an hour outside of Dublin, and taking one of several paths that lead from the car park will take to you the hilltop lodge that is at the heart of some of Ireland's darkest stories. H/T DublinMountains.ie, HistoryIreland --- Originally from Attica, NY Debra Kelly is a freelance writer and journalist who has seen most of the U.S. during her travels. Ready for something new, she's now living in the wild hills of Connemara with her husband and plenty of animals. She is a frequent contributor to Urban Ghosts, Listverse and Knowledgenuts. In "Quietly," playwright Owen McCaffertys riveting new play about the long shadow cast by 'the Troubles,' Jimmy (Patrick O'Kane) and Ian (Declan Conlon) are two Belfast men in their fifties who arrange to meet for the first time to talk about a day in 1974 when they were both 16, which has marked and maimed their lives. From the moment they appear onstage the tension between the two is palpable, and it's carefully maintained by director Jimmy Fay as their stories slowly start to emerge. The pub in which the two men are sitting in 2016 was once the scene of an explosion in 1974 that changed both their lives: Jimmy lost his father and Ian was responsible for the attack. First meetings rarely get more dramatic. What Owen's doing very powerfully in this play is asking, how do you make peace? Jimmy Fay, 45, the Dublin-born executive producer of Belfast's Lyric Theatre told our sister publication the Irish Voice. He's taken two ideologically opposed people, one of whom has committed a horrendous crime back in the seventies when he was a kid that killed six men. The play asks, how do you deal with the guilt? How do you deal with the mayhem he has created in other people's lives? If there is a way to make peace, a way to resolve your differences, a way to find forgiveness this is the play to turn to. There's no better play on these themes in my mind. So this isn't some kind of esoteric philosophy debate. It's about flesh and blood consequences, because Ian has literally killed Jimmy's father. No wonder the gripping drama has already been a critical and commercial hit in Ireland and Britain. It's like an arena where you have these two heavyweights circling each other, you know? says Fay. McCafferty has lived in Belfast almost his entire life and he had to deal with all of this. But even now in the North there's always that thing of whatever you say, say nothing. There's a politics of ambiguity, because people have learned you can get through things if you're ambiguous, but you can't move forward together. That means what Ireland's playwrights are doing is something that Ireland's political class shows less aptitude for: using their skills to have the hard conversations and show some leadership. The leadership here gets continually bogged down into tribal politics and into the madness of that, says Fay, a Dubliner who maintains a southern perspective. It's interesting now because everything has suddenly come up again with the Brexit vote, which has made everything a bit scarier, not just in the North but in England and Scotland. What it has done to itself is completely insane. The times now call for careful, considered leadership north and south, which is sorely lacking, Fay believes. What Brexit has done is jeopardize the peace process to a certain extent. In the North you can have a dual identity: if you're a nationalist you're also a European citizen, for example. Take European citizenship away from nationalists and will they suddenly have to declare allegiance to a monarch, you're not going to live with that for too long. At the moment the North is still part of Europe which means part of something bigger, but when that gets narrowed down because of Brexit it could make everything just a little bit more frightening. It could bring the problems that "Quietly" discusses back into sharp focus. It's not just nationalists, either. I know plenty of unionists who voted against Brexit, who are appalled at the whole thing. I know there are plenty in favor too. But I think there are an awful lot of progressive Protestants we don't necessarily hear about in the Republic, Fay says. There's a lot more ambiguity than is often seen from Dublin or London or New York. I think what has happened with the Brexit vote is that it has left all of these people out on a limb. It's too much to say its put the peace in total jeopardy, but it has certainly made dialogue a little bit trickier. Knowing how tenuous peace can be, McCafferty doesn't make it easy on his characters or the audience. Although they don't shirk from the pain of their past, because it's visible in their bodies, it doesn't get transformed into something else. They don't suddenly announce let's all love each other now, Fay says. They acknowledge that they can't move away from the pain they caused, but they don't fall into the trap of perpetuating revenge. One of them has to make a step forward, a step away from the dead end of violence and nonsense, and realize they have to talk about what happened in new way. Theater in the North tends to be political and it deals with legacy issues, Fay explains. This is an Abbey production and their artistic director Fiach Mac Conghail has done an extraordinary job reaching out to Ireland, north and south, he says. Moving with his wife and seven-year-old son from Dublin to Belfast has provided Fay with an interesting learning curve. There's a lot more traditions up there that I had to learn about and become respectful of. There's a lot more than I would have learned about if I'd just stayed in Dublin, he says. I think the North is trying to find its place in the world. Whether that be in an eventual united Ireland or whether that be in a commonwealth, I don't know. What he understood early on is how disenfranchised both communities feel in many ways and for many reasons. I think they feel abandoned in the North. If you put it to a vote in England tomorrow I'd say they'd vote not to keep it. Brexit made that clear, Fay says. There's no hiding from the xenophobia that's there and England itself will have to look at that going forward. But the North also feels abandoned by the south. We have done very little to try and encourage dialogue. We have left it up to the border counties. I don't think we have made the case to incorporate it. I think we're terrified of it. We need to do a lot more reaching out, engaging and dialogue. "History is a nightmare from which I am trying to awake," wrote James Joyce once. Its the kind of line that comes naturally to an Irish writer because Ireland knows, more than many places, how the past can write both the present and the future before anyone actually living though them can get a word in. So it proves in "Quietly," as the two men interrupt or contradict each other, finding it almost impossible to sit down and do the thing they actually need to unburden themselves, describe what happened and what it did to them, then listen to each other and start to change. There's one genius device that Owen uses, Fay explains. The pub in 'Quietly' is now run by a Polish barman, who listens to these two talk and debate. He doesn't say much until the very end, but he is essential as a witness to what these guys have gone through. Part of the job of the theater is to ruffle feathers, even the ones that are closest to your own Fay says, adding that "Quietly" does that. I want to find shows that penetrate the audience, that address them where they're living. Most people here really don't want to go to shows about 'the Troubles' unless they're entertaining. That's what I've found, Fay says. They just want to go out and have a good time, but the young writers want to deal with the legacy of the place they actually grew up in. There's that tension between the two impulses that Owen handles so well. 'Quietly' finds a way to pull everyone in. I've seen many plays about 'the Troubles,' but none as good as this. "Quietly" plays at the Irish Repertory Theatre in New York beginning July 20. For tickets call 212-727-2737 or visit www.irishrep.org. Residents of Rathlin Island, located off the northwest coast of County Antrim in Northern Ireland, are considering the possibility of joining an independent Scotland following the Brexit referendum. Scotsman.com reports that the island, which is often cited as the location where Robert the Bruce sought refuge and found inspiration from a determined spider, could once again become linked with the Scottish independence movement After #Brexit & looking to #indyref2 it's time for #Rathlin Island, NI to grasp the future & go with #IndependentScotland Join our campaign! Rathlin Scotland (@RathlinScotland) June 24, 2016 European funding helped the island, which has a population of around 145 people, secure a modern harbor and connections to the National Grid, and now locals are worried about their future after the Leave vote won the EU referendum. A campaign has been building on the island to reestablish its historic connections with Scotland. In Scotland, there have been renewed calls for the country's independence after it voted overwhelmingly to Remain. Like its neighbor, Northern Ireland also voted to Remain, and local island residents say they are half jokingly talking about the possibility of joining their historic friends should an independent Scotland become part of the European Union. Margot McCuaig, whose father was an islander who left for Scotland in the 1950s, told HeraldScotland.com: "Brexit is the talking point on the island and no-one appears supportive of the Referendum decision. They're wondering where this leaves them. A fortnight on, people who you bump into at the harbor, in the shop or the pub, the topic hasn't changed, said the novelist and film-maker, who splits her time between Glasgow in Scotland and Rathlin. "And now the younger people especially are beginning to question what their future is. Their situation has changed regarding freedom of movement and are upset that things might be different." Said a spokesman for the campaign: In the immediate aftermath of the referendum result, people on Rathlin talked, like people all over the UK, of little else. And very quickly talk turned, only half jokingly, to new ways of thinking about the islands relationship with its neighboring islands. A unilateral declaration of independence worked for some, but others looked east and north to Rathlins historic friends in Scotland. Enda Kennys days as Taoiseach (Prime Minister of Ireland) may be numbered following a series of political misjudgments and misfortunes over the last ten days. A terrible opinion poll showing arch rivals Fianna Fail gaining nine points, a Brexit cross-border initiative that went badly wrong, a botched selection of a new Deputy Leader, a backbench revolt and fears about the loyalty of independents in his cabinet all meant a dreadful period for the man who has led Fine Gael since 2002 and the country since 2011. Opposition to Kenny within his own party, Fine Gael, is hardening and moves are said be under way to ensure that his time in office ends sooner rather than later. He has previously stated that he will not be leading Fine Gael into the next general election, whenever that takes place. However, he is now coming under pressure to be more specific and set out a timeline for his departure. Kenny is widely regarded as the most successful Fine Gael leader ever, the first to get elected taoiseach in two successive general elections. But his fortunes have taken a tumble recently, due to a combination of poor decisions and bad luck. The current Irish government is an alliance between Fine Gael and a range of independent members of Dail Eireann, the main house of the Irish parliament. But since the Government does not command a majority in the Dail, it depends for its survival on an agreement with Fianna Fail, the main party in opposition. Subject to certain agreed policy principles, Fianna Fail has pledged to vote against or abstain on any motions of 'No confidence' in the Government, that may be proposed by other opposition parties or groups, and to facilitate the passage of the annual budget. This agreement is meant to last until the end of 2018, but observers believe Fianna Fail will be tempted to pull the plug if it enjoys a consistent lead over Fine Gael and other parties in the opinion polls. Fears within Fine Gael that this could happen were greatly exacerbated by the latest poll published on July 7. The Irish Times/Ipsos MRBI survey showed a massive surge in support for Fianna Fail which had gone up nine points to 33 percent while Fine Gael dropped two points to 24 percent and Sinn Fein went up two to 16 percent. Meanwhile, Kenny has suffered a series of setbacks on the political front. After the recent United Kingdom vote in favor of "Brexit," a British exit from the European Union government figures were promoting the idea of a forum where parties from both sides of the Irish border would discuss the implications of the UK referendum. A press statement issued by Kenny's department ahead of a meeting of the North-South Ministerial Council said: "The taoiseach will raise the possibility of developing an all-island forum with the support of political parties, North and South, to discuss the joint challenges arising from the UK decision." The NSM Council was set up under the Good Friday Agreement of 1998 as part of the peace process and last week's meeting in Dublin Castle was attended by the Northern Ireland First Minister Arlene Foster of the Democratic Unionist Party. Read more: Independent Northern Ireland possible as top Tories talk federal UK However, at a press conference afterwards it emerged that the forum idea was never discussed at the meeting and that there had been no contact in advance with the First Minister about the proposal. This bizarre development was widely-regarded as a gross misjudgment on the taoiseach's part. There was another damaging development for the taoiseach when independent TDs who are in a pact with the government faced him down in a stand-off over the issue of abortion. Attorney General Maire Whelan had advised that a bill proposed in the Dail by opposition deputy Mick Wallace, to allow abortion in cases of fatal fetal abnormality, was unconstitutional. Initially, Fine Gael sources were saying that Kenny would insist that independent Ministers Shane Ross, Finian McGrath and John Halligan should abide by collective responsibility and refrain from supporting the bill. However, the independents stood their ground. The voted in favor of the bill in the Dail, although a majority of TD's opposed it and the proposed legislation was defeated. Under normal circumstances a minister who voted in this way would be dismissed, but in the current situation this would almost certainly have led to an immediate general election. Kenny and Fine Gael backed down before the Dail vote and the cabinet simply "noted" the Attorney General's advice, while making no formal decision to oppose the Wallace bill. This episode was widely seen as undermining the taoiseach's authority and destabilizing the government which he leads. Read more: U.N. rules Irelands denial of abortions in cases of fatal fetal abnormalities inhuman Meanwhile, there was another strange episode when Kenny re-appointed former health minister James Reilly as deputy leader of the Fine Gael party. Dr Reilly lost his Dail seat in last February's general election but has since become a Senator on the nomination of the taoiseach. Only a few weeks ago, Kenny said in a briefing for political correspondents that Dr Reilly was no longer deputy leader. This had not been conveyed by the taoiseach to Dr Reilly himself, who was told the news by his wife, who had heard it in a report on the radio. It was widely assumed that Tanaiste (deputy prime minister) Frances Fitzgerald would then be appointed deputy leader, but this did not turn out to be the case. The taoiseach announced his decision to reappoint Dr Reilly at a meeting of the Fine Gael parliamentary party. At the same gathering his leadership was questioned by Dail deputies for the first time in six years. For the present, however, it is thought that the opposition to Kenny is confined mainly to the backbenches. However, Government Chief Whip Regina Doherty, who was appointed to the job by the taoiseach in May, caused a stir when, in an interview with radio station LMFM, she urged the taoiseach to clarify his plans and set out a definitive timeline for his departure. She later said that Kenny had her "full support and backing". Speculation is growing that Kenny will step down before the end of this year, possibly when the Dail rises for the summer recess later this month but more likely towards the end of the year, after the October budget. Possible successors include Minister for Social Protection Leo Varadkar, Minister for Housing Simon Coveney, Tanaiste and Minister for Justice Frances Fitzgerald. Irish politics has rarely known such a period of uncertainty as at present. Read more: Was six year old Donegal girl Mary Boyle's disappearance in 1977 covered up? (VIDEO) A Dublin Council has passed a motion calling on the Government to immediately apply for a Presidential Decree for Ibrahim Halawa. The Dubliner has been held in an Egyptian prison, without trial, for nearly three years now. Europe is extremely sick and must start dealing with its problems extremely quickly, or else there may be an accident, said David Folkerts-Landau, according to the newspaper. Im no doomsday prophet, I am a realist, the economist said. With Italian banks weighed down by 360bn of soured loans, the government has been sounding out regulators on ways to shore up lenders amid a renewed selloff after Britain voted to leave the EU. Lorenzo Bini Smaghi, a former member of the ECBs executive board who now chairs Societe Generale, said last Wednesday that Italys banking crisis could spread to the rest of Europe. He said the rules limiting state aid to lenders should be reconsidered to prevent greater upheaval. I do not expect a second financial crisis like in 2008, Mr Folkerts-Landau said, according to Welt. The banks are much more stable today and have more equity. What we face this time is a slow, long downward spiral, he said. The Bloomberg Europe 500 Banks and Financial Services Index has tumbled 33% this year, falling to the lowest level in more than seven years last week. Deutsche Banks shares have fallen 48% in 2016. Mr Folkerts-Landau, however, said he had recently bought 100,000 Deutsche Bank shares and was optimistic about the outlook for his employer. BlackRock vice chairman Philipp Hildebrand said earlier this month the European Commission should allow governments to take temporary equity stakes in their banks, similar to what the US did with its Troubled Asset Relief Program during the 2008 crisis. Italys government has been in conflict with Berlin over the best ways to recapitalise its lenders. How many of us are able to hazard an informed guess about what is coming next? Not that many, if truth be told. A fortnight ago, America lost one of its leading thinkers following the death in his sleep of a man at the age of 87 who during his life rarely rested. Alvin Toffler was one of a very small group of people who possessed formidable predictive powers and, above all, was able to make sense of those predictions. It should be added that all his working life, he worked in tandem with his wife, Heidi. The Tofflers produced several best-selling books, the best known being Future Shock. Published in 1970, it has never been out of print. With the publication of Future Shock, Alvin established himself as a leading American social commentator. Described as one of the first futurists, Mr Toffler famously warned that the accelerating pace of technological change was making us all sick. He described what he regarded as a real psychological malady, the dizzying disorientation brought on by the premature arrival of the future. Mr Toffler warned that unless intelligent steps were taken to combat this, people would become progressively incompetent to deal with their environments. In a recent tribute, New York Times writer Farhad Manjoo, concludes that Mr Tofflers analysis has largely panned out, with local and global crises arising from our collective inability to deal with ever faster change. Inequality, driven in part by techno-abetted globalisation, has created economic panic across much of the western world, he wrote. And such panic, one might add, helps to explain the rise of Mr Trump and other populists, not to mention the recent British referendum result, driven in large part by a sense of insecurity among the less well off. Among the Tofflers predictions were the following: The rise of the Internet and Youtube. The emergence of cloning and the replacement of blue collar manufacturing by a third wave of knowledge workers. The pair also cottoned on to another emerging phenomenon: The instant celebrity--swiftly fabricated and ruthlessly destroyed. Heidi had one of two wobbly moments suggesting that disposable paper clothes would take off like Kleenex. In 2010, on the 40th anniversary of the publication of Future Shock, Alvin and Heidi were asked to come up with some more predictions. Among their conclusions were that crowd-sourcing becomes ubiquitous; that answer seekers will link up with problem solvers across the globe; the philanthropic capitalists such as Warren Buffett and Bill Gates accumulate wealth, networks and resources which increasingly exceed those of nation states. One can quibble at some of the findings. for example, the new breed of global capitalist will continue to rely on powerful nation states for the security that guarantees an environment in which to operate. The atrocities of ISIS and the fierce drone-led response are a reminder that national governments continue to serve a purpose. But the Tofflers once again were on the mark in foreseeing the evolution of a form of radical transparency. In the intervening period, we have had the Snowden and Manning leaks along with the Panama Papers, driven by the emergence of a new form of global investigative journalism. The Tofflers set up their own consultancy two decades ago. Its managing partner, Deborah Westphal, has talked of the huge vulnerabilities that come from this acceleration in the pace of change, with the prospects of sensors being put into food and the clogging up of data systems with obsolete knowledge, or Obsoledge. Ms Westphal talks of how business, government and organisational structures need to be looked at and redone. At the time, Future Shock was published, much attention was paid to planning for the future at the heart of the American establishment. In 1972, a new Office of Technology Assessment was established in Washington. There was a genuine interest among members of Congress in making lawmaking more anticipatory. The concern is that with the advent of Reaganism and the growing attacks on central government, on its role and its size, led in particular by the Tea Party faction in the Republican party, interest in future planning has waned, at a time when technological change itself appears to be accelerating. The writer Amy Webb has expressed concern at the fact that the US no longer has an office dedicated to rigorous, non-partisan research about the future. At the same time, technology companies, ever more wealthy and powerful, invest untold millions in lobbying activities which by their very nature are dictated by self-interest. At times, the Toffers emerge as optimists, certainly when compared to a generation of novelists from HG Wells to JG Ballard, whose vision of the future is altogether more chilling. Back in 1962, Mr Ballards novel, The Drowned World, emerged into the light of day. The book is set in 2145, in a post-apocalyptic, flooded London with tropical temperatures. TAs if to cheer us up more, Mr Ballard also later released The Burning World. Here, the setting is a barren landscape caused by a massive chemical chain reaction triggered by industrial pollution which dramatically altered the climate. Mr Ballard has his share of emulators, the latest being the Canadian Emily St John Mantel, whose post-apocalypse novel is set in the near future in a world reduced to near nomadic state after a swine flu pandemic. Yet what the 20th century presented us with, at times, put into the shade even the grimmest predictions of the great novelists of that era. The future is fond of tripping us up. Take care. It could be dangerous out there. Monsanto will join a Brazilian investment fund with up to 300m reais (85m), managed by Microsoft, evaluating ideas for new digital tools to be applied to agricultural production in the country, executives said. Selected ideas will receive initial funding of up to 1.5m reais (415,000) for early development. These issues are all the more important because Ireland is connected to the UK energy market via gas and electricity interconnectors. The UK is currently part of the larger EU energy market. However there is no absolute guarantee that this market relationship will function in the same way after Brexit, should trade tariffs be re-introduced as a result of political bargaining. The reality is that Ireland imported over 85% of its energy needs in 2014. By 2019, it will need to import over 350 million cubic feet per day of gas from the UK via the gas interconnector. Gas production from the Corrib gas field is forecast to be declining by 2019, coinciding with the possible execution of Brexit. It is not sufficiently emphasised that the 1-in-50 peak daily demand, normally during winter months, may require over 800 million cubic feet per day of gas from the UK. Irelands only strategic gas storage facility at Kinsale is also set to have shut down within the next four or five years, and will cease to contribute to the security of supply. Wind energy, as the main component of Irelands renewable energy investment programme, is assumed to have little negative impact on the winter peak day demand. It is sobering to note, however, that one evening, at 7pm, in February 2015 electricity demand peaked at 4,500 megawatts. Despite the installed wind-generating capacity in the Republic of 2,122 megawatts, wind accounted for an average of only 1.1% of system demand. The overriding balance of supply was made up of electricity from thermal generation, according to Gas Networks Irelands 2015 development plan. By comparison, 2014 total demand for gas in the UK was 70 billion cubic metres of which 57% was imported. In turn, Europe imported 33% of its gas from Russia and 21% from Norway. During the final three months of 2015, the UK also exported the equivalent of 12.2 terawatt-hours of gas to Ireland and 24.2 terawatt-hours of gas to Belgium. Therefore, the impact of Brexit on the UKs security of gas supply would seem to be less problematical as it is not reliant on EU countries for gas. Ireland, however, remains heavily reliant on the UK for gas. In March 2014, Centrica, a UK (and potentially non-EU) company, together with Brookfield and Icon announced the acquisition of Bord Gais Eireanns energy supply business for a reported consideration of 1.1bn. The proceeds contributed to reducing and managing increased national debt created by the 2008 financial crisis. Costs would rise if this had to be imported from continental Europe. The all-island electricity market which has been in operation since 2007 could be more difficult to sustain in the event of an interruption of supply, if the UK is not in the EU. Securing readily-available energy from diverse sources at competitive prices is critical for longer term investment and economic growth. Encouraging indigenous gas exploration and development provides for security of supply during 1-in-50 peak daily demand events that cannot yet be guaranteed to be satisfied by renewable energy. Ireland needs to strategically focus in the short term on those areas where existing offshore infrastructure at Kinsale and Corrib can be efficiently used with minimal environmental impact. This shortens the lead-time for development whilst also reducing initial capital and operating costs and thereby accelerated the profitability which in turn also accelerates the States tax return under the new petroleum taxation regime. Currently, this is the only gas exploration model that can positively impact security of gas supply under Brexit. Paul Griffiths is a director of Predator Oil and Gas Ventures Ltd, a licensing option recipient in the Governments recent Atlantic Margin Licensing Round. You used to be Theya Lingerie, why the rebrand to Theya Healthcare? We specialise in post-operative lingerie for women. It originally started as a breast-cancer-only brand. We realised that while talking to medical professionals, that our lingerie was for anybody who was going through post-surgery, radiotherapy or chemotherapy as well. Weve since rebranded to Theya Healthcare... We did that for a number of reasons. We sell directly into hospitals and also into retailers now. We became a medical device [company] last November, which was important when we looked at the medical market. It was also important for perception. Lingerie denotes certain things in a lot of peoples minds and it wasnt necessarily what we wanted our brand to be associated with. We are looking at very specific group of women who are looking for something very comfortable, but also something that is pretty and feminine too. This combined with them going through a very traumatic event in their lives, so we decided on something that was more neutral. We kept Theya, the goddess, so we still have the original sense of empowerment ... It also gives us the opportunity to move into other medical devices in the future, should we wish to do so. Youre a young company, why re-brand in the middle of a growth period? When we became a medical device company we had to do a lot of repackaging and look at how we were selling into that market as opposed to selling into a consumer market. We took the opportunity in repackaging to rebrand as well. We also knew that people referred to us Theya, without the lingerie at the end. Then we sent messages out to all our customers telling them of the change. For the most part, its gone very smoothly and works well with what we are trying to achieve. You just signed a big contract with House of Fraser in the UK, a great deal overshadowed by Brexit, perhaps? Being honest about it, the way things have gone in building Theya up over the last few years, I learned to just get up and get on with it. Im disappointed about the decision and actually some of our team are based in the UK and its not just about the market, it also about members of staff as well. They are shocked that they are working for a European company and they may no longer be European. Well deal with it though. When youre in business you cant cry over spilt milk. We worked very hard to get this contract ... We started talking to them in September 2014. So its taken us 18 months to get this point where we can start shipping to them. They were very interested in the product from the beginning because they saw the gap in the market too. They wanted to grow some more and build up the business before they looked at taking us on. So we did that, launched in Ireland and grew the business, then last autumn they confirmed the deal. So were selling online only at the moment with them, and then if that goes as planned, we can then look at moving into their stores as well. They have 74 stores across the UK. theyahealthcare.com Las Vegas is all glitz and no glamour. Sure, when you look at from a distance it looks fantastic. The bright lights and of course the promise of big money and fortune-making. Delve deeper and you realise that things are not so sparkly. The underbelly is exposed with the tiniest of scratches. That city is a great tribute to the American dream that anything is possible and that there is no reward without risk. Silicon Valley is the Vegas of the startup world. During the recent Global Entrepreneurship Summit, co-founder of Google Sergey Brin, was asked about advice for startups looking at Silicon Valley to start their journey. His response surprised a lot of people. If you can help it, dont start in the valley. During the boom cycles, the expectations around the costs real estate, salaries the expectations people and employees have... it can be hard to make a scrappy initial business that is self-sustaining, he said. Whereas in other parts of the world you might have an easier time for that. Ideas on venture capital, and indeed on the very definition and what makes an investor, have begun to change as well. In a recent interview with Startup Grind, lean startup pioneer Steve Blank commented on what a venture capitalist actually is. He said that most venture capitalists arent interested in changing the world, they are in it to make money. While they might like you, youre just part of a liquidity Ponzi scheme, he said. Their only goal is to make you liquid or go public. They will support you to do that, but thats about it. Silicon Valley still remains the place for large-scale investment. If you have big dreams and need big money, its still the place to be. However, those big money investments are few and far between. For most, the prestige is in the name, not in the place. Silicon Valley is no longer the oasis in the desert. Startup scenes around the world are beginning to mature. Ireland has a number of VC firms. There has also been alarm at the way the billions in funding coming out of the area are distributed. Massive investment into companies such as Uber and Snapchat have meant that the wells are drying up for smaller startups as venture capitalists aim their sights on the big prizes. Those holes need to be filled, and the reality is that some companies arent going to get filled in the Valley. However, it also shows that perhaps VCs have become more selective about what they invest in. Yes, they are going for what looks like sure things in the big names of tech world, but they have also become more pragmatic in where they put their money. Early stage and angel seed rounds of investments dropped from 1,532 to 990 in the final quarter of 2015. But those deals still accounted for over $2bn, almost the same amount of money than the same time previously. PitchBook, a VC database and information service, recently released a report which showed securing seed funding and advancing to the Series A round is tougher than its ever been. So far this year, only 1.2% of startups that closed seed deals completed Series A rounds. Thats the lowest rate on record. There is no reward without risk. What has become increasingly obvious is that investors want the easy reward and less of the risk. The startups at the bottom are becoming increasingly marginalised. As the bright lights of the Valley increase, it appears its risk appetite is slowly fading. RTEs This Week outlined how officials from the Department met Mr Kelly in 2011 to discuss the 1983 episode, when Mr Kelly was given the Probation Act after a court heard he had posed as a doctor in the casualty ward in a Baggot St hospital. The Department of Health said it asked Mr Kelly in for questioning after it had been contacted by parliamentary assistant Tommy Morris, who had been working for TD Derek Keating. Mr Morris said he had raised concerns about Mr Kelly with James Reilly when he was Minister for Health. Mr Reilly, now a senator, has already released a statement in which he said he had a recollection of concerns being raised but asked they be put in writing so they could be followed up. Mr Morris was unaware the Department of Health had questioned Mr Kelly in relation to the 1983 incident. He said it was an enormous missed opportunity to launch a wider probe into how Console was being run. Yesterday, the Department said there had been no mention of any financial irregularities in Console in 2011 and a spokesman said: The minister would not have been briefed formally because no new information was given to the department which was not already a matter of public record by way of the court case. In further revelations, it has emerged that Console is investigating allegations that Mr Kellys wife might have used charitable funds to buy a horse later used by her daughter in showjumping competitions. In a further bizarre twist, it has emerged that the same horse was stolen from an equestrian centre in Co Longford last Thursday by two men posing as gardai. Gardai confirmed they are investigating the theft of a horse, horse box, and the unauthorised taking of a car from Cloghan. The Sunday Times had reported that the interim CEO of Console, David Hall, was seeking to establish if the money used to buy the horse had originated from Console. The horse was bought by Patricia Kelly, a former director at Console, and could be worth up to 40,000. Matters relating to Console are due back before the High Court today. Terry Prone: 10 And while more than a few high-profile Fine Gael ministers have been coveting the Dails answer to jewel- encrusted headwear in recent days, the for-now exiled Conservative MPs lesson has not been lost this side of the Irish sea. Over the weekend, as the crisis over when exactly Enda Kenny will step down as Taoiseach continued to engulf the Government, his most likely replacements were notably backing away from making the fatal cut everyone knows is coming. With rumours of a no- confidence motion swirling around the backbenches, Social Protection Minister Leo Varadkar has said on three consecutive days since Friday that now is not the time for a leadership challenge and that Mr Kenny should decide when he is to depart. Housing Minister Simon Coveney who has carefully fashioned an image as a responsible minister overseeing water, homelessness, and other matters over the past week was equally clear that while a race is coming in the near future, the first shots as one journalist put it to him on Thursday, have not yet been fired. The other main potential contender, Tanaiste and Justice Minister Frances Fitzgerald, ensured there was no room for misinterpretation on Saturday by saying Mr Kenny should not be forced by anybody to outline a timetable. Housing Minister Simon Coveney With Fianna Fail also seemingly intent on helping the current Government to stumble on, for the moment at least, and with Fine Gael MEP Brian Hayes saying now is not the time for self-mutilation, Mr Kenny may feel he is weathering yet another political storm. However, despite the lack of any public push against Mr Kenny from his oh-so-supportive colleagues, in whose mouths of course butter would never melt, the remarks should not be misinterpreted as support. As the comments were being made, it is worth noting that rumours that six backbench TDs were considering tabling a motion at Fine Gaels parliamentary party meeting this Wednesday, seeking a new leader to be in place by the end of the year, while a number of backbench TDs were yesterday suggesting the summer recess would be the perfect time to announce an organised schedule for a handing-over of power. It is possible that these issues originated entirely from backbench TDs. But you cant help feeling there is more than an element of testing the interest in a leadership challenge in Fine Gaels internal machinations right now, with potential future leaders happy to allow the questions to come from the backbenches while publicly still standing by, or at least near, their leader. Fine Gael MEP Brian Hayes Speaking to the Irish Examiner yesterday, a number of TDs said that the real reason behind the apparent backing-away by potential future leaders to issuing a fatal blow to Mr Kenny is that they want to give him a dignified political death while avoiding any of the blame for a bloodied scene. If he is to go, it should still be on his terms, they believe, and preferably with minimal kicking and screaming. The reality is that all leadership contenders want Mr Kenny to bring an end to the questions and confirm when he will depart. Unlike Mr Gove, they understand the need to avoid being seen to stab a colleague in the back, especially when a gentle nudge will do. As Irelands longest-serving TD, Mr Kenny will know better than anyone that, regardless of public support, in politics, someone only hugs you to see how wide to dig the fast-approaching grave. The finding comes from the first-ever national RCNI report on LGBT (lesbian, gay, bisexual, and transgender) survivors of sexual violence attending Rape Crisis centres in Ireland. The data comes from surveying LGBT survivors attending the 15 Rape Crisis centres around Ireland in 2013. One of the most striking findings is the delayed reporting by LGBT survivors of rape. Some 47% of LGB (lesbian, gay, and bisexual) survivors waited more than 10 years to report the abuse, compared with only 21% of heterosexual survivors who took the same length of time to report. Another finding relates to the amount of sexual violence LGB survivors experienced in comparison to heterosexual survivors. LGB survivors disclosed higher levels of multiple incidents of sexual violence than heterosexual survivors (26% compared to 15%). In terms of gender, gay and bisexual males disclosed almost twice the levels of rape compared with heterosexual males (63% compared to 34%). A total of 88 LGB survivors attended Rape Crisis centres in Ireland in 2013, and this amounted to 4% of the services users. The other 96% of service users identified themselves as heterosexual. Transgender survivors who used the services in 2013 were not included in the statistical analysis due to the numbers being too low to accurately do so. One in four of LGB survivors first disclosed their attack to a friend compared to 12% of straight survivors, and 28% disclosed to parents or another family member against 39% of heterosexual survivors. All female lesbian and gay survivors of abuse who became pregnant as a result of rape terminated the pregnancy. Cliona Saidlear, head of RCNI, states that some of the findings point to the potential isolation of LGBT survivors of rape. Worryingly, LGBT survivors can take up to twice as long to report the crime compared with their straight counterparts, she said. They also rely much more on friends and partners and less on parents and family than straight people do. These two findings suggest the potential isolation and the added difficulties survivors who are also LGBT face in reaching out and seeking support. This and other findings in this report should act as a catalyst for action to policy makers, to service providers and to community leaders to transform responses towards creating greater safety for LGBT survivors. In a strongly worded statement, Independent Alliance ministers Finian McGrath and John Halligan described the comments as quite outrageous and told Mr Varadkar and Fine Gael backbenchers to look internally if they want to find out who is destabilising the Governments future. The latest row comes as pressure continues to mount on Taoiseach Enda Kenny to set out the timetable of his departure from office. And while senior Fianna Fail TDs yesterday said they still plan on backing the coalition from opposition for three years, the dual controversies have raised fresh questions over how long the current Government will last. Speaking on RTEs The Week in Politics after attending the Somme commemoration events in Kilmainham, Dublin, Social Protection Minister Leo Varadkar said Independent Alliance ministers who backed the opposition bill seeking to legalise abortion in fatal foetal abnormality cases were wrong to do so. I think it was an error on behalf of those Independents, he said. Maybe they thought they would be seen as heroes, but it destabilised the Government and has damaged the Independent brand as well. I would hope they [the Independent Alliance] will reflect on this week and that it will not happen again. Social Protection Minister Leo Varadkar The comment, which Mr Varadkar repeated twice in the same interview, followed similar remarks by Fine Gael MEP Brian Hayes, who hours earlier said some of the Independent dont get what it means to be in power. The remarks were heavily criticised by Independent Alliance ministers Finian McGrath and John Halligan last night. Disabilities Minister McGrath said he totally rejected the remark, while Training and Skills Minister Mr Halligan went further, saying Mr Varadkars view was quite outrageous and fundamentally wrong. He added that Fine Gael TDs, not the Independent Alliance, were most destabilising to the Government and that Mr Varadkar should look internally in future if he wants to blame anyone for the coalitions difficulties. The latter comment was in reference to mounting pressure on Mr Kenny to clarify when he will step down as Fine Gael leader after a series of controversies last week led to widespread backbench calls for him to outline when the succession race will begin. Mr Varadkar who in a poll last week was named as the most popular candidate to replace the Taoiseach, on 31%, followed by Housing Minister Simon Coveney on 21% yesterday stressed Enda Kenny will decide in his own time when he wants to relinquish the leadership. In what has been seen as an indication to backbench TDs who may be in favour of his candidacy, Mr Varadkar added that Mr Kenny has only recently been re-elected Taoiseach and that I dont think it would be right to push him, I dont think it would be the right thing to do. However, despite similar positions being taken by Mr Coveney and Justice Minister Frances Fitzgerald over the weekend, pressure is mounting within Fine Gael for clarity on the issue. Speaking to the Irish Examiner yesterday, several Fine Gael TDs said they would not support a rumoured no confidence motion in Mr Kenny if it is tabled for Wednesdays parliamentary party meeting. However, the vast majority said they said they still expect him to clarify when expects to leave office at Wednesdays meeting, with an ongoing belief he will leave within months. The Taoiseach is likely to face further questions over the imminent succession race tomorrow when he meets the media after a Brexit-related discussion German chancellor Angela Merkel in Berlin. Fianna Fail communications spokesman Timmy Dooley said yesterday his party plans to support Fine Gael for the next three years. However, Fianna Fail finance spokesman Michael McGrath later noted this is only if the Government continues to function and does not become a lame duck coalition. Mr Varadkar, a potential successor to Fine Gael leader Enda Kenny, made the claim as pressure continues to mount on the Coalition to bring its internal crisis under control. Speaking just hours after Fine Gael MEP Brian Hayes said some of the Independents dont get what it means to be in power, Mr Varadkar told RTEs The Week in Politics the abortion bill vote had risked causing a second general election. I think it was an error on behalf of those Independents, he said. Maybe they thought they would be seen as heroes [for backing the ultimately unsuccessful opposition bill], but it destabilised the Government and has damaged the Independent brand as well. I would hope they [the Independent Alliance] will reflect on this week and that it will not happen again. Mr Varadkar said Mr Kenny was unable to force Independents to back down on their support for the bill, as doing so could have meant the Government would of course fall. Speaking earlier in the day on RTE Radio, Fine Gael MEP Brian Hayes similarly said if Enda Kenny took them on there would have been another election, adding pointedly: It seems to me some of the Independents dont get it [being in Government]. A major rift in the cabinet became apparent last week when Independent Alliance members led by Transport Minister Shane Ross, Disabilities Minister Finian McGrath, and Minister for Training and Skills John Halligan voted in favour of an opposition bill calling for abortion to be allowed in cases of fatal foetal abnormality. The decision came despite the Attorney Generals insistence the bill was unconstitutional. The Law Reform Commission (LRC) is preparing a report and draft bill for the Department of Justice, targeting online abuse and crime. However, it has stopped short of recommending mandatory sentences, which has proved successful in other jurisdictions. Revenge porn is where a person uploads intimate images of another online without their consent. While it is an offence in England, Wales, and dozens of states in America, it is not classified as a crime in Ireland. We will try our best to propose draft legislation that doesnt involve going to court but instead that gets things down as quickly as possible, said LRC commissioner Raymond Byrne. The LRC is looking at offences in the cyber world from both a criminal and regulatory point of view. The key thing the Commission will be concerned with is divided into two blocks of law, said Mr Byrne. One is the criminal side. There is a recognition there is a gap in law and its clear from consultations weve had that the Non-Fatal Offences Against the Person Act doesnt deal with revenge porn. When an image is uploaded to a social media platform, in this manner, its not done to communicate directly with the target and it might not be persistent. Thats a clear gap. The Commission recognises thats a clear gap. Under the Non-Fatal Offences Against the Person Act, harassment must be persistent to be classified as a crime. Acts of revenge porn can often be one-off incidences, the behaviour therefore, does not fall under that criminal legislation for harassment. Mr Byrne said the LRC consulted with young people when researching for the report. With the Union of Students in Ireland (USI) firmly opposed to any increased costs and a finely balanced Dail, the proposal in a report being launched by Education Minister Richard Bruton is sure to become a new political headache. It is one of several options to bridge severe gaps in higher education funding but the study now-pay later system is favoured by many key players in the sector. But the family who found the balloon tangled in a tree on a windswept mountain on Norways western seaboard last month are set to make the return trip in style to enjoy a once-in-a-lifetime holiday and collect a host of prizes which were on offer to the person who found it. After reading the story in the Irish Examiner, low fares airline Norwegian has stepped in to offer return flights for the Taule Leikanger family from Bergen in Norway to London. Dunmanway Chamber of Commerce, which organised the balloon release as a Christmas charity event, will cover the cost of the familys flights from London to Cork after approaches to Irish airlines for flights sponsorship drew a blank. Norwegian Air spokesman Stuart Buss said the airline, whose Irish subsidiary is planning to launch transatlantic flights from Cork Airport, was delighted to help. This is a fantastic story so we are delighted to play our part helping the Taule family travel to Cork, said Mr Buss. If a balloon released in southern Ireland can make it all the way to western Norway, the least we can do is to help the Taule family fly in comfort with Norwegian and enjoy an easier journey to collect their prizes. The story began next to Dunmanways live crib on the Green on December 19, 2015, when the balloon was released, with a note attached listing a set of prizes sponsored by local businesses, and Catherine Crowleys contact number. Whoever found the balloon was in line to win a stay for two in Galvins on the Green B&B, a box of chocolates from Deirdre Kelly, a gift hamper from For Goodness Sake health shop, a voucher from Connollys shoe shop, lunch for two in Marnies Restaurant, and a wash, cut and shave, and a bottle of champagne, from Ms Crowleys Boyz to Men barber shop. Locals hoped it might find its way to Skibbereen, or maybe even over the county bounds. But as the days, weeks, and months passed, most forgot about it until the phone in Catherines shop rang just over two weeks ago. Catherine said she was stunned when the woman on the line, Linda Taule, told her shed found the balloon. She was even more shocked when Linda told her she found it while out hiking in the mountains with her son, Magnus in Nausdal, in western Norway 2,500km away. Dozens of businesses and locals have offered meals, days out, accommodation and gifts for the family. A spokesperson for the Department of Justice told the Irish Examiner the Law Reform Commission is currently preparing a report (Cybercrime) and it will help to inform any future legislation in the area. The spokesperson said only aspects of revenge porn are covered by current law. While aspects of what is known loosely as revenge pornography are addressed by the harassment offence in Section 10 of the Non-Fatal Offences Against the Person Act 1997, there has been a growing awareness and concern in relation to issues such as cyber- harassment, revenge porn, and related harmful internet content in recent years with the growth of cyber technology, said the spokesperson. The gap in the law occurs because Section 10 states that while harassment can occur by any means, crucially it must be persistent. Therefore, a one-off, non-consensual uploading of intimate content does not fall under the current law. But despite the gaping hole in criminal law, there are remedies available in civil law. Womens Aid advises victims if they did not give permission for the material to be published online then the act constitutes a clear invasion of right to privacy as enshrined in the Irish Constitution, the European Convention on Human Rights, and the Charter of Fundamental Rights. Furthermore, a victim has a right to have the personally sensitive material erased under the Data Protection Acts 1988-2003. According to senior counsel Pauline Walley, there have been three prosecutions at a district court level, where victims of covert filming, invoked the data protection law. However, Ms Walley points to a High Court judgment from January 2012, where the judge called on the Oireachtas to legislate for cybercrime as a matter of urgency. In Tansey v Gill, Mr Justice Michael Peart said the internet had given ill-motivated and unscrupulous persons an inexpensive way to vent their anger and their perceived grievances against any person. So serious is the mischief so easily achieved that in my view the Oireachtas should be asked to consider the creation of an inappropriate offence under criminal law, with a penalty upon conviction to act as a real deterrent to the perpetrator, said Justice Peart. He added that civil remedies provided an inadequate means of prevention and redress. However,under the Child Trafficking and Pornography Act 1998, a criminal offence occurs where anyone knowingly produces or distributes child pornography. Therefore, due to the wording of the legislation it is possible that an underage person who took their own photo and shared it, could be found to have distributed child pornography. The law in other countries Joyce Fegan England and Wales: In April 2015 a new section was introduced to the Criminal Justice and Courts Act, making it an offence to share sexual photos or videos of another person without their consent. It includes images posted on social networks and those sent by text without permission. Convicted offenders could face up to two years in prison. The first conviction under the new law was secured against Jason Asagba, aged 21, in July 2015. He was given a six-month jail sentence, suspended for 18 months, as well as 100 hours unpaid work. US: According to the Cyber Civil Rights Initiative, 34 states and Washington DC have prohibited the practice of distributing non-consensual intimate images online. The Philippines: In 2009, it was the first country to criminalise non-consensual pornography. There is a penalty of up to seven years imprisonment if a person is found guilty of the offence. Israel: In 2014, it was the first country to classify non-consensual pornography as sexual assault. If you are found guilty of the offence, you can receive a prison term of up to five years. Australia: The state of Victoria has specific legislation to tackle revenge porn, which made it a crime in 2013. The Government can already expect heavy political and student opposition to the system of study now, pay later fee loans likely to be promoted, arising from the long-awaited report on third-level funding that is to be published this morning. However, pressure to justify higher fees will see more focus on colleges meeting social and economic targets. He will set out some targets as he launches the report of a group chaired by former Irish Congress of Trade Unions boss Peter Cassells. The report was commissioned by former education minister Ruairi Quinn in 2014, and is expected to put forward a number of options, to include significantly increased taxpayer funding and an increased contribution from business. A hike in employers PRSI has been considered to boost the 400m National Training Fund, to improve progress from further education to third-level. However, the Government is likely to strongly promote a student loan system, under which graduates pay back their fees to the State when they reach a minimum earnings level. There will be no political decisions this year, however, as the Cassells report and its recommendations will be debated by the Oireachtas Education Committee first. READ MORE: Its an only educated guess as to who will pay for third level Annie Hoey, the new Union of Students in Ireland president, has made it one of her priorities to fully oppose to any study now-pay later model or hikes to the 3,000 annual student fee. Mr Bruton will focus today on the expectations of the higher education system in return for a share of any additional revenue generated, knowing that public support will be needed if students or business, or both, are asked to pay more. However, colleges may argue over difficulties fulfilling the requirements, after seeing public funding cut by nearly one third to under 1bn a year since 2008, while catering for 15% more students with 2,000 fewer staff. Among the targets Mr Bruton will suggest are: A 7% increase in the proportion of students at third-level from the most disadvantaged groups in society; 50,000 college places over 5 years on programmes to fill workplace shortages and improve lifelong learning; A 25% rise in numbers on online and part-time courses, and a similar increase in students on courses with a work placement or work-based project; 30% more enrolments on research programmes. The higher education sector is at the heart of delivering on massive social and economic challenges, including providing better life opportunities for people from disadvantaged areas, training the skilled workers needed for a growing economy, and delivering major research and innovation projects to help solve the big problems of our time, said Mr Bruton. READ MORE: New student fees loan scheme up for debate Dungarvan and West Waterford Chamber have developed a welcome pack to support people moving to the town. The aim is to provide key information and contacts to help people settling in feel comfortable and part of the community. CEO of Dungarvan Chamber of Commerce Jenny Beresford said: I decided we would try and put together a welcome pack that would include absolutely everything a person needs to know to feel part of the community and feel a sense of place when they get here. Its got absolutely everything and its one of those Why didnt we do it sooner? because it is so simple, she added. The welcome pack took about three months to compile and Ms Beresford consulted a number of people who have moved to Dungarvan, from within Ireland and overseas, to ensure the information is practical, up-to-date and useful. The physical pack will be launched tomorrow, Tuesday, by Waterford hurler Michael Brick Walsh. It will be available through a number of businesses and offices in the area as well as the library, tourist and chamber offices and from AIB. The pack, separated into different sections, contains a wide range of information from essential contact numbers to community groups and activities. Ms Beresford said: We have the Moving to Dungarvan section so thats if youre moving here how to get sorted and includes real estate agents, solicitors, banks and financials, bed and breakfast and self- catering guest houses. If youre getting set up then you might need an architect, or building contractor, or landscape person, plumbers, electricians, domestic appliance repair, oil and fuel providers, youd need hardware and electricals so thats anything from building to moving in to a fully kitted-out place you still need bits and pieces. Settling in is the next category so theres emergency services, civic offices, grocery stores, service stations, garages, launderettes, clothes alterations, library and information, churches, gyms, salons and barbers. We also have a transport category and healthcare, which includes hospitals, doctors, pharmacies, dentists, opticians, care facilities, and then weve got childcare, education and training, which includes childcare, parent and toddler groups, primary and secondary schools, school supplies, after-school programmes, third-level and further education and evening classes. The daily lunchtime and 5pm readings at Bantry Library which are free to enjoy have been a central part of the internationally renowned festival. The festival begins in the harbour town of Bantry on Sunday next and features authors like Zadie Smith, Gloria Steinem, John Banville and Darren Shan. This year the schedule of readings at Bantry Library includes novelists Mary Morrissey and Marion Coutts, as well as poets Paddy Bushe and Matthew Sweeney. Executive librarian Noel OMahony said it had been decided that the librarys selection of daily readings for 2016 would be dedicated to the memory of the late Ms Hall, who was instrumental in helping to grow it into what it is today. A columnist with the Irish Examiner for 30 years, Ms Hall passed away last autumn. The festival started off as a series of lunchtime readings in Bantry Library in 1996 and 1997 during the West Cork Chamber Music Festival, he explained. However, he said, in 1998, when Denise Hall became writer-in-residence with Cork County Council, the readings became a larger festival fringe event under her guidance. At that time, it was still not a literary festival in its own right, but was simply an adjunct to the Chamber Music Festival, Mr OMahony said. Ms Hall and Clem Cairns of Fish Publishing, were instrumental both in getting the literary element of the festival better established and in bringing it to another level, he recalled. They brought people like Seamus Heaney and Pat McCabe to Bantry to give readings in Bantry Library, he recalls. At the same time, the pair also strongly encouraged the expansion of what was still a literary adjunct to the Chamber Music Festival. Gradually the festival started to build with the support of Denise and Clem, and of Cork County Library which was the main funder at the time. Over the years, Denise and Clem attracted more and more writers to the festival and also started to grow it into other venues. In 2003, the term fringe was dropped and the literary element became a festival in its own right. Denise was a key figure in the evolution of the festival into the huge event that it has now become. *Visit www.westcorkmusic.ie for more information on the West Cork Literary Festival, which this year runs from Sunday until July 23. Craftsmen from 17 specialist companies are fitting out all four floors of Youghals Clock Gate tower. Were looking at specialist joinery, electrics, graphics, costumes, models, audio-visual aids, film production, etc, says Aileen Murray, manager of the Youghal Socio-Economic Development Group (YSEDG), which is spearheading the 650,000 project. Each floor will depict a historic period, covering the 1400s, 1700s, 1800s and 1900s, up to the buildings use as the private residence of the McGrath family in the 1950s. The project is being designed by Scroope Design, Courtmacsherry. The Clock Gate stands on the site of the former Trinity Castle, one of five gateways into Youghal. The clock was installed in 1620 to broadcast the death of children and was retained when the tower was built in the 1770s. It served as a prison until 1837. A few floggings and executions took place in the tower, particularly after the 1798 rebellion. However, serious offenders were generally transferred to Cork. The new attraction will also reflect the towns evolving social, political, and commercial life. The building closed as a small museum in 1993, with the current renewal launched by the former town council in 2008. Cork County Council currently leases the monument to the YSEDG, under a 10-year contract. The restoration programme was boosted by Failte Irelands Ancient Ireland East initiative, as the tourist body provided 200,000 towards the 350,000 design and fit-out, with matching funding from Cork County Council. Previously, conservation and restoration of the buildings exterior were funded by 240,000 from SECAD, while Youghal Town Council contributed towards preliminary, 60,000 planning costs. Visitors will book tours, not exceeding 20 members, at the nearby tourist office. Technology and craft will play a part, including language translations and digitally created images of old Youghal, but it will, ultimately, be all about stories, says Ms. Murray. Cork historian, Dr Alicia St Leger, has researched extensively to create scripted tales of bygone times. The stories are highly authentic and derived from archival records and similar sources, says the manager. They will bring Youghals, and the Clock Gates past to life magnificently. The animal turned out to be an extremely rare visitor to these waters. Bowhead whales seldom venture far from the polar ice-cap but this one was 2,000km south of its normal range. A sighting off Cornwall last May was probably of the same animal. Then it was our turn to be visited. The crew of a pilot boat spotted a strange-looking whale at Carlingford on May 29. The Irish Whale and Dolphin Group identified it as a bowhead, the 25th cetacean species to be recorded off Ireland. The bowhead is a bizarre creature. Severe obesity comes to mind; this is a huge rotund animal. As with baleen whales generally, females are larger than males. They can be up to 18m long and weigh 75 tonnes. Living in very cold water requires a layer of insulating blubber up to 60cm thick. The head, with its enormous mouth, is out of all proportion to the body, extending to a third of the whales length. The tongue may weigh up to two tonnes and the plates of baleen, the sieve used to trap krill and fish, are the longest of any whale. The bowhead swims relatively close to the sea surface, with its mouth open like that of a basking shark. Only when it has trapped enough prey will it swallow the contents. Very slow swimmers, bowheads dont travel south to warmer waters to give birth as other whales do. This species has a special claim to fame; it may be the worlds longest lived mammal. In 1986, the International Whaling Commission banned the killing of whales worldwide but native hunters in Alaska, Greenland, and Russia were granted exemptions. A strict quota is enforced and the numbers taken reported to the commission. In May 2007, a male bowhead was harpooned off the Alaskan coast. As the hunters set to work with chainsaws cutting it up, a 13cm long spike was found lodged in the victims neck. Such spikes, with explosive containers attached to them, were fitted to spears or fired from heavy shoulder guns. Having penetrated the victims body, the explosive would detonate, causing horrendous injuries. Perhaps the charge failed to go off in this instance; the whale was lucky to survive. Since the original find, several more harpoon tips have been found in bowhead carcasses. The harpoon had been manufactured in New Bedford, Massachusetts. Up to the 1880s, such spikes were made from walrus tusks or stone, but metal ones were used from then on. Inuit hunters never targeted calves; the animal would have been a teenager when attacked. If so, it was at least 130 years old in 2007. Another bowhead, found with the remains of a harpoon in its body, is thought to have lived for 211 years. As a whale ages, changes occur in the amino acids of its eye lenses. By measuring the composition of these, an animals age can be estimated. Most of the whales tested had died in their 60s or 70s, but such startling age results were obtained occasionally that scientists doubted them. The harpoon finds have confirmed the amino acid findings. Very large females, without calves, are seen from time to time. These oldies seem to have gone through the menopause. A thousand years ago, Norsemen hunted bowhead and right whales, approaching them in small boats and spearing them with hand-held harpoons. The carcasses of both species were so buoyant with oil that they floated, making them ideal quarry. In the 17th century, Dutch whalers specifically targeted bowheads. Over 100,000 bowheads were slaughtered before stocks became exhausted in the Atlantic. Following the 1966 moratorium, numbers have recovered. The bowhead is now in the IUCN least concern category. THERE is 500m less of taxpayers money being spent on third-level education than eight years ago, and 2,000 fewer staff work with thousands of more students. But the public will be slow to approve more of their money, either in taxes or in fees from students. They perceive large levels of waste in universities, and institutes of tehcnology, which still receive 1bn a year in public funding. That is the amount they receive from the Department of Education, via the Higher Education Authority, to cover students tuition, pay, and other costs. But it is far from enough. The trouble is that the significance of the shortfall is not a big concern to citizens. It did not feature much, if any, in debate ahead of Februarys general election. Even if it was not mentioned on the doorsteps, there is evidence for the Government elsewhere. To assist the work of the Peter Cassells-chaired expert group on future funding of higher education, the Department of Education paid 25,000 for a study of public attitudes. Although only 80 people were interviewed, Amarach Research starkly concluded that people were not too much upset about the funding collapse. Even when the figures are spelled-out, Irish people believe waste and inefficiency should be sorted out, instead of them having to spend more money. That is why, this morning, you will hear about Education Minister Richard Brutons targets for improved outcomes in how colleges cater to socially-disadvantaged communities, by helping people get into work and by aiding those already in work to improve their qualifications. The reason he needs to do so is to set the context for a likely heated political and public debate around two simple questions: Who should pay for higher education in Ireland? And how much should be paid? Education Minister Richard Bruton There is little secret that all the focus will be on the option put forward in the Cassells report (published by Mr Bruton this morning) to introduce an income-contingent student-loan system. Students would have their tuition fees maximum amounts likely to be set by yet another new regulator paid by the State in the form of a loan. However, they would have to commit to repaying the amount after they reach a certain earning threshold unless their families can pay up-front. It might sound a reasonable idea to study now and pay later, in return for the salary benefits associated with having a higher qualification. After all, Ireland has one of the most highly-educated, young-adult populations in the EU. READ MORE: New student fees loan scheme up for debate But attempts to reintroduce any kind of student-fee scheme have failed miserably for over a decade. Noel Dempsey was knocked back by Fianna Fail and Progressive Democrat colleagues in the cabinet, in 2004, and Batt OKeeffes short spell at the Department of Education also saw work begin on designing a loan scheme. The Cassells report will set out the justification for any increased demands on students, taxpayers or businesses, highlighting the likely growth in student numbers and the investment needed just to maintain current standards. Noel Dempsey The risks of further reputational damage to Ireland Inc, through falling quality of higher education, will also be flagged. Universities, and others in higher education, say such falls are impending, but know, in reality, that they set in years ago, because of the pressure to do more with less. And then the tough part: The question of how to redress the imbalance. And it might not be students alone who would have to cough up. The main, overriding options are threefold, but could include some combination of two or all of them. There is the possibility of fully state-funded higher education. Based on a system like Norways, this would inevitably require an increase in general taxation, which is not a likely runner for a government that will be teetering on a possible election, after next autumns budget. Another option considered by the Cassells group is a larger contribution from business, through an increase in employer PRSI. Already, 0.7% of this charge goes into a national training fund levy that mainly supports courses for the unemployed, but an additional charge could be ringfenced to promote progress from further education to third-level. The aforementioned income-contingent loan scheme will probably be put forward as the fairest way of getting the balance right, but even if there were broad public or political support, there would be complications in how to set it up and run it. While Nama has been consulted about managing a student-loan scheme, it is legally bound to act commercially. It is also unclear what kind of public investment would cover all students fees, how such a fund could be raised, or over what period repayments would match loans paid on behalf of students. This would depend on whether or not loans were income-contingent, meaning repayments would only begin after a graduate started earning over a pre-determined figure. Another consideration for the Cassells group has been whether a maintenance element would also be the subject of loans, or whether grants would continue to be paid for students from lower-income homes. The fact that students from lower-income backgrounds are more likely to avoid taking on loan debts could be a challenge to the logic of using any scheme to improve social equality. There would also likely be no impediment to better-off families paying fees up-front. The international models likely to be cited include the Netherlands, where student-loan repayments can be collected from people living abroad. While the risk of a brain drain, as graduates potentially emigrate to avoid loan repayments, has been raised, financial modelling by the Cassells group takes account of potential default for these, and other, circumstances. Whatever way you look at it, some group in society is going to have to reach deep into their pockets. And the Government will be keenly aware, having yet to try and ride out the political nightmare of water charges, of the political fallout of doing that. READ MORE: Richard Bruton prepares for tuition fees backlash JUST metres from TD Sean Flemings office in Leinster House lies the committee room where some of the biggest scandals in the State in recent times have been revealed and tackled by politicians. Abuses of the penalty points system, misspending by state agencies, off-shore bank accounts, Irish Water, whistleblower claims, overspending in the health sector and questions over the care of a young disabled girl in a foster home were all issues investigated by PAC during the last Dail. But Mr Fleming, the newly appointed chair of the Public Accounts Committee, every day faces a cold reminder, as he says himself, of where the line is drawn between public and private life. A large cardboard box by the window contains nine lever arch files pertaining to an ongoing High Court case being taken by the former Rehab charity boss Angela Kerins against the previous PAC. Ms Kerins claims the previous PAC from the last Dail strayed outside its remit and had no right to quiz her about Rehabs finances, which, she says, negatively affected her health. The ongoing case raises questions about how far PAC can go when investigating issues or demanding information. But the committee, under its new chairman, intends to move on and tackle fresh issues. Rehab charity boss Angela Kerins Mr Fleming is an experienced, cautious and eagle-eyed politician. The Laois TD is also a dyed-in-the-wool Fianna Failer and has ambitions plans for the new PAC. But will Fianna Fails necessary support for the Fine Gael minority government soften his criticisms? I guarantee that wont be a problem. There will be no punches pulled at all, insists the TD. Mr Fleming is familiar with the core operations and role of PAC, having sat on it for the last two Dails as well as being a qualified accountant. The function of PAC is to hold Government departments to account, to monitor value for money generally and to take its lead from the states spending watchdog, the Comptroller and Auditor General (C&AG). Sean Fleming wants to tackle wasted expenditure in the health service, the exorbitant costs of medicines being paid by the State and the questionable sale practices of Nama, the agency currently accused of selling state-owned property assets at knockdown prices. Above all though, the new PAC chairman, armed with the powers to compel senior civil servants to answer questions about spending, intends to tackle waste in departments, duplication and to make an example of accounting officers who are reluctant or lazy about saving taxpayers money. Im concerned that there isnt sufficient collective learning across government departments. There are some good departments and agencies that are very efficient, including Revenue and Social Protection, says the chairman. Theres other departments that make mistakes. And they can learn practices from those who have done well, says Mr Fleming. Ideally I would like PAC to call in collectively together all of the accounting officers in one meeting, Ive never seen that done before. I can pick eight of them and it would cover over 90% of expenditure. I would ask them as accounting officers to explain to us the mechanisms they have in place, to learn from each other. Savings can be made when it comes to departments making purchases, getting value for money, including on IT projects and schemes, says the chairman. Failures to save were evident on previous projects, including on medical card schemes, grants for students under SUSI and Department of Agriculture schemes for farmers. They are all common problems, but none of them seem to learn from the mistakes of the other. Only last week, the Public Accounts Committee heard that 30% of procurement contracts in the HSE are non-competitive. Indeed, Mr Fleming is of the opinion that the biggest culprits when it comes to misspending are the Department of Health and related agencies. This goes back to claims HSE authorities have underestimated their spend continuously, to the detriment of other areas which end up plugging the gap. The PAC chairman explains: The biggest question I have about health is that they havent produced, in the last three or four years, an honest budget ever. Weve always known that they underprovided on the assumption that money would come around during the course of the year. What that leads to is that the HSE cant do adequate planning of services due in the year. This all adds to inefficiencies of the health service, he maintains. Mr Fleming also has his sights set on saving the taxpayer money when it comes to bills paid to the large pharmaceuticals for medicines. The pricing of drugs, the state pays about 2bn, and I am concerned that sometimes we dont always negotiate the best price in Ireland because there are so many pharmaceutical companies in Ireland. There isnt a threat [of jobs being pulled], but I worry about the people on the purchasing side of the table, representing the taxpayer, subconsciously have a slight inferiority complex because of that, because they dont want to upset these people. At issue though towards the end of the last Dail were questions over how far PAC could actually go when quizzing witnesses and whether its actions regards Rehab went beyond its remit. Since the start of the new Dail, the committee has been told that it should not stray beyond the legal advice it gets about its actions. Any decision by PAC to ignore this legal advice or to act outside it must be approved by the Houses of the Oireachtas Commission, an overarching committee that sets the ground rules and has the strongest authority in Leinster House. Mr Fleming explains: So the power has now been taken. So we are in a situation now with the new Dail, given there are 20 committees out there, they dont want them operating independently of the commission with free reign. The last PAC was heavily criticised for straying too far. There were charges members acted like wolves and the committee went off the rails. Taoiseach Enda Kenny even went as far as suggesting its role was over-politicised. But the new PAC chairman is quite composed about the restrictions for committees agreed under the new Dail and has a more realistic approach to the work ahead. Use the powers you have to the best ability without having to use the powers you dont have...I think it [the rules] are correct. FOR A behaviour that is not yet classified as illegal in Ireland, psychologically revenge porn can have deep and devastating effects. Professor of law at Miami University, Mary Anne Franks, says the act can silence women and destroy their futures. The publication of private, intimate images (also called revenge porn) destroys womens careers, educational opportunities, and relationships. Women are routinely targeted with sexualised and violent threats merely for expressing opinions or having a public profile, she said. Prof Franks stated that this act can silence women and force them to retreat from society. She added that in incidences of so-called revenge porn, attempts to report or erase them, can be seen as censoring free speech. In response to these abuses, women and girls leave jobs, change schools, retreat from public discourse, refrain from expressing their opinions, and withdraw from social media. That is, technology-facilitated abuse drives women and girls out of public spaces, both online and offline, and removes their voices and their contributions from public discourse. And yet these forms of harassment and abuse are frequently characterised as speech or expression, whereas efforts to combat them are characterised as censorship, she said. Similar to the phenomenon of victim-blaming in cases of rape, whereby a victim is seen as having had some ability to stop the crime, she argues that the same belief can be seen in incidences of revenge porn. Women are told that the only way to be protected from these violations and indignities is to restrict their self-expression: Dont express your opinions publicly. Dont compete with male colleagues. Dont anger your abusive spouse. Dont take naked pictures. Dont wear skirts. Dont get raped. The cumulative effect of all this is to silence women. It teaches women to be docile, submissive, sexless, conventional, and devoid of opinions, or else face devastating injury to their privacy, their careers, their safety, their families, said Prof Franks. Dr Mary Aiken, a cyberpsychologist, has studied the effects of online crime for a number of years. She said virtual harassment can have deeper consequences than physical abuse. Dr Aiken cites fear, alarm, depression, stress, anxiety, lowered self-esteem, loss of trust, as some of the responses to online abuse. From the point of view of the abuser, their behaviour can be warped by something called the online disinhibition effect. Professor of psychology at Rider University, John Suler, developed the theory and published it as The Online Disinhibition Effect in CyberPsychology & Behavior in July 2004. It refers to how some people act out more intensely online, than how they would in person. This loss of inhibition comes in six factors: Dissociative anonymity, invisibility, asynchronicity, solipsistic introjection, dissociative imagination, and minimisation of authority. Dr Aiken argues that this online disinhibition effect is behind many cyber-crimes. Attempts to remove revenge porn from the internet has mistakenly been labelled as censorship of free speech, writes Joyce Fegan APPROXIMATELY 70% of people living in Ireland own a smartphone and a further 80% are active on social media. Put the two together, and at the swipe of a thumb, we can date, communicate, and connect with each other online. But equally, we can use that powerful combination to harass, abuse and shame one another too. Perhaps the most psychologically damaging of cyber crimes is that which we call revenge porn, where intimate photos or videos are shared online without the consent of the person depicted in them. The content can either have been derived from the consensual exchange of images, commonly known as sexting, or else it can be obtained through covert filming. Possessing revenge porn can be used to control another person by threatening to disclose it to friends, family and further, or else it can be used to humiliate the target by actually uploading it on to the internet, sometimes even without the victims knowledge. It has a devastating impact on its victims and often the content can never be fully retrieved. Worse still, the act is not illegal in Ireland. Jane (not her real name) is an Irish woman who was the victim of revenge porn. The intimate photos and video of her were online for a year before she knew anything about them. Last February I went out for dinner with a friend of mine and he told me he had something to tell me. He told me it was quite a big thing and he wanted to wait until there wasnt too many people around in case of my reaction to it, she said. He handed me his phone with an image on it and all I saw was the ad on the bottom of it, I didnt actually see the content of the page he was showing me but when I looked at it again, there were photos of me on the screen. There were naked photos of me on the screen along with videos and descriptions of me saying how old I was, where I lived, everything. The only thing it skipped out on was my name. She knew it was her because she has some very distinctive tattoos that were designed especially for her. I couldnt fully compute what I was actually seeing in front of me. I couldnt look at it. I couldnt imagine who would do that or why theyd do it, said Jane. The photos were naked pictures of my body, not my face in them. And then there was a 10-minute video that my ex-boyfriend had secretly filmed about four or five years ago of the two of us and there are glimpses of my face in it, but you can see me in it. He secretly filmed and uploaded to this porn website. It was depicted as amateur porn. The covert footage had been filmed on a computer webcam in her ex-boyfriends bedroom, in his parents house. Jane had no idea the camera was on. In relation to the images, she explained that they had both taken photos of themselves and exchanged them with one another while they were dating. However, crucially both Jane and her former partner agreed to delete any images they held of each other after their amicable break-up more than four years ago. He did not maintain his side of the agreement. Jane said the discovery made her feel sick and disgusting. It made me feel really dirty, to be honest. I didnt know how to deal with that. Its one of the most intimate personal things. I felt so vulnerable and violated. It is a violation of my trust. Its a violation of my basic human rights. I cant understand it. It makes me physically sick that someone would do that to me, she said. Jane told her story on Today with Sean ORourke last month. She explained the protective steps she took once she had gathered herself post-discovery, but when she went to the police she was told there was nothing in law that could be done for her. I took screenshots of it on my computer and saved them on a USB and I went with my parents to the Garda station. I thought it would be really difficult [to tell my parents] but Ive got really understanding parents. So I just sat down and said I need to go to the Garda station, they asked why and I said what had happened and that was it, we just packed up and [went] off to the Garda station. The gardai were lovely, Ive never dealt with them before in my life. They made me feel so at ease, so comfortable, [they] told me theyd sort out this problem that I didnt have to worry about anything, that theyd get barristers, solicitors, everything for me and that he would have to be the one that was worrying not me. Theyd sort it all out. I just had to come back in to make a statement, she said. However, because of a gap in the criminal law in relation to cyber crime, there was nothing the gardai could do to help Jane. A garda had managed to get the content taken down from the website by emailing them and explaining that it had been uploaded non-consensually. However, Janes ex-boyfriend reuploaded everything again the next day. Jane and her parents were forced to take the matter into their own hands from there. I went with my parents to his parents house where he lives and his mum was there and he was there. I told him I knew what he had done and he was completely in denial, he said he didnt do anything, that it wasnt him, she said. He finally broke down when her father intervened and stated that they had proof that it was him that had done this and they knew what steps to take. Janes ex-boyfriend then proceeded to delete everything in front of her and said he had no reasons for doing what he did. She explained that their relationship had ended peacefully in their early 20s and they remained in contact for a period, before falling out of touch. It was actually a really amicable ending. About a week later we exchanged our things, kind of boxes of memorabilia. We gave them back to each other and it was fine, it was a perfectly amicable break-up, said Jane. Jane, alongside with her solicitor Dermot McNamara, Senator Ged Nash and TD Brendan Ryan, are now campaigning for a change in the law. One barrister who works in this area is Fergal Crehan. He runs a privacy consultancy, the Hit Team, for people who find themselves in Janes position and want to have the footage taken off the web immediately. Fergal Crehan heads up Hit Team. Picture: Brendan Lyon He receives on average one query a week in relation to so-called revenge porn. About two years ago I was starting to get a couple of cases like this referred to me from solicitors. This kind of case doesnt generally go to court, so Im not acting for clients as a barrister. I set up the Hit Team in 2014 as a privacy consultancy, separate from my practice as a barrister, he told the Irish Examiner. Overwhelmingly, the victims are women. The age tends to be under 30 and probably most commonly under 25. There are cases where they [images/videos shared] are by people who are strangers, but they usually came from relationships, such as longer term relationships that have broken down acrimoniously, or youve had your conquest and this is the trophy you bring home its not OK just to score on a night out you have to show the lads. I would get more than one query a week on average, he said. Mr Crehan believes people may have been a victim of revenge porn but accept it as part of modern-day life and do nothing about it. I tend to get an increase in queries when the issue has been in the news and that leads me to believe that this has happened to a lot of people and so when they read about it, they think thats not OK what happened to me, that was wrong. There may be an assumption that this is dating in the 21st Century or theres nothing that can be done either, he stated. In terms of the impact revenge porn has had on victims, he said the fear in the aftermath is all-pervasive. One of the main things I see is fear, worry about who else is going to see this, sometimes theyre obsessively checking the footage or imagery to see how many views it has received. I had one particular client who had difficulty getting a job and she googled her name. Shed been sending out CVs and not getting call backs, so she was wondering why and this led her to google her name. She then asked how long has this [revenge porn] been up, all this second-guessing. When she googles her name now, the search comes up clean, he explained. Due to the unfortunate term revenge porn, there is often an assumption that the victim carries some responsibility, they somehow acted improperly at one point and they could have done something to preempt the situation. American writer Jessica Valenti, in her 2008 book, Hes a Stud, Shes a Slut, argues that womens bodies have always been a target for sexual shaming whereas mens are not, and that a double sexual standard exists. Why is a woman less of a person, or (my favourite) dirty, because she has sex? When you think about what the purpose of the word slut is: Controlling women through shame and humiliation. Womens bodies are always the ones that are being vied over for control whether its rape, reproductive rights, or violence against women, its our bodies that are the battleground, not mens, she writes. Closer to home Margaret Martin, director of Womens Aid here says that revenge porn is just a new tactic being adopted by abusers. Margaret Martin of Womens Aid with Justice Minister Frances Fitzgerald. Picture: Paul Sharp This thing about non-consensual sharing of images, is what people commonly call revenge porn, its part of a whole range of tactics that abusive partners use particularly around shaming. They use shaming very much in relationships for a variety of reasons, really in terms of trying to control, in trying to shame her to make her more dependent, to have something to hold over her, explained Ms Martin. She said that shame is a powerful weapon used by abusers to control an individual and that it binds people into complete silence. If youre in a relationship where youve been shamed and youve been made do things youre deeply ashamed of, its very difficult to talk about that and find your way out of that and I think thats one of the things thats a real concern, she stated. In terms of statistics, Womens Aid UK found that 41% of domestic abuse victims that used their services, had been tracked or harassed using electronic devices. Whereas Womens Aid in Ireland found that 20% of their service users in 2015, had been tracked and between April and December of last year 293 incidences of online abuse were disclosed to them. I think whatever were dealing with at the moment, this is not going to go away and the issues around the safety, the well-being, around the mental well-being and around reputation for young women is going to be huge, said Ms Martin. Cliona Saidlear, head of the Rape Crisis Network of Ireland believes that as a society, we need to respond urgently to this phenomenon. The rapid rise of new technologies and platforms requires a rapid adaption in terms of our responses and culture. Revenge porn (an unfortunate term) can be both devastating and confusing for survivors and those victimised in this way. It can be a part of a pattern of abuse including sexual violence, however it may also be committed in isolation of other forms of sexual violence, she told the Irish Examiner. Perpetrators need to understand that it is an offence and that it will be taken seriously and acted upon. Victims should know that there is support for them and this will be taken seriously. Similarly to her peers and to victims like Jane, she pointed to the gap in our legal system that leaves this act to go unpunished. However, there are weaknesses in the capacity of the justice system to respond. We have no dedicated offence of revenge porn, she said. What to do if youve been a victim of revenge porn Joyce Fegan Despite the gap in criminal law, there are several steps you can take if private, sexually explicit material depicting your body is posted online without your consent. Womens Aid advises victims to contact the hosting website or social media platform immediately. There may be an online complaint button: if so, use it and make clear in your online complaint that the material is an invasion of your privacy, and data protection rights, and has been uploaded without your consent, reads their guidelines. Womens Aid also urges victims to take screenshots of any complaints you make, so that you have a copy of them for your own records. Irish people can also contact the privacy consultancy, the Hit Team. Most of the major social media platforms, such as Facebook, Twitter, Instagram, and Snapchat have strict rules when it comes to the non-consensual posting of intimate photos and videos. Facebook for example, has built an extensive reporting infrastructure which enables any suspicious activity to be flagged quickly. Every piece of content on Facebook can be reported to the platform, and every report is investigated by their trained safety experts. This is in operation 24 hours a day and for seven days a week. The social media platform has a zero-tolerance stance when it comes to sextortion. Micro-blogging site Twitter also has similarly stringent policies in place. Twitter has a zero-tolerance policy when it comes to this type of behaviour. Users may not post intimate photos or videos that were taken or distributed without the subjects consent. In instances such as this, reported accounts that violate this rule will be permanently suspended. We also encourage users to make the police aware immediately, Karen White, Twitters senior public policy manager for Europe, told the Irish Examiner. Twitter has several easy-to-access reporting functions in place such as their mute, block, and bystander reporting buttons. Social media platform Snapchat, where content can only be viewed for a specific period of time, also has strict rules when it comes to the distribution of sexually explicit material. Snapchat prohibits accounts that use public stories to distribute sexually explicit content or promote sexually explicit content found outside of Snapchat, reads its guidelines Do not take Snaps of people without their knowledge and consent. Take extra care not to violate peoples privacy in private spaces, like someones home, a bathroom, dressing room, or locker room. And according to Instagram, their community guidelines state they have a trained team of reviewers who respond and check reports around the clock. We move quickly to remove any content or shut down accounts which violate our community guidelines, including those who post nude photos, reads their guidelines. CONSOLE isnt the only charity being scrutinised. There are four, for different reasons. CRC because their former CEO is not minded to give back his severance package, which amounted to three quarters of a million. Carline because substantial money seems to have gone AWOL. St John of Gods because parents are upset over pension top-ups for management while services for their children are being cut back. Now, Console is being wound up and its services transferred to other organisations. Its a mess, and a hell of a task facing the new Charities Regulator. The knock-on effects for other charities are horrendous. First, fundraising has been hit everywhere, in a form of guilt-by-association. Potential donors say: If I cant be sure this donation will go to where Id like it to go, then I wont give any money at all. Employees of charities around the country are now feeling less-valued. Its like the pharmaceutical industry 30 years ago. When details of ruthless environmental practices, and other malfeasance, surfaced, people working in those industries euphemised their job descriptions: I work in an aspect of healthcare. Over time, that changed. Big Pharma was regulated and its image improved. However, it is doubtful that public perception of charities will radically change for the better in the immediate future. Thats grievously hard on thousands of people who every day deliver services to people in need, and who deliver them with respect, compassion, care and love. It does, however, create a context in which we can examine our waning love affair with charities. Distinctively branded charities, big and small, abound. That has always been assumed to be an expression of our national kindness, and thats a fair assumption. But a prominent priest, 40 years ago, articulated a viewpoint that got him into deep trouble with the hierarchy. He was asked, by a broadcaster, how concerned he was about the diminishing numbers of young men volunteering to enter seminaries as potential priests. The real question isnt how few men want to become priests now, he said. The real question is why we ever had such overwhelming numbers opting for the priesthood in the last century. A similar point could be made about the number of charities we have. The answer, in some cases, is historic. Sisters of religion, in the late nineteenth century, looking at Ireland, noted the absence of education for girls and decided to set up schools. Even earlier than that, the Sick and Idigent Roomkeepers Society had been set up in Dublin, near Dublin Castle, to give help to the homeless and starving. Known in our family as the Sick and Indignant charity, it still exists, despite a scandal a long while back involving yep, youre way ahead of me a top-level staffer who mixed up a considerable amount of charity cash with his own. More recently, charities filled gaps in state provision, whether it was for the care of children and adults who had specific illnesses, injuries or disabilities, or whether dedicated to the needs of the relatives of people who took their own lives, as Console was. Over time, many of those charities developed an unexpected commonality. The issue they were first set up to address became more widely experienced, and, as a result, the clamour went up for the State to address that particular issue, which, in many cases, it did. Because the infrastructure was already in place, the State, sensibly, did not duplicate them. Instead, it made service-level agreements with providers who, effectively, ended up competing with each other for state money. Some charities were better than others at getting it, notably Rehab, which was feared by many other providers, partly because of its scale, but also because of its competence when tendering for public money. Then, the State did a pincer movement. It created Hiqa, which started to make unannounced visits to nursing homes and care institutions. Some of what Hiqa found related to stupid, cruel or inappropriate ways of dealing with residents or clients. But a fair amount of it, in old institutions, related to the physical set-up of buildings constructed a century or more earlier. The charities found themselves between a rock and a hard place. On the one hand, Hiqa was laying down the law about raising standards. On the other hand, the HSE was not in the business of offering spare money, over and above the service-plan payment monies. Many charities, devoted to different causes that require them to provide residential services, are being driven to desperation by these conflicting demands. That has been complicated by a drop in fundraising income and will be further complicated by recent scandals, as fundraisers wonder why they should kill themselves to raise money if its going to be spent on dentistry and groceries or on the solving of a pension-pot problem. The other factor troubling older charities is that the State (quite properly, from the HSEs standpoint) pays for the delivery of services at a set standard to a specific number of people. But many of the older charities believe they go way above those standards, in terms of their person-to-person care, and that nobody acknowledges this valuable difference. They are in a situation akin to the possibly apocryphal story told of Tina Brown, wife of pioneering Sunday Times former editor, Harold Evans. The story has it that Brown, in a social setting where none of the Americans present valued her husbands prestige, whispered to him: Tell them who you were, Harry. Tell them who you were. In the same way, some of the older charities, rich in tradition and legend, want to tell their funders who they were and what they stand for, only to find that it no longer matters. At all. Contrariwise, in fact. State funders cannot afford to admire variation. Uniformity can be measured. Variation throws the system out of kilter. As long as services do not fall below a particular line, the degree to which they may rise above that line is neither here nor there. Were at an interesting point of choice. Ireland has a deep-rooted suspicion of state-provided services. It has an equally deep-rooted capacity to ignore the fact that most of the services they perceive to be local, special, and voluntary are, in fact, state services with a different sign on the door. The fact is that we are coming to the end of an era of idealistic charity-foundation. It isnt just Console that will, over the next decade, be wound down and subsumed into another state-sponsored entity. The fighting first broke out on Thursday and Friday between troops loyal to President Salva Kiir and soldiers who support vice president Riek Machar. Col William Gatjiath, Machars military spokesman, told the BBC that President Salva Kiir isnt serious about a peace agreement and that the country was back to war. The violence raises fears South Sudan could slide back into conflict after emerging from a two-year civil war, which began in December 2013 after Kiir sacked Machar as vice president. Neighboring Kenya called for urgent action by the two leaders to move troops away from civilians and end the crisis. A government spokesman later said the situation had been brought under control. The situation is now calm, Michael Makuei, minister of information, said in a broadcast by South Sudanese TV. He said the government was calling on people to go back to their homes and that the situation was under control. On Saturday Juba had been calm, but on Sunday a Reuters witness and residents said gunfire was heard in Gudele and Jebel suburbs of Juba, near the military barracks that hosts troops loyal to Machar. For about 30 to 40 minutes we heard sounds of heavy artillery in the direction of Jebel area, an aid worker based in Juba who did not want to be named told Reuters. One resident, Daniel Samson, said he had seen a massive migration of people fleeing to calmer districts when there was a lull. The gun shooting has stopped now, he said. Another resident, who only gave his name as Steven, said he had seen hundreds heading to a U.N. compound. I saw dead bodies of civilians and others ... moving with blood on their bodies, he told Reuters by telephone. Officials at the U.N. South Sudan mission UNMISS could not immediately be reached for comment. One eye witness saw soldiers apparently involved in looting, by entering a neighbors shop and leaving with merchandise. It was not clear if those troops supported Kiir or Machar. A Health Ministry source said yesterday that at least 272 people had been killed, including 33 civilians, so far. There was no official statement on the death toll. Kenya called on Kiir and Machar to resolve the latest crisis and urgently to move heavy weaponry and huge contingents of soldiers out of civilian spaces of the capital Juba, Kenyan presidential spokesman Manoah Espisu told a briefing. A peace agreement last August ended the war but Kiir and Machar spent months after that wrangling over details and have yet to integrate their forces, a key part of the peace deal. Id like all sides to listen to each other, Obama said as he answered a reporters question after meeting with Spains acting prime minister, Mariano Rajoy, during his shortened first visit to Spain as president. It was the fourth straight day that Obama has commented on a series of distressing events back home: the fatal shootings by police of black men in Louisiana and Minnesota, and a sniper attack that killed five police officers and wounded seven in Dallas. His appeal followed the arrests of scores of people in St Paul, Minnesota, during protests late Saturday and early yesterday that left more than two dozen law enforcement officers with injuries after they were pelted with rocks, bottles and other objects. Obama defended the Black Lives Matter movement and the right of activists to demonstrate in cities across the United States. However, he warned that attacks on police over racial bias would hurt the anti-racism movement. He said although most activists from the Black Lives Matter movement wanted to see better relations between communities and law enforcement, violence and overly broad criticism against police undermined the protest movement. He said violence against police by anyone concerned about fairness in the criminal justice system does a disservice to the cause. "We stand united with the people and the police department in Dallas." @POTUS on last night's attack in #Dallas: https://t.co/lqd4OaFQpk White House Archived (@ObamaWhiteHouse) July 8, 2016 He repeated that the vast majority of US police officers are doing a good job, and rhetoric that portrays them otherwise does little to rally allies to support efforts to change a system broadly recognised as biased against minorities. Maintaining a truthful and serious and respectful tone is going to help mobilise American society to bring about real change, Mr Obama said. The president also called for balance from law enforcement. I would hope that police organisations are also respectful of the frustrations that people in these communities feel and not just dismiss these protests and these complaints as political correctness, he said. It is in the interest of police officers that their communities trust them, he added. The president travelled to Spain after attending a Nato summit in Poland, but the shocking series of events at home late last week has dominated most of his public appearances. Mr Obama was supposed to spend two days in Spain but cut the visit short because of the shootings. Weve had a difficult week in the United States, he told King Felipe VI before they met in private at the Royal Palace. 100 arrests at protests over killings by police Rebecca Santana Protesters angry over the fatal shooting of a black man by two white Louisiana police officers held a rally at the convenience store where he was shot. Other demonstrators gathered in front of the Baton Rouge police department and at the state capitol, demanding justice for Alton Sterling, 37, who was shot dead on Tuesday. The US Department of Justice has opened an investigation into his death. The latest protests came after overnight demonstrations had resulted in 30 arrests. The rally outside the police building was at times tense, as protesters faced off against police dressed in riot gear. Several hundred people shouted No justice, no peace! and waved home-made signs as passing cars honked their support. At one point, police in riot gear came out to clear the road, as demonstrators yelled at them. Baton Rouge resident Marie Flowers came to the protest with her three children. She said people in the north Baton Rouge neighbourhood where the shooting happened are frustrated. She gestured to her 12-year-old son and said they were there to protect people like him. Black boys are being killed and this is just the culmination of what has been going on for decades, she said. Lael Montgomery of Baton Rouge was at the convenience store where Mr Sterling was shot: Ive been in active in the community for years. We have been suffering police brutality for a long time. A lot of racism has been going on here for a long time, he said. I have kids. They need to be raised in a better environment than theyre in. Members of the New Black Panther Party for Self-Defense called for the arrest of the officers involved in Mr Sterlings shooting. These are human rights violations, Krystal Muhammad shouted to the crowd at the convenience store before heading over to the police department. Baton Rouge police said they are investigating a newspaper websites video that appears to show an officer with his weapon drawn at one point early on Saturday morning as angry protesters confronted police. Prominent Black Lives Matter activist DeRay McKesson was among those arrested at the Baton Rouge rally. It was not immediately clear what prompted McKessons arrest. He is one of the most recognisable faces to emerge from the Black Lives Matter movement. The former educator built a national following after he left his home and job in Minneapolis in August 2014 for Ferguson, Missouri, to document the rising anger over race relations after the police shooting of Michael Brown. Meanwhile, in Minnesota, police used smoke bombs to clear demonstrators blocking a road in St Paul during a protest sparked by the recent police killings of black men in Minnesota and Louisiana. Smoke bombs were used on 200 protesters as police in riot gear slowly moved in. Several officers were injured by rocks, firecrackers and other debris thrown by protesters on Saturday night. A protest leader urged the group to march to the governors mansion, where protesters have gathered since the fatal police shooting on Wednesday night of Philando Castile. The 32-year-old black man was shot after being pulled over for a traffic violation in St Paul. The clashes occurred despite a curfew imposed in the disputed Himalayan region to suppress anti-India anger following the killing of a popular rebel commander. The dead included 15 civilians killed in two days of clashes between angry, rock-pelting protesters who defied the curfew and Indian troops, a police official said. A policeman was killed yesterday after protesters pushed the armoured vehicle he was driving into a river during clashes in the southern Anantnag area. The massive protests erupted after Burhan Wani, chief of operations of Hizbul Mujahideen, Kashmirs largest rebel group, was killed on Friday in fighting with Indian troops. Two of his rebel comrades were also killed. Indian troops used live ammunition, pellet guns, and tear gas to try to control the angry crowds, police said. More than 100 civilians have so far been injured in the clashes. Police intelligence chief Shiv M Sahai said protesters attacked several police and paramilitary posts in the region. Around 90 government troops were also injured, he said. Thousands of government forces in riot gear have fanned out across towns and villages in Kashmir. Officials at the regions main hospital, in the city of Srinagar, said they were dealing with a medical emergency as they tried to attend to at least 50 civilians admitted with bullet and pellet injuries, local media reported. Burhan Wani, in his early 20s, had become the iconic face of militancy in Kashmir over the last five years, using social media like Facebook to reach out to young Kashmiri men. Kashmir is evenly divided between India and Pakistan, but claimed in its entirety by both. Most people in Kashmir have long resented the Indian presence, and support rebel demands for an independent Kashmir or a merging with Pakistan. More than 68,000 people have been killed in the uprising and the subsequent Indian military crackdown. Inspector-General Syed Javaid Mujtaba Gillani described Wanis killing as the biggest success against militants in recent years. Indian officials, fearing that the killing could lead to violent protests, have also indefinitely suspended an annual Hindu pilgrimage to a mountain cave that draws about half a million people each year. Colvin and French photographer Remi Ochlik were killed in the besieged Syrian city of Homs in 2012 while reporting on the Syrian conflict, now in its sixth year. The lawsuit, filed in Washington on Saturday and seen by Reuters, said Syrian officials deliberately targeted rockets against a makeshift broadcast studio where Colvin and other reporters were living and working. The suit alleged the attack was part of a plan orchestrated at the highest levels of the Syrian government to silence local and international media as part of its effort to crush political opposition. The lawsuit included as evidence a copy of an August 2011 fax which it alleges was sent from Syrias National Security Bureau instructing security bodies to launch military campaigns against those who tarnish the image of Syria in foreign media and international organisations. This case is about carrying on Maries work, plaintiff Cathleen Colvin, Marie Colvins sister, said. We are seeking truth and justice not just for her, but for thousands of innocent Syrians tortured or killed under the Assad dictatorship, she said in a statement released by U.S. human rights group the Center for Justice and Accountability (CJA) which filed the case for the Colvin family. Advocacy group Reporters Without Borders said it supported the lawsuit. The groups secretary-general, Christophe Deloire, said Reporters Without Borders hopes these efforts will help to expose the truth, namely that these journalists were deliberately targeted and killed because they were providing information about the Syrian armys crimes. A murder and attempted murder investigation was launched in France in 2012 into the death of Ochlik and wounding of journalist, Edith Bouvier, in the same attack. Reporters Without Borders, as an interested party in the case, said it will submit the Colvin familys U.S. lawsuit to the judge in charge of the French investigation on Monday. Colvin and Ochlik were both prize-winning reporters of wars in the Middle East, Asia and elsewhere. The Britain-based Colvin, who lost an eye while working in Sri Lanka in 2001, was working for the Sunday Times at the time of her death. The Syrian Foreign Ministry, to whom the lawsuit was addressed, could not immediately be reached for comment. The former shadow cabinet minister, who was the most senior MP to resign in the revolt against Corbyn, said he is not a leader and accused him of hiding behind a closed door in denial of the chaos around him. Corbyn vowed to fight on, and said it would be irresponsible for him to quit. He has threatened legal action if his name is not on the ballot in a leadership contest. Owen Smith, another potential challenger, demanded emergency talks with Corbyn and suggested that Corbyn and his allies were prepared to split the party. Speaking to ITVs Peston on Sunday, yesterday, Eagle said Corbyn had not been able to communicate with the electorate and hes now lost the confidence of the parliamentary party. She said: Jeremy lost us local councillors in the elections. We have failed to win the EU referendum, which is going to cause enormous stress and pressure in our country. That is not the leadership that will take us forward. "I tried, over nine months, to support Jeremy and his leadership. Hes not a bad man. Hes not a leader, though. Corbyn and his allies have insisted that, according to the Labour rulebook, he will automatically be on the ballot and any challenger will have to secure the names of 51 MPs 20% of the partys parliamentarians in Westminster and Brussels to be nominated. But opponents have interpreted the document to mean that Corbyn will also require the support of MPs to stand, and that is unlikely to happen. The partys National Executive Committee (NEC) will decide on the rules, after a contest is formally triggered, with Ms Eagle set to officially launch her bid on Monday. Eagle did not set out policy areas where she differs from Mr Corbyn, but said he is not able to win a general election. Im on the left. Any party that I lead will be an anti-austerity party, because whats happened in our heartlands is that they have been hit by six years of Conservative cuts piled up onto them, she told BBC Ones Sunday Politics. I think that I want to lead a strong, united opposition to get into government. She said it was not clear, from the Labour Party rules, whether Mr Corbyn should be on the ballot, but she added: Anyone who aspires to lead the parliamentary party who cannot get 51 members, 20% of the parliamentary party, to back them, is not going to be able to do the job properly. On BBC Ones Andrew Marr Show, Corbyn said he had reached out in a way no other leader has in an attempt to unite all parts of the party. Im expecting to be on the ballot paper, because the rules of the party indicate that the existing leader, if challenged, should be on the ballot paper, anyway. 1,000 lawyers warn Cameron on Brexit Hundreds of barristers have advised British prime minister David Cameron to tread carefully before taking steps to withdraw the UK from the European Union. More than 1,000 have signed a letter to Cameron which says the referendum result is advisory and not legally binding. They say primary legislation will be needed before Article 50 of the Lisbon Treaty which governs how a member state can leave the EU can be triggered. The lawyers say the government should organise an independent investigation into the pros and cons of withdrawal before making exit plans. They say the letter proposes a way forward which reconciles the legal, constitutional and political issues triggered by the Brexit vote. Philip Kolvin QC, who co-ordinated the letter, said: Parliament is sovereign and the guardian of our democracy. MPs are elected to exercise their best judgment on the basis of objective evidence, to safeguard the interests of the country and their constituents for this and future generations. At this time of profound constitutional, political and possibly social and economic crisis, we look to them to fulfil the responsibility placed upon them. Barrister Aidan ONeill, a constitutional and EU law specialist, said: The Brexit referendum has made clear that the UK is not a united nation-state, but a divided state of nations. But it has given no mandate or guidance as to what our nations future relationship might be with Europe, and with each other. Burma Three Burmese Migrants Killed in Malaysia Three Burmese migrant workers were killed and one was wounded early this morning in Malaysias northern Penang state, according to local sources. Three Burmese migrant workers were killed and one was wounded early this morning in Malaysias northern Penang state, according to local sources. They shouted for help when they saw robbers trying to burglarize a neighbors house, and then the robbers went into their house and killed them, said Maung Zaw, a member of Penangs funeral service organization. He said that the three workers were killed at 6:30 a.m. When the funeral service team went to see the bodies, police informed them they would be unable to hold a funeral while there was an ongoing investigation. Sources said the four victims, who were from Mandalay Divisions Pyawbwe Township, were stabbed in Penangs industrial zone. Hundreds of Burmese migrant workers live in Penangs industrial zone, many of them illegal migrants, asylum seekers and refugees who work dangerous jobs in factories and on construction sites. Due to lack of proper documentation, they often face arrest, detentions and deportation by the Malaysian authorities. An official from the Burmese Embassy in Malaysia who asked to remain anonymous told The Irrawaddy that the embassy would investigate the incident and issue a statement later this evening. The official added that the killing was not prompted by religious tension, after it had spread on social media that the attack might have been related to strain between Burmas Buddhist and Muslim communities. Burma Ethnic Armed Groups Invite Ethnic Political Parties to Summit An alliance of ethnic armed groups invites ethnic political parties to attend a summit in Kachin State in late July. RANGOON An alliance of ethnic armed groups has invited ethnic political parties to attend a summit in Kachin State in late July. According to a letter sent over the weekend to the governments peace body the National Reconciliation and Peace Center (NRPC), the United Nationalities Federal Council (UNFC) will invite two ethnic political party coalitionsthe United Nationalities Alliance (UNA) and the Nationalities Brotherhood Federation (NBF) to attend the ethnic summit in Mai Ja Yang, a conflict-torn region in Kachin State near the border with China. At the summit, the UNFC will discuss the governments upcoming peace conference, which is planned for August. They will also try to find common ground towards transforming Burma into a federal union. In a separate letter received on the same day, the UNFC expressed an interest in visiting Burmas largest non-state armed group, the United Wa State Army (UWSA), in Shan State in order to brief them about the summit. The ethnic alliance has requested the UWSA to allow them to visit prior to the summit, which is planned for July 26-29. The NBF is an alliance of 20 ethnic political parties while the UNA has 12 member parties based in ethinic states as well as in the commercial capital Rangoon. UNA members include the Shan Nationalities League for Democracy (SNLD) and the Arakan National Party (ANP), the only two ethnic parties to win a significant number of parliamentary seats in the November general election. Burma President to Skip Martyrs Day Ceremony in Rangoon As with previous heads of state since 1988, President Htin Kyaw will not be attending the ceremony in Rangoon commemorating Aung Sans assassination. RANGOON President Htin Kyaw will not be attending the Martyrs Day ceremony on July 19 at the Martyrs Mausoleum in Rangoon, according to Presidents Office spokesperson Zaw Htay. The annual government-run ceremony marks the date in 1947 when Burmas independence hero Aung Sanfather of State Counselor Aung San Suu Kyiand eight of his colleagues were assassinated at the instigation of a political rival. No Burmese head of state has attended the ceremony since the military coup of 1988. The large red mausoleumwhere the bodies of Aung San and his fallen comrades lie interredlocated just north of the Shwedagon pagoda in Rangoons Bahan Township, was declared off limits after the coup, for fear of public gatherings sparking unrest. Until the inauguration in 2011 of the reformist administration of President Thein Sein, the most senior official to attend the ceremony was the Rangoon mayor. The Thein Sein government began to allow the public to pay their respects at the mausoleum on Martyrs Day, drawing large, emotional crowds. Ceremonies on subsequent years saw the participation of vice presidents and Aung San Suu Kyi, who was released from house arrest in late 2010 and entered the parliament in 2012. However, President Thein Sein stayed aloofa stance that Burmas new president has chosen to follow. The president will be in Naypyidaw to make offerings to Buddhist monks and share the merit of the fallen leaders, Zaw Htay told the Irrawaddy. State Counselor Aung San Suu Kyi will again be attending the ceremony at the mausoleum in Rangoon, he confirmed. A central committee led by Vice President (1) Myint Swe was formed to organize the ceremony for this year. The mausoleum, built in 1985 under the military socialist dictatorship of Ne Win, is currently undergoing a renovation. Architects involved in its construction have shared with The Irrawaddy their misgivings regarding the highly abstract design that they were forced to adhere to, which obscures any reference to Aung San or his comrades. Until recently, names or pictures of those interred were nowhere to be seen on the large red structure, whose platform is able to hold 600 people. There is also no signage to educate visitors on the significance of the site. Aside from the ceremony at the mausoleum on July 19, an official commemoration will be held at the Secretariatan abandoned government complex in downtown Rangoon dating from the colonial erawhere the general and his colleagues were gunned down. Burma Trafficked Burmese Fishermen Rescued in Southern Thailand Nineteen trafficked Burmese fishermen were rescued from a boat moored at a jetty off the southern Thai city of Pattani on Sunday. RANGOON Nineteen trafficked Burmese fishermen were rescued from a boat moored at a jetty off the southern Thai city of Pattani on Sunday, according to the director of the Myanmar Association in Thailand (MAT), which mounted the rescue alongside the Anti-Human Trafficking Division (AHTD) of the Royal Thai Police. MAT director Kyaw Thaung said his organization has collaborated with the AHTD over several years to crack down on human trafficking. A Burmese informant had told him of six Burmese migrant workers being bullied and threatened by their employer at a jetty off Pattani. The MAT immediately investigated the matter, he said. On Sunday, Thai police arrested a Thai businessman and a Burmese woman from Mon State, who were both accused of being directly involved in the trafficking case. MAT communications officer Sai Aye, who took part in the rescue, told The Irrawaddy over the phone about the disparate origins of the trafficked Burmese men, including eight men from Arakan State, and five men and a 13-year-old boy from Mon State. All were being detained in a hole in the same fishing boat. Thai police are now questioning the [trafficking] victims to find out more about the smugglers responsible, said Sai Aye. Sai Aye explained that a Burmese woman had promised six of them a job at a factory in Pattaya paying 9000 Thai baht (US$256) a month, only to take them to Pattani. When the workers realized they had been cheated and asked the female broker if they could return home, she asked for 25,000 baht ($712) from each of them as compensation. The rescued fishermen testified at the nearby police station that around 80 trafficked Burmese fishermen were also being held against their will at an unknown location in southern Thailand. The MAT is providing up-to-date information on the matter to the Burmese Embassy in Thailand, and the Thai police said they would follow up on these reports. The Irrawaddy contacted the Burmese Embassy in Thailand by phone, but the official who answered said they were currently too busy to provide any clarification. MAT communications officer Sai Aye said his organization renders assistance to migrant workers suffering rights violations under unscrupulous employers in Thailand regardless of race and religion. He said they had successfully helped 130 Burmese and 90 Cambodian migrants workers in obtaining 3 million baht ($85,425) in withheld salary payments from a construction company in Thailand. Burma Womens Rights Groups Demand Changes to Constitution After a UN CEDAW session, womens rights groups are encouraged by pledges of cooperation from the government, but insist on the necessity of charter change. RANGOON Womens rights advocates have demanded changes to the constitution to ensure womens basic rights, especially in conflict areas, at a press briefing on their experience of attending the United Nations (UN) 64th session of the Committee on the Elimination of Discrimination against Women (CEDAW) in Geneva, Switzerland. About two-dozen advocates for gender equality and peace shared their CEDAW shadow reports with the UN CEDAW committee, while also receiving responses from the delegation representing the Burmese government. The reports covered issues including violence against women and impunity, rule of law and womens access to justice, human trafficking, womens participation in political leadership and the peace process, the need to allocate budgets for gender equality and the advancement of rural women. The government delegation committed to collaborating with civil society groups, including womens groups, and pledged to work towards a national law on the Prevention of Violence against Women (PoVAW) and a National Strategic Plan for the Advancement of Women (NSPAW). The government delegation included officials from the ministries of Foreign Affairs and of Social Welfare, the anti-trafficking police and an upper house lawmaker. Burmas last CEDAW report was in 2008. This years report from the government lacks data on violence against women in areas of armed conflict and makes no mention of human trafficking and womens participation in the peace processissues the womens rights groups brought up with the UN in their shadow reports. Lway Cherry of the Taang (Palaung) Womens Organization told The Irrawaddy that she was heartened by government teams pledge of cooperation, calling it unprecedented. However, she said constitutional change remains key because, under the 2008 Constitution, there is a clause ensuring impunity for military personnel, even if they abuse women. This has especially grave consequences in conflict areas, she said. Ninety-two cases of sexual violence in conflict areas of Burma between 2010-2015, mostly in Shan and Kachin states, were recorded in the Women League of Burmas CEDAW shadow reportcompensating for the dearth of data on this subject in the governments report. May Sabe Phyu, director of the Gender Equality Network, questioned the Burmese governments understanding of the articles contained in the Convention on the Elimination of all Forms of Discrimination Against Women, which it ratified in 1997. Both the UN committee and womens rights groups have criticized Burmas 2008 Constitution and its domestic laws for falling well short of the commitments outlined in the conventionfor instance in failing to properly define and prohibit direct and indirect forms of discrimination against women, and in the incorporation of restrictive gender stereotypes in legal provisions. May Sabe Phyu said that, despite the governments insistence that equal rights for women are enshrined in the constitution, substantive equality for women in the workplace or in education is still lacking. The advocates also demanded that the number of women at decision-making levels should be increased, rather than a mere quantitative increase of women in the workplace. Nga Ngai, an ethnic Kuki woman from the Womens League of Burma, said that, although the government delegation was not able to respond to the specificities of the concerns raised with them, government and civil society have strengthened their relations as a result of this engagement. May Sabe Phyu said that, although the non-government delegation had very little time in which to present their findings, the experience of presenting directly to the UN committee marked a milestone for civil society in Burma. Astronauts are meant to be not just the most intelligent people, but also some of the most physically fit. With the demands of missions in the International Space Station, NASA's above-earth scientists are trained for the most rigorous environments. Naturally, they are at the best upon their departure. However, 80 percent of astronauts have complained over the years about their vision getting worse after International Space Station missions. According to Press Herald, the first recorded incident involved astronaut John Phillips. He was meant to stay in the ISS from April to October in 2005. Midway through his stay, he realized that his eyesight was getting blurry and that his vision was getting impaired. As far as Phillips recalls, he did not report the same to NASA. "I thought it would be something that would just go away and fix itself when I got to Earth." However, at his post-flight physical, NASA found out that his vision had gone from 20/20 to 20/100 in only six months. What followed was a list of tests, including MRIs, retinal scans, a lumbar puncture and neurological tests. Unfortunately, not only had Phillips vision been impaired, but his physical eyes had gone through changes as well. His retina was pushed forward due to the back of his eye getting flatter. His optic nerve was inflamed as well. Lastly, he had choroidal folds, which are stretch marks at the back of one's eye. As Stuff.Com reports, the leading theory to explain this anomaly is called the Visual Impairment Intracranial Pressure syndrome (VIIP). On Earth, gravity pulls bodily fluids down towards the ground. However, the lack in gravitational force in space causes an excess amount of fluid in the skull. This then increases pressure on the brain and the back of the eye. It is a theory at this point since astronauts can only be tested on once they get back to the Earth. "There's the risk for infection and just doing the procedure, quite frankly, in space is difficult," admits JD Polk, a senior flight surgeon at NASA. It is also difficult to study the effects here on earth, because of the presence of gravity. There are too many factors that cannot be controlled in either environment to truly test theories. However, Ross Ethier, a biomedical engineer at Georgia Tech, is currently working on a solution, namely a device that could pull back fluid down to legs in space. The trouble at this point now is determining how long the individual needs to wear it, as the device is big and uncomfortable. "Overwatch" is gradually turning to be among the best games Blizzard has ever unleashed. With the number of player pool increasing from time to time, it only shows just how popular the title is. Now, the studio aims to keep the momentum at greater heights by revealing a new hero. In fact, it is slated to happen this month. "Overwatch" was first launched back in May of this year. Currently, Blizzard has already sold a whopping 7 million copies, statistics revealed during the company's press release on June 2. Sure enough, this is something that inspired the studio to do even more. According to TECHAERIS, the company is bound to release a new "Overwatch" hero. As a matter of fact, a picture has been shared via its official Twitter page. The image shows what appears to be a blueprint of a new weaponry, with input directly taken from builder Torbjorn Lindholm and healer Angela Ziegler, also known as Mercy. [ARCHIVE] Communications Records of Ziegler, A. Overwatch File 00231 Security Classification: CONFIDENTIAL pic.twitter.com/lLAxTL2DhO Overwatch (@PlayOverwatch) July 6, 2016 The said "Overwatch" rifle is believed to be equipped by medics in any sorts of fields. It will feature the so-called Biotic Field Technology, which will serve as a technology for healing efficiency. It should be noted, too, that the blueprints were reportedly addressed to both J. Morrison and G. Reyes, otherwise known as Soldier 76 and Reaper, respectively. The upcoming "Overwatch" hero, as reported by IGN, will be taking the center stage at the San Diego Comic-Con on July 21. This is when Blizzard's very own developers - namely James Waugh (director of story and creative development, Arnold Tsang (assistant art director) and Michael Chu (senior designer) - will feature some behind-the-scenes footages of the character's creative process. More so, a Q&A session is scheduled to take place and will be handled by the senior manager of the company's eSports department Kim Phan. Although these are all but talks, with no further confirmation from the studio itself, many believe that it will certainly happen. After all, the official description of the "Overwatch" panel listing could imply a focus on the last character that was revealed before the launch instead. Nonetheless, this is something that should make fans anticipate for the upcoming event. The Facebook team is testing introducing into the Messenger app an encrypted feature that will make the messages visible only by the sender and the recipient. According to Bustle, this new feature will ensure that for each message the Facebook Messenger App would restrict each person to one device and the end-to-end encryption would only work for the Android and iOS apps, not the desktop or web versions of Messenger. Facebook explained on a blog post that some of the more visual aspects of the Messenger app will not be available with the encrypted feature. Secret conversations currently do not support popular Messenger features such as rich content, videos and GIFs or making payments. The encrypted messages would be inaccessible to members of law enforcement or to anyone at Facebook. According to Inquisitr, messages may even be set up with a timer to users eventually disappears after being sent. At the moment, the Messenger end-to-end encryption new feature is available to users on a "limited basis." Facebook is testing the "secret conversation" feature on restricted groups of users in order to gather data about what users think of it and the feature's performance. The social media network plans to roll out the secret conversations feature to more users before the end of the summer. According to TechWorm, Facebook's Messenger application is not the first to introduce end-to-end encryption. WhatsApp, also owned by Facebook, introduced a similar feature for all methods of communication on that platform, including texts, calls, photos and videos. The secret conversations function uses a communication protocol released previously and called Signal. This is a free and open source software that has been already implemented in other messaging apps, including Google Allo and WhatsApp. The Washington Times reports that Facebook aims to provide its users a way of communicating in a manner intended to make correspondence undecipherable to anyone other than the sender and recipient, by implementing the new end-to-end encryption feature. But this possibility is alarming for the law enforcement agencies. The Islamic State's (IS) Twitter traffic is down by 45 percent over the last two years, according to a report by the Obama administration. Digital Trends reports that the plunge in the Islamic State's Twitter traffic is due largely to American and allied efforts at combating the terrorist organization via social media. The U.S. Twitter forces have pushed down a significant portion of IS' online traffic by using images and messaging detailing the brutality and suffering endured as a result of IS activity. Included in anti-IS content have been images such as image of a male hand covering a woman's mouth, with a caption stating that the Islamic State organization "deprives woman her voice." There is also an image of a teddy bear bearing a message in Arabic noting that the Islamic State "lashes purity," "kills innocence," "slaughters childhood" or "humiliates children." One of the most powerful anti-IS images on Twitter is, perhaps, that of a woman wearing a black veil weeping bloody tears. The image is showing the message: "Women under ISIS. Enslaved. Battered. Beaten. Humiliated. Flogged." As the Islamic State is known for using the web to recruit would-be followers and spread propaganda, the social media battle has been a key one against the extremist group. The U.S. and their allies hope that with their own anti-IS propaganda they may dissuade individuals from joining the terrorist organization. The Associated Press reports that keeping ISIS quieter on Twitter requires a variety of efforts, including messages that mix up ISIS propaganda. To these correlated efforts has also contributed a new help from Twitter for combating terrorism-promoting content. PCMag reports that the government acknowledges, however, the possibility that its efforts to counter ISIS messaging on Twitter could be pushing the supporters of the extremist group to other social networks. Once ISIS turns to secure social networks like Telegram or WhatsApp, it becomes more likely that its supporters are messaging logistics than propaganda. Very recently, science made just another first in its long history. A mission that started five years ago, is finally officially starting. That is, that NASA's Juno probe has finally entered the atmosphere of Juno and will continue to get closer to the planet's surface, in order to provide mankind with a bit more insight on the solar system's largest member. According to Space.Com, Juno carries with her nine scientific instruments, which were not turned on when she entered Jupiter's atmosphere. This was done in order to reduce complications during Juno's entrance into the orbit. But on July 6, five of those instruments were opened and the other four are expected to open before the end of the month. Therefore, Juno is scheduled to gather very vital information by August 27, which is when Juno's next close pass will be. "Next time around, we will have our eyes and ears open," says Scott Bolton, Juno's principal investigator. Indeed, Juno's mission has endless possibilities at this point. After all, Telegraph notes that this mission has many scientists at the edge of their seats. In fact, the publication paints a very colorful and heartwarming image: researchers and scientists jumping up and down in joy as mission control commentator, Jennifer Delavan, said, "Welcome to Jupiter." Juno is an immense investment and scientists hope to answer three particular questions: 1. The presence of a solid body beneath the storm clouds Jupiter is sometimes viewable, even by the naked eye, from Earth - it is just that large. However, man has never been able to see what is beyond the planet's ever present cloak of storm clouds. It has been theorized that Jupiter has a solid surface beneath these clouds, which is reason for Jupiter's strong magnetosphere. 2. The exact makeup of Jupiter's atmosphere Some years ago, another NASA mission, Voyager 1 and Voyager 2, recorded some volcanic activity from Jupiter. The planet's composition is also known to have sulphur, nitrogen and other noble gases. But Juno's on board instruments are sure to refine the knowledge on the same. 3. The creation and history of Jupiter Juno's presence in Jupiter may also provide more insight to how and when the planet was formed. After all, it is believed that Jupiter is a planet created from gases and resulted right after the Sun was created. In fact, one popular theory is that Jupiter once resided very close to the Sun and only travelled further as the years went on. For the sixth year running now, high availability software maker Maxava is putting its money where its mouth is in the IBM i community and donating a big bag of cash through its Maxava iFoundation to causes that benefit the maintenance and expansion of the IBM i community. Maxava launched its first iFoundation grants back in April 2011, promising to pay up to $50,000, in increments of up to $2,000 a pop, to organizations in the IBM i community that could use a little extra help in fulfilling their missions. Every little bit helps, in the first year $45,000 in grants were issued and some years it has gone as high as $52,000 because the number of applications and the quality of the applications was good enough to justify the overage. Maxavas first year runs from spring to spring, which is why the opening of applications for the iFoundation grants happens in June and closes in July each year. To our knowledge, no other organization like the iFoundation exists, although many similar organizations have been started (and failed) as a means to try to help bolster the IBM i community. While COMMON and a handful of other regional users groups dedicated to the IBM i platform do their part to build and expand the community, their purpose is more to serve the community by collecting fees from users and funds from IBM i product vendors through trade show expos to provide education and training to their respective users. They do not distribute funds, as such, but rather consume them for their own purposes. The iFoundation is designed expressly to fill in the gaps between what IBM i organizations can do by themselves and what they wish they could do if they just had a bit more funding. Maxava is delighted to be able to provide ongoing support to the IBM i community, Allan Campbell, CEO of Maxava, said in a statement opening up the application process for the grants for the 2016/2017 iFoundation season. When you get the chance to sit down with volunteers and hear how the Maxava iFoundation has assisted them in maintaining and growing a vibrant IBM i community, it is very encouraging. With IBMs continuing enhancement of the operating system, the rapid growth of cloud computing, and the emergence of new models to support users, there is certainly plenty for IBM i professionals to get together and talk about. Campbell singled out Matthew Kingdon, vice president of the Utah IBM i Professionals Association (UIIPA), as an example of how the iFoundation funds should be deployed. UIIPA is a 31-year-old user group that applied for and received a grant to help get younger members of the local IBM i user base to attend its meetings and to get people to show up regularly for meetings. UIIPA took a multi-pronged approach, as Kingdon explains: We currently have one member who is the same age as the group while the rest of us are a bit older. Okay, some of us are a lot older. One important challenge we face is to make our user group gatherings more enjoyable and stimulating for young people to encourage their involvement. To achieve this goal, we improved the quality of educational presentations by rewarding the presenters for their time and effort. Internally, we offered a free corporate membership to the organization that attended the most number of meetings in the year, to encourage members to stay actively in touch with the user group community. Last but not least, we started to provide a modest lunch after the meetings, which helps people stay longer to continue on with the discussions, and make personal-level connections. All these became possible with the grants provided by the Maxava iFoundation. These seem like little things, but they worked. In 2014, UIIPA had an average of 15.5 people at its monthly meetings, and in 2015 that rose to an average of 21.6 people and through the first half of 2016 it is averaging 27.8 people. The iFoundation grants are given specifically to support educational conferences and workshops, speaker expenses, marketing and educational collaboration with local colleges and universities, and other similar activities. The grants are given for future activities, not ones that have already been undertaken, and they have to be specific activities, not general ones such as boosting membership. Grants are given once a year per organization, and Maxava is perfectly upfront in that it will preferentially make grants for those organizations that provide an educational component for high availability and disaster recovery as part of their annual agendas. Personal expenses are not covered by grants. Organizations that are awarded a grant agree to provide a Maxava iFoundation logo on their websites. Maxava also points out that it is happy to provide speakers, free of charge, for user group meetings, and reminds us that since November 2012 it has offered a User Group Support stipend for any member of a user group whose company purchases HA software licenses from Maxava. The iFoundation grant application process will be open until July 15, and Maxava will notify winners four weeks after this cutoff and provide a direct transfer of funds to the organizations. You can apply here. By the way, the iFoundation is not trying to be a uber-group for collecting IBM i users together and is not, as such, a threat to the existing user groups. We have seen that movie many times before, and that is a bit much to ask of a single software vendor in the Power Systems space. Fifteen years ago, we had iSeries Nation, and after that there was iSociety, and six years ago iManifest was launched. All of these efforts have failed for various reasons, not the least of which is that their mission statements were sometimes a little more lofty and required much more money than could be reasonably raised by a user community to basically do the job that Big Blue itself does not do to promote the IBM i platform. Since 2000, when these efforts were launched, IBM has had other more pressing priorities (in its own estimation, not ours) and these days is far more focused on OpenPower and trying to expand the Power ecosystem. These things are absolutely vital to the longevity of the IBM i platform, so we wish IBM well. But it is a shame that there is not a unified user group that can help Big Blue tell the IBM i story better. It would be interesting to see an independent iFoundation, funded by the vendor community and acting independently of it like the Linux Foundation, for instance, does. But that might take several orders of magnitude more money to do. We would argue that everyone in the IBM i community would benefit, much as the entire Linux community benefits from the efforts of the Linux Foundation. It is something to think about. It is not the job of users to do this work, but the vendors that actually get the money that the users provide. RELATED STORIES Maxava Builds On IBM i Sustainability Efforts Maxava Doles Out $52,000 In iFoundation Grants IBM i Community-Minded Planning Still A Community Of Common Interest Maxava Puts Up Another $50,000 For iFoundation Grants Third Time A Charm For Maxava iFoundation Grants, Like Times One And Two Maxava iFoundation Renews Grant Funding For Second Year Maxava Makes $45,000 In iFoundation Awards Maxava Bolsters IBM i Community with iFoundation, $50,000 in Grants What do you do if you are an accounting firm and succumb to ransomware? -Call for a Geek! To put this in perspective, Australian IT services firm Geek Pty Ltd has won an international award for launching a crypto crook beating product in Australia which managed to save an Adelaide accounting firm $150,000 in just 15 minutes. Geek dont you just love the name is an Adelaide-based IT support firm that started in 2004. Initially, it targeted small business and has grown via a clear focus on businesses with 25-100 users. It has 17 staff. But back to the ransomware scourge. For its efforts it collected the inaugural Golden Datto Pioneer of the Year award from US business continuity solutions specialist Datto. Last year Geek selected Dattos backup and disaster recovery appliance. It has sold dozens to Australian companies, such as the accounting firm, to protect against data loss and crypto locking scams. Datto offers end-to-end business continuity for small business to enterprise scale. It uses a dedicated on-premise appliance that links to a secure cloud backup via nine data centres including one in Sydney to provide business continuity and disaster recovery (BCDR). Geek founder and chairman Jon Paior said a mid-tier accounting firm had been hit by a CryptoLocker scam, which was disturbingly common in Australia. This client, a mid-tier Adelaide accounting firm, had bought the Datto backup appliance on our advice, hoping never to need it. However, their worst nightmare was realised when a staff member opened an AGL-branded scam email that generated a screen demanding thousands of dollars. All their files were encrypted, and totally inaccessible, including the main database. They were dead in the water, he added. Using the Datto appliance, we had them back up and running within 15 minutes. Being an accounting firm, they later calculated that their old backup product would have taken at least six hours to fully restore those terabytes of data. With 120 employees, each hour of downtime would have cost them about $25,000, so the instant restore meant the return on their investment in the Datto business continuity solution was immense. Cryptolocking nets hundreds of millions of dollars for criminal and potentially terrorist organisations globally. It covertly installs a "ransomware" program on a computer or network to restrict user access and then demands a ransom to lift that restriction. Once infected, there is no way to decrypt the data without paying the ransom or restoring from backup. In March this year, the Locky ransomware program reportedly hit tens of thousands of Australian computers. The Datto disaster recovery appliance creates and stores backup snapshots of a computer hard drive or network as often as every five minutes. It defeats CryptoLocker and other ransomware scams by providing instant, seamless access to the stored backup, enabling the Datto customer to keep working with minimal disruption. Datto handles more than a million backups a week at its nine data centres around the world. Paior said Geek had worked with Datto to customise their product for the Australian market. As well as a local presence, the right pricing and local data centres, we requested new features in the product that directly responded to the needs of Australian customers. These include customisable off-site replication, which means we can back up data to more flexible locations, and file-based backups, addressing the fact that customers have to pay for bandwidth in Australia, he added. (L-R) Jon Paior (Geek), Rob Rae (Datto), Dattto chief executive Austin McChord, Geek managing director Giovanni Tirimacco, and James Bergl (Datto). If ever you get a phone call saying I am from the government, and I am here to help you laugh politely and hang up. If ever you get an email from the Australian Tax Office, laugh even louder and delete it. Scammers have launched the typical tax-time, phone and email scams as they do every year but the difference is that the appeals are better, and the payloads are even more damaging. The ATO has issued a warning, saying many emails purported to be from them, especially one from delayedreturn @ ato.gov.au is a scam. Please read the warning it may save you money. Norton by Symantec has also issued a warning saying that the cyber criminals' level of sophistication is increasingly complex and extremely savvy. Already thousands of Australians have fallen victim to ATO scams and have lost hundreds of thousands of dollars. To reduce the chances of losing money or having sensitive information compromised, Norton is warning consumers and small businesses to be on guard this tax season. Here are some simple tips to help consumers stay safe: Be cautious of emails, SMSes and phone calls claiming to be from the ATO. The ATO does use letters, email, phone calls, or SMS to contact you for some reasons, including to remind you that a payment that is due. The ATO will never ask you for your TFN or bank details via email or SMS; they will never contact you using social media sites like Facebook or Twitter to ask for your personal information, nor send you an email from an unsolicited email address or provide your personal information to anyone without your consent. The ATO may phone you, but will never be aggressive or threaten taxpayers with jail time or ask for the tax debt to be loaded onto a prepaid gift or iTunes card. If youre not sure about the validity of any communication from the ATO, call them directly. If you receive a phone call from someone claiming to be from the ATO, take down their information and call the ATOs office to validate their identity and their request. You can also report suspected scam email by forwarding them to ReportEmailFraud@ato.gov.au. Also never click on links or open attachments in suspicious emails claiming to be from the ATO and if you think you have provided your account details to a scammer, contact your bank or financial institution immediately. Use security software on your computer and backup regularly. This is the first line of defence against attempts by criminals to steal or compromise your personal information. Be sure your computer is fully patched and up-to-date. Apply all patches for your operating system and any third-party applications. This will ensure that your computer isnt at risk of being exploited in a malicious spam campaign that uses known software vulnerabilities. Examples of scam emails The Australian Computer Society has been quick to call on the re-elected Turnbull government to keep innovation and digital policy to at the forefront of government thinking and decisions over the next term. While welcoming the governments return to office and with the new governments focus on innovation and the economy ACS president Anthony Wong said digital skills and capabilities will be key to driving the strength of the Australian economy in the years to come. Wong said throughout the election campaign both parties made strong commitments to the ongoing support of digital development and digital literacy, and now, it is time for the incoming government to make good on its promises to secure Australias digital future and progress our digital economy. He cites a forecast by Deloitte Access Economics, in research commissioned by the ACS, that Australias digital economy is expected to grow from $70 billion in 2014 to $139 billion by 2020. Wong said that, as a priority, ACS encourages the Turnbull government to address the five policy areas identified in the ACS 2016 election manifesto , including ensuring that Australia boasts a digitally skilled workforce that is globally competitive. The ACS president warned that an issue the government cannot turn away from is the "impending threat of cyber security. We currently have a very real undersupply of cyber security specialists. This is worrying when considering the threat of cyber security could cost Australians as much as $17 billion annually. ACS reiterates its recommendation that the Turnbull government expedite the implementation of its Cyber Security Strategy, including its plans for workforce training as part of building a cyber smart nation. On the governments national Innovation and science agenda, Wong said this should not only be continued, but expanded, and he urged the Prime Minister to accelerate the pace of digital education, as a measure to facilitate the transformation of the economy. As the professional body for what is arguably the most important sector for Australias future, the ACS stands ready to assist. And, Wong said it is not just specialist skills in demand, such as computer coding and data analytics. As we progress into the information age, digital literacy will become an essential skill and currency, if not already. Currently, 2.5 million Australians in non-ICT roles require digital literacy skills as part of their job a figure likely to increase exponentially over the next five years. We need to equip the Australian workforce with the skills they need to compete in the changing job market. The Government will need to work increasingly collaboratively with business and educational institutions to achieve this. The ACS says the completion of the National Broadband Network must be seen as an immediate priority. High speed, high quality broadband is now viewed as a basic utility. If we are to encourage growth in our economy, we must provide schools, universities and businesses with the right tools to grow. Australia currently ranks 60th in global internet speed ratings and this needs to change. Wong said. According to Wong, the Australian economy is transitioning into one based on digital services and knowledge and less on resources investment, and ICT skills will be key to economic success. Wong said the ACS looked forward to working with the re-elected government on the development of polices that will drive the digital economy and secure a brighter future for all Australians. Software platform company, IODM, debuted on the ASX on Monday as it prepares for what it says is an "aggressive" strategy for significantly increasing sales and marketing capabilities in the Australian market. The first day of trading by IODM (ASX:IOD) after a reverse takeover of Paradigm Metals, followed the completion of a successful capital raise of $3.06 million though the issue of 76.5 million new shares at $0.04 per share. IODM, headquartered in Melbourne, is a cloud-based software platform that fully automates the debtor management cycle to help organisations get paid quicker and boost cash flow. The company provides business and government sector organisations with an automated receivables and collection management tool that interfaces with any accounting package. IODM founder and managing director, Damian Arena, says the company has already commenced discussions on international distribution opportunities with global Software-as-a-Service (SAAS) platforms. Arena says IODM is now structured to significantly increase its sales and marketing capabilities and is well positioned to accelerate growth. IODM is now in a position to accelerate the rollout of our software through an aggressive sales and marketing program. And not just direct sales, but importantly our relationship management resources that will leverage strategic alliances with corporate clients including NAB, PwC and NCI. We believe there is significant market potential to empower small to medium-sized businesses with an automated accounts receivable management tool. Our software addresses a fundamental business problem regardless of sector size: velocity to cash. Digital transformation (DX) of enterprise digital technologies integrated with organisational, operational, and business model innovations is bringing the focus on new ways to operate and new revenue streams for enterprises, according to the latest report from global analyst firm IDC. IDC says that as technology disruption continues to impact the business landscape, the distinction between digitisation and digitalisation grows clearer. According to IDCs report Bi-modal CIOs Made Redundant by the Digital Enterprise digitisation was once the onus of businesses at the very beginning of the IT revolution when the prerogative was simply to migrate analogue to digital, while automation sought to expedite work processes and streamline results. But, since then, IDC maintains, technology has played a more active hand in shaping business strategy and, in fact, seeks to transform it. While there is no one-size fits-all approach to digital transformation, there exists a set of conditions that must be met for in order for businesses transitioning to digitalisation to remain viable." But, the global analyst firm warns that while several schools of thought have emerged suggesting the best, balanced path forward to digital transformation, few are as misaligned with digital transformation as bi-modal (BM) or two-speed IT. In the DX Economy (DxE), a CIOs role must necessarily evolve: protecting business' viability while fully exploring the potential of technology as a change agent emerge as two major, inter-linked challenges, the report says. According to IDC, bi-modal IT erroneously and counter-productively asserts that technology must be kept in silos and that while one practice is focused on delivering IT services, maintaining stability and efficiency, the other takes on a more experimental approach to deliver innovation. This approach naively promises a low-risk way to try out new ideas and new IT business processes whilst keeping the proverbial lights on. Cross-departmental integration and agility is now the biggest currency by which IT departments are now benchmarked, and bi-modal IT by its very nature erodes this currency. To keep transformation in silos is to impede it. High-performing organisations need not trade off agility in favour of what's safe, disjointed and low-risk, IDC further warns. IDC says that true innovation characteristic of digitalisation and the DxE calls for sweeping change in leadership models, and every CIO must take action to ensure the IT organisation is the go-to partner in the digital transformation of the business. The report also stresses that the CIO must set the pace for digital transformation, without placing limits on what can be transformed, how this happens and who is involved. Bi-modal IT at best only partially captures the need for closer collaboration between IT innovation and IT operations, but it misses out on a critical component for success: the ability to continuously integrate change and business transformation without disrupting business performance across multiple departments with multiple stakeholders. IDC warns that with so many priorities requiring balance, CIOs are facing a crisis of leadership. And, the analyst firm predicts that by 2017, 60% of companies with a DX strategy will deem it too important a responsibility for any one functional area, and create an independent corporate executive position to oversee the implementation of DX across the entire organisation. The CIO is naturally a top candidate in todays marketplace to assume this position. However, by choosing to go bi-modal, a CIO begins to self-limit by acknowledging that his or her role is that of an outdated participant responsible for keeping the lights on, not an acting enterprise-wide orchestrator of change, IDC concludes. To register for IDC's Web conference on Wednesday (US eastern time) on its report Bi-modal CIOs Made Redundant by the Digital Enterprise click here. NVIDIA has released the GeForce GTX 1060 its ATI Radeon RX 480 competitor in a very competitive market, at US$30 more. The new GeForce GTX 1060 is an extension of its Pascal-based family including GTX 1070 and GTX 1080. It has been designed for speed and optimised for performance per watt. Using FinFET 16nm process, the GTX 1060 delivers GTX 980-level performance and twice the energy efficiency in VR. It consumes only 120 watts of power while driving the latest VR and DirectX 11/12 PC games. The GTX 1060 features 1,280 CUDA cores, 6GB of GDDR5 memory running at 8Gbps and a boost clock of 1.7GHz, which can be overclocked to 2GHz. GTX 1060 custom boards will be available starting 19 July from NVIDIA GeForce partners, including ASUS, Colorful, EVGA, Gainward, Galaxy, Gigabyte, Innovision 3D, MSI, Palit, PNY, and Zotac. Although positioned at the value end an even lower cost GTX 1050 is rumoured. Comment I dont profess to be a gamer, so I dont know which GPU is best. Gamers tend to fall religiously into two camps NVIDIA or Radeon and never the twain shall meet. From what I read the GTX 1060 will outperform the RX 480 by about 15% and pretty well matches the older GTX 980 at over twice the price. It also allegedly draws less PCI-e bus power at 120W over the RX 480 at 150W. However, this is all theoretical until we see some real world game benchmarks using similar PC configurations. Then there is overclocking, and that may change the balance again. Reference prices are all well and good, but already some partners have leaked reference versions will cost $299. What is good is the competition that has driven premium VR capable cards down from twice the price. iTWire's overview of the ATI Radeon RX 480 is here. When Dallas police officers were under fire during a deadly sniper attack last week, it was a nearly 4-foot-tall robot that took out the suspected assailant. It's believed to be the first time that police have used a robot to kill someone, taking the technology from a passive role, such as capturing images or video of a crime scene, to an active and lethal role. Police used the robot to try to take out the attacker, Micah Xavier Johnson, 25, of Mesquite, Texas, who ambushed police officers working during a peaceful protest in downtown Dallas last week. The sniper killed five police officers and wounded seven others. Investigators have said Johnson who reportedly told police negotiators he wanted to kill white officers as payback for recent police shootings of black men -- was working alone. Dallas police have said the robot was a Remotec Model F-5 built by Northrop Grumman Corp., a U.S. aerospace and defense technology company. Named the fifth-largest defense contractor in the world last year, Northrop Grumman has demonstrated weaponized robots to the U.S. military as tools to back up and defend U.S. soldiers. There's no evidence that the robot that the Dallas Police Department used during the attack last week was sold as a weaponized machine, however. A spokesman for Northrop Grumman refused to comment and a spokesperson for the Dallas Police Department could not be reached. However, the Dallas police have reported that the robot was equipped with an explosive device made up of 1 pound of C4, an explosive used by the U.S. military, along with a detonation cord. The robot was used as a "last resort," according to police. The remote-controlled, unmanned robot, can climb stairs because it moves on tracks, along with wheels. At nearly 4 feet tall, the robot weighs 790 pounds and can move at a top speed of 3.5 mph. It is equipped with an arm and gripper "hand" that can lift up to 145 pounds close to its body and 60 pounds with the arm extended. It also is equipped with a camera, microphone, speaker and video-recording device. In an interview on CNN on Sunday, Dallas Police Chief David Brown said the decision was made to take out Johnson using the robot and an explosive because the department was trying to prevent him from killing more officers. Johnson was in a gun a fight with police and in a position where they could not see him, according to Brown. The police chief said he was in contact with on-scene SWAT team negotiators while Johnson was taking refuge on the second floor of a college building. "He was secreted behind a brick corner and the only way to get a sniper shot to end his trying to kill us would be to expose officers to grave danger," Brown said. "The other option was to continue to negotiate. We had negotiated with him for about two hours, and he just basically lied to us, playing games, laughing at us, singing and asking how many did he get and that he wanted to kill some more and that there were bombs there. "There was no progress on the negotiations," he added. "I started to feel that it was in only a split second he would charge us and he would take out many more before we could kill him." Brown said he told his officers to "use your creativeness" to come up with a plan. They came back to him with a plan to use the robot to set off a bomb that would kill Johnson. "The plan was to improvise our robot with a device to detonate behind the corner and within a few feet of him to take him out," the chief said. Johnson was killed at the scene. The investigation into the attack is ongoing. The use of a bomb robot to kill a suspect has revived the debate over the militarization of the police, which has led to some police departments acquiring armored vehicles and trained their officers in "coordinated heavy weapons training." GE and Microsoft have teamed up to bring the industrial giant's Predix platform-as-a-service offering to the Azure cloud, the two companies announced Monday. It's a move that helps add to the portfolio of Internet of Things services available through Microsoft's cloud platform, at a time when the company is pushing its service for IoT applications. The announcement came during Microsoft's Worldwide Partner Conference in Toronto, where GE CEO Jeff Immelt talked with Microsoft CEO Satya Nadella on stage. Predix is a platform-as-a-service offering that's designed for building applications that have industrial uses. Predix services that developers can tap into include asset management and anomaly detection offerings, among others. The cloud deal is one part of a larger partnership between the two companies. Looking forward, Microsoft and GE plan to better integrate Predix into a variety of products including Azure's IoT Suite and Cortana Analytics Suite. Predix's integrations are also slated to expand to encompass productivity tools like Dynamics 365, Office 365, and Power BI. The announcement joins a number of other Microsoft partnerships in the cloud software space. The company is also working with a wide variety of other service providers including SAP and Red Hat. In addition to announcing the partnership, Immelt offered some words of wisdom for businesses currently undergoing a digital transformation like the one GE faced, especially in the industrial sector. In his view, companies need to be more aggressive about digitizing their businesses or face getting left behind as the world transforms. "My belief [is that] we're in a line of demarcation for industrial companies," Immelt said. "There's a past, and there's going to be a future. And the future is really going to be derived on who digitizes the fastest." The creator of Megaupload plans on reviving the infamous file sharing site on Jan. 20, five years after the U.S. government shut it down. It will be better than the original and it will feel like home, tweeted Megauploads creator Kim Dotcom on Sunday. He made the announcement as U.S. authorities are trying to extradite him to face copyright infringement charges. In 2012, the U.S. government pulled the plug on his file-sharing site, which was accused of helping to distribute pirated music and video. Dotcom, who is based in New Zealand, has appealed his extradition to the U.S., and a court hearing is set for August. In the meantime, Dotcom has been trying to restore his file sharing business. In 2013, he created Mega, a cloud storage service, but he later disowned it. Dotcom claimed that a Chinese investor, and later the New Zealand government, had taken over the service through control of the companys shares. I don't trust Mega anymore. I don't think your data is safe on Mega anymore, he said in an interview last year. However, he vowed to create a competitor to Mega that would be completely open source and non-profit and run similar to Wikipedias model of accepting donations. Now Dotcom is tweeting out details of the upcoming Megaupload revival site. It will offer 100GB in storage and encryption, and none of the servers will be based in the U.S., what Dotcom called the mass surveillance empire. Dotcom also suggested that bitcoin would have some role in the site. Most of the old accounts from the original service will also be carried over to the new one. But no legacy code will be used to build Megaupload 2.0, he said. Dotcom hopes for 100 million users on launch day, and he's asked old Megaupload employees to return to the business. In 2005, U.S. Rep. Bill Pascrell (D-N.J.) introduced an H-1B reform bill that picked up just five co-sponsors. The bill went nowhere, but he didn't give up. The "Defend the American Dream Act" returned in 2007. It didn't advance, however. Pascrell tried again in 2010 with another H-1B reform bill, which joined the others in the legislative graveyard. On Friday, Pascrell returned with his latest effort, the "H-1B and L-1 Visa Reform Act of 2016." It is co-sponsored by Rep. Dana Rohrabacher (R-Calif.), who has co-sponsored a previous effort by Pascrell. This proposal would require employers "to make a good faith effort" to recruit U.S. workers before bringing in foreign employees and prohibits employers from replacing American workers. It would also prohibit firms from hiring H-1B employees if more than 50 people and more than 50% of their employees are H-1B and L-1 visa holders. "Without the critical reforms our bill proposes, American workers will continue to be unfairly displaced and visa workers will continue to be mistreated - both of which are unacceptable," said Pascrell in a statement. The legislation is unlikely to advance. One big strike against Pascrell concerns his committee memberships. He is on the Ways and Means Committee and not the Judiciary Committee, which has control of immigration legislation. There's been no action so far in either chamber to move any H-1B immigration legislation, and it seems unlikely anything will happen before the November election. But the importance of Pascrell's effort may be in what it might mean after the election. Pascrell has been a longtime supporter of Hillary Clinton, the presumptive Democratic presidential nominee. In 2007, during Clinton's bid for the Democratic nomination that ultimately went to President Barack Obama, Pascrell was co-chair of Italian-Americans for Hillary. He has backed Clinton in her latest bid. If Clinton wins the presidency, Pascrell may be in a position to influence her on H-1B issues. Clinton has avoided H-1B reform as an issue and has not said what changes, if any, she would like to see to the program. But if Donald Trump, the presumptive Republican nominee, wins in November, he could have an ally in Pascrell on H-1B reform, too. Pascrell's visa reform proposal appears aligned with those sought by some of the program's key Senate critics, including Sen. Chuck Grassley (R-Iowa), chairman of the Senate Judiciary Committee, and Sen. Jeff Sessions (R-Ala.), the chair of the Immigration subcommittee. Sessions was the first U.S. senator to endorse Trump. Clinton, as president, will also have to work with U.S. Sen. Dick Durbin (D-Ill.), who has been a longtime H-1B reformer and legislative co-sponsor with Grassley. This Week in Review A weekly review of the best and most popular stories published in the Imperial Valley Press. Also, featured upcoming events, new movies at local theaters, the week in photos and much more. Email Links to our top local news stories of the day, Monday through Saturday. All Politics Blog From Milwaukee, Madison and beyond, a daily dose of political news and glimpses behind the scenes SHARE By of the The Wisconsin Working Families Party handed out four endorsements Monday in key Milwaukee Democratic Party primary fights. In the battle for the state Senate's 4th district seat, the group endorsed Mandela Barnes over incumbent Sen. Lena Taylor. In the race to succeed outgoing Sen. Nikya Harris Dodd in the 6th district, the group endorsed Rep. LaTonya Johnson over Michael Bonds and Thomas Harris. In the 17th district Assembly race to replace Johnson, the group endorsed community organizer David Crowley over Marcus Hart and Kim Burns. In the 11th district Assembly race to replace Barnes, the group endorsed Darrol Gibson over Jason Fields. The primaries will be held Aug. 9. SHARE By of the For the second time, a coalition of manufacturers and other energy customers has won a victory in the legal battle over utility profits that groups claim are too high. A federal administrative law judge has recommended less lucrative profit margins for American Transmission Co. of Pewaukee and other Midwest utilities that own and build power lines. Currently, transmission companies such as ATC and Xcel Energy receive 12.2% to 12.38% in profit, or return on equity, from their investments in power lines. For ATC, those profits add to the bottom line of its owners, including several Milwaukee-based WEC Energy Group Inc., the parent company of We Energies, and Madison-based Alliant Energy Corp. and MGE Energy Inc. Over the past year or so, WEC and other utilities have trimmed their earnings tied to ATC in anticipation of a final federal ruling in the case. In a ruling late last month, a Federal Energy Regulatory Commission (FERC) judge recommended a return on equity of 9.7% for ATC and other transmission companies. That was even lower than a similar ruling late last year, when a judge recommended the profit be set at 10.32%. "We're pleased with the Administrative Law Judge's decision. It is certainly going in the right direction," said Todd Stuart, executive director of the Wisconsin Industrial Energy Group, a coalition of manufacturers that joined with other customer groups in the Midwest nearly three years ago to challenge the utility profits. "We're cautiously optimistic that the FERC adopts a similar number, which would eventually help mitigate Wisconsin's rates." Anne Spaltholz, a spokeswoman for American Transmission, said the company was still reviewing the decision but was disappointed that the judge considered the 12.2% return on equity to be "unjust and unreasonable." Spaltholz said utilities continue to see higher returns as reasonable given the complexity of building projects that require approval in multiple regulatory bodies as well as the significant amount of capital needed to finance major power line projects. A decision in the first case is expected as soon as next month. A decision on the second one could be made by spring. The judge's decision will be one of many recommendations that FERC will weigh when making a final decision, Spaltholz said. The utility companies would like to ensure they have a return on equity "that is adequate to attract capital required to develop the transmission facilities necessary to the changing generation mix, due to such drivers as environmental regulation and market forces," she said. FERC decides the profit returns for transmission companies whereas local state utility commissions decide profit levels for local electric utilities that sell electricity and natural gas to customers in states that haven't opened up their markets to competition. In seeking the lower profit, business groups cited the downward trend around the country forthose local utility profits, as determined by state utility commissions. In 2012, the average around the country granted to utilities was 10.01% but fell to 9.58% in 2015, according to Regulatory Research Associates, a consultant that tracks utility profits. Local Wisconsin utilities were granted returns higher than the national average in 2015. Milwaukee-based We Energies' return on equity has been set at 10.2%, while the rate for Wisconsin Public Service Corp. in Green Bay was reduced to 10% this year from 10.2% last year. Twitter: twitter.com/plugged_in Facebook: www.fb.me/JSBusiness Byron L. Cowan, 43, makes his initial appearance in Racine County Circuit Court on Monday. He is charged with making threatening statements toward police on social media. Credit: Mark Hertzberg SHARE Byron L. Cowan Racine County Sheriff's Office By of the A Racine man believed to have declared "war" against police shortly after the attack that killed five Dallas officers was charged Monday with making terrorist threats and hate crimes. Byron L. Cowan, 43, made the comments on social media, and quickly drew the attention of local police and the FBI, who arrested him at his home on Friday. Cowan made his initial court appearance Monday on charges of threats to a law enforcement officer, and making terrorist threats, each felonies, and each charged as a hate crime, which adds another five years to the maximum penalty. In the case of solicitation of threat to police, that means a possible eight years in prison. The terrorist threats maximum prison sentence of 18 months more than triples with the hate crimes enhancement. He is also charged with disorderly conduct, a misdemeanor. According to the criminal complaint, a Racine officer was monitoring social media Friday morning when he saw the following posts on Cowan's account (spelling errors are in the original posts): "Be first to shoot first. I encourage every Black man in America to strap up. It is clear. I encourage every white officer to kiss there love ones goodbye." "This is war were tired. I don't care who I offend I don't have any more words but bullets. It's time to start going into there homes and killing there families. Not (expletive) playing or smiling." The officer's investigation showed Cowan lived in Racine, and several officers went to his residence in the 1200 block of Grove Ave. about 1:30 p.m. and watched him pull into his garage. They then arrested Cowan as he walked from his garage to his house, after ordering him to the ground. At the police station, Cowan admitted posting the comments and mentioned that he has more than 5,000 friends on Facebook, authorities said. Police Chief Arthel Howell praised his officers' professionalism and restraint in the investigation, and the public for its help. "I would like to personally thank each and every one who supported our department in providing the critical and timely information that enabled our members to bring this matter to a peaceful resolution." In court Monday, bail for Cowan was set at $75,000. SHARE By , The gunman who killed five Dallas police officers in a chaotic shooting spree last week scrawled the letters "RB" on a wall with his blood before he was killed with a remote-controlled robot bomb, Police Chief David Brown said Sunday. Brown told CNN the letters and other markings indicated Micah Xavier Johnson, 25, was wounded in a shootout with police during a protest march Thursday night in downtown Dallas. Brown said investigators were going through Johnson's laptop, journals and cellphones trying to determine the significance of the scrawlings. Brown said the Army veteran demanded a black negotiator, which he got. As negotiations dragged on, Brown said he became concerned that Johnson "would charge us and take out many more" officers. "He just basically lied to us, playing games, laughing at us, singing, asking how many did he get and that he wanted to kill some more," Brown said. Investigators have found evidence indicating Johnson "was delusional" and had been planning a much larger attack targeting officers, the police chief said. He said the protest, prompted by recent shootings of African-American men by police in Minnesota and Louisiana, may have motivated Johnson to act. Brown said Johnson was shooting on the move a tactic he studied at a local self-defense school about two years ago, school founder Justin Everman told the Associated Press. Johnson was finally cornered behind a brick wall in a parking garage, but Brown determined that any attempt to arrest or shoot him would be too dangerous. Brown said he approved using the bomb, which he described as about one pound of C4, a plastic explosive. "Without our actions, he would have hurt more officers," Brown told CNN's "State of the Union." "We had no choice in my mind but to use all tools necessary." He dismissed critics who suggested Johnson could have been subdued with nonlethal weapons such as tear gas. "I don't give much quarter to those who ask these type of questions from comfort and safety away from the incident," Brown said. Dallas Mayor Mike Rawlings, speaking on CBS' "Face the Nation," said he agreed with the decision. He stressed that Johnson was given an opportunity to surrender. 'We ask him, 'Do you want to come out safely or do you want to stay there and we're going to take you down?' And he chose the latter," Rawlings said. Brown said three people arrested following the shooting spree included two men who arrived at the protest carrying semiautomatic rifles and a woman who was with them. He said that after the shootings began and people were running everywhere, the group was arrested as a precaution. None had anything to do with the shooting, he added. President Obama, who cut short a visit to Spain and will visit Dallas on Tuesday to attend an interfaith memorial service, said police and activists need to listen to each other. He said violence against police is a "reprehensible crime" that needs to be prosecuted. "But even rhetorically, if we paint police in broad brush without recognizing that the vast majority of police officers are doing a really good job and are trying to protect people and do so fairly and without racial bias ... then we're going to lose allies in the reform cause," Obama said Sunday in Madrid. Rawlings said the tragedy in Dallas has made his city a "laboratory" for America. "Can we, in a moment of crisis when officers are fallen, forgive? Can we disagree without demonizing? Can we see a better narrative as opposed to just absurdity, that there is redemption as we build this great city?" the Dallas mayor said. "I believe we can." SHARE By , Washington When President Richard Nixon signed the Controlled Substances Act in 1970, the federal government put marijuana in the category of the nation's most dangerous drugs, along with LSD, heroin and mescaline. In legal parlance, pot is a Schedule 1 drug, with a high potential for abuse and no medical purpose. Forty-six years later, the law might soon change, as the Obama administration prepares to make what could be its biggest decision yet on marijuana. Suspense is mounting after the U.S. Drug Enforcement Administration missed its self-imposed June 30 deadline to decide whether to reschedule the drug and recognize its potential therapeutic value. Twenty-six states already have legalized its medical use. For Christine Gregoire, the former Democratic governor of Washington state, a decision has been a long time coming. In 2011, she and Republican Lincoln Chafee, who was then the governor of Rhode Island, filed a 106-page petition with the DEA, arguing that the categorization of marijuana was "fundamentally wrong and should be changed." In an interview, Gregoire said she "naively had such high expectations" that the DEA would act long before now, but she predicted that the agency will approve the rescheduling. "To be honest with you, I'd be shocked if they didn't," Gregoire said. "Frankly, in five years the entire world has changed in Washington state. Today we have recreational marijuana, and the Justice Department's nowhere to be found." Voters in Washington state and Colorado became the first in the nation to legalize recreational marijuana in 2012, a year after the governors filed their petition. With the Obama administration adopting a policy to "just look the other way" in states with recreational marijuana, Gregoire said it would be hard for the DEA to justify keeping marijuana on the Schedule 1 list. Opinions differ on what exactly might happen when the DEA responds to the petition, but a move to reschedule marijuana would be a major milestone in the decades-long push to legalize pot. Among other things, it could pave the way for pharmacies to fill marijuana prescriptions and allow universities and others to conduct more medical research. Many pot entrepreneurs hope that Congress would respond by helping marijuana businesses, allowing them to deduct their expenses from their federal taxes and giving them access to banks so they can phase out their all-cash operations. Some predict that rescheduling could even make it easier for marijuana users to challenge policies that allow employers to fire them for positive drug tests. Allen St. Pierre, executive director of the National Organization for the Reform of Marijuana Laws, said the DEA's decision would be "remarkably consequential," adding: "It will really cast the direction one way or the other." To be sure, there are plenty of skeptics who doubt that the DEA will change anything at all. "I'll believe it when I see it," said Gregory Carter, medical director of St. Luke's Rehabilitation Institute in Spokane, Wash., who helped write the petition. The DEA has given no indication of how it might rule, and President Barack Obama has said any decision to reschedule marijuana should be left to Congress. In the long run, many say, the best solution is not to reschedule marijuana but to "deschedule" the drug, putting it in the same category as tobacco and alcohol. As the DEA prepares to act, the man in the hot seat is DEA acting Administrator Chuck Rosenberg, who infuriated pot advocates last year by dismissing the idea that smoking marijuana has any medical value. "We can have an intellectually honest debate about whether we should legalize something that is bad and dangerous, but don't call it medicine. That is a joke," he told reporters at a briefing. But as more states vote to legalize medical or recreational marijuana, the issue is winning more support on Capitol Hill. Senators will debate the potential medical benefits and risks of marijuana Wednesday, when the Judiciary Subcommittee on Crime and Terrorism takes up the issue. When Rosenberg appeared before the full Senate Judiciary Committee last month, North Carolina Republican Sen. Thom Tillis urged him to back his bill that would make it easier to research the medical effectiveness and safety of marijuana. Tillis said he was particularly interested in more study of cannabidiol, or CBD, a form of cannabis oil that has been shown to reduce seizures. "I've said over and over if it turns out that we find something in that plant that helps kids with epilepsy, I promise you, I will be at the front of the parade, leading the band," Rosenberg replied. By , Penfield Children's Center, a provider of early childhood services for low-income children, will open a Montessori charter school at the site of the former Urban Day School, school officials announced. According to plans, Penfield Montessori Academy will open Sept. 6 with three kindergarten classes. Over time, the school will become a kindergarten-through-eighth-grade school and will add a grade annually until the school is fully enrolled for the fall 2024-'25 academic year. The school is chartered for five years by UW-Milwaukee. Charter schools are public schools run by non-profit companies. They exist through a contract with a state-approved entity that allows them freedom from some state rules in exchange for quality performance. "We've been looking to deepen our impact with families we serve and now have a facility in place to do so," said Jason Parry, Penfield's vice president of development and communications for Penfield. "This is an exciting time. We are two months away from the first day of school." Montessori schools follow a teaching philosophy that focuses on allowing students to make their own choices in learning with a hands-on approach. The method of instruction emphasizes students choosing their educational activities, long blocks of work time and collaboration between students from a range of grade levels. Parry said the school will be "fully inclusive" and expects 30% to 40% of their students to have disabilities, which is higher than most charter schools. "We are a school for all abilities," he said. The new Montessori school is opening in the building that once housed Urban Day School, which closed at the end of the previous academic year because of declining enrollment and financial challenges. Urban Day was also a kindergarten-through-eighth-grade public charter school that served 475 students. "We were sad to see Urban Day School close, but it turned out to be an opportunity for us," Parry said. "The facility allows us to move right in and start this fall and the building supports our long-term needs." Adrienne Woods, who heads UWM's Office of Charter Schools, said Montessori schools have proved to be effective and have an established reputation. She said the new school would not face the same fate as Urban Day. "Location wasn't an issue. Urban Day had other organizational problems," Woods said. " What happened to Urban Day was unfortunate, but it all worked out." Parry said Penfield jumped on the opportunity to acquire the Urban Day School building, at 2433 W. Cherry St. in Milwaukee. They were previously looking at the location of the former Wisconsin Avenue School at 2708 W. Wisconsin Ave., as the proposed site of a new Montessori school. Parry said Penfield's enrollment estimate for the three kindergarten classes his risen to 83 from 72. He said they are continually recruiting children and if they continue to get more students enrolled they will open a fourth kindergarten class for this fall. Penfiield plans minor renovations to the building before opening in the fall and a "more expansive face-lift" leading up to the 2017-18 school year." SHARE By of the Milwaukee County housing officials for the first time in 15 years will accept applications for federally funded rent-assistance vouchers. County residents asking to apply for Section 8 rent assistance in recent years were told they could not even get on a waiting list, because that was still clogged with thousands of other households from the 2001 sign-up. That was true until this spring, when county Housing Division officials distributed 350 vouchers in May and June and finally erased the old waiting list, said Dena Hunt, Section 8 program manager for the division. From Tuesday through Thursday, low-income families and individuals can register at one of three locations for a rent-assistance lottery to be conducted in early September. A lottery system eliminates the need for people to camp overnight to be first in line at registration, Hunt said. Anyone registering over the three days will have an equal chance to be selected in the lottery. All applicants must meet income limits. Household sizes and limits are: one person, $24,600; two, $28,100; three, $31,600; four, $35,100; five, $37,950; six, $40,750; seven, $43,550; and eight, $46,350. The lottery will create a new waiting list with about 2,000 households. As vouchers become available, households will be required to complete full applications, including income verification. Hunt and her boss, Health and Human Services Director Hector Colon, pledge that they will work through this group at a faster pace than the previous administrations did. Their goal is to reopen applications again in two years, Colon said. They inherited a stagnant backlog of more than 6,000 applications when they stepped into their jobs five years ago, Hunt said. Once they reviewed the list, officials found some of the people had moved away while others had died. To prevent such a long waiting period this time, and to spend limited federal funds more effectively, housing officials changed several policies aimed at trimming subsidy payments and reducing fraud, Colon said. Before those reforms were enacted, there was no requirement for participating households to report increases in income. Now, they must report an increase within 10 days. If household income exceeds the eligibility limit for more than 180 days, the household is removed from the program. Another reform limited changes in household size while receiving vouchers. Now, a person can be added to a household only through birth, adoption, marriage or court-awarded custody, Hunt said. In the past, friends and relatives moved in with households receiving assistance and voucher payments were automatically increased. Section 8 rent assistance is funded by the U.S. Department of Housing and Urban Development. Milwaukee County has received an average of $12 million annually in Section 8 grants over the past five years. The Housing Division has served an annual average of 1,700 households a year with the funds, Hunt said. Reforms should enable the county to provide vouchers to as many as 1,900 households a year. A household participating in the program would be expected to contribute 30% of gross income for rent each month, according to Hunt. The county has set a monthly rent standard for a one-bedroom apartment at $795, which breaks down to around $675 for rent and $120 for utilities. As an example, an individual living alone would pay $200, with the county paying the remainder of the rent, or $475, directly to the landlord, Hunt said. Rent-assistance application Registration schedule: 1 to 7 p.m. Tuesday; 9 a.m. to 4 p.m. Wednesday; 9 a.m. to 4 p.m. Thursday. Locations: Martin Luther King Jr. Recreation Center, 1531 W. Vliet St.; Gordon Park Pavilion, 2828 N. Humboldt Blvd.; and McCarty Park Pavilion, 2567 S. 79th St. Required information: Name, date of birth, mailing address, Social Security number and phone number, if applicable. The Milwaukee Metropolitan Sewerage District would buy all of the gas that Waste Management of Wisconsin can extract from its Metro landfill in Franklin, under a proposal. Here, pipes deliver landfill gas to each of three compressors at the Jones Island sewage treatment plant. Credit: DON BEHM SHARE By of the The appetite for landfill gas is growing at the state's largest sewage treatment plant, where the fuel is burned at a lower cost than natural gas to generate electricity and dry Milorganite fertilizer. The Milwaukee Metropolitan Sewerage District would buy all of the gas that Waste Management of Wisconsin can extract from its Metro landfill in Franklin, under a preliminary agreement to be reviewed Monday by district commissioners. To make good on the deal, the company would stop burning the gas in turbines at its own electrical power plant on the Metro property, one of the first landfill waste-to-energy projects in the United States, said Lynn Morgan, a spokeswoman for Waste Management. Since 1985, the company has sold the power to We Energies. Waste Management would become the district's second landfill gas supplier, and more than double the flow of the fuel to Jones Island by late 2017, if all the project's pieces fall into place by that time, MMSD Executive Director Kevin Shafer said. To get started, Shafer this month will ask the district commission to approve spending up to $8.3 million on construction of a landfill gas treatment plant at Waste Management's Metro property on S. 124th St., north of County Line Road. The landfill is across the street from the district's other supplier of gas, Advanced Disposal Service's Emerald Park landfill in Muskego. After the treatment plant reduces the amount of water vapor, hydrogen sulfide and silicon particles in the gas from Metro, it will be blended with the flow from Emerald Park and piped to Jones Island, according to the preliminary agreement. Landfill gas is released during decomposition of garbage by bacteria, and it contains 50% to 55% methane. MMSD will pay Waste Management 45% of the market price for natural gas based on energy content, not volume, under terms of the preliminary agreement. The price paid by MMSD would not fall below $1.50 per dekatherm. One dekatherm is equal to 1 million British thermal units, or Btu. The company will deliver a minimum of 300,000 dekatherms a year to Jones Island, where landfill gas is burned in three turbines to generate power for the plant. Waste heat from the turbines is used in the production of Milorganite fertilizer. The proposed 20-year agreement with Waste Management will cut utility costs at Jones Island while providing a stable and steady source of a renewable fuel, Shafer said. "This contract will save ratepayers' dollars," he said. Lack of a reliable flow became an issue with the district's original source, Emerald Park. In May, Advanced Disposal agreed to provide up to $14.5 million worth of free landfill gas over 25 years from Emerald Park to settle district claims against the company. Advanced Disposal had not delivered even the minimum annual amount of landfill gas required under the original contract, providing less than one-third of the minimum flow in 2014. The company delivered only 205,194 dekatherms in 2015, just 29% of the contract minimum of 701,029 dekatherms for that year. MMSD does not expect to receive more than 200,000 dekatherms of landfill gas this year from Emerald Park, Shafer said. But the district is starting to switch out equipment at Jones Island in anticipation of burning more landfill gas, not less. A contractor for MMSD is converting burners inside 10 large rotary dryers at the Milorganite factory so they will be able to burn both natural gas and landfill gas when the additional flow arrives from the Metro landfill. Final contract negotiations between the district and Waste Management are expected to be completed by November, according to Shafer. The agreement will be contingent on Waste Management receiving state Department of Natural Resources approval for a 9 million cubic yard expansion of the Metro landfill. Franklin officials accepted the plan in 2010. The DNR is reviewing an expansion feasibility study and could sign off on the project later this summer, according to Morgan. Seven-year-old Jacob Flanagan greets Assistant Police Chief Gary Tittle (left) while his mom, Jennifer Cobb, looks on in front of the Dallas Police Headquarters on Saturday. Credit: TNS SHARE By There are few jobs that require employees, in the course of performing routine duties, to check in every few minutes to assure co-workers they are not seriously injured or dead. But as a police officer, that's part of my daily routine. Friends, let this sink in. A teacher is writing her lesson plans for the next week and every few minutes, the principal calls her room to make sure she isn't dead. A nurse is making rounds, handing out medication, and every few minutes, the charge nurse calls his phone to make sure no patient has assaulted him. That sounds ridiculous. However, if I stop you for a traffic violation, I am expected to answer when the dispatcher calls every few minutes to check on my welfare. While you are berating me and asking why I'm not out stopping "real criminals," someone downtown is waiting for a timer to go off to again check to make sure I am alive. To make sure that traffic stop does not cost me my life. I have been searching my soul and seeking and listening for months upon months to understand this complex issue of race and policing in America. There are people whom I love and respect on both sides of this issue. This has led me to wonder why there are "sides" in this issue at all. As a profession, policing has a long and honorable history. For hundreds of years, millions of honorable men and women have served their communities with honor and integrity. They have served, they have protected, they have laid down their lives for strangers and friends. But we cannot deny the other very dark side of the coin. Our history as a profession has been marred by officers who use their power in unjust and violent ways. That cannot be denied. Whole communities have been affected by this abuse. As a result, people are afraid, and their fears are not unfounded. Officers are in a difficult position. When we watch a video that shows an officer unjustly taking a life, we feel a hollow place in the pits of our stomachs. And we have discussions about those videos, usually only among ourselves. I am privileged to work for a department that seeks to build partnerships and understanding with our community and is intentional about transparency. I serve every day with honorable men and women. We seek not only to serve and protect but to improve our communities. We are not perfect and we make mistakes, but we truly have a heart for serving our communities and keeping all our citizens safe. So why then do you not hear us speaking out publicly denouncing corrupt officers? I believe this is rooted in fear rather than denial. I cannot speak for everyone, but I believe that most of us fear being lumped in with the bad apples. Working for this department, I do not conduct myself, either personally or professionally, in a way that is colorblind. Instead, I seek to understand and appreciate the perspectives and needs of people different from me. So I, too, fear being lumped in with the corrupt officers when my very life depends on the respect of the community. After what happened in Dallas, you can be sure that our lives depend on the actions of officers hundreds of miles away from us. Officers have long known this, and I think our citizens are beginning to understand. As all of these thoughts tumble around in my head, I am struck by the fact that people of color who do good in their communities and seek to build bridges of understanding are probably feeling the same fear of being lumped in with the bad apples. So in all of this, are there really any "sides"? It is a slap in the face for anyone to imply that I take my oath so lightly that I would fail to protect all citizens, even those who hate me, or that I would flee in the face of danger. These statements only further damage police credibility. I have vowed to serve all in my community and will continue to do so with my head held high. This is a dark time in our nation. I choose to be light. Kristi Weil is an officer with the police department in Arlington, Texas. Readers may email her at klweil2070@gmail.com. This was first published in The Dallas Morning News. Manual Brown leads a protest to stop the killing of black men on Miller Street on Sunday in Fort Worth, Texas. Credit: Lawrence Jenkins Correction: An earlier version of this column inaccurately reported that the unemployment rate for white men was 0% in 1954 and 4% for black men; in fact, the unemployment rate then was about 5% for white men and about 10% for nonwhite men, according to the U.S. Bureau of Labor Statistics. The column has been corrected with proper attribution to original sources of data. The original version was published online but not in the print edition of the Journal Sentinel. Over the weekend, Republican presidential candidate Donald Trump said "racial divisions have gotten worse, not better" in this country after the fatal shooting of five Dallas officers and the shooting deaths of two black men by police that sparked marches and rallies across the country. President Barack Obama said things are improving and the U.S. won't return to the 1960s-era race riots. But if things are improving, it's hard to see how. This country is coming off one of its most violent weeks in years. First, Baton Rouge, La., police officers fatally shot Alton Sterling. Sterling was on his back and appeared to be subdued by the officers when a brief struggle ensued and the father of five was shot six times in the chest. The next day, another black man, Philando Castile, was shot and killed by an officer in Falcon Heights, Minn., during a routine traffic stop for a broken taillight. Castile's girlfriend caught his final moments in a Facebook video. She said he was shot by an officer when he reached for his wallet. The officer said he told Castile not to move. Then on Thursday night, a gunman, Micah Johnson, targeted white officers during a Black Lives Matter rally in Dallas. When it was over five officers were dead and 12 were injured. Obama called for unity on Saturday and insisted that race relations were not returning to the dark days of the '60s. He said there is sorrow, anger and confusion about the next steps. "When we start suggesting somehow that there's this enormous polarization, and we're back to how we were in the '60s, that's just not true," Obama said. This may not be true for Obama, but for the poor and marginalized in this country things are not much better than they were in the 1960s. In Milwaukee and in most urban areas across this country, African-Americans have taken a step back, according to nearly every economic indicator. Look at these numbers: Blacks make up nearly 1 million of the approximately 2.3 million people incarcerated overall, according to the National Association for the Advancement of Colored People. Wisconsin is a national leader in the number of black men it incarcerates. Research from the University of Wisconsin-Milwaukee places the real black male unemployment in the city at 50% or more in recent years; the UWM report looks at working men between the ages of 16 and 64. Looking at the same age group in 1970, the number was just 26.2% for blacks. The income gap between blacks and whites remains large. In 2014, white men were making nearly $22,000 more on average than black men, according to a report from the Economic Policy Institute. More than 72% of black children were born to unmarried mothers in 2010, according to the National Vital Statistics Report. When I wrote that it's scary and stressful being black in this country, I had white people tell me that it was a figment of my imagination. People actually took the time to tell me how I should feel and to tell me that my fear and stress were unwarranted. Instead of trying to understand why I feel the way I do, they wanted to explain why I was un-American. One even sent me an email telling me that if I wanted to go back to Africa, that he would contribute to the fund as long as I took other "thug (n-words)" with me. Obama may want to pretend that we don't have a race problem, but we do. We have white people who don't feel comfortable around black people and we have some black people who want to marginalize other blacks. Milwaukee County Sheriff David A. Clarke Jr. said on FOX News "Sunday Morning Futures" we don't have a problem with police and the black community. He said what leads to so many interactions of young black males and police in urban centers is crime. He said the solution is not changing police tactics, but stopping people from making bad life choices. "No one wants to ask, 'Where are the dads? Where are the fathers?' Instead, we go after the low-hanging fruit. Too many people want to attack the police." He added that Obama is anti-police and groups such as Black Lives Matter, the Nation of Islam and the Black Panther Party are hate groups, during a recent FOX news interview. Former New York City Mayor Rudy Giuliani also continued his attack, calling Black Lives Matter "inherently racist." He said the movement is racist because he feels that it's wrong for young black, white and Hispanic people to say that their lives matter just as much as white people and they are tired of police killing black men and hardly ever being found guilty of wrongdoing. While Trump acknowledged that the racial division in the United States has worsened, he did not share specific ideas for how to change it. I guess that's where I come in. Acknowledging the problem is the first step. The second step is action, and that includes having adults sitting at the table and ironing out short- and long-term solutions to policing urban neighborhoods; addressing stress and mental illness of police and citizens exposed to violence; and reallocating the resources in violent-plagued neighborhoods to make sure they are safe. Treatment for drug addicts instead of prison; using a restorative grass-roots approach instead of having police officers in the schools; and a real investment in programs that work, such as like Andre Lee Ellis's "We Got This"; Saving Our Sons; My Sista's KeepHer; Boys & Girls Club; Running Rebels and Violence Free Zones, just to name a few. We also need a real commitment to creating jobs with livable wages in urban areas instead of adding more liquor stores and storefront churches. Based on the more than 100 emails I received over the weekend, many of which were laced with racism, we still have a long way to go on race relations. Calling me an "un-American (N-word)" doesn't seem like the solution that will solve the nation's race problem. I will be talking about the nation's race issues from 7 a.m. to 8 a.m. Tuesday on The Joy Cardin Show on Wisconsin Public Radio. You can listen by clicking on the following link http://www.wpr.org/listen-live. James E. Causey is a Journal Sentinel editorial columnist and blogger. Email jcausey@journalsentinel.com. Facebook: fb.me/james.causey.12 Twitter: twitter.com/jecausey SHARE By I came to Milwaukee from northern New Jersey in the fall of 1972 to attend Marquette University. It was culture shock in many ways. Milwaukee was then a hyper-segregated and seemingly stagnant town to a young man having grown up about 35 miles from New York City, where I had spent considerable time and summers. And full disclosure, I'm one of "those people" who to this day will unabashedly say The City is the greatest place on the planet. A fortuitous thing happened after my Marquette days. While I yearned to return to the East Coast, New York in the late-70s was no longer the city I once knew drugs and crime were rampant, the urban fabric had eroded, the local economy was teetering. The city was on fire, figuratively and literally. And then I got lucky. After hanging out in Milwaukee for a few years bartending (and patronizing bars), not knowing what I was going to do with my life, a chance meeting with a Milwaukee government official landed me an entry level administrative job with the Department of City Development in 1979. That resulted in a 26-year stint there, the last 10 years as assistant executive director of the Redevelopment Authority. Over the years, I worked in the trenches to revitalize Milwaukee's neighborhoods and the downtown. I saw first hand the adverse physical, economic and social conditions tearing apart the central city and blocking downtown planning and redevelopment. I worked with many fine public and private sector folks and with many dedicated neighborhood leaders. While I'm simply astonished during my current visit by all that's planned for and happened in the downtown and surrounding areas, I'm also a bit shocked by the apparent lack of sustainable change in so many of Milwaukee's poorest communities the lack of jobs, the abhorrent housing conditions, the absence of retail and other essential services, the still-floundering public school system, the level of violence and other crime. It pains me to see that in 2016 these issues are the same ones I and so many others worked hard to change so many years ago. I know as well as anyone that you can't rebuild a city overnight, but is this the best Milwaukee has to offer its neighborhood residents outside the downtown after decades of planning and reinvestment? Something tugs at me every time I return to Milwaukee. I spent much of my adult life here. When I walk my old east side neighborhoods, when I go downtown, when I visit the lakefront, when I go to our old favorite shops, restaurants and shopping districts, there's a strange feeling, a sense of belonging. I feel different. The tree-lined streets, the beautiful architecture both new and old, the warmth of strangers, the diversity of the community, the familiar places, the history, it seemingly envelops me. My house may be in Arizona now, but my home is Milwaukee. While I left it, Milwaukee has really never left me. I can't explain it. New York City may still be the greatest place on the planet, but Milwaukee for me is the greatest place to be. Greg Shelko lives in Tucson, Ariz. SHARE Peg Lautenschlager Associated Press By of the Madison A former attorney general who had to pay an ethics fine 12 years ago for misusing a state vehicle will lead Wisconsin's new Ethics Commission. The members unanimously voted Monday to make Peg Lautenschlager the chairwoman of the commission, one of two new bodies that are replacing the Government Accountability Board. Republican lawmakers last year voted to dissolve the accountability board because they believed it was biased against them a charge the former judges on the accountability board denied. The Ethics Commission on Monday also selected Brian Bell as its director. Bell is a budget and policy analyst with the state Department of Safety and Professional Services and will make $92,500 a year in his new job. A Democrat, Lautenschlager in 2004 was fined $250 for failing to reimburse taxpayers when she commuted from home to the Capitol in her state vehicle. As part of that ethics settlement, she also agreed to pay $672 in mileage reimbursements. "I think it's clear in that settlement I didn't have intent to violate the law, but I did and I owned up to it," Lautenschlager said in an interview. Her use of the state vehicle became public after she was arrested on allegations of drunken driving when she drove her car into a Dodge County ditch. In addition to serving as attorney general, Lautenschlager has been a U.S. attorney, Winnebago County district attorney, state lawmaker and member of the Elections Board. She said her public service, including her brush with ethics regulators, gives her the perspective to lead the new ethics agency. "I think I have a fair amount of experience and fair knowledge of the law," she said. The ethics fine against Lautenschlager was issued by the state Ethics Board, which at the time oversaw the state ethics code for public officials. The Ethics Board and the Elections Board in 2008 were disbanded and their duties turned over to the Government Accountability Board. The accountability board was made up of six former judges, but GOP officials grew frustrated with it because of its involvement in a campaign finance investigation of Republican Gov. Scott Walker. The state Supreme Court shut down that probe last year, saying nothing illegal occurred; prosecutors have asked the U.S. Supreme Court to revive the investigation. Reacting to the investigation, Walker and GOP lawmakers voted to dissolve the accountability board and replace it with the Ethics Commission and Elections Commission. The two commissions are each made up of three Democrats and three Republicans. The Ethics Commission on Monday determined by lot that its first commissioner would be a Democrat and then voted unanimously to select Lautenschlager. The vice chairwoman is Republican Katie McCallum. Lautenschlager said she believed the Ethics Commission would be able to reach decisions by consensus even though it is evenly split between Democrats and Republicans. The accountability board was a nonpartisan agency, and the Ethics Commission is a partisan one. Lautenschlager said she saw pluses and minuses to both systems but thought the reasoning for getting rid of the accountability board was unfortunate. "It seems it was decommissioned for all the wrong reasons," she said. Republican U.S. Sen. Ron Johnson is seeking information from top government officials onHillary Clintons use of a private email server while she was secretary of state. Credit: Getty SHARE By of the Republican U.S. Sen. Ron Johnson issued a flurry of letters Monday to five top government officials, including U.S. Attorney General Loretta Lynch, as he sought information on the probe of Hillary Clinton's use of a private email server while she was secretary of state. Johnson, who chairs the the Senate Homeland Security & Governmental Affairs Committee, has floated whether to open an investigation into the FBI's decision not to recommend criminal charges against Clinton. Last week, Johnson sent a letter to FBI Director James Comey seeking information on the probe. "The first step in oversight is to determine how much Hillary Clinton's extremely careless behavior cost the American taxpayer," Johnson said in an interview. Johnson added, "I just don't think people are really discussing it enough, the recklessness, the risks she took with America's most sensitive national security information. So sensitive, that as chairman of Homeland Security & Government Affairs I have not been able to read, I believe, 22 top-secret emails. Think about that for awhile." The new batch of letters went to Lynch, Secretary of State John Kerry and Director of National Intelligence James R. Clapper. Also receiving queries from Johnson were Steve Linick, State Department inspector general, and I. Charles McCullough III, inspector general for the 16 intelligence agencies and the Office of the Director of National Intelligence. In the letters, Johnson asked the officials to detail the assistance their agencies gave to the FBI, including the number of employees who worked on the investigation, other resources provided and an estimate of the costs incurred. In addition, Johnson asked Lynch to provide the total number of emails that the FBI referred to the Justice Department "for a determination of whether" they contained classified information either at the time they were sent, or currently. Johnson asked intelligence director Clapper for a similar accounting of the classified nature of the emails. He also asked Clapper for the total number of emails "that were discovered by reviewing the archived governmental email accounts of current or former employees within the intelligence community." He asked the officials to provide the information by July 25. Johnson said "I want to know who else is involved in those email chains that obviously had to know they were sending in many respects highly classified information into a completely unsecure environment." Johnson is in a tight race against Democrat and former three-term U.S. Sen. Russ Feingold. Clinton campaign spokesman Brian Fallon said in a tweet: "this is another futile, partisan attempt to keep this issue alive now that the Justice Dept has declared it resolved." The Clinton campaign said the tweet referred to Johnson's moves as well as House Republicans' formally requesting an investigation into whether or not Clinton committed perjury before Congress. Last week, Feingold said he was "troubled" by Clinton's use of the private email server and noted she acknowledged the mistake. He accused Johnson of "using his committee in order to keep this political thing going instead of responding to and listening to the people of Wisconsin." David Stearns steps down as Brewers president of baseball operations Stearns was hired in 2015 and oversaw the most successful run in franchise history Reddit Email 198 Shares TeleSur | You are among the most radical voices in todays racist, sexist, capitalist world, the YPJ wrote to Black Lives Matter. Fighters from the Kurdish Womens Defense Units or YPJ, have sent a message of solidarity to the Black Lives Matter movement in the United States. To our black sisters and brothers! The people of Kurdistan stand with you! read the short statement posted Saturday by the group, who has been fighting the incursions of ISIS [Daesh] in northern Syria for close to two years. Here are the women who fight ISIS in Rojava (northern Syria) saluting your honorable struggle for freedom, dignity, and resistance! The call for Black Lives Matter has become a focal point for discussions around systemic racism and police brutality following the police killings of Michael Brown and Eric Garner in 2014, as well as numerous other incidents since. This past week, police killed Philando Castille and Alton Sterling both incidents filmed and subsequently shared ober social media touching off more protests across the United States. For the women of the YPJ, solidarity and building world revolution against racism, sexism and capitalism, go hand in hand. As the women in Kurdistan know very well, we need to build our self-defense in all spheres of life. You are among the most radical voices in todays racist, sexist, capitalist world and the freedom-loving peoples of the world deeply respect and salute your fight! Solidarity is the first step to world revolution!, the statement continued. Since the most recentstring of high-profileU.S.police shootingsof Blackpeople, expressions of solidarityfromothercommunities in the United States as well as groups fromaround the world have beenpouring in with the radical, communistKurdish groups being the latest. Black Lives Matter! As we say in Kurdish: Berxwedan jiyan e! Resistance is life!, the YPJgroup concluded. Via TeleSur Related video added by Juan Cole: Fusion: ISIS Fighters, Chapter 2: The Women Warriors Who Fight Terror Reddit Email 0 Shares By Robert Harvey | (Project Syndicate) | LONDON A cold war is taking place in a very hot place. A key component of the sectarian competition between Shia and Sunni Islam in the Middle East is geopolitical, with Iran facing off against Saudi Arabia and its Gulf allies in a struggle for regional dominance. As with the original Cold War between the Soviet Union and the United States, the conflict does not involve direct military confrontation between the main rivals, at least not yet. It is being fought diplomatically, ideologically, and economically especially in the oil markets and through proxy wars, such as the conflicts in Syria and Yemen. There are few problems in the wider Middle East that cannot be traced back to the power rivalry between Saudi Arabia and Iran. For the moment, the Iranians seem to be riding high. Following Supreme Leader Ayatollah Ali Khameneis decision to agree to an international deal limiting Irans nuclear capability to peaceful purposes, Western sanctions have been all but removed. Now that it is once again acceptable to do business with Iran, its ailing economy is set for a rebound. Meanwhile, Irans creeping de facto annexation of parts of Iraq astonishingly, with American acceptance continues because no one except the so-called Islamic State has the stomach to stand up to it. Iran also has an overwhelming manpower advantage, with a population of an estimated 77 million, compared to Saudi Arabias 28 million. And while its army is far less well equipped than its rivals, it is much larger. Moreover, Irans main Arab ally, Syrian President Bashar al-Assad, has been given a reprieve as the conflict in his country drags on without conclusion. This has left the Saudis feeling abandoned and vulnerable. They believe that their great traditional ally, the US, betrayed them by concluding the nuclear deal with Iran. Meanwhile, they fear that the chaos in neighboring Iraq has exposed them to chronic strategic risks. The Saudis are also recoiling under a barrage of criticism of their Wahhabi brand of Islam, which is widely blamed for incubating extremism and inspiring terrorism. Meanwhile, Saudi Arabias human rights record including the denial of elementary rights for women is under constant scrutiny. Against this background, the Kingdom is taking the fight to its enemies. King Salman bin Abdulaziz Al Saud is the countrys above-the-fray ruler, but his son, Prince Mohammad bin Salman Al Saud, currently wields much of the power. As Minister of Defense, Mohammad has continued the Saudi policy of backing anti-Assad rebels in Syria, in concert with Turkey, while unleashing a war on pro-Iranian tribesmen in Yemen (at an enormous humanitarian cost). He has also backed, if not instigated, an increase in domestic repression, and has launched an economic offensive against Iran the consequences of which have been seen, until recently, in plunging global oil prices. In early May, Saudi Arabias longstanding oil minister, Ali al-Naimi, was replaced by Khalid al-Falih, an ally of Mohammads. The reshuffle is an indication of Mohammads determination to use oil prices as a weapon against Iran and its ally, Russia. As the worlds swing producer, with boundless reserves of cheaply extractable oil, Saudi Arabia can flood or throttle the market at will. And for now, the Saudis are flooding the market. They are seeking to rein in Iran and Russia, both of which need higher oil prices to sustain economic growth. And they are hoping to bankrupt the US shale-oil producers that have reduced Americas dependence on Middle Eastern oil. As Mohammad recently declared, the Kingdom doesnt care about oil prices; $30 or $70 they are all the same to us. Iran and Russia, by contrast, need a barrel of oil to be worth at least $70. The US oil industry has proved more adaptable and resilient than expected; cheaper shale fields have opened even as old ones have closed. But the Saudi oil offensive has helped convince Iran and Russia to drag Assad, kicking and screaming, to the negotiating table. Mohammads new economic plan, Vision 2030, unveiled in May, is another front in the economic war, designed to show that Saudi Arabia is immune to the domestic economic pressures afflicting Iran and Russia. The plan calls for economic diversification and envisages the establishment of a huge sovereign wealth fund to cushion the impact of lower oil revenues that the ruling class has traditionally used to purchase social peace. The Saudi strategy is not without its costs. Gulf remittances of around $10 billion a year to Egypt (itself under increasing economic pressure and a dizzying fall in tourist receipts after recent terrorist attacks) have been scaled back to around $3 billion. And funding to Lebanon has been cut almost completely. Robert Harvey, a former member of the House of Commons Foreign Affairs Committee, is the author of Global Disorder and A Few Bloody Noses: The Realities and Mythologies of the American Revolution. Via Project Syndicate Related video added by Juan Cole: PressTV: Iranian commander warns Saudi Arabia against Iraqi interference Reddit Email 0 Shares By Juan Cole | (Informed Comment) | Even as the Syrian army defeated a counter-offensive by al-Qaeda in Syria and its battlefield allies at Aleppo, French President Francois Hollande warned that al-Qaeda should not be allowed to replace the declining Daesh (ISIL, ISIS) in Syria. On Saturday and Sunday, al-Qaeda (the Nusra Front) led the fundamentalist Faylaq al-Sham and other rebel groups in an attack on the Syrian troops who have closed the last road into East Aleppo. They apparently did not believe that the Syrian Arab Army had actually come to control Castellano Road into East Aleppo, and so tried to put a military convoy down it. Syrian artillery made mincemeat of the rebel vehicles and inflicted heavy casualties on the militiamen. The rebels called their ill-fated attack Operation breaking the Siege. They were, however, repulsed and suffered nearly 30 casualties. At the same time, President Hollande of France called on the US and Russia to do more to combat al-Qaeda in Syria or the Nusra Front. He said, There is a retreat of Daesh, which is uncontestable. But, he added, it was necessary that we also forestall other groups from strengthening as Daesh weakens. Hollande fears that as Daesh is rolled up in al-Raqqa and Deir al-Zor, al-Qaeda will step in to take that territory. France has suffered several major acts of terrorism by radicals with links both to al-Qaeda and Daesh. It should be clarified that Hollande directed his appeal primarily to the US, which is covertly supporting the de facto allies of al-Qaeda. This CIA policy, which apparently even the US Pentagon views as crazy, is at odds with French security needs, Hollande was saying. In contrast, Russia has been singing Hollandes tune since it intervened in Syria last fall. Its air strikes have far more often targeted al-Qaeda than Daesh in Syria. It is the Americans whom Hollande needs to convince. And, I think it is a very dark dystopian vision that Hollande is conveying, whereby the CIA is so eager to overthrow al-Assad that it inadvertently allows al-Qaeda to take over Syria. - Related video added by Juan Cole: Al-Masdar: Syrian Army continues pushing inside Allayramoun district in Aleppo VANCOUVER, BRITISH COLUMBIA / July 11, 2016 / MGX Minerals Inc. (MGX or the Company) (CSE: XMG / FKT: 1MG) is pleased to report the Company is mobilizing field crews to conduct a detailed water geochemical sampling program (the Program) on 22 high-priority wells at its Alberta Lithium Project. The Company has entered into an arrangement with the operator of these wells, which are currently active and producing significant quantities of up to 3,000 barrels per day (bpd) of brine. The sampling Program will be conducted by Maxxam Analytical Service and overseen by the Companys independent geologist, Mr. Roy Eccles (P. Geo), author of the recently released National Instrument (N.I.) 43-101 technical report (see press release dated June 22, 2016). The N.I. 43-101 Technical Report can be viewed on the Companys website by clicking here. The goal of the Sampling program is to confirm historically reported localized brine levels, compile sufficient data to complete a maiden N.I. 43-101 mineral resource estimate and provide for potential immediate sources of lithium brine feedstock. Metallurgy The geochemical sampling Program will test selected wells for anomalous levels of lithium, sodium, calcium, magnesium, potassium, boron and bromine. The Company plans to use brine samples to optimize its proprietary process design and elemental recovery process (see press release dated June 6, 2016). The process design provides for rapid processing of brine to recover lithium and other minerals by reducing projected processing time from 18 months to 1 day as compared with solar evaporation commonly used in the production of lithium from brine. MGX continues to leverage the massive existing oil and gas infrastructure of Alberta and provide a solution for the goal of energy diversification for the Province, stated President and CEO Mr. Jared Lazerson. By focusing on currently producing oil wells located near industrial infrastructure, MGX eliminates the time and expense of traditional exploration drilling and, results dependent, provides the potential for immediate lithium brine well production on a commercial scale. The oil pools and associated aquifers in Alberta are very large, often stretching 30 kilometers or more, with a high density of operating, suspended and abandoned wells. The characterization of these oil pools and aquifers is key. Qualified Person This press release was prepared under the supervision and review of Andris Kikauka, P. Geo. and Vice President of Exploration for MGX Minerals. Mr. Kikauka is a non-independent Qualified Person within the meaning of National Instrument (N.I.) 43-101 Standards. About MGX Minerals MGX Minerals (CSE: XMG) is a diversified Canadian mining company engaged in the acquisition and development of industrial mineral deposits in western Canada that offer near-term production potential, minimal barriers to entry and low initial capital expenditures. The Company operates lithium, magnesium and silicon projects throughout British Columbia and Alberta. For further information, please visit the Companys website at www.mgxminerals.com. Contact Information Jared Lazerson Chief Executive Officer Telephone: 604.681.7735 Email: This email address is being protected from spambots. You need JavaScript enabled to view it. Neither the Canadian Securities Exchange nor its Regulation Services Provider (as that term is defined in the policies of the Canadian Securities Exchange) accepts responsibility for the adequacy or accuracy of this release. Forward-Looking Statements This press release contains forward-looking information or forward-looking statements (collectively "forward-looking information") within the meaning of applicable securities laws. Forward-looking information is typically identified by words such as: "believe", "expect", "anticipate", "intend", "estimate", "potentially" and similar expressions, or are those, which, by their nature, refer to future events. The Company cautions investors that any forward-looking information provided by the Company is not a guarantee of future results or performance, and that actual results may differ materially from those in forward-looking information as a result of various factors. The reader is referred to the Company's public filings for a more complete discussion of such risk factors and their potential effects which may be accessed through the Company's profile on SEDAR at www.sedar.com. [JURIST] The Pakistan Ministry of Foreign Affairs [official website] on Saturday accused [press release] India of human rights violations after a recent clash between the Indian army and rebels in Kashmir. On Friday, rebels engaged [BBC report] in a firefight with soldiers as part of their ongoing campaign to achieve Kashmirs independence from India. The firefight left 200 injured and 16 dead including Burhan Wani, the militant commander of major rebel group Hizbul Mujahideen [official website]. After Burhan Wanis funeral was held on Saturday, the violence continued with attacks against police stations and military installations. In response, Pakistan has called on [Indian Express report] the Indian government to prevent further violence by respecting human rights and recognizing the Kashmiris right of self-determination. Furthermore, Pakistan has urged India to abide by its obligations under the United Nations Security Council Resolutions (UNSCR) [materials]. It is expected that the violence will only worsen should growing tensions in Kashmir not be resolved. The Delhi High Court in India granted bail [JURIST report] in March to a student political leader arrested for rallying against the execution of Kashmiri separatist Mohammed Afzal Guru. The government justified the arrests of rallying students on the grounds that they supported the Kashmiri separatist movement and the break-up of India. In October 2014, Pakistan asked Secretary-General Ban Ki-moon for assistance in border protection [JURIST report] after at least 14 people were shot and killed near Kashmir. In June 2015 independent UN human rights experts urged [JURIST report] Pakistan to adopt urgent legislation to put an end to faith-based killings and protect the countrys Ahmadiyya Muslim [BBC profile] community. [JURIST] The UK government on Friday rejected a petition [official petition website] calling for a second referendum vote to prevent the UK from leaving the European Union (EU) [official website]. The petition achieved 4.1 million signatures, surpassing the 100,000 signature threshold which obligates the UK government to respond. The petition argued [Guardian report] that the vote to leave the EU [BBC backgrounder] did not achieve a 60 percent majority nor a 75 percent turnout. The Foreign Ministry [official website] responded via email that the European Union Referendum Act [materials], as agreed upon by Parliament, states no such threshold requirements. The government response further stressed that the referendum was a once in a generation vote and that the government must honor the majoritys decision and prepare for the UKs exit from the EU. While the petition may be scheduled for a debate, the Petitions Committee [official website] has stated that such a debate could only take place in Westminster Hall [official website] and would not have the power of law to actually trigger a second referendum. A decision on the petition has been postponed until July 12 due to an investigation into the petitions rising number of discovered fraudulent signatures. A majority of British citizens voted to leave [JURIST report] the EU last month. Concern over the economic health of Britain [Reuters report] going into the future led to a global market plunge following the vote, as the pound fell as far as 10 percent against the US dollara low not seen since 1985. While the vote has fallen in favor of departure, no legal changes have taken place yet [Guardian report], as Britain must take further steps to confirm its separation. However, Britains leading public-interest law firm as well as several experienced litigators are currently drafting [JURIST report] a legal challenge to at least trigger a parliamentary debate. The EU has set out a mechanism for leaving in Article 50 [text] of the Lisbon Treaty, where a member state may decide to withdraw from the union in accordance with its own constitutional requirements, and must notify the European council of its intention. Under Article 50, a member country can only be removed from the EU two years after notification. While Britain might bypass this process through repeal of the European Communities Act of 1972, it is believed that this would make coming to a preferential trade agreement with the EU more difficult. Lidl said today (11 July) that it had become the first German supermarket to be awarded GM-free certification for its own brand milk on sale throughout the country. Free Report Unilever- A Deep-dive into Product Launches and Advertising Strategy Track product launches by FMCG companies to get an understanding of the product-level strategies including geographic concentrations, innovation types, product claim, category focus and more Monitoring the advertising strategies of various brands and gain insights into channel focus, regional focus, and more Perform company-level analysis to understand business model, size, and geographic focus Dont miss out on key market insights that can help optimize your next investment read the report now. Unilever product advertising is mainly through mainstream TV channels. Out of the products advertised so far at least 50% (over 850) of ads have been run on TV, while print media comes second with 496 ads. Unilever also utilizes social media platforms for advertisement. Unilever products are categorized by innovation ratings and tags in our product launch database. The North American region consists of almost 74 products with innovations related to the formulation of the product. Europe and other regions also have more products categorized under formulation-related innovation, followed by the packaging and positioning of the products. Most Unilever products are tagged with High Vitamins, Recyclable, and Natural tags to understand what the product differentiator is from other products available in the market. The majority of products belong to the personal care industry with a total of 5,788 products to date. This report, through the Unilever Example, illustrates how GlobalData Explorer enables you to:Dont miss out on key market insights that can help optimize your next investment read the report now. by GlobalData Enter your details here to receive your free Report. Please enter a work/business email address Country United Kingdom United States Afghanistan Aland Islands Albania Algeria American Samoa Andorra Angola Anguilla Antarctica Antigua and Barbuda Argentina Armenia Aruba Australia Austria Azerbaijan Bahamas Bahrain Bangladesh Barbados Belarus Belgium Belize Benin Bermuda Bhutan Bolivia Bosnia and Herzegovina Botswana Bouvet Island Brazil British Indian Ocean Territory Brunei Darussalam Bulgaria Burkina Faso Burundi Cambodia Cameroon Canada Cape Verde Cayman Islands Central African Republic Chad Chile China Christmas Island Cocos (Keeling) Islands Colombia Comoros Congo Congo, The Democratic Republic of The Cook Islands Costa Rica Cote D"ivoire Croatia Cuba Cyprus Czech Republic Denmark Djibouti Dominica Dominican Republic Ecuador Egypt El Salvador Equatorial Guinea Eritrea Estonia Ethiopia Falkland Islands (Malvinas) Faroe Islands Fiji Finland France French Guiana French Polynesia French Southern Territories Gabon Gambia Georgia Germany Ghana Gibraltar Greece Greenland Grenada Guadeloupe Guam Guatemala Guernsey Guinea Guinea-bissau Guyana Haiti Heard Island and Mcdonald Islands Holy See (Vatican City State) Honduras Hong Kong Hungary Iceland India Indonesia Iran, Islamic Republic of Iraq Ireland Isle of Man Israel Italy Jamaica Japan Jersey Jordan Kazakhstan Kenya Kiribati Korea, Democratic People"s Republic of Korea, Republic of Kuwait Kyrgyzstan Lao People"s Democratic Republic Latvia Lebanon Lesotho Liberia Libyan Arab Jamahiriya Liechtenstein Lithuania Luxembourg Macao Macedonia, The Former Yugoslav Republic of Madagascar Malawi Malaysia Maldives Mali Malta Marshall Islands Martinique Mauritania Mauritius Mayotte Mexico Micronesia, Federated States of Moldova, Republic of Monaco Mongolia Montenegro Montserrat Morocco Mozambique Myanmar Namibia Nauru Nepal Netherlands Netherlands Antilles New Caledonia New Zealand Nicaragua Niger Nigeria Niue Norfolk Island Northern Mariana Islands Norway Oman Pakistan Palau Palestinian Territory, Occupied Panama Papua New Guinea Paraguay Peru Philippines Pitcairn Poland Portugal Puerto Rico Qatar Reunion Romania Russian Federation Rwanda Saint Helena Saint Kitts and Nevis Saint Lucia Saint Pierre and Miquelon Saint Vincent and The Grenadines Samoa San Marino Sao Tome and Principe Saudi Arabia Senegal Serbia Seychelles Sierra Leone Singapore Slovakia Slovenia Solomon Islands Somalia South Africa South Georgia and The South Sandwich Islands Spain Sri Lanka Sudan Suriname Svalbard and Jan Mayen Swaziland Sweden Switzerland Syrian Arab Republic Taiwan, Province of China Tajikistan Tanzania, United Republic of Thailand Timor-leste Togo Tokelau Tonga Trinidad and Tobago Tunisia Turkey Turkmenistan Turks and Caicos Islands Tuvalu Uganda Ukraine United Arab Emirates United States Minor Outlying Islands Uruguay Uzbekistan Vanuatu Venezuela Viet Nam Virgin Islands, British Virgin Islands, U.S. Wallis and Futuna Western Sahara Yemen Zambia Zimbabwe Download free Report By clicking the Download Free Report button, you accept the terms and conditions and acknowledge that your data will be used as described in the GlobalData privacy policy By downloading this Report, you acknowledge that we may share your information with our white paper partners/sponsors who may contact you directly with information on their products and services. Visit our privacy policy for more information about our services, how we may use, process and share your personal data, including information on your rights in respect of your personal data and how you can unsubscribe from future marketing communications. Our services are intended for corporate subscribers and you warrant that the email address submitted is your corporate email address. Thank you.Please check your email to download the Report. Lidl said its own-brand GM-free Milbona milk will be available nationally as of today and that it will launch several GM-free dairy products in its German stores later in the year. These will include two GM-free cheeses Emmentaler and Wisenlander which will be made available under the Milbona label. In July last year, Lidl introduced GM-free fresh and UHT milk in all of its outlets in the southeastern state of Bavaria under the Milbona and A piece of home brands as its first GM-free certified products. Lidl said its range of GM-free products in Bavaria will also be expanded from next month to include cream, sour cream, creme fraiche, mozzarella and sliced cheese. The green Ohne Gentechnik label, Germanys GM-free certification mark, will be displayed on all relevant Lidl products. Related Companies Photo for illustration. (Source: tuoitre.vn) This year, the price of litchi imported to Australia is lower than last year due to decrease in transport fees to Vietnams southern region for irradiation. The litchi shipment was also carried by air. Although Vietnamese litchi must compete with the Chinese fruit, often shipped by sea with much lower price, the fruit of the tropical country continued to be received in Australia due to better quality. Recently, on the occasion of the visit to Australia, Director of the Hai Duong provincial Department of Industry and Trade Nguyen Trong Tue has coordinated with the Vietnamese Commercial Affairs office in Australia to survey the Melbourne litchi market with the expectation that Bac Giang and Thanh Ha litchi, two popular fruit trademarks of Hai Duong, will soon appear in the market./. Data-supported solutions for improving business performance Certificate in Analytics: Optimizing Big Data Understand why big data is so important in today's business decisions Improve your data management skills Join the rapidly growing analytics field This certificate program brings together the computational, analytical and communication skills necessary to discover and implement data-supported solutions to business questions, and introduces students to the tools needed to analyze large datasets in order to make more informed business decisions. Students learn to gather and organize data for more effective analysis and how to communicate their analyses in a clear and concise manner. Classes run September 8-December 15, 2016 New location! All classes in this program are held at Arsht Hall on UD's Wilmington Campus, 2700 Pennsylvania Ave., Wilmington, DE. For more information: www.pcs.udel.edu/data 302-831-7600 continuing-ed@udel.edu Joe Messick, Certificate in Analytics: Optimizing Big Data graduate "I have used this knowledge to meaningfully engage my clients and colleagues, structure project opportunities and help advance my clients' business interests through data analytics." Hear more from Joe... University of Delaware | Rte. 896 N., 209 John M. Clayton Hall | Division of Professional and Continuing Studies | Newark | DE | 19716 "The Good Wife" starts with a focus on the present and gives us only the bare essentials of the past. Episode one establishes the scandal and we flash forward to a point where our heroine has a husband in jail, nothing but her phone contract to her name and a family to support. We are introduced to some key characters and our lead gets her first court case. It is a packed, straightforward and stylish premiere for the drama. Kim Hye-kyeong's (Jeon Do-yeon) position is dire, but rather than focusing on her handling of her husband's scandal, we get to see her just as she begins to bounce back. This is a smart decision in terms of pacing, because we get to the fun part of her work as a lawyer right away. It is also much more realistic. A woman with a big mess on her hands and teenage children who need her has little time to sort her feelings out. This is a premise which can flourish in the context of South Korean culture. Hye-kyeong is the center of attention and not in a good way. The shame of her husband's scandal is used to humiliate her, her position as an older working woman is used to exercise power on her. All of this is encouraged by a system of strict social and workplace hierarchy. Even so, Hye-kyeong does not allow this behavior from just anyone and she does not accept the idea that her husband's shame is her family's. The series shows signs of a progressive approach with its characters. Aside from Hye-kyeong's unapologetically fair response to the pressure she receives, we might have a lesbian or bisexual character in Kim Dan (Nana), which is an important step forward for Korean television. The drama seems fitting for social commentary, but it does not disregard the value of good humor in presenting it. The fact that Hye-kyeong uses the theme from "Jaws" as the ringtone for her mother-in-law is brilliant. The premiere episode mostly sets the tone, main conflicts and the initial moral alignment of its main characters. Hye-kyeong is just, selfless and caring. Her husband, Lee Tae-joon (Yoo Ji-tae) is entitled, controlling and unfaithful. Hye-kyeong's friend and boss, Seo Joong-won (Yoon Kye-sang) is a mystery and so is her first partner, the aforementioned Dan. The firm's co-CEO, Seo Myeong-hee (Kim Seo-hyeong) is prickly, but she knows good work when she sees it. My good first impressions notwithstanding, I am skeptical on some things. The oversight regarding the CCTV footage is convenient, but can evidence realistically be bypassed so easily? Hye-kyeong's focus on her husband's wardrobe is intriguing, but a bit vague. Is she spotting clues or are we to understand that she has been conditioned to tend to his image? Regardless, as someone who has not watched the American series I feel episode one is a solid start. "The Good Wife" is directed by Lee Jeong-hyo, written by Han Sang-woon and features Jeon Do-yeon,Yoo Ji-tae, Yoon Kye-sang and Kim Seo-hyeong. Source:HanCinema Former Vice State President Truong My Hoa and the first visitors at the exhibition (Photo: daidoanket.vn) The display includes over 300 photos, documents and exhibits, which are divided into three parts: the first general election, the National Assembly (NA) of the country of united Vietnam and 40 years of Saigon Gia Dinh city, named in honor of President Ho Chi Minh. It helps the public understand more about the long path of 70 years of establishment and development of the NA of the Socialist Republic of Vietnam, special landmarks, including great contributions of the NA to the completion of revolutionary tasks of the nation at every stage of resistance, construction and protection of the nation. The event also reflects important achievements in the fields of economics, politics, culture and society that the Party Committee, administration and people of Ho Chi Minh city have been striving to achieve over the past 40 years. The exhibition will be open to the public until the end of January 2017./. Kearney Public Schools art teacher Tim Hanson passes out markers to students making their art folders in Lanna Randolph's second-grade class at Windy Hills Elementary School Thursday. The first day for all KPS students was Thursday, although some such as sixth-graders attended classes on Wednesday. This year's work for second-graders will include visual arts and student-composed pieces studying ancient Egypt. DENVER Jay LaSal Swenson, 96, of Denver, Kearney, Neb., and Fairview, Utah, died Sunday, July 3, 2016, at the Colorado Veterans Community in Denver. Graveside services were today at Ephraim (Utah) Cemetery. Horan McConaty Family Chapel in Aurora is in charge of arrangements. Jay was born Feb. 8, 1920, in La Sal, Utah to John and Anthere (Mills) Swenson. Jay was one of 10 children. At age 3, Jay and his family moved to Fairview. When they moved to Fairview, Jay remembers riding to town, La Sal, in a two-in-hand wagon to the rail station for the trip to Fairview. Jay spent his formative years in Fairview and graduated from Mount Pleasant High School. After he graduated from high school, Jay moved to Los Angles to work construction with his brother. Shortly after he moved to L.A., World War II started and he returned to Utah to enlist in the U.S. Army as a rifleman with the 132nd Infantry in the Americal Division. He was sent to the South Pacific Theater and was wounded when he jumped on a hand grenade to protect the other soldiers in his foxhole. This occurred on March 15, 1944, on the island of Bougainville. For his courageous service, Jay was awarded the Distinguished Service Cross, Bronze Star, Purple Heart and the Combat Infantry Badge. He carried shrapnel in his body for the rest of his life. After his recovery, Jay returned to Utah where he met his future wife, Afton Christensen. They were married in Phoenix where Jay worked construction. Eventually, they moved back to Utah where their son, Gregory, was born. Jay received his associate degree from the LDS Business School. From there, they moved to Brigham City where Jay worked for Anderson Ford. In 1957, Jay and his family moved to Grand Island, Neb., to work for Butler Manufacturing. In 1962, Jay became a real estate broker and moved to Kearney where he owned Gateway Realty and Swenson Appraisal Service. Jay finally retired at the ripe old age of 84. Jay was preceded in death by his wife, Afton in 2011. He is survived by baby sister Ronna Lee and Helen Syndergaard; son, Greg; grandchildren, Kerry Swenson, Christa Ray, LeJuan Ray, Gabriel Swenson, Sarah Osburn and Bryan Osburn; and 13 great-grandchildren. In addition to being a war hero and our hero, Jay was the most loving and generous of people his family and friends knew. He was always there to help out when anyone needed help. He was also there for his church helping build LDS houses of worship in Fairview, Calif., and Grand Island. Jay will be greatly missed by his family and friends. Recently, a bipartisan group of members of the U.S. Senate circulated a letter to the EPA urging them to protect the integrity of the Renewable Fuels Standard (RFS), and U.S. Sen. Deb Fisher was there for us. The Congress passed the RFS program as part of a comprehensive energy bill in 2007, but for the last few years the EPA has lowered the amounts of renewable fuels that could be consumed, and thus produced. This impacts Nebraska. As the EPA proposes to lower the RFS number once again, 39 U.S. senators stood tall to say that lowering volume requirements will hurt farmers, investments, development of new technologies, and renewable fuels producers. In this time of polarization, it was refreshing to see a bipartisan group of 39 senators standing together. In the U.S. Senate, 40 Senators can stand united to protect key policies it is a key threshold. As we continue to work to build support, Nebraskans should be proud that our Sen. Deb Fischer stood up against the D.C. interests perpetuating the status quo. Instead, she stood tall for Nebraska farmers, Nebraska jobs and motorists across the country who deserve a choice at the pump. Nebraska is the second largest ethanol producing state in the U.S., where more than 40 percent of the corn produced is used in Nebraska ethanol production. It is expected that Nebraska will produce nearly 2.2 billion gallons in 2016. Ethanol is important to the Nebraska value added agriculture and the economy in general. Nebraska ethanol producers utilize nearly 700 million bushels of corn annually, and produce more than 6 million tons of distillers grains used in animal agriculture. When agencies like the EPA take a congressional statute and overreach their authority to change it, Nebraska should not sit on its hands. Fischer took action and sent a clear message to the EPA to follow the law. She has done this tirelessly not just as a U.S. senator from Nebraska, but also as a member of the powerful Environment and Public Works Committee. This Committee has jurisdiction over clean air programs like the RFS, but it has few Midwestern members. When Fischer speaks up for ethanol and renewable fuels, she is often one of the only voices the Midwest has. To that end we wish to profusely thank Fischer for her leadership on these issues, and for her keen understanding of the importance of these issue to Nebraskans, to agriculture, and consumers across the country. Your courage and leadership are looked at as a bright spot in the U.S. Senate, so thank you, thank you for being our voice. Mark Palmer, Lincoln We're always interested in hearing about news in our community. Let us know what's going on! Go to form FILE - In this July 6, 2016, file photo, Ronald Mays, who rolles over to look at the flyer with pictures of a suspect in recent assaults on the homeless, as San Diego Homeless Outreach Team officer Brian Lucchesi canvasses several areas in the Midway and Sports Arena Blvd. area stopping to talk with people in San Diego. A man injured during a series of attacks on homeless men in San Diego died at a hospital Sunday, July 10, police said. (Peggy Peattie/U-T San Diego via AP, File) SHARE By Josh Farley of the Kitsap Sun Lengthy prison terms await five people convicted of a brazen November home-invasion robbery near Belfair. Collectively, the group has been sentenced to more than 80 years of prison time for a gunpoint robbery in which four people were tied up and money, jewelry and medications taken from an Olympic View Drive home on Nov. 11, 2011. Family heirlooms and more than $5,000 in cash were among the items taken. In a letter read aloud during sentencing of the defendants in Mason County Superior Court on Thursday, one of the victims said she now keeps her curtains closed and doors locked, and that she still has nightmares about the incident. "I'm scared to even answer the door, day or night," she wrote. The victims did not know the perpetrators. Mason County Prosecutor Michael Dorcy said four of the five defendants cooperated with authorities and pleaded guilty to their charges. The fifth suspect, Raynard Santos Chargualaf, 44, of Port Orchard took his case to trial in April and was convicted of four counts of first-degree kidnapping, along with burglary, robbery, and firearms convictions, Dorcy said. He was sentenced Wednesday to more than 49 years in prison by Mason County Superior Court Judge Amber Finlay. The sentence was enhanced because a firearm was used during the crime, Dorcy said. Three of the remaining four suspects were sentenced Thursday by Mason County Superior Court Judge Toni Sheldon. In each case, the judge opted for the top of the state's sentencing range. David Alexander Brunson, 32, of Port Orchard was sentenced to almost 10 years in prison. He had pleaded guilty to first-degree burglary, first-degree robbery, second-degree kidnapping and second-degree unlawful firearm possession. He said he was ashamed and remorseful. "I pray that maybe one day (the victims) can forgive me," he said. Rosamond Carter Watts Jr., 36, of Port Orchard was sentenced to 14 years and three months in prison. He'd pleaded guilty to first-degree burglary, first-degree robbery, second-degree kidnapping and second-degree unlawful firearm possession. Watts said in court that he accepted "full responsibility for his crimes" and also asked for forgiveness from the victims. Sierra Lea Watts, 28, of Port Orchard was sentenced Thursday to 3 years and four months in prison for serving as a lookout during the robbery. She'd pleaded guilty to conspiracy to commit burglary and robbery. Watts said that drug addiction was behind her participation. She apologized to the victims. "Every night before I go to bed I pray they get through this," she said. " I hope they can get through this." Cliffton R. Darrow, 23, of Port Orchard will be sentenced Tuesday. He pleaded guilty to first-degree burglary, second-degree kidnapping, first-degree unlawful firearm possession and first-degree robbery. He faces up to 11 years and three months under the state's standard sentencing guidelines. All five suspects were apprehended not long after the robbery. Brunson and Chargualaf were nabbed by a Mason County sheriff's deputy after one of the victims escaped during the robbery and called 911. A SWAT team arrested Darrow later the same month at his home. The Wattses were at large for several weeks before turning themselves in to the Kitsap County Sheriff's Office in Port Orchard. Ella Golebiewski places candles and ribbons in front of photographs of the slain Dallas police officers before a vigil Saturday at Evergreen-Rotary Park in Bremerton. SHARE A group from Kitsap County join forces Sunday afternoon to make signs of support and march from Silverdale Waterfront Park to the Kitsap Mall in response to the national racial unrest over recent shootings of police and African-Americans. John and Cynthia Sprague, of Bremerton, reflect on the events of the past week as they attend a vigil Saturday at Evergreen-Rotary Park to show support for the police officers in Dallas. A group gathers Sunday afternoon at Silverdale Waterfront Park to begin a march toward Kitsap Mall in response to national racial unrest over recent shootings of police and African-Americans. Marchers in support of Black Lives Matter on Sunday cross Silverdale Way at Ridgetop Boulevard. By Christina Henry of the Kitsap Sun In two separate vigils this weekend, Kitsap residents mourned the racially charged shooting deaths of African-American men and five Dallas police officers in last week's string of heart-wrenching incidents across the nation. On Saturday evening more than a dozen people gathered near the Kitsap 9/11 Memorial in Bremerton's Evergreen-Rotary Park to honor the officers killed Thursday in Dallas by a single gunman, who said he wanted to kill white cops and who was killed in the standoff. The Dallas shooting occurred at a rally of people protesting the fatal shootings by police of Philando Castile on Wednesday near St. Paul, Minnesota, and Alton Sterling on Tuesday in Baton Rouge, Louisianna. On Sunday in Silverdale, roughly 200 demonstrators supporting Black Lives Matter gathered at the waterfront and marched peacefully along Silverdale Way to the mall. Shared anguish and a call for national healing were common threads at both events. Ella Golebiewski, of Bremerton, who organized the Evergreen-Rotary Park vigil, hoped to give the community an outlet for response to recent events. "I know for myself, I'm very sad," Mike Bencik, of Bremerton, said at the vigil for the police officers. "These are family men that died, and they were just out there to protect our welfare, and this should not have happened." "I've been crying for two days," Cynthia Sprague, of Bremerton, said. Sprague, who is black, said she and husband John, who is white, came to the vigil hoping to promote healing. "We all have to join together as brothers and sisters and bring this hate away," she said. "Race has nothing to do with it. Our souls are all the same." Organizers of Sunday's march, who are white, said they hoped to kick-start an honest conversation about race. "I think it's needed," Crystal Gurney, of Poulsbo, said, "because when we're white and we have that place of privilege, we can sometimes bring voices to the table that otherwise might not be heard." During sign making, Shakara Ramesar, of Bangor, who is black, explained to white marchers some of the realities black people live with every day. At work Ramesar tries to make sure her actions are beyond reproach lest she be judged "lazy." Advocating for her children at school, she is careful not to come off as "the angry black woman." Black youth are admonished to "keep you hands on the wheel" during a traffic stop. These kinds of conversations are common in black families, Ramesar said. "That's so awful," Jeanne Priest, of Kingston, said. "You have a higher standard, and that's not fair." The crowd included a mix of white and black people, old and young. "I'm just a participant," said Chuck Sanders, of Poulsbo, who is black. "I decided it's time to get off the hill, and get out and just stand. People are dying. The least I can do is stand for an hour." Sanders talked about the term "DWB," driving while black. Black men will ask each other, "What's your number?" Sanders' is six unprovoked traffic stops in the Seattle area alone. Don't even ask about Los Angeles. Standing beside him was Mark Ramirez, of East Bremerton, a veteran of the Marine Corps who is of Mexican heritage, joined the march to defend black people's freedom. "I think it's very important to show our support," Ramirez said. "We're all U.S. citizens. We're supposed to love and support each other." Alexis Cutner, of Bremerton, a college student who is black, was impressed to see white people stepping out in support. "Instead of turning a blind eye, because they can, they turned out to help," she said. "I like this. I'm happy to be a part of this." About 20 Kitsap County sheriff's deputies and Bremerton police officers were on hand for the march. "You guys are courageous, all of you," Sheriff Gary Simpson said. "We're just here to make sure you have a safe day. This is a tough time for all of us. I really hope we can continue to have these types of conversations and events that can bring us together. I applaud you for being together here." Co-organizer Kristina Kruzan hopes the event won't be a "one and done" but will spur individuals to advocate in whatever way they can for equity and justice. ABC reports: Many Australians do their tax, submit Medicare claims and manage their Centrelink benefits via the internet. But when it comes to the most fundamental element of our political process voting the nation remains rooted in the long held tradition of using a pencil and paper to cast their vote at a primary school or community hall. Frank Reilly from Arcadia in New South Wales has asked Curious Campaign why voters dont have access to electronic voting. Although the AEC has moved very cautiously with electronic voting, it has trialled electronic voting for the blind and vision impaired, for Defence and Federal Police personnel overseas, and for Australians living in the Antarctic. The combined costs of the trials at the 2007 election was over $4 million, with the average cost per vote cast of $2,597 for electronically assisted voting for blind and low vision electors, and $1,159 for remote voting for selected defence force personnel. This compared with an average cost per elector of $8.36. News.com.au has some of the best parliamentary sledges from Australia. My favourites include: PAUL KEATING Asked by opposition leader John Hewson why he wouldnt call an early election: Mate, because I want to do you slowly. And in the psychological battle stakes, we are stripped down and ready to go. On John Hewson: Hes like a shiver waiting for a spine to run up. Also on Hewson: Debating with him is like being flogged by a warm lettuce. Also on Peacock: A painted, perfumed gigolo. JOE HOCKEY To two journalists attempting to doorstop him: God you guys, look how young you are, are you eighteen? Get a look at his face, look at this, look at this. I was about to ask [for] your ID. Whats going on? Whats going on? I dont know what you guys are up to. Maybe Im getting old I mean Im taking you seriously. JEFF KENNETT In a phone conversation with federal shadow minister Andrew Peacock, recounting a conversation with John Howard: I said, I couldnt give a f***. I have no sympathies any more. Youre all a pack of s**** and tomorrow Im going berserk. Well he went off his brain and in the end I said to him, I said, Howard. Youre a c***. You havent got my support, you never will have and Im not going to rubbish you or the party tomorrow but I feel a lot better having told you youre a c***. BELINDA NEAL The former Labor MP to pregnant Liberal MP Sophie Mirabella: Your child will turn into a demon, you have such evil thoughts. MALCOLM TURNBULL In an email reply to an angry constituent: Gosh, Pam, you are in a bad mood this morning. Now, you are correct that the budget did not target childless, 58-year-old lesbian poets and science teachers; but you are better off nonetheless. Share this: Facebook Twitter LinkedIn Reddit WhatsApp More Pinterest Print Tumblr This is Part 2 of my family tree, covering the Milnes, my mothers mothers fathers family. My great-great-great-grandfather was Alexander Milne, born in 1782 in Cairnie, Aberdeenshire in Scotland. He married Isabel Craigan and they had four children William, Jane, Alexander and James. Alexander was a farmer and had a 26 acre farm. William Scott Milne, my great-great grandfather was born in 1824 and was one of the early settlers to NZ. He sailed on the Lady Nugent departing 22 October 1840 and arrived 21 October 1841 in Wellington. He settled in Taita and married in 1847 a Grace Yule who arrived also in 1841 on the Bengal Merchant. They had eight children, the youngest being John Scott Milne, my great grand-father. All eight children and 39 grandchildren attended their 60th wedding anniversary in 1908. William took up a crown grant for land in 1865 and farmed in partnership with Alexander Yule in the Hutt. He took an active part in local affairs, was a member of the Wellington Provincial Council and chairman of the Epuni Licensing Committee. He helped establish Knox Presbyterian Church. He did military service and received the NZ War Medal. William died in 1913 aged 88. He was a farmer and a carpenter. My great-grandfather John Scott Milne was born in 1870 in Lower Hutt. In 1893 he married Hannah Auton and they had seven children, the youngest being my grandmother Kathleen Milne. Like his father, he was a farmer in Taita. He lived until the age of 98 dying in Paraparaumu Beach in 1969. He is the only great-grandparent who was alive when I was born but I dont recall him as he died when I was two. Share this: Facebook Twitter LinkedIn Reddit WhatsApp More Pinterest Print Tumblr Stuff reports: What better way to restore myself to peak physical condition than to hit the gym hard while devouring an entire pizza every day? With a whopping 1600 calories and a decent chunk of protein, the Dominos $5 range represented absurdly good value for money. To top it all off, I could bug people out by getting jacked while gorging myself on the most sinful food imaginable. I took a blood test and some other baseline measurements, and thumbed open the Dominos app. So how did it go? Around 200 days later: It took two weeks to muster up the courage to check my bloodwork. Praise the pizza gods! My cholesterol was not only in the healthy range, but had actually fallen. So had my triglycerides and LDL (bad cholesterol) levels. HDL, the good cholesterol, had slipped slightly. Id hoped things would stay about the same, but three out of four measures had miraculously improved. How did this happen: Context is everything. The calories in a large pizza would cover about 80 per cent of the average persons energy needs. For me, due to my exercise regime, it was more like 40 per cent. The bulk of my calories came not from pizza, but from green protein smoothies, chicken, rice and vegetables, bananas, and oatmeal. I dragged myself to the gym four times a week, and did some sort of cardio most days. The lesson here is there is no such thing as food which is inherently unhealthy. If you also exercise a lot, and eat other foods, you can get eat a pizza a day. When public health activists insists certain foods must be banned, taxed, stopped from advertising, they think the food is the problem, rather than the choices the person makes. A pie a day is a bad idea. A pie on a cold winters day at school is a great idea. Share this: Facebook Twitter LinkedIn Reddit WhatsApp More Pinterest Print Tumblr Stuff reports: Little said KiwiBuild would be paid for with a $2 billion capital injection, which would be paid back at the end of the programme as houses were sold. Little said the Government was out of touch, particularly when it came to housing, and was alone in refusing to believe there was a housing crisis. They might have given up, but I wont. Not now, not ever, he said. Associate Finance Minister Steven Joyce described Labours policies as underwhelming, with many of them echoing work the Government was already doing. They have talked a very big game politically about this, that it was going to be a massive change, when in actual fact its not its more or less an endorsement of what the Governments already doing with a few tweaks. Joyce said the Government was already backing the urban development approach through projects like the Hobsonville Land Company, while the $2b of funding for the KiwiBuild programme would need to be recycled 25 times in 10 years if it was to build 100,000 houses. Shenandoah, IA (51601) Today Rain showers this morning with overcast skies during the afternoon hours. High 61F. Winds SE at 15 to 25 mph. Chance of rain 40%.. Tonight Partly to mostly cloudy. Low around 35F. Winds ESE at 5 to 10 mph. SHARE By Mary Constantine of the Knoxville News Sentinel The Kroger Co. is voluntarily recalling its Deluxe S'mores Ice Cream (48-ounce tub) due to possible peanut residue not listed on the label. Other stores operating under the Kroger Family of Companies that distributed the product include Dillons, Baker's, Gerbes, Food 4 Less, Fred Meyer, Fry's, JayC, King Soopers, City Market, QFC, Ralphs and Smith's The recall was initiated when a supplier noted a raw ingredient provided to the Kroger Co. may have been contaminated with low levels of peanut residue. This is of particular concern to those who are allergy to peanuts as they could have a severe reaction if consumed. Consumers not allergic to peanuts should have no concern consuming the product. No customer illnesses have been reported at this time. The product being recalled contains the UPC of 0001111001399 with sell by dates of May 20, 2017 and May 23, 2017. The 48-ounce tub of Deluxe S'mores Ice Cream was distributed in Alaska, Alabama, Arkansas, Arizona, California, Colorado, Georgia, Idaho, Illinois, Indiana, Kansas, Kentucky, Michigan, Missouri, Mississippi, Montana, North Carolina Nebraska, New Mexico, Nevada, Ohio, Oregon, South Carolina, Tennessee, Utah, Virginia, Washington, West Virginia and Wyoming. SHARE elections We here at PolitiKnox might give you a pass for overlooking the section we put out with voter information on local and state offices. Sunday's paper where the section ran was chock-full of good information, but another special section on Pat Summitt really was an attention-grabber. Nonetheless, there's an election going on too. Races will be decided at the local level for open seats on Knox County Commission in the 1st, 2nd and 9th districts, along with others. And there's a crowded field in the 18th District GOP primary where Martin Daniel hopes to hang onto his seat in the Tennessee House. Longtime News Sentinel contributor Georgiana Vines reported on the candidates in that race. Education reporter MJ Slaby also put together coverage of the county's Board of Education race that will end up shifting the nature of the school board after the election. City reporter Megan Boehnke and Knox County reporter Gerald Witt also contributed, along with help from others. If you did miss the print section with voter information, fear not. The stories are available online for voters to check out before heading to polling locations at knoxnews.com, starting Wednesday. Democratic Party The Knox County Democratic Party will hold a rally ahead of early voting at 5:30 p.m. Thursday at the Knox County Democratic Party headquarters, 311 Morgan St. in the Old City. Knoxville Mayor Madeline Rogero is expected to speak at the event along with Democratic candidates who are running for county and state office. "We are thrilled that 11 candidates have stepped up to the plate to give Knox County residents a choice at the polls in August and November," Cameron Brooks, chairman of the Knox County Democratic Party said in a news release. "Our message of supporting Knoxville's working people we hope will have resonance that will lead us to victory this year." Early voting The early voting period for the county election and state primary begins Friday. For information on polling places and times, check out the KNS website or visit the county's election office at knoxcounty.org/election. Early voting ends July 30. Knox County Elections Administrator Cliff Rodgers recommends early voting when possible. It helps avoid long lines on election day, he has said. gop convention Victor Ashe, former Knoxville mayor and U.S. ambassador to Poland, is writing a "Delegate Diary" for the News Sentinel from the 2016 Republican National Convention starting next week. The convention will be at the Quicken Loans Arena in Cleveland, Ohio, July 18-21. Ashe was elected to attend as a delegate for Florida Sen. Marco Rubio. Thomas Clemons instructs people visiting a Baltimore needle exchange van on how to use naloxone to reverse heroin overdoses. More and more states, including Tennessee, are looking at the easy-to-administer drug as a way to stem increasing opioid overdose deaths. (Amy Davis/Baltimore Sun/TNS) SHARE By Kristi L. Nelson of the Knoxville News Sentinel Around 30 times a week, Rural/Metro paramedics in Knox County administer naloxone to people overdosing on opioids. The ambulances have carried the drug for around 20 years now. It works by blocking the effects of opioid drugs on the respiratory and central nervous system. In minutes, it can restore normal breathing, potentially saving the life of someone dying of an overdose. There's always been a place for it in the paramedic's bag of tools but over the past three to four years, Rural/Metro personnel have seen the need to use it rise steadily, said Chris McLain, clinical manager for the ambulance service. Over the last six months, he said, Rural/Metro's first responders have been using it 100-120 times a month. And they're no longer the only ones carrying the drug. Since Knoxville Police Department began carrying naloxone in September 2015, officers have used it 27 times on people overdosing on opioid pills like oxycodone and street drugs like heroin. Related Link: How to use Naloxone A little more than halfway through 2016, Knoxville Fire Department has already used it 46 times compared to 54 times in all of 2015, said Capt. D.J. Corcoran. And 2015 more than doubled the number of times 19 KFD used the drug in 2014. At the same time, it's becoming more common for private citizens to have naloxone on hand. Some pain clinics are requiring patients fill naloxone prescriptions along with their prescriptions for opioid drugs. Friends and family members of addicts are beginning to request and fill prescriptions for the drug to keep on hand, legal in Tennessee since 2014 and encouraged last year by Tennessee Department of Health Commissioner Dr. John Dreyzehner. "Ask for it, prescribe it, keep it around," Dreyzehner said. "Relatively speaking, it is more effective at saving lives than CPR or an AED," the automated external defibrillator that can shock the heart back into rhythm. But, like an AED, naloxone requires training to use correctly, McLain said. And like CPR, it's a temporary fix something to do while waiting for paramedics to arrive, he said. "I think it's kind of a misconception to say (naloxone) 'saves' somebody," point-blank, McLain said. Though effective, it's not fail-safe, he said. Some patients especially chronic users need more than one dose. Some patients also need to be ventilated, or they go into cardiac arrest. And some patients get naloxone over and over again, and it can become less effective. "We do have 'repeat customers,' " McLain said. "Sometimes you have communities and groups of people who just can't get off the (opioid) medication." Fighting the epidemic Public health officials are increasingly looking at naloxone brand name Narcan as an antidote to Tennessee's increasing overdose death rate. In 2014, the last year for which state numbers are available, a record-setting 1,263 Tennesseans died of drug overdoses at least one in all but four counties in the state, including 133 in Knox County. The state expects to release 2015 numbers in a week or two. Between Jan. 1 and June 15 of this year, 118 suspected overdose deaths happened in Knox County, said Sean McDermott, assistant district attorney general, though it's possible not all were Knox County residents. Exactly how many of them were opioid drug overdoses, he can't say the numbers aren't broken down by types of drugs, he said. Last month, U.S. Surgeon General Dr. Vivek Murthy, on a visit to Knoxville, announced increasing access to naloxone was one of his three primary areas of focus in the fight against the opioid epidemic. At a June 30 forum in Abingdon, Va., hosted by U.S. Secretary of Agriculture Tom Vilsack, Virginia Governor Terry McAuliffe touted that state's initiative to make naloxone available statewide to anyone without a prescription a policy Tennessee may soon have also. This year, the Legislature passed Public Chapter 596 allowing "any willing pharmacist," collaborating with the state's chief medical officer, to dispense naloxone over the counter "using their best clinic judgment, good faith and reasonable care." The collaborative practice agreement that would result in pharmacies having a standing order for the drug has been written and now will be reviewed by various state boards. At the same time, health departments including Knox County's have been working with pharmacies to make the easy-to-administer drug more available. Last fall, pharmacy chain CVS announced Tennessee was among states where its pharmacists would dispense naloxone without a prescription. They could do so using a standing order already compliant with state law, Mike DeAngelis, director of public relations for CVS in Rhode Island, told the Tennessean. But though DeAngelis said then the company would "reinforce" its change in policy to its 135 stores in Tennessee, calls to Knoxville CVS stores last week found some will prescribe naloxone without a prescription, while others won't. Other Knoxville pharmacies varied on whether they would prescribe or even stock the drug, which can range widely in price. For those not filing the drug to insurance for which a prescription would be required, even at CVS cost could be a barrier. Brandon Lock, head pharmacist for local chain Belew Drug's Broadway Shopping Center store, said his pharmacy keeps in stock both Narcan and generic naloxone, in two forms: injected through a needle into the muscle, and sprayed into the nostril through a syringe. (Paramedics also sometimes give the drug intravenously.) Narcan with the kit that allows it to be injected averages about $4,000 for those paying cash rather than filing insurance, Lock said. By contrast, the Narcan nasal syringe kit averages about $159, and the generic naloxone nasal kit which most people opt for costs about $75, he said. Lock said demand for the drug has increased. The Federal Drug Administration didn't approve the nasal spray form of the drug until November 2015, though some agencies were using it before then. When the more affordable version of naloxone became widely available, that opened the door for many agencies to carry it, said Jessica Belitz, community outreach coordinator for the nonprofit Blount Memorial Foundation and staff coordinator for the Blount County Substance Abuse Prevention Action Team. "We were interested in supplying it to our first responders, but at the time law enforcement felt it needed to be FDA-approved," Belitz said. In February, the team provided the nasal spray kits to three law enforcement agencies and two fire departments in Blount County, Belitz said. It's been used at least a dozen times so far, she said. In addition, the coalition provided the kits to middle and high schools in all three school systems in Blount County, she said, though she's not aware that they've yet been used in a school. Belitz said both first responders and schools were eager to have the drug on hand, especially since Tennessee like Virginia has passed laws protecting people who administer naloxone "to a person reasonably believed to be experiencing an overdose" from being sued or criminally prosecuted. "One of the No. 1 complaints I hear is access," Belitz said. "Family members who may be living with someone or close to someone who's addicted call me, and they want to access this medication. But even with a prescription, it's still a challenge for some to be able to get ahold of it." Call 911 first McLain, of Rural/Metro, urges anyone who might give the drug to become educated on its proper use and its limitations. He worries people may grow overly reliant on the drug, thinking they can continue using opioids and "self-medicate," rather than seeking medical help. He warned that people given naloxone suffer withdrawal as the drug blocks the opioid drugs already in the body, which can make them combative. Some initially respond to naloxone but then "rebound," especially if they're chronic users or there are large amounts of opioid drugs in their system. Call 911 first, he said, then administer naloxone. Dreyzehner said the drug can "prevent a mistake from being deadly or give more people a second chance at recovery instead of death." And people should think of it as a second chance not a long-term solution, McLain said. "If you have a headache, you can take some Tylenol, but we still need to know what's causing the headache," he said. "Prevention is the key, and getting into some kind of treatment plan to get people off the (opioid) medications." Former Knox County Judge Joe Duncan, seated, is joined in Gatlinburg by family members Congressman John. J. Duncan Jr., holding his grandson, Zane; Beverly Gleason; state Sen. Becky Duncan Massey; and Joe Swisher Duncan. (Georgiana Vines/Special to the News Sentinel) SHARE Phil Duncan By Georgiana Vines of the Knoxville News Sentinel GATLINBURG Some 140 members of Scott County's Duncan family gathered at the River Terrace Resort & Convention Center over the weekend, but clearly, retired Judge Joe Duncan was the center of attention. Duncan, a Republican who served as a Knox County Criminal Court judge in the 1960s and '70s and 15 years on the Tennessee Court of Criminal Appeals, including three years as presiding judge, has been recovering the past three months from what started as a perforated ulcer. But nothing would have kept the 92-year-old Duncan from the biennial tradition that began in 1978, said his son, Phil Duncan. "Dad helped start (it) in 1978 to hold together the 10 siblings of parents, Scott Countians Cassie and F.B. Duncan, and their descendants," Phil Duncan said. Duncan family members began arriving on Friday with many staying through Sunday. The main event was picture taking and then a dinner Saturday night. In between the photo taking, many people visited with Joe Duncan and his wife, Lou, a childhood sweetheart with whom he celebrated their 70th wedding anniversary Thursday night. Joe Duncan said he originated a newsletter when the family members started scattering from Scott County, after his parents died. "I put in news about Duncans, like births. It was so popular with the family, I thought we ought to have a reunion," he said. The first get-together was in East Knoxville with about 60 people attending. "We ate in Helma's," he said, referring to a well-known restaurant run by Helma Gilreath. Now with attendance more than doubling from the first event, there are a lot of "little ones. I don't know who they belong to," he said. Joe Duncan said his mother had 12 children, including twins who died at birth. Among the 10 who became adults were John J. Duncan Sr., a former Knoxville mayor and congressman, whose son is U.S. Rep. John J. Duncan Jr., R-Knoxville. Joe and two sisters, also in their 90s, are the only remaining children living. The congressman attended the reunion, as did his two sisters, state Rep. Becky Duncan Massey and Beverly Gleason, also of Knoxville; brother, Joe Swisher Duncan of Falls Church, Va.; and their families. Massey and Gleason said they had helped organize previous reunions, sometimes with themes. This year's was organized by Freddie Duncan of Cookeville, who has a moving and storage business and is the leader of a rock band, the Legacy. Congressman Duncan said he "probably" has attended all of the reunions, some of which have been in Ohio and Kentucky, although most have been in Tennessee. He said his mother, Lois, also came from a large family of seven children. So between the descendants on both sides of the family, "If I ran for president, I'd have a place to stay all over the country," he quipped. When the congressman arrived with his wife, Lynn, they had a grandson, 3-year-old Zane Duncan, in tow. The grandson didn't want to leave his grandfather's side even after his parents, Zane and Hallie Duncan, arrived and wanted to see him since he'd spent the night with his grandparents. The reunion concluded Sunday with what Phil Duncan described as "Papa Duncan's Sunday School Class." That was a reference to his grandfather, who taught church school as well as being a farmer back in Scott County. This year's service included recognition of two cousins who died the past year. Joe Duncan's recent illness required hospitalization twice, followed by rehabilitation. He is in assisted living at NHC Farragut and if he continues to progress, he could leave for home by the end of July, Phil Duncan said. By Victor Ashe Editor's note: Victor Ashe, former Knoxville mayor and U.S. ambassador to Poland, will be writing a "Delegate Diary" for the News Sentinel from the 2016 Republican National Convention. The convention will be at the Quicken Loans Arena in Cleveland, Ohio, July 18-21. Ashe was elected to attend as a delegate for Florida Sen. Marco Rubio. CLEVELAND After an eight-hour drive to Cleveland from Knoxville, I joined some 448 delegates to the 2016 Republican National Convention's for standing committees that meet this week. The Platform Committee, which I serve on as one of two Tennessee members, had an organizational session late Sunday afternoon. Out of almost 2,500 total delegates, about 20 percent serve on one of these committees. Connie Hunter of Brentwood is the other Tennessee member on Platform panel. Much of the preliminary work for the full convention is done the week before in a smaller setting. C-Span will cover the Platform Committee session live Monday starting at 8 a.m.. The committee breaks out into six subcommittees to go over topics in depth. I am assigned to National Security, which includes foreign policy, and allows me to draw on my experience as ambassador to Poland for five years and many years of travel to more than 104 countries and an election observer in Ukraine, Georgia and East Timor, as well as a lecturer on democratic election processes and local government in Ukraine and Georgia and also Jordan, Turkey and Morocco. The three committees in addition to Platform are Rules, Credentials and Arrangements. Rules and Credentials in past years have been controversial going back to the Taft-Eisenhower fight over seating delegates to the Ford-Reagan fight over a rule to force the candidates to announce their vice presidential choice prior to their own nomination. This year the Donald Trump forces are concerned about an effort to release delegates from their pledge to support candidates in their state primaries. The Rules Committee sets out the procedure and parliamentary process for operation of the convention. Credentials determines the validity of delegate selections, and Arrangements deals with the more mundane logistics of the convention itself, including lodging. Each committee has 110 members (one male and one female) and meetings are public. Each state has two members and the other 10 members are two delegates each from the District of Columbia, Puerto Rico, Guam, Virgin Islands and American Samoa. Platform often takes the most time and for Monday and Tuesday we are meeting 7:30 this morning to 6 tonight and Tuesday with the possibility of going into Wednesday. The committee is chaired by Wyoming Sen. John Barrasso, who chairs the U.S. Senate GOP Policy Committee, and is co-chaired by Oklahoma Gov. Mary Fallin and North Carolina Congresswoman Virginia Foxx. Already there is intense lobbying on issues such as Jerusalem being the site of the U.S. embassy to Israel (now it is in Tel Aviv), Second Amendment issues, same-sex marriage issues, and even medical cannabis oil to name a few. There is also an interesting effort by Utah delegate Boyd Matheson to adopt the GOP 1860 platform (in terms of length at 1,100 words) as compared to the current draft of 33,000 words. Only the most avid and dedicated politicos read a 33,000-word document. Doubtful this will pass but it would be a victory for concise language. Lincoln's Gettysburg address was short and still remembered. One unknown is how much the Trump forces will seek to influence the deliberations of the platform or leave it to the individual wishes of the delegates, who include state legislators, state attorneys general, former ambassadors, former local government officials and private citizens. The platform is generally written more for the party than a specific candidate and presidents from both parties have on occasion differed once elected from the written word of the platform they ran on. Moving the U.S. embassy to Jerusalem from Tel Aviv in Israel is a prime example. It is unclear how much credence Trump will give to the platform as a candidate and if elected president. Locally, former Knox County Commissioner Mike Arms has resigned as a Sen. Marco Rubio alternate delegate. Knoxville businessman Kirk Huddleston has been named an alternate delegate pledged to Sen. Ted Cruz. Other Knoxville delegates going to Cleveland include former Sheriff Tim Hutchinson (Trump); former state Sen. Stacey Campfield (Trump); Sam Maynard (Trump), director of James White Fort; Ken Gross (Trump); Michael Hensley (Rubio), a University of Tennessee student and intern for U.S. Rep. John J. Duncan Jr.; former UT Trustee and TVA Director Susan Richardson Williams (Trump); state Republican Party Chair Ryan Haynes (Rubio); and Gov. Bill Haslam (Rubio). SHARE State Sen. Doug Overbey Scott Williams, candidate for state Senate in 2nd District By Tom Humphrey of the Knoxville News Sentinel NASHVILLE The National Rifle Association has made endorsements in Tennessee legislative primary elections 18 Republicans supported in House races, four in Senate contests while a state-based rival organization in gun rights advocacy is questioning at least two of them. Those endorsed by the NRA's political arm are all incumbents, in keeping with the organization's preference for current officeholders who have voting records showing they are aligned with NRA positions over challengers who respond favorably to its views in a candidate questionnaire. In an email to supporters and media, Tennessee Firearms Association Executive Director John Harris criticized the endorsements of Sen. Doug Overbey, R-Maryville, and Rep. Charles Sargent, R-Franklin, along with the "A" rating given by the NRA to Rep. Jon Lundberg, R-Bristol, though Lundberg did not get an official endorsement. Lundberg is running for the state Senate seat vacated by retiring Lt. Gov. Ron Ramsey. Harris said the three "have proven by their actions that they do not truly support the rights of Tennesseans" and the group wants to point that out that "some advocacy groups exhibit little courage at times by taking what may be the easy path in order to avoid the wrath of leadership or an incumbent." All three are faulted by Harris for opposing, in one way or another, "constitutional carry" legislation that would allow all Tennesseans who can legally buy a gun to carry their weapons without obtaining a handgun carry permit. In the cases of Overbey and Lundberg, a couple of other matters are cited in the lengthy commentary. Overbey got an overall "A minus" rating from the NRA, while his Republican primary challenger, Scott Williams, received an "A," though its noted that Williams' rating is based only on his response to an NRA questionnaire. Williams, in an email news release, said "it is baffling as to why the NRA would choose to give Senator Overbey an A- rating let alone endorse him for the 2nd District Senate seat" when Williams has been endorsed by TFA, a pro-gun blogger and "many private firearms citizens' groups." Williams also cited Overbey's opposition to the "constitutional carry" legislation and other positions he has taken, declaring "surely to goodness this was a clerical error on their part and not gross negligence against their own members." That's apparently not the case. The NRA has long favored incumbents with what it considers a generally favorable voting record over challengers. Says a 2010 post on the NRA Political Victory Fund website: "NRA has an incumbent-friendly policy that dictates our support for pro-gun incumbents seeking re-election. It is important that we stand with our friends who stand with us in Congress or the state legislature through their actions. Of course, should a pro-gun challenger win his election, and stay true to support for our gun rights, then he will be the beneficiary of this policy when seeking re-election." Besides Overbey, NRA endorsements in the GOP state Senate primaries went to Sens. Jim Tracy of Shelbyville in the 14th District, Mark Green of Clarksville in the 22nd District and Delores Gresham of Somerville in the 26th District. East Tennessee state House incumbents endorsed over their Republican primary challengers are Reps. John Holsclaw of Elizabethton in the 4th District, Gary Hicks of Rogersville in the 9th, Jeremy Faison of Cosby in the 11th, Martin Daniel of Knoxville in the 18th, Mike Carter of Ooltewah in the 29th, Ron Travis in the 31st, Jerry Sexton of Bean Station in the 35th and Kelly Keisling of Byrdstown in the 38th District. Perhaps the most notable non-endorsement of a Republican incumbent is in Middle Tennessee's 65th District, where embattled incumbent Rep. Jeremy Durham is the subject of an investigation into alleged inappropriate behavior. Durham has an 'A' rating from NRA. His chief primary opponent, Sam Whitson, also got an A, based on answers to a questionnaire. Hicks who is an incumbent by appointment by the Hawkins County Commission rather than by election was endorsed when he had an 'A' rating and his primary opponent, Cynthia Bundren Jackson of Rogersville, had the same grade based on the questionnaire. Council's "voter guide": The Family Action Council of Tennessee, the state's largest Christian conservative organization, is not making endorsements but has published what it describes as a "voter guide" listing how candidates in contested legislative "stand on important issues related to life, family, marriage, education, and religious liberty." A review of several sample entries in the guide indicates many legislative candidates failed to respond to FACT's survey and thus their views are not given. The group says all candidates in contested races were mailed a list of 14 questions and invited to write short "essays" as well. For example, in Knoxville's 18th House District, two of the four candidates in the August GOP primary Rep. Martin Daniel and former Rep. Steve Hall provided responses. The other two, James Corcoran and Bryon Dodson, did not. Daniel and Hall gave the same answer on 11 of the 14 questions dealing with topics such as Medicaid expansion, abortion and gay marriage. They differed on three: Martin said he was undecided on legalization of marijuana, while Hall declared himself opposed. Martin said he would support legislation awarding "all of Tennessee's electors in a presidential election to the party of the candidate who wins the national popular vote." Hall was opposed. Then there was this question: "Would you support or oppose legislation that would prohibit state courts or any local government from ordering a privately owned business to participate in activities associated with solemnization or celebration of a marriage through the provision of goods or services if participating would violate their beliefs regarding the nature of marriage?" Martin said he would support; Hall said he would oppose. U.S. Sen. Bob Corker By Michael Collins of the Knoxville News Sentinel WASHINGTON U.S. Rep. Diane Black vows she'll be watching and cheering when Donald Trump formally accepts the GOP nomination for president. But she'll be celebrating from home, not at the Republican National Convention. "While I enthusiastically support Republican presidential nominee Donald Trump and would, of course, appreciate the opportunity to take part in such a historic occasion, I ultimately believed that my time is best spent here in Tennessee," the Gallatin Republican said. GOP leaders across the country who can't stomach the thought of Trump as their party's standard-bearer are skipping the nominating convention, which opens July 18 in Cleveland. Prominent Republicans taking a pass include more than a dozen U.S. senators. Black is staying home for a different reason. Tennessee's primary will take place Aug. 4, just two weeks after the GOP convention ends. Given that she faces a challenge from three fellow Republicans state Rep. Joe Carr, Tommy Hay and Donald Strong Black decided to remain in Tennessee and hold meet-and-greet events across the state's 6th Congressional District. Rep. John J. Duncan Jr. of Knoxville hasn't yet decided whether he'll attend the convention. But if he stays away, it won't be because of Trump. Duncan has publicly endorsed the New York real estate mogul and has been vocal about his support for Trump's campaign. "At this point, I have not decided (about the convention) because I have some events in my district that I feel I should attend," Duncan said. Other Tennessee Republicans in Congress are going to the convention. Both of the state's U.S. senators Lamar Alexander of Maryville and Bob Corker of Chattanooga plan to be there. "In our country, we are very fortunate that the people through the democratic process choose the president of the United States, and the conventions are an important part of that," Alexander said. "So I consider it a privilege to attend the convention and have tried to do so most of the years I have been active in public life." Corker, who could end up with a Cabinet post in a Trump administration, has been offered a speaking role at the convention. Rep. Marsha Blackburn of Brentwood also has been invited to speak and will serve throughout the week as a Trump "surrogate," speaking on behalf of the campaign in interviews and at events. "Our party is seeing record turnout in the primaries, and I think that's a great indication of the excitement going into the general election," Blackburn said. "We're looking forward to an energized convention and a Trump White House." Reps. Chuck Fleischmann of Ooltewah and Phil Roe of Johnson City said they will be in Cleveland. Roe said he's going "because I believe it's important to unite behind our nominee to defeat Hillary Clinton." Rep. Scott DesJarlais of South Pittsburg, one of the first sitting members of Congress to publicly endorse Trump, said he will be at the convention to lend his support. DesJarlais has been serving as a liaison between the Trump campaign and the conservative House Freedom Caucus. In addition, "I have been in close coordination with the Trump campaign regarding how I may be of assistance during the convention," he said. Just a few days after the Republicans head back home, Democrats will kick off their nominating convention in Philadelphia on July 25. Both of the Tennessee Democrats in Congress Reps. Jim Cooper of Nashville and Steve Cohen of Memphis will be going and throwing their support to Clinton. SHARE By Benjamin Webb of the Knoxville News Sentinel Lawyers operating in Tennessee are being advised by the Tennessee Board of Professional Responsibility to be aware of an email scam that is specifically targeting attorneys. The scam entails a "phishing email," which is an email that is disguised to look like a professional message but contains links that are designed to steal personal information and install malicious software onto a computer. For this specific scam, a lawyer who gets one of these emails is instructed to click on a link that then loads "ransomware" or "cryptoware," both of which block a computer's access until a fee is paid. Emails in this scam are reportedly using links and attachments that look like they're either for a complaint or to pay fees. The scam has been targeting bar associations and their members across the nation. These emails can look legitimate with links to real websites. If one of these emails is obtained, it is advised to hover over the link to see what URL comes up. These emails can usually be found out by their use of generic titles, such as "dear customer," and are usually sent from email addresses that do not look official. Both attorneys and consumers alike are advised to not click on a link in an email unless they are sure it is authentic. The state board is also advising lawyers that they be wary of emails that appear to be from the board itself. Officials say that if anyone receives an email from the board that contains a link, hover over the link to see if it displays the domain "tbpr.org" near the beginning of the link. So far, the board has not received any reports of attorneys receiving such an email, but all attorneys operating in the state are nonetheless advised to be aware of the scam. Those who receive a suspicious email containing a link or attachment are advised to contact the board at 1-800-486-5714 to help verify the authenticity of the email. Protesters hold hands while having a moment of silence for the black lives lost in recent fatal police shootings, at the intersection of Gay St. and Summitt Hill Drive, on Friday, July 8, 2016. (CAITIE MCMEKIN / NEWS SENTINEL) Were More Divided As A Nation Than Ever, And This Poll On Obamas Legacy Shows It. The Huffington Post headline on Jan. 20, 2016 is often echoed in todays media and by commentators and writers. America is more divided than ever in the past. Its left versus right, with both sides coming out fighting and there are no neutral corners. The claim that weve never been more divided isnt true. But it sells. In any case, all of this shines a spotlight on the all-importance to Americans of the U.S. Constitution and its role as the cement holding together a nation almost always divided over something. The reason for the more divided than ever hand-wringing typically depends on the agenda of the person or group citing the division: * Income inequality, perhaps better defined as income deficiency: one side says government must enforce greater income equality through laws and mandates; others say people have an obligation to improve their own value and that government action hurts as many or more than it helps. * Race issues: some claim little nothing has improved; others point to vast improvement. * Immigration, as people take adamant sides for or against immigrants illegally entering the country. * Liberals vs. conservatives on global warming, homosexual marriage, abortion, gun rights, etc. * (Add topic of your choice). Several years ago I was on a television show with a political liberal who voiced concern about anti-government violence. The discussion at the time was about the actions of a right-wing anti-government militia group. This anti-government violence is dangerous, was the comment. Just a moment, I said. How about, Hey, Hey, LBJ, how many kids did you kill today? What about the anti-Vietnam riots of the 60s and 70s; the violent protests surrounding the 1968 Democratic National Convention; the Days of Rage in Chicago in Oct. 1969? What about the Weather Underground, which planted bombs that killed people? Wasnt that dangerous anti-government violence? There was no answer. That exchange illustrates part of the issue: the violence; anger; civil disobedience; protest; and demands for change are justified or unjustified depending on the persons politics or ideology. Mines right, yours is wrong. The United States is not more divided today than during the years before, during, and after the Civil War; the isolationist prelude to World War II; the 1960s race and anti-war violence; the Great Depression; and multiple other times of national crisis and trauma. We do, however, have more ways of telling each other how desperately split is the country. Robert Reich, who served as secretary of labor under President Bill Clinton, published a column in Aug. 2013 titled, Why are Americans so angry and divided? Reichs position was that income inequality is the culprit, which itself is open to debate. Along with somewhat predictable complaints about cable TV and talk radio, Reich made a poignant statement about social media and its role in the continuing national arguing: Undoubtedly, social media play a part allowing people to pop off without bearing much responsibility for what they say. And most of us can cocoon within virtual or real communities whose members confirm all our biases and assumptions. Its not that America is any more divided than in the past: there are just more and louder single-note amplifiers. In a past column I shared the following freedom-of-thought-and-expression statement and its relationship to todays America: A famous quotation attributed to the French philosopher Voltaire is, I disapprove of what you say, but Ill defend to the death your right to say it. Voltaire wrote this to one of his philosophical adversaries: I detest what you write, but I would give my life to make it possible for you to continue to write. The modern-day American equivalent in many quarters is, I disapprove of what you say and therefore it is my mission to destroy you personally, professionally, or both, because you cannot be allowed to say or think such things. All of this brings us back to a central issue, the U.S. Constitution. There are those who want the Constitution thought of as a living document that changes with the times. People holding such views dont believe them, really. They believe the Constitution is a living document as long as their particular worldview is shared by people in power. Were the other political party has the advantage theyd argue exactly the opposite of a living document doctrine. Thats the importance of the Constitution, that it doesnt sway from side to side based on political ideology or expediency. Or rather, shouldnt. The Constitution protects you from me, me from you, each of us from each other, and all of us from the power of an overreaching and ever-expanding government. But an ever-smaller number of Americans seem to know about their government, let alone the Constitution. USA Today in 2011 published this story: How well do you know and understand the U.S. Constitution?" A new survey released today shows Americans don't have a good grasp of the Constitution or its powers, highlighting the need for better civic education. If you think things on that score have improved dramatically since 2011, spend a little time researching the subject. But prepared to be depressed. Are we divided? Certainly, but now is not much different than any other period throughout American history. Whats more troubling is that fewer Americans all the time care or know about their Constitution, the document that holds us all of us - together. That will truly create a house divided and in the long term put into question its ability to stand. SHARE Look, I'm not blaming George W. Bush for upsetting the balance in the Middle East. When was the last time it was balanced? You can't blame him, but we sure didn't help the situation. So what if Saddam Hussein had weapons of mass destruction? Even if he had them and used them, do you think his weapons could have possibly caused as much damage as we're seeing now? And refugees? The media have shown us thousands of people and families washing ashore. Europe and the rest of the world are overwhelmed. I didn't vote for Bush, but I'm an American, and Bush did this as a leader of Americans. So, like it or not, as an American I have to assume some responsibility for refugees washing up on European shores. A network just showed dead people washing up in the surf, and some are children. I'm an American sitting on my comfortable couch in my comfortable home and watching TV. My heart is breaking. I cry as I watch this latest update. Tom Chase, Knoxville By Choi Sung-jin On June 24, the U.S. International Trade Commission released a final ruling that Korean-made corrosion-resistant steel plates (CORE) were subsidized by the Seoul government and dumped in the U.S., damaging industry there. It plans to impose antidumping duties of 8.75-47.80 percent and countervailing duties of 0.72-1.19 percent on Korea's CORE products, steel plates with zinc and aluminum coating used to make cars and home appliances. The U.S. ITC also plans to make final rulings on Korean cold-rolled plates next month, phosphor copper in December, and thick steel plates next April. According to the Korea International Trade Association (KITA) Monday, out of the 184 cases of regulations worldwide on imports from Korea last year, steel products accounted for nearly half, with 90 cases. Trade sources here say there are reasons Korea's steel industry is bearing the brunt of revived trade protectionism across the world. Basically, there is a global capacity glut and overproduction. The Organization for Economic Cooperation and Development says global steel overproduction was 700 million tons last year, with China accounting for more than 60 percent of it. Nevertheless, few countries can give up steel production because it still constitutes the backbone of other manufacturing sectors, such as automobiles, shipbuilding and machinery, the experts say. The U.S. is imposing the most import restrictions on Korean steel and metal exports, with 19 cases, which some observers here attribute in part to the U.S. elections, which force candidates to respond to the sentiments of the domestic steel industry. There have been similar examples before. In February 2002, the George W. Bush administration invoked safeguard, or emergency import restraints, on foreign steel products at the request of U.S. steelmakers. Washington withdrew the restraints the following year, in the face of a World Trade Organization ruling that the safeguard was unfair. But the U.S. industry continued to put pressure on the government to maintain the import restraints, trying to use trade restrictions to make up for weak U.S. competitiveness. "This time, too, U.S. politicians will not be able to ignore pressure from voters, particularly in the steel states such as Pennsylvania and Ohio, to protect the domestic industry and increase employment," said Professor Choi Nam-seok of Chonbuk National University. Industrial and voter pressure on politicians often creates contradictory situations in which the U.S. government regulates imports, even though the world's largest economy needs them. "In the aftermath of the shale gas boom, which sharply increased the demand for steel pipes for oil wells, the U.S. increased imports from Korea and China, which were slapped with anti-dumping duties in 2014, however," said KITA researcher Je Hyun-jeong. "Despite the supply shortage in U.S. industry, American companies wanted to keep foreign rivals in check." Korean experts say the steel industry needs to prepare for risks through pre-emptive restructuring. "Steelmaking is a capital-intensive industry, so it cannot cope with market changes in a few years," said Chung Eun-mi, a fellow at the Korea Institute of Industrial Economics and Trade. "Korean makers ought to cope with import regulations abroad by correctly forecasting steel products whose demands are expected to rise and shifting production resources to those areas." CEO Park suspected of playing role in Volkswagen emissions scandal By Lee Hyo-sik Renault Samsung is facing increasing uncertainty as its CEO Park Dong-hoon has run into trouble with the law for his previous role as the head of Audi-Volkswagen Korea. Park, who has been summoned twice by the prosecution for questioning, is suspected of playing a key part in the German automaker's sales here of diesel vehicles rigged to cheat emissions tests under his watch from 2005 to 2013. If it is confirmed that he was involved in Volkswagen's emissions cheating scandal, this could adversely affect the Korean unit of French automaker Renault, which has seen its sales soar on the back of the growing popularity of the SM6 midsize sedan and the QM3 compact SUV. "Park, who became the CEO of Renault Samsung Motors in April, has largely been credited for the carmaker's rebound in recent months," said an industry official, who declined to be named. "If he becomes unable to perform his duties because of what he did as head of Volkswagen Korea, this will deal a severe blow to Renault Samsung." The CEO was summoned for the second time last Friday, three days after his first appearance at the Seoul Central District Prosecutors' Office, for his alleged involvement in the now notorious Volkswagen emissions scandal. According to the prosecution, knowing the company had cheated on emissions tests by using software to detect when a test is being performed, investigators questioned him about whether he had anything to do with the import and sales of the Volkswagen models. Park, who joined Renault Samsung as vice president of sales in August 2013, was also quizzed over whether officials at the German headquarters were involved in the sales of the firm's diesel-engine cars in Korea. Last month, prosecutors arrested an executive of the company, identified only by his surname Yoon, on a string of charges, including forging documents and violating the Clean Air Conservation Act. They also plan to summon Audi-Volkswagen Korea CEO Johannes Thammer, Volkswagen Korea CEO Thomas Kuehl and other non-Korean senior executives. Despite the growing suspicion over Park's alleged involvement in the Volkswagen emissions scandal, Renault Samsung said business has been as usual. "Even though the CEO is facing a prosecution investigation, everybody at Renault Samsung Motors is doing what he or she is supposed to do," a company spokesman said. "We cannot comment about the ongoing investigation. What we can say is that nothing has changed and that we will continue to serve our customers the best way we can." In the first six months of this year, Renault Samsung sold 37,260 cars here, up 25.9 percent from a year earlier, on the back of better-than-expected performances of the SM6 sedan and QM3 SUV. The company expects to continue posting strong sales in the second half by introducing the new SM7 taxi model and the QM6 SUV. By Lee Hyo-sik The government's recent decision to allow a high-tech U.S. antiballistic-missile interception system to be deployed in Korea is wreaking havoc on shares of cosmetics, tourism and other industries that heavily depend on Chinese consumers. Stocks sensitive to the China factor will likely continue to face downward pressure until Asia's fourth-largest economy settles its differences with its larger neighbor, which fiercely opposes the deployment of the Terminal High Altitude Area Defense (THAAD) system here, according to analysts, Monday. They say the sales of cosmetics makers, travel agencies, casinos and other China-dependent businesses could decline in the short run as calls are growing for China to retaliate against Korea over the deployment. But in the long run, the affected businesses will likely recover their momentum when bilateral relations return to normal, the analysts said. Shares of AmorePacific, Korea's largest cosmetics maker, closed flat at 421,500 won per share Monday, while the benchmark KOSPI rose 1.3 percent, or 25.44 points, to 1,988.54. Last Friday, its stock plunged 4.4 percent or 19,500 won. Shares of LG Household & Health Care dropped 3.55 percent, or 40,000 won, to 1,088,000 won per share. The stock also fell 4.5 percent last Friday. Shares of Kolmar Korea and other local cosmetics firms also declined for two consecutive trading sessions. "Investors dumped cosmetics stocks for two straight sessions over fears that sales in China could decrease because of Chinese opposition to THAAD," Kyobo Securities analyst Seo Young-wha said. "The number of Chinese visitors may also decline, adversely affecting domestic cosmetics sales." However, given what Japanese consumer goods makers have experienced in the past when Japan and China ties deteriorated, Seo said the sales of Korean beauty products to Chinese consumers will likely recover to pre-crisis levels. Travel agencies, casinos and other hospitality-related businesses are also feared to be negatively affected by Korea's adoption of the U.S. air defense system, analysts said. "It is too early to say whether THAAD will discourage Chinese people from visiting Korea. But certainly the news does not help the nation lure more Chinese travelers," Samsung Securities analyst Yang Il-woo said. "Even excluding the THAAD factor, the number of Chinese visitors is projected to grow at a slower pace in the coming years." Shares of Hana Tour, Korea's largest tour agency, declined 2.63 percent, or 2,100 won, to 77,800 won per share Monday. Investors also sold shares of GKL, the operator of the foreigner-only Seven Luck casinos in Seoul and Busan, which fell 3.48 percent, or 900 won, to 24,950 won, over growing concerns that worsening Korea-China ties could slash the number of Chinese visitors. By Jhoo Dong-chan Gwangju Mayor Yoon Jang-hyun has welcomed the finance ministry's approval for the city's plan to become a car-making cluster, praising the decision which will not only increase job opportunities but also contribute greatly to the nation's eco-friendly car industry. "The government as well as political and business circles have confirmed that Gwangju is the right place to incubate domestic carmakers' ambitions for hydrogen-powered and electric vehicles," Yoon said Monday. "The preliminary assessment has approved what Gwangju can offer, a flexible labor-management relationship and reasonable wage standard along with responsible management." During a previous interview with The Korea Times, Yoon emphasized the importance of the car industry to the city's economy, claiming it will "revitalize the city if it produces more than 1 million cars a year." Once Gwangju reaches an annual capacity of 1 million cars, auto parts suppliers will eventually move to or near the city, forming a car production cluster like Ulsan's. It will be a huge boost to youth employment in the area, he added. The southwestern city's annual production capacity is currently 620,000 vehicles, assembled at the automotive plants of Kia Motors. Despite the sagging global economy, the perspective is favorable as carmakers prepare to answer the coming era of eco-friendly vehicles. Kia Motors' popular Soul electric vehicle (EV) has also been produced here since 2014, offering an advantageous environment for the development of more eco-friendly cars. Proximity to Korea Electric Power Corp., which is headquartered in Naju, South Jeolla Province, only a half-hour drive from Gwangju, is another advantage for carmakers developing not only EVs but also high-speed battery chargers for such cars. In March, China-based carmaker Joylong Automobile signed a memorandum of understanding with Gwangju, under which the Chinese carmaker would invest 250 billion won to build EV manufacturing plants for an annual capacity of 100,000 cars. Mahindra & Mahindra, India's largest carmaker which also owns Korea's smallest carmaker Ssangyong Motor, has also shown interest in Gwangju, and its officials are in close consultation with the city over a similar deal, a city official said. "Gwangju will continue to maintain a business-friendly environment to attract more carmakers. A reasonable wage system and good working hours, a healthy labor-management relationship, education and welfare programs for workers should come first," said Yoon. "As it led the nation's democratization in May 1980, I wish Gwangju will offer an exemplary case for other manufacturing cities that have long suffered from labor disputes." Domestic carmakers, which have disapproved of building more production lines here, shifted their stance a bit after the finance ministry's decision. "It really depends on the hydrogen-powered and EV market," said an industry insider. "I believe it is still not a rational decision for carmakers to enhance domestic production with their current lineups. But if the eco-friendly vehicle market is introduced quicker than anticipated, Gwangju may be an interesting option for domestic carmakers." By Yoon Ja-young With the country about to lose its vice president and chief risk officer (CRO) post at the Asian Infrastructure Investment Bank (AIIB), the administration is facing criticism that its practice of "parachute appointments" is damaging the national interest. The China-led development bank began the hiring process looking for a successor to Hong Kyttack, as it can't leave the post vacant after he took a six-month leave of absence. The Ministry of Strategy and Finance said it will make an effort to help a Korean get a vice president post at the AIIB, but Korea is unlikely to get a second chance. The AIIB downgraded the CRO post to a director-general one, while creating a vice president post in charge of finance. The post is to be taken by France. Despite contributing a 3.8 percent stake in the AIIB, the fifth-largest among 57 members, Korea lost its shot at a vice president post. As five vice presidents make key decisions at the AIIB, Korea's influence in the organization is likely to dwindle. "Parachute appointments," in which the administration appoints insiders to key posts of state-run organizations, regardless of their expertise, is the fundamental problem. It is no secret that top posts are taken by those who helped in the presidential election, or are former governing party lawmakers who lost their seats at the National Assembly. According to analysis by the main opposition Minjoo Party of Korea in 2013 November, 45 percent of 78 chiefs of state-run organizations appointed by President Park Geun-hye were "parachute appointees." The ratio is higher than the 32 percent recorded by former President Lee Myung-bak's administration. When Hong was appointed to lead the Korea Development Bank (KDB), there was criticism that he lacked field experience. Hong, who attended Sogang University with President Park Geun-hye, helped her in the election campaign. The criticism over such appointments was sparked again at the start of investigations into Daewoo Shipbuilding and Marine Engineering, which is under investigation by the prosecution for accounting fraud. The KDB, which is a major shareholder of DSME with a 50 percent stake, failed to properly supervise the company. In an interview with local media, Hong said that KDB was pressured by Cheong Wa Dae to continue supporting the troubled shipbuilder. When Rep. Shim Jae-chul of the governing Saenuri Party said that Hong was an "international parachute," Strategy and Finance Minister Yoo Il-ho said "though Hong lacks experience in the field, he majored in finance. It was the AIIB which made the final decision in appointing Hong." "It is President Park who appointed Hong, her alumni who helped run her election camp, to the position of KDB chief. Now, the president and the government are ignoring the fact that the country is losing the vice president post," the minor opposition People's Party announced. Korean actor Song Joong-ki carries Zhang Ziyi's daughter in this photo posted on Zhang Ziyi's Weibo account. Chinese top actress Zhang Ziyi has spoken very highly of South Korean actor Song Joong-ki on her social media account. "Your 'husband' is really a warm and lovely person. Xingxing, are you pretending to be cool (in Song's embrace)?" she wrote on her Weibo account, the China's equivalent of Twitter, on Saturday. Xingxing is her daughter's nickname, and "husband" is commonly used among Chinese fans to refer to Song as many hope to marry him. She accompanied the message with a photo of Song holding her baby girl. The post went viral, attracting more than 13,000 replies as of Sunday. The "Descendants of the Sun" star Song signed a contract with Chinese cosmetic brand Proya in April, a company that Zhang has also endorsed. (Yonhap) South Korea said Monday that it will strengthen punishment against illegal fishing by Chinese boats as part of the nationwide crackdown efforts on the issue during the busy crab season. Chinese fishing boats trespassing into Korean waters and sweeping fisheries have been an outstanding problem during the mid-year season, causing serious damage to the South Korean fishermen's operations around the northern sea border area, called Northern Limit Line (NLL), where the Chinese fishermen use hit-and-run tactics. The Chinese intrusions have been increasing year by year as this year's peak-season crab caught by South Koreans dropped about 70 percent from a year ago, according to government data. The South Korean military kicked off a crackdown operation to drive out Chinese fishing boats from its territorial waters in June under the authorization of the United Nations Command, which regulates the military buffer zone. On the diplomatic side, Seoul last week called on China to take "effective" and "tangible" countermeasures aimed at resolving the issue. In line with the efforts, the South Korea government will create a task force within the Coast Guard to take charge of the crackdown efforts around the NLL. It will confiscate boats and scrap them, while taking the captain into custody. It will also raise the arrest deposit, or the money that the boat owner has to pay to the South Korea authorities for a release, to 300 million won (US$260,000) from 200 million won starting from September. The government also said it will establish an additional 80 artificial underwater facilities worth 8 billion won to fend off Chinese boats from reaching the west coast of the country. It has spent 2 billion won to build 18 such artificial reefs to counter illicit Chinese fishing for the past four years. (Yonhap) President Park Geun-hye on Monday mounted a strong defense of the recent decision by South Korea and the U.S. to station an advanced antimissile system here, stressing it won't undermine the security interests of any third-party countries. Park also underscored that the decision to station a Terminal High-Altitude Area Defense (THAAD) system in South Korea is a "purely defense measure" to cope with growing nuclear and missile threats from a provocative North Korea. After some five months of consultations, Seoul and Washington announced Friday that they had agreed to deploy a THAAD battery in South Korea. The announcement riled Beijing and Moscow, which have argued THAAD would hurt their security interests. "As (South Korea) has repeatedly said, THAAD will not target any country other than North Korea, and will not encroach upon the security interests of any third country. (We) have no reason to do so," the commander in chief said during a meeting with her senior secretaries. "The international community should understand that Seoul does not have any intention of targeting other countries or threatening other countries," she added. Pointing to Pyongyang's relentless provocations, including its weekend test of a submarine-launched ballistic missile, Park highlighted that the communist regime has been posing "explicit" threats to the South. "Growing nuclear and missile threats are a very critical issue where the future of the Republic of Korea and the lives of our people are at stake," she said. "As president, I have the obligation to protect our people and nation." Korea said Monday that it will strengthen punishment against illegal fishing by Chinese boats as part of the nationwide crackdown efforts on the issue during the busy crab season. Chinese fishing boats trespassing into Korean waters and sweeping fisheries have been an outstanding problem during the mid-year season, causing serious damage to the South Korean fishermen's operations around the northern sea border area, called Northern Limit Line (NLL), where the Chinese fishermen use hit-and-run tactics. The Chinese intrusions have been increasing year by year as this year's peak-season crab caught by South Koreans dropped about 70 percent from a year ago, according to government data. The South Korean military kicked off a crackdown operation to drive out Chinese fishing boats from its territorial waters in June under the authorization of the United Nations Command, which regulates the military buffer zone. On the diplomatic side, Seoul last week called on China to take "effective" and "tangible" countermeasures aimed at resolving the issue. In line with the efforts, the South Korea government will create a task force within the Coast Guard to take charge of the crackdown efforts around the NLL. It will confiscate boats and scrap them, while taking the captain into custody. It will also raise the arrest deposit, or the money that the boat owner has to pay to the South Korea authorities for a release, to 300 million won (US$260,000) from 200 million won starting from September. The government also said it will establish an additional 80 artificial underwater facilities worth 8 billion won to fend off Chinese boats from reaching the west coast of the country. It has spent 2 billion won to build 18 such artificial reefs to counter illicit Chinese fishing for the past four years. (Yonhap) By Choi Sung-jin One of the Park Geun-hye's administration's economic principles has been "zero tolerance" policy toward white collar crimes, particularly those committed by owners of family-controlled conglomerates. That will likely change once again around the National Liberation Day on Aug. 15, which, along with the March 1 Independence Movement Day and a few other national festivities, has long been an occasion to give presidential pardons to "model prisoners." At a Cheong Wa Dae meeting Monday, President Park said she would introduce a special amnesty on the 71st anniversary of the national liberation from Japan's colonial rule, to "unite people's strength and give opportunities to rise again." Park's special pardon, the third of its kind, was decided at her dinner meeting with governing party lawmakers Friday, political sources said. The eyes of business community are on who will be on the list of beneficiaries among well-known entrepreneurs-prisoners. About 10 chaebol owners have been indicted and tried under the two conservative governments. Among those in jail are CJ Group Chairman Lee Jay-hyun, Taekwang Group Chairman Lee Ho-jin, Dongkuk Steel Chairman Chang Se-joo, former Tongyang Group Chairman Hyun Jae-hyun, former STX Group Chairman Kang Duk-soo, SK Group Vice Chairman Chey Jae-won, and LIG Next 1 Vice Chairman, Koo Bon-sang. Hyosung Group Chairman Cho Suk-rai has been indicted without detention on charges of embezzlement and breach of trust. Cho was sentenced to three years' imprisonment at the lower court and his appeal is pending. Shin Young-ja, chairwoman of Lotte Scholarship Foundation, is in custody on charges of taking bribes and embezzlement and is awaiting a trial. Hanwha Group Chairman Kim Seung-youn finished serving his prison term in 2014, but is still in probation. Orion Group Chairman Tam Cheol-gon has been indicted but received a suspended prison term. By Kim Bo-eun South Korea's announcement Friday that it supports the U.S. deploying the Terminal High Altitude Area Defense (THAAD) battery here has created uncertainty in the domestic tourism industry, following China's strong condemnation of the move. Tourism industry sources say the strain on diplomatic ties between the two countries could have an effect on visits by Chinese tourists to Korea, which accounts for the largest percentage among foreign tourists coming here. These forecasts are based on former cases in which diplomatic issues exerted an influence on domestic tourism. After former president Lee Myung-bak visited Dokdo in August 2012 and urged the Japanese emperor to apologize for the nation's colonization of Korea, the number of Japanese tourists to Korea sharply declined, from 3.52 million in 2012 to 2.74 million in 2013. Defense Minister Han Min-koo By Kang Seung-woo Military analysts raised doubts over Defense Minister Han Min-koo's claim that the Terminal High Altitude Area Defense (THAAD) system can intercept North Korea's submarine-launched ballistic missiles (SLBMs), Monday. In the wake of Pyongyang's latest test-firing of an SLBM off its east coast Saturday, the minister said Sunday that the these can be destroyed by the missile defense system that Seoul and Washington decided last week to deploy here. However, analysts believe that its capability against SLBMs is limited, adding that such an SLBM interception can happen when a target only comes within radar coverage. According to the defense ministry, THAAD's X-band radar has a 120 degree field of view. "As the THAAD radar can only offer a 120 degree azimuth, not 360 degrees, it has limitations in tracking SLBMs," said Yang Uk, a senior research fellow at the Korea Defense and Security Forum. "THAAD will be deployed to mainly counter North Korea's ground-to-ground missiles at the terminal phase and it is not an ideal countermeasure to the SLBMs." By Kim Hyo-jin Rival parties clashed Monday over the government's decision to allow the United States Forces Korea to deploy a Terminal High Altitude Area Defense (THAAD) battery here. The ruling Saenuri Party supported the decision, claiming it is a "self-defense measure" to ensure national security against North Korea's missile threats. But opposition parties denounced the plan, saying it lacks public consensus and could put relations with China and Russia in danger. Minor opposition parties called for a parliamentary ratification or a referendum to settle the controversy. However, the main opposition Minjoo Party of Korea (MPK) remained cautious about the demand, saying there should be further discussion between the government and the Assembly. "There was no process of seeking public consensus so it fueled public anger. And pre-consultation with the neighboring countries was disregarded drawing strong protests from China and Russia," said MPK's floor leader Rep. Woo Sang-ho during the Assembly's defense committee meeting. "It was a policy debacle of the Park Geun-hye government." The MPK also raised questions over the efficacy of THAAD as a defensive measure against the North's missiles targeting the South. "It is absurd to deploy a battery that would shoot down ballistic missiles at a high altitude when they are unlikely to target the South," said MPK lawmaker Lee Jong-kul. Meanwhile, Rep. Ahn Cheol-soo, former co-chairman of the People's Party, continued insisting that the decision to push ahead with the deployment should be put to a referendum. "There are more things to lose than gain," Ahn told reporters. "I believe a referendum can be a way-out for the President to overcome deepening public division over the issue." Ahn called on the government to consider carrying out a referendum, voicing concerns over the unfavorable impact on relations with China and the cost of the THAAD operation in a press release, Sunday. The People's Party stepped up an offensive against the government, arguing that the government should seek a parliamentary ratification if it hopes to push ahead with the plan. "If it requires the country to lend part of our territory and budget to deploy THAAD, it becomes a subject that needs the Assembly's consent," Park said during a party meeting, referring to Article 60 of the Constitution. He contends that the article can be applied to the case of THAAD deployment, though it specifically has to do with treaties. It stipulates that the Assembly has the right to consent to the conclusion and ratification of treaties pertaining to any restriction in sovereignty or treaties which will burden the people with an important financial obligation. However, Rep. Kim Chong-in, the interim leader of the main opposition party dismissed such calls as far-stretched opinions, dimming the prospect of a collective move by the opposition bloc. "The government should put more effort into persuading the public while going through intensive discussions with the parliament," Kim said. "Otherwise, the process of deploying the battery at a certain location could be a tough road." Ukraine should reduce energy consumption by 5% every year, European Commissioner for Neighborhood Policy and Enlargement Johannes Hahn has said. Energy efficiency is one of the key goals of our joint initiatives, he said. Our goal is to improve energy efficiency by 5% every year, Hahn said at a press conference in Kyiv on Monday. He said that Ukraine should focus on energy efficiency measures in private houses. He said that several previous winters in Ukraine were warm, but this would not last forever. By Kim Hyo-jin The National Election Commission (NEC) is being criticized by opposition parties for using a double standard in handling corruption allegations in the ruling Saenuri Party and the minor opposition People's Party. The election watchdog claimed Friday that, in the run-up to the April 13 general election, one of the ruling party's campaign officials received 39 video clips about candidates for free from a PR company in the "form of a bribe." Under a 385 million won ($335,750) contract with the party, the unnamed company produced four TV commercials for the party. However, the firm additionally provided video footage worth 80 million won for free to Cho Dong-won, then the party's public relations director, the NEC said. "The NEC distributed a press release about this case at 6:30 p.m. after the deadline of many media outlets while its press release about a similar corruption case involving our party members came out at 9:30 a.m.," People's Party floor leader Rep. Park Jie-won said. "In addition, the NEC refused to investigate the total amount the ruling party spent on campaign ads, which would be much higher than other parties spent. Its calculation of the value of the free video footage is also not appropriate. "Our party strongly denounces the NEC's sloppy and lopsided response to Saenuri's corruption case," Park said. The People's Party raised questions why the NEC did not put the campaign fees under as much scrutiny as it did for the opposition parties, and why it didn't look into the purpose of the free benefits. Park said the People's Party, along with the main opposition Minjoo Party of Korea, will call for a meeting of the Assembly's Security and Public Administration Committee to question NEC officials. Meanwhile, the Saenuri Party said it will actively cooperate in the prosecution's investigation of the NEC's claims, but denied that the free video footage was a form of bribery. Saenuri's corruption scandal came as incumbent lawmakers of the People's Party Reps. Park Sun-sook and Kim Su-min are facing detention for allegedly receiving kickbacks ahead of the election. Unification Minister Hong Yong-pyo poses with children during a ceremony to mark "Unification Week," an annual campaign aimed at nurturing learning experience on inter-Korean unification, at Nine Tree Convention Gwanghwamun in Jongno-gu, downtown Seoul, in May. / Courtesy of Institute for Unification By Yi Whan-woo Younger generations in South Korea are less supportive than older people about unification with North Korea, according to a recent report from Seoul National University's Institute for Peace and Unification Studies. A total of 48.4 percent of those aged 20-34 last year responded that inter-Korean unification was necessary in the annual report, titled "Unification Attitude Survey 2015." During the same time period, 55.7 percent of the people aged 35-44 viewed unification as necessary, while 63 percent of those aged 45-54, and 72.5 percent of those aged 55-74 thought the same. The four age groups were divided accordingly depending on incidents linked to inter-Korean relations and the Cold War that took place when they were teenagers. Some of the incidents include the fall of Saigon (1975), the death of North Korean founding leader Kim Il-sung (1994), the first inter-Korean summit (2000), and North Korea's deadly torpedo attack on the South Korean naval frigate Cheonan (2010). Support for unification in 2015 was lower than 2014 in all age groups, except for those aged 55-74. A teenager girl and her father hold unfold pieces of placards that read "Let's make friends in North Korea" while participating in a program during "Unification Week" in May at Gwanghwamun Square in downtown Seoul. / Korea Times In 2014, 55.9 percent of those aged 20-34, 63.4 percent of those aged 35-44, 68.2 percent of those aged 45-54, and 72.2 percent of those 55-74 responded positively toward a single Korea, respectively. The report claimed that people aged 55 or older or those born in 1960 or before were likely to follow the government's policies on inter-Korean relations. The report also concluded that President Park Geun-hye's theory of "unification as a jackpot" announced in 2014 may have influenced those in this age bracket to be more positive about unification the following year. The President said unification would be a huge boon for South Korea's economic growth and likened the potential benefits to hitting the jackpot. Meanwhile, indifference toward unification has been rising among those aged 20-34. In 2007, 26.4 percent in this group responded that they are satisfied with Seoul's relations with Pyongyang or were not interested in unification. The percentage rose to 27.3 in 2008 and 32.5 in 2009. The rate fell to 29 percent in 2010 but bounced back to 32.3 percent a year later before retreating slightly to 31.7 percent in 2012, then climbing to 34.3 percent in 2013, 36.89 percent in 2014 and 45.3 percent in 2015. Only 17.7 percent of those aged 55-74 said they were indifferent toward unification last year, while 27.8 percent of those aged 45-54 and 32.3 percent of those aged 35-44 responded the same way. "In this climate, unification is unlikely to be a jackpot unless there is a notable change in inter-Korean ties," the report stated. It raised concerns about growing hostility toward North Korea regardless of people's ages, saying, "Such sentiment will make Seoul's pursuit of peaceful unification difficult." Between 2007 and 2015, the overall rate of people from the four age groups who viewed North Korea as "a partner for cooperation" fell from 50 percent to 85 percent, according to the report. Over the same time period, those who regarded North Korea as a "hostile country that they should keep an eye on" rose from 20 percent to 40 percent. Unification education The Ministry of Unification, which handles inter-Korean affairs, said it has been bolstering efforts among first and secondary school students to promote the importance of a single Korea Since 2013, it has been running "Unification Week," a program of special classes and programs about unification during the last week of May. About 15,000 elementary, middle and high schools joined the campaign this year. Unification Minister Hong Yong-pyo gave a lecture at a high school on Jeju Island while other ministry officials gave lectures in other schools. "There's no doubt that the Korean people should live as one as we did for thousands of years in our history," Hong said. "We should keep in mind that unification is our historical mission, and education will serve as a tool to realize our goal." During Unification Week, the government held an exhibition at Gwanghwamun Square in downtown Seoul. It offered a wide range of programs, such as drawing contests for first and secondary school students to get a better understanding on inter-Korean relations. In other programs, selected university students were invited to join a model Cabinet meeting and share their thoughts on North Korea policies at the Institute for Unification, a government-run research center in Seoul. "I hope Unification Week' will contribute to opening the era of unification," Hong said. The decision to go to war is the most solemn and grave that a leader makes. It's about thousands of lives, billions of dollars and the judgment of history and about unforeseen consequences and failed exit strategies as events Wednesday on opposite sides of the Atlantic made clear again. In London, a seven-year inquiry concluded that then-British Prime Minister Tony Blair joined George W. Bush in the 2003 invasion of Iraq based on flawed intelligence and "wholly inadequate" planning. The report is another withering verdict on the Bush-Cheney administration for leading America into war with lies about Iraqi dictator Saddam Hussein having weapons of mass destruction. In Washington, D.C., President Barack Obama announced that more U.S. troops will stay in Afghanistan than planned 8,400 when he leaves office in January because the Taliban are still a threat nearly 15 years on from the original invasion after 9/11. He picked a middle ground between the 9,800 troops there now and the 5,500 he proposed earlier, though military leaders and GOP leaders in Congress wanted more. "It is in our national security interest especially after all the blood and treasure we've invested in Afghanistan over the years that we give our Afghan partners the very best opportunity to succeed," the president said. But that means America's longest war isn't over yet, and that Obama won't really keep his promise to end the wars in Afghanistan and Iraq. While he declared the combat mission over in December 2014 and while the remaining troops have a limited role, they're still fighting and dying 38 in the past 18 months, bringing total U.S. deaths since 2001 to nearly 2,400. That tragic toll for a war that was justified is horrible enough. For a war that wasn't, it's unconscionable. The six years of war in Iraq left 179 British soldiers, nearly 4,500 Americans and more than 100,000 Iraqis dead. Now, the government is battling the Islamic State. The official government report in Britain did not explicitly declare the Iraq war illegal, which might have led to Blair being prosecuted as a war criminal. Blair said that he regretted all that had gone wrong and that his decision was the "hardest, most momentous, most agonizing" in his decade as prime minister. But the report made clear: "Military action at that time was not a last resort." War should be a last resort. Before November, Hillary Clinton and Donald Trump need to tell voters in detail under what circumstances they would take America to war again. This editorial appeared on the Sacramento Bee and was distributed by Tribune Content Agency, LLC. The recent decision by South Korea and the United States to deploy an advanced anti-missile defense system on the peninsula is part of "self-defense" efforts against evolving nuclear and missile threats from North Korea, Seoul's top diplomat said Monday. Foreign Minister Yun Byung-se also said in his speech at the National Assembly that the country will take every possible measure in the face of the North's continued pursuit of weapons of mass destruction. "At a time when the North is upgrading its nuclear and missile capacity and publicly expressing its intention for a nuclear strike, (the decision) is a self-defense action aimed at protecting our national security and life," Yun told lawmakers. "Going forward, the government will take every possible measure to cope with the North's nuclear and missile capacity," he added. On Friday, South Korea and the United States announced that the countries have agreed to deploy the Terminal High Altitude Area Defense (THAAD) missile interception system in order to upgrade the military allies' defense against North Korea's nuclear and missile capabilities. Exactly when and where the missile systems will be placed has not been disclosed yet. Civic activists protest in Gwanghwamun Square in downtown Seoul, Monday, against a decision by South Korea and the United States to deploy a Terminal High Altitude Area Defense (THAAD) battery here. / Korea Times photo by Choi Won-suk By Yi Whan-woo Confusion is growing over the location for a Terminal High Altitude Area Defense (THAAD) battery as the government, which reportedly has already chosen the site, is delaying its announcement amid growing protests from residents. On Friday, the Ministry of Defense said it needs "a couple more weeks" before picking the site jointly with the U.S. Forces Korea (USFK). Defense Minister Han Min-koo said Sunday that the location has already been picked, and will be announced soon. Some other military and government officials then said the number of candidate sites has been narrowed down to "one-digit." Citing health risks associated with electromagnetic radiation emitted by THAAD's radar, residents at mentioned candidate locations have been protesting. Those areas include locations where U.S. troops are stationed, such as Pyeongtaek in Gyeonggi Province, Wonju in Gangwon Province, Waegwan in North Gyeongsang Province and Gunsan in North Jeolla Province. There are also two sites with no U.S. military presence Eumseong County in North Chungcheong Province and Beolgyo in South Jeolla Province. Rumors have circulated that the government has considered Eumseong and Bulgyo as candidate sites in order to avoid anti-U.S. rallies around U.S. military bases. North Korea on Monday warned it will take "physical" actions against South Korea and the United States over the allies' decision to deploy an advanced U.S. missile defense system in the South. North Korea's military issued a strong warning that it will deliver military strikes from the moment the two countries decide on where to place the Terminal High Altitude Area Defense (THAAD) system in South Korea, according to the Korean Central News Agency (KCNA). "We once again warn the enemies that it is the steadfast will of the Korean People's Army (KPA) to make merciless retaliatory strikes to reduce South Korea to a sea of flames and debris once an order is issued," the military's artillery bureau was quoted as saying by the KCNA. It marked the communist country's first reaction to the allies' decision reached on Friday to set up the system in South Korea, where about 28,500 American troops are stationed. South Korea's defense ministry immediately hit back at the North's threat. "North Korea needs to clearly see who is responsible for putting the Korean Peninsula's peace and security at risk before criticizing the THAAD deployment decision," Moon Sang-gyun, spokesman at the Ministry of National Defense, said in a briefing. "If North Korea continues its groundless claims and rash actions in defiance of our warnings, it will have to face our military's stringent retaliation," he noted. The defense ministry is expected to announce the site for the deployment within a few weeks. The deployment is aimed at countering the North's evolving missile threats amid concerns about the technical progress of North Korea's nuclear and missile programs. Last month, the communist regime claimed the successful launch of an intermediate-range ballistic missile, saying it has the capacity to strike U.S. forces in the Pacific region. On Saturday, the North test-fired a submarine-launched ballistic missile (SLBM) off its east coast, marking the fifth attempt since last May, according to the South Korean military. The country's SLBM launches have not been successful so far, but experts said if North Korea accomplishes technical advances, the missiles could serve as a fresh threat to regional security. It is very difficult to counter submarine launched ballistic missiles, although South Korean Defense Minister Han Min-koo on Sunday said the THAAD system will be capable of intercepting such a threat. China and Russia have explicitly expressed opposition against the THAAD deployment in South Korea on concerns that the move could hurt their strategic security interests. Beijing claims that the system can be used against it on concerns that the powerful X-band radar that comes with THAAD could spy on China's military. Washington said that THAAD is a purely defensive system aimed only at North Korea's evolving threats. In March, the U.N. Security Council imposed its toughest sanctions to date on North Korea for its fourth nuclear test and long-range rocket launch in the following month. The United States has maintained a significant troop presence in South Korea following the Korean War (1950-53), which ended in a truce, not a peace treaty, leaving the divided peninsula still technically at war. (Yonhap) /Courtesy of Twitter By Lee Han-soo North Korean citizens are angry about high-ranking officials' wives being appointed as "market managers" according to Radio Free Asia (RFA), the U.S. government-owned international broadcaster. "Market managers are elected by local authorities in regions or sectors," a source from North Hamgyeong Province told RFA. "Usually wives of high-ranking officials are elected and they rule the market with an iron fist, using their husbands' power." According to the source, the market managers regularly confiscate goods from merchants. Recently, a manager confiscated 200 pieces of clothing from a vendor who failed to remove South Korean tags from the items. "Merchants are now saying that the market managers are much more vicious and scarier than state security officials," said the source. "The central government has issued a decree to disband all illegal flea markets, but the cruelty of the market managers is forcing merchants to form flea markets." Jang Sin-joong, the former chief of the Gangneung Police Station in Gangwon Province / Korea Times By Kang Hyun-kyung There are two bathing facilities in the basement of the National Police Agency (NPA) in Seoul one for senior superintendents and higher and the other for lower-ranking officials. Depending on seniority, it takes 23 years or so for those who start their careers as entry-level police officers to rise to the senior superintendent position. The sizes of the two bathing facilities are about the same. The number of people using them, however, differs significantly. Around 50 people are permitted to use the bathhouse for upper-ranking officials, whereas the other facility is always crowded because over 1,200 law enforcement officials are eligible to use it. Jang Sin-joong, a retired senior superintendent, said there is a caste-like social stratification in place inside the police organization and the eligibilities for the two bathing facilities inside the NPA are an example of discrimination based on rank. Just as members of lower castes are prohibited from sharing restaurants or other facilities of the upper caste, he said lower-ranking police officials are not allowed to use the facilities for police "executives." In general, senior inspectors and higher are considered executives in the police. "It's ridiculous that upper- and lower-ranking officials are required to use separate bathing facilities. But such a discriminative practice has continued for decades in the name of hierarchy," he said. "There is a chain of command in every organization and I agree that it is necessary for the operation of the organization. But what we see in the police is a dysfunctional hierarchy which is not acceptable these days." According to him, segregation still exists inside police forces. Lower-ranking officials are not supposed to eat lunch or dinner in the restaurants preferred by the upper-ranking officials. "There are bedrooms inside the police agency for those who work night shifts. Bedrooms for senior officers are not available for lower-ranking officials," he said. The left photo reads "Bathroom for police executives." There are two bathing facilities in the basement of the National Police Agency in Seoul one for senior superintendents and higher and the other for lowerranking officers. The right photo reads: "Bedroom for police executives." / Jang Sin-joong photo Jang, the former chief of the Gangneung Police Station in Gangwon Province before he retired from the police force in 2013, is a self-described guardian angel for frontline police officers. They suffer work-related stress and are even exposed to human rights violations in their workplaces, he said. Last year, he opened the Cyber Human Rights Center for police officers and posted stories about discriminative practices inside the police force to raise public awareness of police officers' rights. Some 15,000 Facebook users follow him. "In the police, rank determines everything and this results in some abusive practices," he said. According to him, social stratification inside the police has created dysfunctional culture. When law enforcement officials are elevated to the position of superintendent-general, an assistant staffer is assigned to them. The staffer covers extensive duties from doing housework on behalf of their boss's family to assisting their boss in work-related matters. "It happens that those assistants wait for their bosses outside restaurants until they finish meals. Once their bosses finish, the assisting staff hand over a toothbrush and toothpaste to them so that they can brush their teeth," Jang said. "Some of them even take care of their housework or pay utility bills on behalf of their bosses' families." Jang said the improper practices and demanding working conditions facing frontline police officers may play a part in the high suicide rate among police officers, which is well above the nation's average. Korea has the highest suicide rate among OECD member nations. An average of 29.1 per 100,000 Koreans committed suicide in 2012, meaning that one out of just over 3,400 people here committed suicide. According to Rep. Cho Won-jin of the Saenuri Party, one out of every 2,000 police officers commits suicide. He attributed the tough working conditions to their higher suicide rate. Jang, 61, has become a newsmaker since he disclosed the police authorities' alleged attempt to cover up a sex scandal involving a former Busan-based police officer in charge of school crime. A 33-year-old school resource officer identified only by his last name Chang had allegedly maintained inappropriate relations with a high school student and resigned after the misconduct was reported to his boss at Yeonje Police Station in Busan. Jang made the sex scandal public on Facebook on June 24 and urged the heads of the National Police Agency and Busan Police Agency to take responsibility for the alleged cover up of the case. Jang said it is highly likely that the police leadership was aware of the scandal and they directed their subordinates not to make it public because of the possible consequence to their careers. The sex case and the police response to it has since caused a stir, and now an internal investigation has been initiated. Jang is critical of the school resource officer program, also known as "school police" in Korea. He said the idea of sending hundreds of police officers to local schools to respond to school crime is a shortsighted, unrealistic initiative that came from high-ranking officials having no understanding of school crime. According to him, the main duties of the school resource officers are offering counseling services to the victims of bullying or school crime. Young, good-looking police officers are selected for the jobs and they are assigned to each school after having only one week of counseling training. Their lack of prior experience in counseling makes it difficult for them to help children in distress. As an independent activist, Jang said his ultimate goal is to correct wrongdoings inside the police force, including discrimination based on rank, and to improve working conditions for police officers. "Korea is one of the world's safest countries. I think we couldn't have achieved such a strong reputation in public safety without the sacrifices of young police officers," he said. "They are the heroes. But for some reason their roles are forgotten, which is regrettable." Former police officers said that discrimination based on rank inside the police force does exist. Retired senior inspector Jeon Kyung-soo, the founder and former president of the retired police officers' association Rose of Sharon Club, said that perks given to graduates of the Korean National Police University (KNPU) are partly responsible for the discriminative practices. "KNPA graduates start their career in the police as inspectors," he said. "This benefit is a sort of discrimination, considering that many of the law enforcement officials who entered the police force after passing the state exam are also college graduates. It takes almost 21 years for them to rise to inspectors." Since he and likeminded former police officers founded the Rose of Sharon Club in 2005 to fight for better treatment of police officers, the group has campaigned for the abolition of the KNPU. The abolition of the academy has been a touchy issue since the early 2000s. A 2005 Korea Society Opinion Institute survey showed 56 percent of law enforcement officials supported the abolition of the police academy, while 41 percent opposed. It also found that opinions on the issue were poles apart between higher- and lower-ranking officials. The rate for opposition of the abolition of the KPNU was much higher in the former group, whereas nearly 65 percent of assistant inspectors or lower-ranked officers backed its closure. By Dr. Jeffrey I. Kim The entire world was shocked by the breaking news that the U.K. voted for an exit from the EU. As a result, European economies are now facing great uncertainties and high economic risk. Emerging market economies including China, Russia, India, and other countries in South America are likely to experience slower GDP growth and exports. To stop the spreading adverse effects of the Brexit to neighboring regions of the world, some measures must be done properly and quickly. Not only will individual governments have to undertake necessary action but also global organizations such as the IMF and the World Bank should make concerted efforts to prevent an international economic disaster from happening. Last week I attended the 91st Annual Conference of Western Economic Association International held in Portland Oregon with a group of about 20 Korean scholars. The conference was attended by more than 1,200 economists from around the world. I was invited to chair a session on the Asian economy. Also I presented a paper on macroeconomic policies including exchange rate policy for emerging market economies, and discussed other papers presented. I was particularly interested in one paper coauthored by Agbola and Liu. The purpose of the paper was to investigate the relationship between foreign direct investment (FDI) and trade. The authors tried to figure out whether FDI was a substitution for or complementary to exports. If the correlation is statistically positive, it means that FDI and exports move in the same direction. In other words, FDI is complementary to exports. They examined China's FDI flows and exports for 2003 to 2013 and found evidence that FDI had a positive influence on China's exports. This is an interesting finding. According to existing economic literature, FDI can be either complementary to or a substitution for exports depending on the type. Economists in the early period argued that trade and factor movements were perfect substitutes in the long run. This argument is based on the premise that the international movement of production factors such as capital and labor would lead to an abatement of the differences in resource endowment. This then leads to the identical endowment ratio factor for the two countries, which means that there will be little trade. But this is a very hypothetical statement. In a real sense, FDI is one example of international movement factors. FDI is a controlling ownership in a business enterprise in the host country by an entity based in the home country. In a broad sense, FDI includes (1) building new factories and facilities; (2) reinvesting profits earned from overseas operations; (3) merger and acquisitions (M&A); and (4) loans from the mother company in the home country to affiliated companies in the host country. FDI involves participation in management, joint-ventures, transfer of technology and expertise. It also provides on-the-job training for workers. Actually the relationship between FDI and exports depends on the type of FDI. If the foreign-invested companies produce the same product they used to export to the host country, then the home country's exports fall. So the relationship is negative. If they produce intermediate goods in the host country and send them back to their home country for production of export products, then the home country's outbound FDI contributes to export expansion. From the standpoint of the host country, it does not matter if the foreign-invested companies produce the final product or the intermediate goods. It can be argued that if whatever the foreign companies produce in the home country is exported to the home country or to a third country, inbound FDI expands the export volume of the host country. This argument certainly applies to Korea. For Korea, its exports have slowed these years although it has been running a moderate trade surplus. Fortunately, however, Korea is doing well in FDI attraction. As of end of June this year, Korea's inbound FDI hit a record high of $10.5 billion. This figure is almost the half the annual FDI target for 2016. Furthermore, FDI brings with it non-economic benefits as well. Foreign investors while living in the host country can exert a positive influence on cultural, diplomatic, and security relationships. FDI is the key to sustained exports and growth. Dr. Jeffrey I. Kim is a foreign investment ombudsman, a presidentially appointed troubleshooter for investors and entrepreneurs from overseas. He earned a Ph.D. in economics from the University of Chicago and taught at the University of Colorado, Boulder and Sungkyunkwan University. Japan has moved a step closer to what it claims to be a normal country that can wage war as its ruling coalition emerged victorious in Sunday's upper house election. Combined with other conservative politicians, the Liberal Democratic Party and the Buddhist-backed Komeito won a two-thirds majority in the House of Councilors. Because the ruling coalition already has such a super majority in the House of Representatives, the lower chamber, Prime Minister Shinzo Abe has secured the strength to propose a referendum to amend the postwar pacifist Constitution imposed by the United States after World War II. The coalition's victory primarily resulted from the weakness of the opposition as voters passively approved "Abenomics" with no alternatives. Growing fears about North Korea's nuclear and missile threats and China's military assertiveness also appear to have affected the election outcome. Japan lowered the voting age from 20 to 18 for the first time to attract young voters, but the voter turnout remained low amid their disillusionment with mainstream politics. Sunday's election has paved the way for Abe to have a long hold on power and continue his economic policies centered on easy money and expanded fiscal outlays. Most importantly, however, the far-right prime minister will step up his call to revise the Constitution that outlaws war as a means to settle international disputes and limits its military to a self-defense role. This concern is well-founded, considering that Abe has been ramping up his voice about the need to change the Constitution since taking power in late 2012. In July 2014, in particular, the Abe government even approved a constitutional reinterpretation that would enable the Japan Self-Defense Forces to defend other allies in case of war being declared upon them. Even so, it's unlikely that Abe will rush to revise the Constitution anytime soon. First of all, he must pay heed to the fact that any such revision will need a majority in a national referendum at a time when many Japanese are supportive of the Constitution's pacifist ideals. As Abe pointed out in post-election press interviews, it would be premature to claim that the ruling coalition won endorsement for pushing the constitutional change because the latest election was primarily about economic matters. Japan's obsession with the revision will obviously cause serious conflicts with Korea, China and other Asian countries, given their stinging memories of imperial Japan's World War II brutalities. Certainly, it will be none other than sensible Japanese people who can put the brakes on the resurgence of Japan's militarism. NATO package for Ukraine to be financed through trust funds and bilateral projects - Poroshenko NATO's multifaceted assistance package for Ukraine will be financed through special trust funds and bilateral programs, Ukrainian President Petro Poroshenko has said. "Never in the history of NATO has such significant assistance been rendered to a country. This represents support in 40 spheres including security, operation integration, as well as in the defense sector. The assistance will be rendered via special trust funds. The landmine removal fund was opened today, and the bilateral program has begun to operate," Poroshenko said on Saturday during at a press conference with NATO Secretary General Jens Stoltenberg. Ukrainian Foreign Minister Pavlo Klimkin commented on the NATO assistance package, which can be easily used. "Ukraine will receive a multifaceted assistance package from NATO. It is comprised of 13 main programs and 40 projects to render concrete assistance. The document is changeable and will be augmented by NATO and NATO members, who give Ukraine as much as it can EFFECTIVELY use," Klimkin wrote on his Twitter account on Saturday. Klimkin said that NATO will provide strategic coordination of the assistance programs and the sides will work to reform Ukraine's defense and security sectors to bring them in line with NATO standards. "I think that most of the work in the assistance package will be completed by 2020, that is, in four years," Klimkin said. By Andrew Salmon Yet again, Korea is being rebranded. We have the brilliant minds at the Ministry of Culture and Tourism to thank for fanfare, please the new national slogan "Creative Korea." This initiative raises several questions. Firstly: Do we really need a rebrand, given that the old (though underused) international brand, "Dynamic Korea," still remains so relevant for most sectors of economy and society? Secondly: Why did the culture ministry undertake this task? According to reports, this slogan is not just for tourism, it is to be the over-arching national brand. Did the ministry coordinate with the agencies that oversee sectors where international stakeholders' roles are critical eg the ministries responsible for exports and foreign investment promotion? I doubt it. After all, Korea, Inc., is many things but "creative" is not among them. The nation's economic engine is the manufacturing sector: tech components, autos, consumer electronics, ships, steel, petrochemicals. These industries have done very nicely, thank you, without being creative. Korean conglomerates are "fast followers" which have leveraged core competencies swift decision-making, disciplined HR, manufacturing synergies to achieve economies of scale and global reach. Korean companies do not create new product categories: they are incremental innovators (a faster chipset, a thinner screen, a gadget with more functions). When Korean firms have got creative and tried to pioneer new categories such as 3D TVs and smart homes they have failed. Being "first mover" demands huge investment and entails major risk. Even successful creativity is no guarantor of success. The one sector where Korea leads the world in technology is shipbuilding/marine engineering. That sector now teeters on the brink of massive restructuring, while less innovative sectors (such as autos and electronics) motor along happily. Granted, all the above is big business. What about little business? While chaebol dominate the top of the economy, the bottom of the economy is populated by countless mom n pop business. There's not much creativity there. Example? Last week, near my office, a new coffee shop opened joining over a dozen near-identical businesses within a 100-meter radius. What about the "creative economy" of promising, agile and creative tech-centric firms that could become Korea's next-generation, mid-sized enterprises? Alas, it is (thus far) all talk, little action. Foreign venture capital is sniffing around Teheran-no, but I hear of few deals and there is, as yet, no Korean Apple, Google or Uber on the horizon. In short: Industrially, Korea has flourished, becoming the world's 11th largest economy without being creative. And this is known to foreign consumers and foreign investors. Does "Creative Korea" work for tourism promotion? Not really, for similar reasons. The biggest (albeit, unofficial) marketing campaign to lure tourists to Korea is hallyu. Hallyu's flagship products are pop music and soap operas. But neither is original or creative. On the contrary, both K-pop and K-drama are relentlessly formulaic. (Indeed, the sector's training and production processes are not hugely different from those used by industry.) OK, then perhaps "Creative Korea" is not designed to communicate where Korea is, but where it wants to be. Could it be an aspirational brand? If so, the government has its work cut out. Not only does it need to force banks to lend to ventures, establish incubation programs and create a new, low-cap stock market all of which have been done it needs, if it truly seeks to unleash creativity, to revamp education and break the chaebols' stranglehold on the economy. Both are massive tasks. I don't think the Park administration has the time or the stomach for either. This makes me ponder. Perhaps, deep in the ministry's command bunker, the decision on the slogan was made, not to inform international tourists, buyers and investors, but to please a very different audience. This administration has prattled endlessly about the "creative economy," but has garnered meager results. Did the ministry decide to appease the Blue House with their new slogan? Maybe. Maybe not. A final point. Everything above is rational reasoning/guesswork. Marketing communications pros tend not to deliver rational messages, they prefer to trigger emotion. And that is why I don't like "Creative Korea." It has no cadence, no wordplay, no punch. While Seoul's newish slogan, "I.Seoul. U," leaves much to be desired, it at least boasts a touch of whimsy and fun. Not so "Creative Korea," which is not just inaccurate, it is plain dull. Again, I ponder. Koreans love prefixing English words with the letter "k" and if the bureaucrats had used a bit of imagination, they could have spelled their slogan "Kreative Korea." That might have raised smiles (and would have pre-nullified plagiarism allegations). But for risk-averse bureaucrats, that would not do. It would have been at bit too well, creative. Andrew Salmon is a Seoul-based reporter and author. Reach him at andrewcsalmon@yahoo.co.uk. By Kim Yoo-chul Amid growing calls by minority shareholders to boost corporate value as restructuring is underway, Samsung SDS said Monday that it has established a joint venture in Thailand. "Samsung SDS reached an agreement with Acutech, Thailand's biggest customs clearance company, to set up a joint venture," the company said in a statement. "The decision was aimed at lifting Samsung's logistics business there." Established in 1987, Acutech is Thailand's leader in customs clearance, with most of its sales coming from handling logistics in technology, auto components and food clients. "The creation of the joint venture will be a huge boon for Samsung SDS to promote the company-developed Cello logistics service in Thailand, as we are positioned to offer services by leveraging Acutech's customer network such as global and inland logistics, and warehouse and customs clearance," said the statement. It said the Samsung affiliate also hopes to increase its brand awareness and clinch more similar deals. "The partnership with Acutech will also be positive in terms of boosted brand reference, as brand reference is a key factor for global expansion of our logistics business," said the release, adding Thailand is one of the key gateways to the ASEAN market. "Foreign companies are allowed to acquire shares of up to 50 percent in companies that are in distribution, construction and logistics segments. Given the importance of the Thai market, Samsung SDS partnered with Acutech," said the statement. "Among Southeast Asian countries that Samsung SDS is operating in, the largest portion of its volume is being handled in Thailand," said Executive Vice President Kim Hyung-tae. "We expect great synergy by establishing the venture with Acutech." Samsung SDS was in discussions with other companies in Vietnam and China, two countries where Samsung Electronics has a strong foothold, to establish additional joint ventures, though the company declined to give more specifics. Samsung Electronics operates huge manufacturing facilities in Vietnam, Thailand and China and is eyeing other Asian markets to cut fixed costs, providing more opportunities to boost Samsung SDS's logistics business. Samsung SDS, which mainly generates sales by offering IT services and selling logistics systems, earlier said it will spin off its logistics division, the company's main source of profit. But the company's plan has been stalled due to heavy criticism by minority shareholders who claim a spinoff is against their best interests. Top management recently held a meeting with minority shareholders at the company's headquarters in Jamsil, southeastern Seoul, vowing to boost shareholder value by expanding into new business territories and buying back its own shares, in addition to paying higher dividends. But the company refused to accept requests to pay interim dividends. Samsung SDS's logistics business is expected to be acquired by Samsung C&T, the de-facto holding firm of Samsung, while its IT services unit will be absorbed by Samsung Electronics. Samsung Electronics Vice Chairman Lee Jae-yong is the top shareholder of Samsung SDS. Amid the ongoing power shift led by the Samsung heir, Samsung affiliates have been dropping noncore assets and merging for efficiency of operations. Science, ICT and Future Planning Vice Minister Choi Jae-you, third from left, poses with Korea Internet Security Agency (KISA) CEO Baik Kee-seung, right, and Senegal's Post Telecommunication Vice Minister Malick Ndiaye, fourth from right, after holding a launch ceremony for the Cybersecurity Alliance for Mutual Progress (CAMP), at the Plaza Hotel in central Seoul, Monday. / Koreatimes photo by Shim Hyun-chul By Lee Min-hyung The Korea Internet Security Agency (KISA) has formed a global consultative body devoted to raising awareness of cybersecurity threats and strengthening cross-border ties in drawing countermeasures to deal with growing threats from cyberspace. The state-run internet agency hosted an opening ceremony of the Cybersecurity Alliance for Mutual Progress (CAMP) in Seoul Monday, inviting a group of around 80 high-ranking officials of its member organizations from 29 countries. Under the slogan of "CAMP lights up a safer tomorrow," the organization will serve as a building block to halt ever-growing online threats, according to KISA. As of July 7, 38 organizations around the world have officially joined the alliance, with three more overseas government bodies planning to join soon. Interested countries or organizations can obtain CAMP membership after a majority of founding members approves the request. Starting Monday, KISA will serve its three-year term as the first secretariat of the organization, hosting annual meetings and taking charge of exchanging and gathering technical knowhow and human resources across borders. All member countries are eligible to become the next secretariat upon receiving approval from the CAMP annual meeting, according to the internet agency. "CAMP will become a global hub for cybersecurity development through information-sharing, joint response, capacity-building and exchanges," KISA CEO Baik Kee-seung said in a congratulatory speech during the opening ceremony at The Plaza Seoul. He stressed that CAMP has signed partnerships with renowned global organizations in various sectors in a bid to push for a wider range of activities. "In particular, we joined hands with the World Bank, Inter-American Development Bank and the University of Oxford," said the KISA chief. "I hope CAMP can contribute to enhancing the capacity of the member countries by linking quality training courses at KISA's Global Cybersecurity Center for Development." One notable activity by CAMP is the creation of a hotline to build a joint response system in case of cyber-related emergencies, said the internet agency. CAMP member organizations and countries can also exchange training programs and information on cybersecurity to turn CAMP into a global body dedicated to preventing cyberthreats, said KISA. CAMP plans to hold an annual meeting with the members, discussing up-to-date information on cyberthreats to come up with effective countermeasures over the issue. Science, ICT and Future Planning Vice Minister Choi Jae-you said: "Cybersecurity becomes more important in an era of hyperconnectivity." "Cybercrimes are becoming more sophisticated, making it harder to deal with the issue," he said. "As an effective responsive measure, CAMP is expected to play a crucial role in helping its member countries build a cross-border cooperative system." The science ministry said it will spare no efforts to help KISA successfully finish its three-year term as the first secretariat of CAMP. "Korea is expected to take an initiative in building a global cooperative model, contributing to inclusive growth around the globe," said the vice minister. By Kim Yoo-chul Major Korean companies fear possible retaliation from China following an agreement by Korea and the United States to deploy the Terminal High Altitude Area Defense (THAAD) anti-missile system here. Company officials and analysts expressed concern that THAAD may stoke anti-Korean sentiment in the neighboring country. They also said business ties with China could worsen, heightening uncertainties about the Korean economy. "The THAAD issue is more about politics and diplomacy, but it could hurt Chinese consumer sentiment about Korean products," Hyundai Securities analyst Kwak Byeong-yeol said. Company officials expressed worries over retaliatory actions such as higher tariffs and stricter rules on some Korean products manufactured in China. China is the single biggest market for Samsung and LG Group affiliates, and Hyundai Motor and other Korean companies have invested billions of dollars there to build huge manufacturing facilities. LG Electronics, Korea's second-largest consumer electronics firm, said it's paying attention to how the Chinese government and consumers will react to the decision. "THAAD deployment in Korea may hurt the interests of Korean companies operating in China. We are also concerned that anti-Korea sentiment could spread there," said an official at the Federation of Korean Industries (FKI), a lobby for Korean conglomerates, Monday. Analysts did not rule out the possibility of the Chinese government introducing punitive measures over the decision. In 2000, Korea hiked tariffs of Chinese garlic to 315 percent from 30 percent. As a counter-move, China imposed a sales ban on Korean mobile phones. Under the free trade agreement pact, Korea exports semiconductors, displays and smartphones free of tariffs. /Courtesy of Twitter By Lee Han-soo More than 100 suspects have been killed in the two months since Filipino President Rodrigo Duterte declared the war on drug dealers, news outlets report. Police killed at least 103 suspects allegedly involving illegal drugs since Duterte was elected on May 9. A total of 119 have been killed when including suspects killed by vigilantes," according to The Inquirer, a Filipino newspaper. Seventy-two of the killings occurred after Duterte was sworn into office on June 30. The deaths follow Duterte's order of a full-scale crackdown on drugs, saying, "It is okay to kill drug dealers" and even putting a bounty on them. But human right organizations have criticized the killings as violating basic human rights. "President Duterte is forming a public opinion that it is okay to kill people who deserve to die," said Jose Manuel Diokno, a human rights lawyer who heads a free legal aid organization. "We might have to disband the courts and Department of Justice and remove Congress." The Philippines Commission of Human Rights is also investigating abuse of police firearms and human rights violations. Presidential spokesperson Ernesto Abella said Diokno should criticize the government if had proof, not presumptions. Abella also said the government intended to introduce mandatory drug tests for all government employees. The nation is testing police and soldiers for drugs. Those who test positive are either fired or discharged from the force. Eunji then revealed it was shocking for her that the fans were a lot younger. She thought that fans would be in their mid 20's, as she expected that younger people would not know them. APINK COMEBACK RUMOURS? Aside from the one-on-one interview, the girls also answered questions from the media. During the press conference, the girls were asked about their rumoured comeback. Eunji replied that they were not yet sure about the comeback plans but assured fans they would definitely love their next concept. Na-Eun then expressed that it was an honor to perform on such a big stage alongside wonderful artists. Eunji also voiced her excitement as this was their first performance in the Philippines. In related news, APINK is about to release their 6th Japanese single, "Summer Time". Check out the pictures here: Source:HelloKpop Ukrainian Prime Minister Volodymyr Groysman said he hopes that deputies will unblock the parliamentary tribune this plenary week, and the Verkhovna Rada will be able adopt important laws. "Blocking of the tribune during such a difficult time is simply unacceptable. [...] There are also days this week when we can adopt the laws that the country needs," he said in his video message on Monday morning. "I have hope that this week, possibly, those who are blocking [the Rada's work] will recollect themselves, and we will be able to adopt decisions," he said. Ukraine and Canada on Monday signed a free trade area agreement. An Interfax-Ukraine correspondent has reported that the agreement was signed in the presence of Ukrainian President Petro Poroshenko and Canadian Prime Minister Justin Trudeau who is staying with a two-day visit in Kyiv. "This extremely important document would raise trade and economic relations between our countries to the new level," Kubiv wrote on his Facebook page before the signing of the agreement. As reported, Ukraine and Canada signed an agreement on the completion of negotiations on the free trade area between the countries in July 2015. Then the document was translated into three official languages. According to Ukraine's State Statistics Service, Ukrainian goods exports to Canada last year plunged by 58.3%, to $30.16 million, while imports grew by 7.7%, to $206.24 million. Exports of services from Ukraine to Canada decreased by 23.2% last year, to $67.85 million, while imports by 27.4%, to $57.32 million. Gas stocks in Ukraine's inventories 1.4 bcm up after completion of heating season Natural gas stocks at Ukraine's underground storage facilities after the completion of the 2015/2016 heating season have increased by 16.7% or 1.406 billion cubic meters (bcm). Public joint-stock company Ukrtransgaz reported that if on April 6 8.438 billion cubic meters of gas were in the underground storage facilities, then on July 9 gas stocks amounted to 9.844 bcm (19.4% down year-over-year). The company said that July 9, 2016, gas was pumped to the underground storage facilities with the daily pace of 23.73 million cubic meters and on July 9, 2015 26.18 million cubic meters. On July 9 imports of natural gas was only from Slovakia and Hungary in small volumes 1.459 million cubic meters a day. No gas was imported from Poland and Russia. Application for imports from Slovakia for July11 is 500,000 cubic meters. Canadian businessmen could take part in privatization in Ukraine - Poroshenko Ukrainian President Petro Poroshenko has invited Canadian businessmen to take part in the privatization process in Ukraine. "We have invited Canadian businessmen to take active part in the privatization process that would be transparent, effect and we have received relevant consent at the business forum," Poroshenko said at a joint press conference with Canadian Prime Minister Justin Trudeau in Kyiv on Monday. The Ukrainian president said that this would step up and simplify cooperation between the two countries. Ukrainian President Petro Poroshenko has said that the Ukraine-Canada free trade area agreement will take effect right now, but it will be implemented for seven years. "The agreement will take effect at once, but it will be implemented for seven years," Poroshenko said at a joint press conference with Canadian Prime Minister Justin Trudeau in Kyiv on Monday. "In seven years we would fully by 99% liquidate any customs restrictions regarding the access to the markets of Canada and Ukraine," the Ukrainian president said. He said that there are special conditions for liberalization in the agrarian sector the tariff quotas for getting access to the markets of Canada and Ukraine will be introduced. Poroshenko also said that Ukraine will continue negotiations on expansion of the free trade area with Canada. The SLFP does not condone the continuation of the Emergency Regulations (The Public Security Ordinance) more than a day necessary Read more Ukraine International Airlines (UIA, Kyiv), the largest Ukrainian airlines, believes that the aviation market with eastern countries should be liberalized, UIA President Yuriy Miroshnikov has said in an interview with Interfax-Ukraine. "The European market is enough liberalized. Dear managers of the sector, use your liberalization efforts in the East. There are examples of liberal approach, such as Georgia, Kazakhstan, and tough regulation and resistance Uzbekistan, Turkmenistan, partially Iran, Jordan and Lebanon," he said. Miroshnikov is confident that without solving the issues in the East it would be difficult to use Ukraine's transit potential. Rendering. This is the kind of completely new development turn for Los Angeles that should get a thorough debate in the political arena but that rarely does didn't in the last mayoral race and I'll bet won't in next year's campaign either. It's a mega-development already approved by the City Council for the former KABC Radio site on La Cienega Boulevard at Jefferson Boulevard. The most noticeable element of the 11-acre Cumulus plan is a residential tower OK'd to rise 30 stories. If you know the area, where the city limits of Los Angeles bump up against Ballona Creek and Culver City's hot Hayden Tract district, you know there is nothing anywhere close to that high within miles. Just trying to go from memory here, but I don't think there is a 30-story building anywhere along La Cienega, including in dense areas such as Beverly Hills, West Hollywood and around Beverly Center. So this would erect a 30-story tower in front of the view from (and of) the Baldwin Hills and Kenneth Hahn Park, along with a mixed-use mega-development in a part of town that has nothing of that intensity. This is just up La Cienega from the Target store (the former Fedco) at Rodeo Road. The media reporting on the project struggle with what to label the neighborhood: I've seen West Adams, Southwest LA, Baldwin Hills, and even South Los Angeles (in the LA Times.) So it would be establishing a brand-new high-rise focus on the skyline in a corner of Los Angeles where nothing pierces the sky now and where that level of development has never existed. When you go high-rise in Los Angeles, you don't just intensify traffic and density at an intersection, you also alter views and can render a whole bunch of single-family homes as no longer private or in full sunlight. The twist here is the Expo Line station at La Cienega. The planning concept behind all of the new transit lines in LA is to concentrate future development around the stations. So through that lens, plopping a 30-story tower by the La Cienega/Jefferson station makes civic sense. The community around La Cienega and Jefferson sounds torn in the recent news coverage new stores and restaurants would be welcome by many in the neighborhood, but there's also concern about traffic and density in an area that is already a a major pass-through route between Central LA, Hollywood and the LAX area. What makes this different from the ordinary politics of growth, density and traffic is that this is creating a permanent new high-rise center in a part of the city that never was one before. Just the kind of thing you'd think would get a complete citywide airing before going forward. The new news that brings this all up now is a lawsuit filed against the project. From the LA Times story: In a lawsuit filed last month, the Crenshaw Subway Coalition and Friends of the Neighborhood Integrity Initiative say city officials violated state and local laws when it approved the project. The City Council amended zoning and height restrictions to permit the high-rise. Opponents said the tower would be too tall and the housing complex too dense for the area. Additionally, they said the massive development would further congest major streets, which are popular routes to LAX. We are in areas where we have been frequently deprived of these services, said Damien Goodmon, head of the Crenshaw Subway Coalition. We want them, but you cant tell us to get that we have to put up with out-of-scale, out-of-character development that is going to overburden our area and not even be affordable for us. City officials would not comment on the lawsuit, but said that there has been overwhelming support for the project. During the two-year approval process and multiple meetings, hundreds of residents said they backed it, said Vanessa Rodriguez, a spokeswoman for L.A. City Councilman Herb Wesson, who represents the area where the project is slated to be built. Its unfortunate that the only concerns about this project are coming from outside of the community, she said. Residents input was instrumental in creating the vision for the project. This is about having community members shop in the community they live in and bringing new amenities to the neighborhood. And at Curbed: PRESS RELEASE Hollande Says Russia Is a Partner, not a Threat July 9, 2016 (EIRNS) On his arrival yesterday in Warsaw for the NATO Summit French President Francois Hollande said that Russia should not be considered a threat but rather as a partner, of NATO. "NATO has no role at all to be saying what Europes relations with Russia should be. For France, Russia is not an adversary, not a threat," Hollande said. "Russia is a partner which, it is true, may sometimes, and we have seen that in Ukraine, use force which we have condemned when it annexed Crimea," he said. "We are still trying, and again recently with Madame Merkel, to find a solution to the Ukraine crisis." While he said France believed it was important to bolster NATO, so it could carry out its mission, but all had to do their bit. "France will do what it has to do but no more," he said. PRESS RELEASE Chinese Minister Warns: Global Economys Condition Is Grim July 10, 2016 (EIRNS)Reuters on reported yesterday on remarks by Chinas Trade Minister Gao Hucheng, who said that "the global economic situation is complicated and grim," that the Group of 20 would have to take the lead in projecting new investments to revive economic growth, and major economies must lead the way in tackling problems including sluggish growth and weak trade. Gao spoke July 9 at a two-day meeting of G20 trade ministers in Shanghai. China holds this years rotating presidency of the G20. Gao is quoted as saying, "Global trade is dithering, international investment has yet to recover to levels before the financial crisis, the global economy has yet to find the propulsion for strong and sustainable growth." He evidently added, citing WTO statistics, that as low as international GDP growth is, the growth of international trade is even lower, below 3% for the past five years, and falling. "In the current circumstances, the international community expects the G20 to show leadership in resolving the prominent problems we are facing and inject impetus for recovery and growth," Gao said. The trade ministers meeting was marked by charges by U.S. and some European ministers against China, for allegedly "dumping" steel products at low products. Chinas steel industry is now the worlds largest and among the most productive and efficient, primarily serving its own domestic demand. The charges allow U.S. representatives, in particular, to ignore the collapse in international economic growth and trade which Gao was warning of. PRESS RELEASE Deutsche Bank Officer Says Europe Is Extremely Sick, Demands Bailout Poison July 10, 2016 (EIRNS)Now not only Societe Generale, but also the British-German monster Deutsche Bank is demanding that the European Union suspend its "bail-in" rules and conduct a "European TARP" (Troubled Asset Relief Program) bank bailout to avert looming bank failures. Deutsche Bank chief economist David Folkerts-Landau, interviewed by Welt am Sonntag, demanded a 150 billion (roughly $165 billion) Europe-wide bailout to "recapitalize" banks, specifically without "bailing in" bank bondholders or depositors. Folkerts-Landau called his demand a TARP for Europe, but apparently thought it would be reassuring that he was recommending only $165 billion and not $700 billion. One might anticipate checking back with the distinguished bank economist on that figure in a week or two. Immediately, the statement knocks the props out from under German Finance Minister Wolfgang Schuble opposition to allowing Italy to conduct such a "no bail-in bank bailout." Schuble has said that he is ready to "stand by" Deutsche Bank in its difficulties, while claiming they were not serious. Folkerts-Landau evidently knows better; but he particularly called a bailout for Italian banks "urgent," and said that "to stick so strictly to the [bail-in] rules would cause greater destruction than setting them aside." He obviously was asking for Deutsche Bank and other London-centered giants as well. "Europe is extremely sick, and must attack the existing problems extremely quickly, otherwise we are threatened with an accident," he told the Sunday edition of Die Welt. These illnesses, with bank stock collapses as their symptoms only, included "a fatal combination of weak growth, high government debt and the approach of dangerous deflation." Folkerts-Landau is an investment banker who heads both the research division of Deutsche Bank investment bank, and the "think-tank" of the bank holding company. This was rightly called dangerous when he took over the jobs in 2012; but since Deutsche Bank is essentially not a bank but a giant broker-dealer/hedge fund, it was not surprising. PRESS RELEASE Platform Committee Passes Glass-Steagall July 10, 2016 (EIRNS)The full Democratic Party platform committee, meeting in Orlando, Florida on July 8-9, passed the Glass-Steagall plank by a unanimous vote. Efforts by Bernie Sanders backers to add a plank denouncing the Obamas imperialist Trans-Pacific Partnership were defeated, but the platform contains a more general denunciation of trade deals that lose American jobs, and there is no endorsement of TPP. Obama lobbied hard to block the anti-TPP amendment, and had Hillary Clintons backing, despite her own statements against TPP. NATO's comprehensive assistance package for Ukraine needs to be implemented by 2020, Ukraine's President Petro Poroshenko has said. "The decisive actions of our partners have helped develop the document, which states that we can get access to as many assistance packages in as many areas as we are able to put into practical reforms that we have to complete, according to our strategic defense bulletin, in 2020 and fully bring the defense sector and the security sector to the NATO standards," Poroshenko said in a joint statement with Canada's Prime Minister Justin Trudeau in Kyiv on Monday. He noted that Ukraine is the only country which is not a NATO member, but which has received such an aid package and had an opportunity to hold separate meetings of the NATO-Ukraine Commission at the summit in Wales in 2014 and in Poland in 2016. PRESS RELEASE German General Kujat: Russia Is a Strategic Partner, Not a Target for Escalation under the Pretext of Deterrence WIESBADEN, July 10, 2016 (EIRNS)Going into the NATO summit in Warsaw numerous German strategic figures have sharply criticized the use of NATO to force a spiral of escalation with Russia. Former NATO Military Committee Chairman (2002-05) Gen. Harald Kujat (ret.) has given numerous interviews in the last days criticizing NATOs concept of "deterrence" to declare Russia as an enemy, despite the NATO Russia Act of 1997 which considers Russia a strategic partner. He was quoted July 9 in a commentary headlined "Enough Deterred" posted by the TV investigative program "Panorama," that the NATO Baltic deployment would be nothing if Russia had invasion intentions, "which all experts agree" is not the case: "The so-called Spearhead, the NATO Rapid Reaction Force, which is to be expanded, doesnt change that. The rapid reaction force would always come too late said former NATO Gen. Harald Kujat. It would even come too late to the Russian victory parade." Former German Ambassador Frank Elbe, a harsh critic of the failure to work with Russia stated on the July 7 TV talkshow "Phoenix Runde" that NATO has become "arrogant" when, in fact, it should merely be a "service organization of its member states" instead of "trying to become autonomous" from its members which are the actual sovereigns, the member states. In the broadcast he addressed the role of West in creating the Ukraine crisis: "When the U.S. organizes a putsch, co-organizing and financing it, then you shouldnt be surprised that there is a corresponding reaction to that." As early as 2007, he had said already that Russian Foreign Minister Sergey Lavrov had warned the U.S. against provoking a civil war in Ukraine. Although resistance to NATO provocations is overt among Germanys elite, their Achilles heel was seen at the July 1 founding meeting in Berlin, of Vladimir Yakunins Dialogue of Civilizations (DOC) Research Institute, where none of the speakers, including the Germans, emphasized the need for a positive Eurasian economic cooperation program, including Russia and China, along the lines of the Schiller Institute program for the New Silk Road Land-Bridge as elaborated at its own June 25-26 Berlin conference. With Deutsche Bank now identified by the International Monetary Fund as the most dangerous bank for the world financial system, whose share value collapse last week has now opened discussion of a near-term meltdown, nobody in Germany, including those braking an escalation against Russia, are for now prepared with a strategy to deal with that eventuality. PRESS RELEASE Global Times Warns of Consequences of U.S.-R.O.K. THAAD Deployment July 10, 2016 (EIRNS)In a strongly worded editorial today, Chinas Global Times warns about the consequences of the U.S. and South Korean decision to place THAAD (Terminal High Altitude Aerial Defense) missile systems on R.O.K. territory. The editorial explicitly spelled out a range of countermeasures that China could take, in response: Apart from monitoring missiles from North Korea, THAAD could expand South Koreas surveillance range to China and Russia and pose serious threat to the two countries. Though South Korea claims it can reduce the surveillance range, the country cannot make the call as the system will be controlled by U.S. forces in South Korea, and such cheap promises mean nothing in international politics. We recommend China to take the following countermeasures. China should cut off economic ties with companies involved with the system and ban their products from entering the Chinese market. It could also implement sanctions on politicians who advocated the deployment, ban their entry into China as well as their family business. In addition, the Chinese military could come up with a solution that minimizes the threat posed by the system, such as technical disturbances and targeting missiles toward the THAAD system. Meanwhile, China should also re-evaluate the long-term impact in Northeast Asia of the sanctions on North Korea, concerning the link between the sanctions and the imbalance after the THAAD system is deployed. China can also consider the possibility of joint actions with Russia with countermeasures. The editorial statement, a semi-official voice of the Chinese government addressed to an international audience, took note of the larger context of the U.S.-R.O.K. action and the impact it will have on regional security as a whole: The biggest problem of the peninsulas messy situation lies in U.S. Cold-War strategy in Northeast Asia, and its mind-set of balancing China in the region. Neither Pyongyang nor Seoul could make their own decisions independently, as the regions stability and development are highly related to China and the U.S.. The editorial ended by noting that China must face that fact that it is going through growing pains and at the same time is caught in the middle of larger forces acting in the region, but must remain true to its own interests and act accordingly. America is still reeling from last weeks violence, which began with the videotaped deaths of Alton Sterling and Philando Castile, two black men killed by police officers in Baton Rouge, La., and Falcon Heights, Minn., respectively. Sterling was shot while pinned to the ground by officers; Castile was killed, his girlfriend said, while reaching for his wallet. And on Thursday, five Dallas police officers were slain during an anti-police-violence protest by a sniper who reportedly targeted white officers. The shooter, Micah Xavier Johnson, was killed by a police robot armed with a bomb. The killings left many Americans at a loss for words. But U.S. Poet Laureate Juan Felipe Herrera was able to summon some. Advertisement On Sunday, Herrera published a poem in response to the week of violence, called @ the Crossroads A Sudden American Poem. It is dedicated to Sterling, Castile, the five slain Dallas officers and those who were injured, and the victims families. Let us celebrate the lives of all, the poem begins, As we reflect & pray & meditate on their brutal deaths. There are / small massacres now yes, Herrera wrote, it is true, this place where we find / ourselves. Herrera has been swift to use art and poetry to respond to gun violence. In June, in the wake of the killing of professor William Klug on the UCLA campus, Herrera published Where We Find Ourselves in The Times. The UCLA shooting was personal for Herrera, who earned his bachelors degree from the university. He served as Californias poet laureate from 2012 to 2015, and was appointed U.S. Poet Laureate in 2015, the first Latino writer to receive the title. Herrera spoke about the influence of social justice on his poetry in his acceptance speech for the Robert Kirsch Award for lifetime achievement at the Los Angeles Times Book Prizes in April. There were so many voices, and we were all singing out and talking out. Civil rights. End the wars that were taking place in the world, in Vietnam, he said, And the wars in our own nation, and the wars inside of us. How there needed to be a voice. And what was that voice made out of? I did not know, but I knew I had to create it, I knew I had to push it, I knew I had to let it fly. Herreras poem about the last weeks violence celebrates Black Lives Matter activists, officers dressed in Blues and mourns those lost Who was that man in Minnesota toppled on the car seat with a perforated arm & a continent-shaped flood of blood. read the complete poem at Poets.org. ALSO: Juan Felipe Herrera named U.S. Poet Laureate Juan Felipe Herreras Where We Find Ourselves Juan Felipe Herrera on eating too many chilaquiles and returning to Los Angeles A subsidiary of healthcare giant Kaiser Permanente has filed a lawsuit in California accusing a former employee responsible for investigating insurance fraud claims of embezzling $7 million. The suit by Kaiser Foundation Health Plan accuses Michael Albert Quinn of submitting invoices for investigative services that were not performed or were not justified over a 16-year span after he joined the company in 1998. Quinn, 45, worked in Oakland and was responsible for hiring investigators to conduct surveillance on people who were suspected of filing fraudulent claims, the suit says. It says he was authorized to approve charges of as much as $50,000. Advertisement The lawsuit, which was filed last year, says Quinn stopped working at Kaiser in 2014. The San Francisco Chronicle, which first reported the suit, said Sunday that Quinn did not return several phone calls, messages left at his listed addresses or emails. Attempts by the Associated Press to reach Quinn were unsuccessful. Quinn was dropped by his attorney, Terry Duree, according to the newspaper. A call to Duree by the AP to try to determine whether Quinn had a new attorney was not immediately returned. Kaiser spokesman John Nelson told the Chronicle in a statement that Kaiser Permanente seeks to recover assets that it believes have been improperly diverted. The case filed in Alameda County is set to go to trial in October. Quinn was previously convicted of offering false evidence and embezzlement in separate cases that were not connected to the Kaiser allegations, the Chronicle said, citing public records. Times researcher Scott Wilson contributed to this report. ALSO The people taking care of American children live in poverty F-35 stealth fighter may finally be climbing above its problems Column: Economic inequality is the cause and the consequence of our racial problems Israeli and American families of victims of Palestinian attacks filed a $1-billion lawsuit against Facebook Inc., claiming the social network is providing a platform for militants to spread incitement and violence, their lawyers said Monday. Shurat Hadin, an Israeli legal advocacy group, filed the suit on behalf of the five families in a New York court late Sunday, alleging that Facebook is violating the U.S. Anti-Terrorism Act by providing a service to militant groups that assists them in recruiting, radicalizing, and instructing terrorists, raising funds, creating fear and carrying out attacks. The lawsuit focuses on the Islamic militant group Hamas, which controls the Gaza Strip and which has fought three wars against Israel since the Palestinian group overran the coastal territory in 2007. Advertisement The five families in the lawsuit lost relatives in attacks over the last two years. Four of those killed were dual Israeli-American citizens and one was an American tourist. Facebook cant sit in its stone tower in Palo Alto while blood is being spilled here on the streets of Jerusalem and Tel Aviv. It has a social responsibility. It cant serve as a social network for Hamas, said Nitsana Darshan-Leitner, the Israeli lawyer who is representing the families. She compared Facebook to a bank, saying that just as money may be transferred as a service for terror groups, so can content. Facebook had no immediate comment on the lawsuit, saying it had not yet received a copy. But in a statement it said people need to feel safe when using Facebook. There is no place for content encouraging violence, direct threats, terrorism or hate speech on Facebook, it said. We have a set of Community Standards to help people understand what is allowed on Facebook, and we urge people to use our reporting tools if they find content that they believe violates our standards so we can investigate and take swift action. The suit comes amid a 10-month outburst of Israeli-Palestinian violence that has seen scores of Palestinian attacks targeting Israeli civilians and troops. Israel says the violence is being fueled by a Palestinian campaign of incitement on social media; Palestinians see it as the result of frustrations over nearly 50 years of Israeli occupation and a lack of hope for their own state. Since mid-September, 34 Israelis and two American tourists have been killed in Palestinian attacks. More than 200 Palestinians have been killed during the same time. The majority of the Palestinians are said by Israel to have been attackers. The rest were killed in clashes with Israeli troops. Among the plaintiffs in the lawsuit is the family of Taylor Force, a 28-year-old U.S. Army veteran who was visiting Israel in March when he was stabbed to death by a Palestinian. Other plaintiffs include the family of Richard Lakin, an educator and coexistence advocate who was shot on a Jerusalem bus in October, and relatives of Naftali Fraenkel, an Israeli teenager who was kidnapped and killed while hitchhiking in the West Bank two years ago. Lakins son, Micah Lakin Avni, said the goal of the lawsuit was to get Facebook and other social media companies to take responsibility for the content floating around their sites. Avni said that his father was hospitalized for two weeks before he died, and during that time, Avni sat by his bedside trying to figure out what had happened. He said that in his research, he was shocked to see how much violent content was on Facebook. He said Hamas-related pages praised the attack and posted a video re-enactment. One of the attackers, he said, posted a martyrs last will and testament. On Facebook, its a free-for-all, because nobody has really called them to task, he said. The case is among the first to argue that U.S. anti-terrorism laws should take precedence over the safe harbor provisions of the Communications Decency Act, which normally shield online companies for liability for what their users post. It is not clear whether the lawsuit will succeed. In addition to the safe harbor protections, the court may rule that freedom of expression precedes anti-terror laws. Facebook has its own code of conduct and often removes content deemed objectionable. See the most-read stories in Business this hour >> Moreover, although the attackers in the five incidents had links to Hamas, the militant group has stopped short of claiming responsibility for the attacks, suggesting the assailants acted on their own. The suit comes as Israel is considering how to contain what it sees as rampant Palestinian incitement on social media. Public Security Minister Gilad Erdan is preparing a bill meant to rein in content seen as incitement on social media, and earlier this month he said Facebook had become a monster, adding that the company had some of the victims blood on its hands. Shurat Hadin has challenged Facebook in courts in the past. Last year, it demanded an injunction to have Facebook remove and block incitement to violence. A decision is pending. Such lawsuits are not unprecedented. The father of a young woman killed in the Paris massacre in November is suing Google, Facebook and Twitter, claiming that the companies provided material support to extremists in violation of the law. A similar case was brought against Twitter in January by the widow of a contractor killed in an attack on a police training center in Jordan. MORE BUSINESS NEWS Stocks rise, putting S&P 500 on track for record-high close Elon Musk tweets he might unveil Top Secret Tesla Masterplan this week Why young women are still less likely to negotiate a job offer UPDATES: 10:18 a.m.: This article was updated to add comments from Facebook and Micah Lakin Avni. This article was originally published at 9:28 a.m. There are two ways you can look at the long spur of the 2 Freeway as it runs south from the 5 Freeway and descends into Silver Lake and Echo Park. You can see it as the abandoned beginning of a long-planned connection between the 5 and the 101, shaking your fist at the frustrating gaps that remain in the L.A. freeway network. Or you can argue that because Los Angeles is simply no longer in the freeway-building business meaning that gap is virtually certain never to be filled the mile-long stretch of road is better understood as the potential platform for a new kind of green space in a park-starved city. Advertisement As I made clear last year, in a series of articles on reimagining the L.A. freeway for the 21st century, I am firmly in the second camp. So is Chris Reed, a landscape architect and associate professor at Harvards Graduate School of Design. With his firm, Stoss Landscape Urbanism, he has taken my suggestion about the freeway stub and run with it. The park would be dotted with towers designed to clean the air and capture solar energy and storm water. Or maybe its more appropriate to say hes watched over the seed I planted and coaxed it into something with a life of its own. His proposal for turning that part of the freeway into an elevated park is a sort of fantasia in shades of orange and fuchsia on the classic Los Angeles themes of water, sunshine and the infrastructural sublime. Closing the spur to traffic but preserving the elevated structure itself, the park would be dotted with towers designed to clean the air and capture solar energy and storm water. Some of that water would be used to irrigate new planted areas; on very hot days, huge cooling towers would be switched on to convert a modest amount of water to mist. THE FREEWAY REIMAGINED: Michael Maltzans proposal for the 134 near Pasadena Giant shade structures would be wrapped in a photovoltaic skin, generating enough power to operate smog filters designed to suck in and clean polluted air. The surface of the old freeway (the State Route 2 Terminus, to use the official Caltrans language) would be covered with carbon-absorbing paving capable of trapping pollutants from the air while allowing storm water to pass through and flow to ground-level retention ponds. The area beneath the structure would also be opened to the public, holding both an adventure park in the shadow of the old freeway and walking and bike paths leading to nearby Elysian Park and the Los Angeles River. There is also an easy possible connection from the remade spur to the 10-acre Corralitas Red Car property, which may soon become a park thanks to efforts by local activists and the Trust for Public Land. Details of the Reed plan. (Chris Reed / Stoss Landscape Urbanism ) As Reed points out, the proposal contains ideas that could help remake other freeway spurs, including the stretch of the Marina Freeway that runs near Loyola Marymount and crosses Ballona Creek. The end of the 2, he writes in a description of the design, is ripe for rethinking in ways that might serve as an incremental model for similar freeway stubs in the region, and eventually for longer portions of freeway. Our proposal does so without pretending it away like many others, we acknowledge the appeal and heroism of these infrastructural monuments but by remaking the freeway as a social connector, a smog and carbon absorber, an important piece of sustainable hydrologic infrastructure and a catalyst for civic and recreational activities. Residents would benefit in all sorts of ways from this reimagination of the freeway. As for the cars that now use this section of the freeway, which is essentially a very long off-ramp, Reed suggests rerouting some of them from the 5 Freeway interchange along Alessandro Street, which runs roughly parallel to the freeway spurs eastern edge and in his proposal is remade as a formal boulevard. Cars continuing south would then make their way to Glendale Boulevard, which sits at the base of the freeway spur and has long absorbed the brunt of its traffic. Before the residents of Alessandro Street decide to march on the offices of the L.A. Times, its worth pointing out a couple of things. One is that other cities, including San Francisco and Seoul, South Korea, have removed stretches of freeway that once carried far more traffic than the stub end of the 2 and have lived not only to tell the tale but to wonder why they didnt make the change earlier. (In a larger sense, a city that makes its land-use and open-space decisions solely on the basis of anxieties about traffic is a city that will find itself much like contemporary Los Angeles! both drastically short on parks and facing sky-high housing prices.) The other thing to point out is that those residents would benefit in all sorts of ways from this reimagination of the freeway that now runs above their heads. Even if theyd see more traffic on Alessandro itself, living along a landscaped formal boulevard adjacent to a major new park is far more desirable and far better for their property values than living next to a roaring highway. In fact, one great promise of the proposal is what it would mean for economic development in this part of Los Angeles. Pieces of land that have been noisy and polluted would suddenly overlook a quiet green space. There is no doubt they would become immediately more desirable in social, urban and economic terms. This in turn suggests the potential for the proposal to pay for itself either with a special tax on increased property values or the sale of a small number of publicly owned parcels alongside or even under the elevated freeway. Instead of connecting us to each other, in some ways our highways have represented a separation. Anthony Foxx, President Obamas Transportation secretary Residents of Echo Park and Silver Lake have been debating the future of this stretch of the 2 and of Glendale Boulevard with city officials, Caltrans and the Metropolitan Transportation Authority for many years. Community meetings begat studies, which led to more meetings. Eventually the groups settled on some improvements to the Glendale Boulevard median, a sound wall and other modest changes. A second phase of more significant upgrades remains in limbo. Its clear we need a bigger and bolder plan to break this essentially bureaucratic impasse. The Stoss proposal, though not meant to be a final design by any means, is certainly that. It also connects L.A. to an expanding conversation about the future of urban highways across the country. In recent months, notably, this conversation has been led from the top, by Anthony Foxx, President Obamas Transportation secretary since 2013. In March, Foxx gave a speech at the Center for American Progress in Washington setting out in unusually blunt terms the price many urban neighborhoods paid for the construction of the U.S. interstate highway system in the decades after World War II. Instead of connecting us to each other, in some ways our highways have represented a separation, he said, adding that the freeways rammed through parts of Charlotte, N.C., where he grew up and later served as mayor, were responsible for destroying the connective tissue that had long held those neighborhoods together. The corner store was gone, he said, because the corner was gone. He added that decisions about where to build urban freeways were inextricably linked to issues of race and class. Decision makers really thought of low-income and minority communities as in many ways the communities of least resistance, he said. Foxxs remarks suggested how dramatically the federal governments attitude about highway construction has shifted in recent years. No longer does Washington blithely or aggressively subsidize the construction of freeways through the center of American cities. Its not enough, though, to pursue a more enlightened kind of decision making when it comes to the placement of new freeways. We also have to grapple with the question of how to repair the damage done by the construction of existing ones. We need to start talking, to borrow Secretary Foxxs metaphor, about how to replace both the corners and the corner stores demolished by freeways how to bring back the sense of community, of full and shared publicness, that so much of our 20th century infrastructure managed to erase. And we need to do it even when its complicated or politically controversial. christopher.hawthorne@latimes.com ALSO Frank Gehrys controversial L.A. River plan gets cautious, low-key rollout Drones see the world in a way human photographers cant: See Dronestagrams winning contest photos Design team led by Mia Lehrer picked for new downtown L.A. park L.A. helps Havanas vintage neon signs glow again: It marks a new era, a return of the light, of hope Why the Expo Line to Santa Monica marks a rare kind of progress in American cities The Los Angeles County Museum of Art and the L.A. print workshop Gemini G.E.L., one of the countrys foremost publishers of art lithography, both opened about 50 years ago and came of age together in the mid-1960s. A new gift to the museum highlights their entwined histories. On Monday LACMA is expected to announce the acquisition of 39 serial prints produced at Gemini by artists Roy Lichtenstein, Claes Oldenburg, Robert Rauschenberg, John Baldessari, Ed Ruscha and Frank Stella. Thirty one of the gifts come from Gemini, seven are from Lichtensteins widow, Dorothy Lichtenstein, and one is from Gemini co-founder Sidney Felsen and his wife, Joni Weyl. Felsen, who turns 92 in September, still co-runs Gemini, which organized the gift. Its extremely touching to be celebrating 50 years of Gemini after just celebrating LACMAs 50th, and to receive a group of extraordinary gifts of prints, LACMA Director Michael Govan said. LACMA, appropriately, will forever be able to share a broad and deep collection of Gemini prints, made by some of the very best artists of our time. Advertisement The acquisition comes shortly before The Serial Impulse at Gemini G.E.L., an exhibition celebrating Geminis 50th anniversary, opens at LACMA in September. Several of the new acquisitions will be on view in the exhibit, which was organized by the National Gallery of Art in Washington, D.C., and showed there through early February. The L.A. exhibition includes more than 127 prints from 16 series. Among the gifts that will be shown in Serial Impulse are Lichtensteins Expressionist Woodcuts, seven works in a series from 1980; Ruschas Exploding Cheese, Cheese Oval and Cheese Crescent from the 1976 series Various Cheeses; Rauschenbergs Test Stone #5 from the 1967 series Booster and 7 Studies; and Oldenburgs Notes, 12 works in a series from 1968. Felsen said that giving the prints to LACMA was an easy decision. Over the past 50 years, LACMA and Gemini have shared major milestones, he said. When LACMA decided to present The Serial Impulse at Gemini G.E.L., they elected to use the strength of their vast collection of Gemini publications and substitute different series [from what showed at the National Gallery of Art] by certain artists: Josef Albers, Jasper Johns, Robert Rauschenberg, Ed Ruscha and Richard Serra. In instances where LACMA only owned part of a certain series (as with John Baldessari and Ed Ruscha), Gemini was happy to contribute the works that were needed to complete them. The Serial Impulse at Gemini G.E.L. opens at LACMA on Sept. 11. deborah.vankin@latimes.com Follow me on Twitter: @DebVankin UPDATES: 10:50 a.m. July 12: This article was updated with more specifics about the sources of the LACMA gifts. Sumner Redstones former companion, Manuela Herzer, lost her bid for a new trial in her continued legal effort to have the 93-year-old media mogul declared mentally incompetent. Los Angeles Superior Court Judge David J. Cowan issued the tentative ruling on Monday, delivering another blow to Herzers attempts to restore her position as Redstones healthcare agent. Herzer filed a lawsuit last year that contended she was wrongly removed in mid-October from overseeing Redstones healthcare. Cowan dismissed the lawsuit in May after one day of testimony. Advertisement But the intrigue and legal allegations have accelerated since then as media company Viacom Inc., the company Redstone controls, along with CBS Corp., has become engulfed in the drama. Redstone in late May removed two longtime associates, including Viacom Chief Executive Philippe Dauman, from a trust that will eventually oversee Redstones controlling interest in Viacom and CBS. That move prompted Herzers lawyers to argue there was new evidence that warranted another trial to support Herzers claim that Redstone was being manipulated. Herzer is expected to appeal Cowans ruling. Herzer intends to vigorously pursue her separate damages case against Shari Redstone and the household staff that collaborated with Shari to betray Sumner Redstone, said Pierce ODonnell, Herzers attorney. In the meantime, Redstones team applauded Mondays decision. We are pleased with the ruling and appreciate the extraordinary time and attention that Judge Cowan has devoted to this matter, attorney Robert Klieger said in a statement on behalf of Redstone. yvonne.villarreal@latimes.com Twitter: @villarrealy MORE ENTERTAINMENT NEWS Crunching the numbers: How the motion picture academy moved the needle on its diversity push Secret Life of Pets chows down on both Dory and box office records More come forward as Fox News CEO fights sexual harassment lawsuit by former anchor UPDATES: 5:19 p.m.: This article was updated with a statement from Herzers attorney. This article was originally published at 12:09 p.m. When the Academy of Motion Picture Arts and Sciences announced a new initiative in January aimed at doubling the number of women and minorities in its overwhelmingly white and male ranks by 2020, many asked how such an ambitious goal could possibly be achieved. On June 29, the academy offered some answers 683 of them to be exact. In the latest and most dramatic move in its effort to diversify the nearly 90-year-old institution in the wake of the #OscarsSoWhite controversy, the academy opened its arms to the largest, most diverse new class of invitees ever. Advertisement Theres a newness and a vibrancy about this issue of inclusion, academy President Cheryl Boone Isaacs told The Times in an interview after the announcement. This industry has been changing, evolving, expanding in many different areas. And we have led the way. But if the academy hopes to hit its own stated goals, it still has a ways to go and whether it can get there remains, for now, an open question. According to a new Times analysis, with the 2016 class of invitees the academy has, in a single stroke, gone 52% of the way toward its goal of doubling the number of nonwhites in its ranks. When it comes to boosting the numbers of women, though, the academy is lagging slightly behind pace; the new class brings the academy roughly a fifth of the way toward its 2020 benchmark. Of the nearly 700 industry professionals included in the 2016 group from boldfaced names like Idris Elba, Emma Watson, Michael B. Jordan, Brie Larson and Ice Cube to unsung below-the-line craftspeople 46% were female and 41% were people of color. To meet the goals it has publicly laid out, in the next three years The Times estimates the academy will have to invite 85 people of color to become members each year a seemingly feasible challenge given that, according to the academys breakdown, there were some 280 nonwhites in this years class alone. In what may prove to be a more difficult hurdle, the academy will need to add 395 women to its ranks per year to hit its target more than the 314 it brought in this year. In both the academy overall and in the individual branches The Times analyzed, the total share of women and nonwhites rose as a result of the new class of invitees. But digging deeper into the numbers, the breakdowns in terms of race and gender varied significantly among the different branches, in some cases revealing persistent underlying inequities in certain areas of the industry beyond the academys control including, perhaps most notably, in the executive suites where the decisions of which film projects to greenlight are made. The fruits of the diversity push were particularly evident in two of the branches that in many ways represent the public face of the academy: the actors and directors branches. (Under the academys admissions process, potential new members are reviewed by the individual branch committees, which then pass along their recommendations to the Board of Governors.) In the actors branch the largest and historically the most diverse in the academy just 29% of this years 69 invitees were white, compared with 68% of last years class. Meanwhile, the share of women among the invitees to the actors branch jumped from 28% last year to more than 49% this year. The directors branch, which added just three female members to its ranks in 2015, invited a whopping 52 women this year, including prominent filmmakers like Mary Harron (American Psycho), Patty Jenkins (Monster) and Karyn Kusama (Girlfight) as well as others like Julia Loktev, Ursula Meier and Maria Novaro, who may be less well known to American moviegoers. This large influx of women which comes just months after the federal Equal Employment Opportunity Commission began an investigation into the lack of female directors in Hollywood brings the share of women in the directors branch from 9% to more than 18%. It was a somewhat different story in the executive branch, historically among the most overwhelmingly white and male and the one that holds the most sway over the kinds of movies that get made. Of this years 31 invitees, nearly three-quarters were white; as a result, the share of whites in the branch dropped only slightly, from 98% to 96%. In terms of gender, the split among invitees was more even roughly 45% of the new invitees were women. But even with the inclusion of the 2016 invitees, the executive branch remains 86% male. Another of the academys most male-dominated branches, visual effects, invited just four women to its ranks in 2016 out of 34 invitees, though that was up from only one last year. Two other overwhelmingly male branches, cinematographers and music, each added higher proportions of women, with the share of female members rising by 50% and 35% respectively. It shows the tremendous will of a lot of people to be more inclusive, said music branch member Laura Karpman, who served on the membership committee tasked with finding new members. It indicates that people do want change. Theyre voting for it. Theyre voting in members who have the credits and deserve the honor. Only one branch analyzed by The Times saw the percentage of whites in the class of 2016 rise compared with last years invitees the writers branch actually went up by 32%. But in sheer numbers, significantly more people of color were invited into the branch, and the end result was that the share of whites overall in the writers branch dropped by 3.5% See the most-read entertainment stories To a large extent, the academys class of 2016 reflected a concerted campaign to broaden the groups membership to every corner of the world, with 283 new international members being invited from 59 countries. Our ranks are actually tentacles that go out throughout the motion picture business, whether its in the United States or globally, Boone Isaacs said. That said, given the historical underrepresentation of women and people of color in the film business, it remains to be seen whether the academy can continue to draw such large numbers of new female and minority members into its ranks over the next few years. As has often been pointed out, the root of the academys diversity problem lies in the lack of opportunities for women and minorities in Hollywood as a whole, which a report earlier this year by the USC Annenberg School for Communication and Journalism described as the industrys inclusion crisis. In an interview with The Times in February, former academy President Hawk Koch who is running for a seat on the academys Board of Governors argued that reaching the 2020 targets would be impossible, saying, There arent that many qualified people, period, of any race or gender, to invite each year. Even if the academy does succeed in significantly altering the demographic makeup of its membership, its unclear to what extent those changes will ultimately carry over into the types of films and performances that are recognized come Oscar season. To that end, April Reign, who launched the #OscarsSoWhite social media hashtag in 2015 to protest the lack of nominations for actors of color, said she believes more needs to be done. If were going from 92% white folks to 89% white academy members, thats not a significant enough change to see a shift in the frame of references that are being represented within the academy itself, Reign said. Even with nearly 700 new members, if the procedures dont change, Im concerned about what the 2017 academy nominations will look like. In spite of the challenges involved or perhaps because of them Boone Isaacs insists the academy will persevere in hitting its diversity targets. I mean, if you dont set a goal in order to achieve an objective, then whats the point? she told The Times. Thats what you work towards. Thats what drives everybody. This didnt just start in January and its going to continue because thats the nature of the business today. I think that this conversation in every way, shape or form is a terrific one for the motion picture business. Times staff writers Trevell Anderson, Rebecca Keegan, Mark Olsen Amy Kaufman and Glenn Whipp contributed to this report ALSO: All about the largest, most diverse Academy class ever Jesse Williams and the academy just changed Hollywoods race conversation. Whats next? Straight Outta Compton and five other Oscar snubs a more diverse academy might have avoided NAACP gives a thumbs up to the academys new class: The O in Oscar should stand for Opportunity A well-made arepa may be more comforting than a plate of warm cookies or your mothers meatloaf. Really, these compact disc-sized corn pockets should replace ice cream as the official breakup food. Someone cue the #arepasorbust campaign on Twitter. Arepas, which are made with masa or corn meal (or sometimes a mixture of both), can be baked, fried or grilled, are typically stuffed with meat, beans and or cheese, and often resemble overstuffed pita pockets. Chances are, if youve tried an arepa at a Colombian or Venezuelan restaurant, it is nothing like the ones youll find on Cali Fresh, a Colombian street food truck that started making the rounds in Los Angeles in February. Advertisement The Paisa Bowl is a protein-packed serving of pork belly, steak, sausage, beans, white rice, plantains, avocado and an arepa topped with a fried egg, from the Cali Fresh food truck. (Mark Boster / Los Angeles Times ) We wanted to make a high-quality product that was not a mix that we simply add water to, said Angela Channell, who was born in Cali, Colombia, and runs the truck with her fiance, Johnny Cornejo. We take actual corn kernels, cook them for a period of time and grind the corn into a fresh masa. Channell says this style of arepa is called arepa Valluna. She makes them from scratch every day a technique she learned from her uncle, who works in the jewelry business in L.A. She adds a touch of sugar and salt to the masa, then cooks them on the griddle. The result is a luscious corn cake that resembles a really good cookie crisp around the edges, with a soft middle that tastes like rich holiday creamed corn. This recipe, like the recipes behind all of the dishes on the truck, was inspired by someone in her family, who immigrated to the United States in the late 80s. Channell, 25, says she always wanted to open a restaurant but decided shed take a chance on a food truck first. (Shes also a full-time graduate student at Claremont Graduate School studying for an MBA, and helps manage her familys medical practice in Rancho Cucamonga.) Angela Channell and Johnny Cornejo at their Cali Fresh food truck. (Mark Boster / Los Angeles Times ) Of the trucks name, Channell said: Most people think it is Cali for California, but it is actually Cali for Cali, Colombia, in dedication to my family who worked so hard to build a life here in the States. Parked in the middle of Pershing Square on a recent Friday afternoon, the Cali Fresh truck stood out among the other food vendors, wrapped in the bright colors of the Colombian flag. Above where most trucks sell their beverages, Channell had a selection of Colombian snacks and candies that shed brought back from a recent trip to Colombia, as well as hats and shawls in the familiar yellow, blue and red. We want people to put on the hats and take pictures, said Channell. The Patacon, a fried plantain tostada topped with beans, farmers cheese, fresh guacamole and angus beef, at Cali Fresh. (Mark Boster / Los Angeles Times ) The menu reads like a greatest hits list of Colombian street food with arepas, patacones (plantain tostadas), empanadas and even a version of a Bandeja paisa (a platter of plantains, rice, meat and a fried egg). Channells arepas are served as flat rounds, topped with beef or chicken, beans made the way her grandmother makes them, fresh crema, cotija cheese and pico de gallo. The empanadas are filled with ground beef and potatoes braised with beer from local craft breweries, wrapped in fresh masa (to which she adds saffron, paprika and cumin), served with a tangy green sauce she calls her Colombian aji. Because we are still in the Age of Things in Bowls, Channells version of the Bandeja paisa is a bowl, filled with layers of white rice, beans, meat, cheese and guacamole. A couple of pieces of fried pork belly, plantain chips and a mini arepa surround the bowl. The entire thing which weighs about 2 pounds is topped with a runny fried egg. But the most identifiable Colombian street food-ish item on the truck is probably the Cali Dog. The Cali Dog, a bacon-wrapped hot dog with cheese, coleslaw and pineapple, from Cali Fresh. (Mark Boster / Los Angeles Times ) Its actually a Colombian street dog, which I first learned about from my uncle, who is a chef, said Channell. He used to have a street cart in Colombia. Wrapped in bacon like the hot dogs Angelenos affectionately refer to as club dogs, Channells hot dog comes topped with creamy slaw, sweet pineapple sauce, mozzarella, crushed potato chips, ketchup, mustard and crema (yes, all that on one hot dog). Sweet, salty and incredibly messy, this could be the schmutziest dog in Los Angeles. For dessert, theres a cereal milk flan topped with whipped cream and corn flakes, inspired by Channells love of Momofuku Milk Bars cereal milk ice cream. Cali Fresh posts its schedule on its website but can often be found at Hamilton Family Brewery in Rancho Cucamonga. Channell also said she and Cornejo plan on opening a brick-and-mortar version of the truck next year. ALSO: Gas station eats worth filling up on Jonathan Golds Taco Tuesday: Norteno tacos at Loqui Jonathan Golds 6 favorite Asian fried chicken joints in the L.A. area Ukraine in January-June 2016 exported electricity worth $85.485 million, in particular in June for $10.46 million. According to the State Fiscal Service, electricity exports to Hungary cost $63.394 million, Poland $21.736 million, Moldova $347,000, other countries $7,000. In addition, in January-June 2016 Ukraine imported energy worth $1.129 million from Russia and $320,000 from Belarus. As reported, Ukraine in 2015 exported electricity for $150.057 million, in particular to Hungary for $144.907 million, Poland for $2.722 million, Moldova for $1.173 million, other countries for $1.255 million. In 2000, a contested presidential election wound up in the U.S. Supreme Court in a case with the historic name of Bush vs. Gore. Suppose history repeated itself and at the end of this year the justices were hearing arguments in Trump vs. Clinton. Would Justice Ruth Bader Ginsburg have to recuse herself? Its not hard to imagine lawyers for Donald Trump making that claim. In an interview with Adam Liptak of the New York Times this week, the 83-year-old Ginsburg had this to say about the presumptive Republican nominee: I cant imagine what this place would be I cant imagine what the country would be with Donald Trump as our president. For the country, it could be four years. For the court, it could be I dont even want to contemplate that. Advertisement That wasnt the only juicy quote from the justice known to her admirers as Notorious R.B.G. (though Garrulous R.B.G. might be a better nom de rap). Ginsburg also told Liptak that the Senate should promptly consider President Obamas nomination of Judge Merrick Garland to replace her friend Antonin Scalia on the court. Thats their job, she said. Theres nothing in the Constitution that says the president stops being president in his last year. But thats not all. She said that Garland is about as well qualified as any nominee to this court. Super bright and very nice, very easy to deal with. And super prepared. He would be a great colleague. To be fair, some including the Los Angeles Times editorial page have argued that it would be legitimate for Chief Justice John G. Roberts Jr. to call on the Senate to act on the Garland nomination. And there is a precedent for a Supreme Court justice commenting on the qualifications of a nominee to the court. In 1987, Justice John Paul Stevens praised Judge Robert Bork, President Reagans nominee, saying: I personally regard him as a very well-qualified candidate and one who will be a very welcome addition to the court. (The Senate refused to confirm Bork.) Still, Ginsburg isnt the chief justice, who could plausibly advocate for Senate action in his role as the leader of the federal judiciary. Also, Roberts is a Republican appointee; Ginsburg was appointed Democrat Bill Clinton. Her endorsement of Garland is thus likely to come off as a partisan gesture. But its nothing compared to her dumping on Trump. If Roberts or Justice Clarence Thomas gave an interview in which they expressed horror at the possibility of a President Hillary Clinton, theyd be savaged by Democrats for partisanship unbecoming a judge. Ginsburg shouldnt get a free pass. Arthur Hellman of the University of Pittsburgh Law School refused to give her one. In an interview with the Washington Post, Hellman said: I find it baffling actually that she says these things. She must know that she shouldnt be. However tempted she might be, she shouldnt be doing it. You cant fault Liptak for scoring this interview. Getting a Supreme Court justice on the record is a rare (and in this case revealing) coup. Whether Ginsburg was judicious in granting the request is another matter. Her careless comments contribute to the impression that Supreme Court justices are just politicians in robes. As Trump would say: Sad! Follow the Opinion section on Twitter @latimesopinion and Facebook Authorities are searching for the driver of an SUV who struck three young women, killing one, in Santa Ana early Sunday before fleeing the scene. The hit-and-run crash occurred on Hazard Avenue, west of Harbor Boulevard, about 12:20 a.m., said Cpl. Anthony Bertagna of the Santa Ana Police Department. It appeared that the young women were standing in a two-way turn lane on Hazard Avenue when they were struck by the dark-colored SUV, authorities said. The driver continued eastbound on Hazard Avenue. Advertisement Two of the pedestrians were transported to a local hospital for medical treatment, and one died while en route, Santa Ana police said. The young women were between the ages of 16 and 20 and lived in Van Nuys, Oakland and Paramount, Bertagna said. The identification of the victims is pending notification of family. Anyone with information is asked to contact the Santa Ana Police Departments Collision Investigation Unit at (714) 245-8200. For more California breaking news, follow @AngelJennings. She can also be reached at angel.jennings@latimes.com. ALSO Man shot to death in El Monte in apparent road rage incident Transient injured in San Diego dies, becoming third fatality in series of attacks Speeds reach 119 mph in police chase through San Diego and Orange counties A conservation group is asking state officials to study reintroducing the grizzly bear to the Sierra Nevadas. The Center for Biological Diversity, based in Oakland, is collecting signatures on a petition that asks the California Fish and Game Commission to conduct a feasibility study on grizzly reintroduction. Scientists with the center identified about 8,000 square miles that could be appropriate for grizzlies, mainly in the states largest mountain range. For the record: An earlier version of this article stated that the Center for Biological Diversity had filed a petition with the California Fish and Game Commission to conduct a feasibility study on grizzly reintroduction. The group is gathering signatures but has not yet filed the petition. So far, about 12,000 people have signed the petition. California officials have said it would be difficult to reintroduce grizzlies, which once roamed the the state but disappeared from California in the early 1900s. Advertisement Before you dismiss it, lets do a feasibility study, said Jeff Miller, a conservation advocate with the center. The group filed a petition with the U.S. Fish and Wildlife Service to expand its grizzly recovery plan in 2014 to include Californias biggest mountain range, but that request was denied. For California news, follow @byjsong MORE LOCAL NEWS Ex-sheriffs deputy suspected of having sex with women at Joshua Tree jail Protesters with Black Lives Matter rally in Inglewood shut down 405 Freeway How black-market OxyContin spurred a towns descent into crime, addiction and heartbreak Dozens of demonstrators gathered Sunday outside the California Highway Patrol office in Santa Ana to protest last weeks fatal shooting of a 19-year-old man by undercover officers involved in a street-racing investigation. Carrying signs that stated Strong communities make police obsolete and Killer cops, quit your job, the demonstrators rallied against the July 3 shooting of Pedro Villanueva in Fullerton. Villanueva was among dozens at a Santa Fe Springs sideshow where truck drivers were performing dangerous burnouts, all while undercover CHP officers monitored the area. As uniformed officers closed in, Villanueva fled in a red Chevrolet Silverado pickup truck. Officers in an unmarked car followed him for about five miles into a dead-end street in Fullerton, where just before 11 p.m. Villanueva made a U-turn and drove toward officers. Officers opened fire and he died at the scene. Advertisement Naui Huitzilopochtli, who described himself as an indigenous rights activist and an organizer for Sundays protest, said it was unclear if Villanueva even knew that he was followed by undercover police. Why not wait for backup? he said. It seems like police shoot first and ask questions later. At its peak, the protest had more than 100 people and it drew to a close about 3:30 p.m. The gathering was peaceful and there were no arrests made, according to Santa Ana police. Huitzilopochtli said the rally was not an anti-police demonstration but a call for the CHP and local police to improve the training of officers and weed out bad cops. He also said the demonstration aimed to provide more visibility about the Mexican and indigenous communities that are affected by police brutality. Not all police are bad. We congratulate the police that go after the bad guys, Huitzilopochtli said. But there are police that are bullies. In any profession, there are corrupt people. Time staff writer James Queally contributed to this report. For more news in California, follow @MattHjourno. ALSO Woman driving with her 15-month-old son is shot several times SUV driver sought in Santa Ana hit-and-run that left 3 women dead Transient injured in San Diego dies, becoming third fatality in series of attacks A former San Bernardino County sheriffs deputy was arrested on suspicion of engaging in sexual activity with several women detained at a Joshua Tree jail, authorities said. Brian Derryberry, 24, was taken into custody Friday in connection with participating in sex acts with the female inmates in the Morongo Basin Jail, according to the Sheriffs Department. Derryberry, who started working with the department in April 2014, no longer works for the Sheriffs Department. He was last assigned to the Morongo Basin sheriffs station. Advertisement See the most-read stories this hour >> Detectives began investigating Derryberry on July 1 when they received information that he was engaging in sexual activity with a woman jailed at the Morongo facility, at 6527 White Feather Road, sheriffs officials said. As the investigation unfolded, detectives said they got credible evidence that Derryberry had some form of sexual contact with numerous woman at the jail. Derryberry was booked into the High Desert Detention Center and was being held in lieu of $50,000 bail. For breaking news in California, follow VeronicaRochaLA on Twitter. ALSO Confusing California primary ends on sour note Protesters with Black Lives Matter rally in Inglewood shut down 405 Freeway Marijuana shops, forced to do business in cash, become targets of violent robberies A councilwoman in Northern California issued an apology over the weekend after she was criticized for saying the ambush of Dallas law enforcement officers last week was completely incited by Americas police force. In a Facebook post Friday, Nevada City, Calif., Councilwoman Reinette Senum blamed the shooting on police directives to go out there and kill. Its insane and its meant to create mayhem, she wrote. Senum said shootings like the one in Dallas are expected when the police murder somebody. This is the oldest trick in the book.... it brings out more violence!!! It's a terrible cycle that always escalates into something worse. Thus forcing us to ask ourselves, who is benefiting from this? THAT's the answer I want to get to, she said. Five police officers were killed and seven other people injured during a Black Lives Matter protest march Thursday night in Dallas. Police said Micah Xavier Johnson, a 25-year-old Army veteran who served in Afghanistan, was the sole shooter. He was killed by a bomb-deploying police robot during a standoff with police. Senums comment drew outrage from the Nevada City Police Assn. and others who said she was insensitive. See the most-read stories this hour >> Senum debated with commenters on Facebook and defended her statements. I don't support any kind of killing of anyone. What I am against is the corruption. What I expect from this kind of senseless action from our police force is MORE SENSELESS KILLINGS! One killing does not justify another, she said. She apologized Saturday in a lengthy Facebook post, saying she did not intend to vilify the citys police force, but to point out a well-documented trend that is causing a public backlash towards the police. I also apologize for using such a broad brush that our local officers felt they were included in my statement, she said. But Senums apology came too late for many. More than 2,000 people have signed an online petition calling for Senums resignation. Officer Tim Ewing, president of the Nevada City Police Officers Assn., told KOVR-TV that officers have given Senum a vote of no confidence and are asking for her resignation. The Nevada County Deputy Sheriffs Assn. said Senums comments were wholly unacceptable and inflammatory. Inciting more hate in the face of a hate-based tragedy is enough by itself, but accusing peace officers, the very ones she now has power over, of having orders to kill and reaping what they sow when theyre murdered is appalling, the association said. For breaking news in California, follow VeronicaRochaLA on Twitter. ALSO 8-year-old girl hits, chases off prowler who broke into her home Ex-sheriff's deputy suspected of having sex with women at Joshua Tree jail 4 arrests made in kidnapping-for-ransom case, but there's still no sign of missing woman Hundreds of Black Lives Matter demonstrators poured into the streets of Inglewood late Sunday night, shutting down a major intersection and briefly blocking traffic on the 405 Freeway. Authorities said there were no arrests and no reports of violence. There has been no reason for interference by us, Inglewood Police Lt. Gregory Held said. Its all been peaceful. Advertisement Around 10:30 p.m., dozens of protesters spilled onto the lanes of the 405, forming a line that halted traffic in both directions for about 10 minutes. The crowd then moved up a La Cienega Boulevard ramp to rejoin the larger demonstration at Manchester Boulevard. 1 / 37 Black Lives Matter protesters block the 405 Freeway in Inglewood on July 10. (Harrison Hill / Los Angeles Times) 2 / 37 Protester Tammy Sum cries as she holds her son, Romeo Flores, during a Black Lives Matter rally in Inglewood on July 10. Protesters briefly blocked the 405 Freeway and held up traffic. (Harrison Hill / Los Angeles Times) 3 / 37 Protesters in support of Black Lives Matter block traffic on the 405 Freeway in Inglewood on July 10. (Luis Sinco / Los Angeles Times) 4 / 37 Protesters in support of Black Lives Matter block traffic in both directiona on the 405 Freeway in Inglewood on July 10. (Luis Sinco / Los Angeles Times) 5 / 37 LAPD officers watch as Black Lives Matter protesters block the 405 Freeway during a protest in Inglewood on July 10. (Harrison Hill / Los Angeles Times) 6 / 37 Protesters in support of Black Lives Matter confront officers of the California Highway Patrol after briefly blocking traffic on the 405 Freeway in Inglewood on July 10. (Luis Sinco / Los Angeles Times) 7 / 37 Protesters yell in celebration after shutting down the 405 Freeway during a Black Lives Matter protest in Inglewood on July 10. (Harrison Hill / Los Angeles Times) 8 / 37 Protesters in support of Black Lives Matter lock arms and chant as they block traffic on the 405 Freeway in Inglewood on July 10. (Luis Sinco / Los Angeles Times) 9 / 37 Downtown Los Angeles resident Phoebe Unter, 24, writes a message regarding the police in chalk on the corner of La Cienega Boulevard and Manchester Street during a July 10 protest in Inglewood. (Harrison Hill / Los Angeles Times) 10 / 37 Protesters in support of Black Lives Matter hold up signs as they head toward the 405 Freeway during a July 10 demonstration in Inglewood. (Harrison Hill / Los Angeles Times) 11 / 37 Tatiana McLee-Jackson marches outside of the LAPD headquarters before the start of a protest in response to the police shooting deaths of Alton Sterling and Philando Castille. (Dillon Deaton / Los Angeles Times) 12 / 37 Protestors march outside the LAPD headquarters in response to the police shooting deaths of Alton Sterling and Philando Castille. (Francine Orr / Los Angeles Times) 13 / 37 Colton Jones protests outside LAPD headquarters in response to the police shooting deaths of Alton Sterling and Philando Castille. (Francine Orr / Los Angeles Times) 14 / 37 Jelecia Smith joins a small protest at Florence and Normandie Avenues against the fatal shootings of black men by police officers. (Francine Orr / Los Angeles Times) 15 / 37 Barnard Miller raises his hands as he shouts at the LAPD headquarters before the start of a protest in response to the police shooting deaths of Alton Sterling and Philando Castille. (Dillon Deaton / Los Angeles Times) 16 / 37 Protest (Robert Gauthier / Los Angeles Times) 17 / 37 Protesters gather in front of LAPD headquarters in response to the police shooting deaths of Alton Sterling and Philando Castille. (Robert Gauthier / Los Angeles Times) 18 / 37 Protesters outside LAPD headquarters in downtown Los Angeles. (Robert Gauthier / Los Angeles Times) 19 / 37 Black Lives Matter protesters and others march in Los Angeles. (Francine Orr / Los Angeles Times) 20 / 37 Protesters outside Los Angeles City Hall. (Francine Orr / Los Angeles Times) 21 / 37 Justin Mullen listens to a speaker outside LAPD headquarters during a Black Lives Matter protest downtown. (Dillon Deaton / Los Angeles Times) 22 / 37 Protester Akeem Henry and bus passengers raise their fists as the bus passes a Black Lives Matter protest in downtown Los Angeles. (Dillon Deaton / Los Angeles Times) 23 / 37 A Black Lives Matter protest outside LAPD headquarters. (Dillon Deaton / Los Angeles Times) 24 / 37 Black Lives Matter protesters lead a demonstration outside Los Angeles City Hall. (Francine Orr / Los Angeles Times) 25 / 37 Ernestine Brass, holding her 9-month-old daughter, Amy Green, protests the recent deaths of Alton Sterling, Philando Castile and other black men in the hands of the police. She was at the corner of Crenshaw and Martin Luther King Jr. in Los Angeles on July 7, 2016. (Marcus Yam / Los Angeles Times) 26 / 37 Officer Flynn raises his Taser and tells protesters on Martin Luther King Jr. Boulevard to stop blocking traffic and step back onto the sidewalk. (Marcus Yam / Los Angeles Times) 27 / 37 Mac Bevans, left, and Karone Tolliver, 5, march with protesters at Martin Luther King Jr. and Crenshaw boulevards. (Marcus Yam / Los Angeles Times) 28 / 37 Protesters face off against police in riot helmets at the LAPDs southwest station to voice their concerns after the deaths of Alton Sterling and Philando Castile in Louisiana and Minnesota, respectively. (Marcus Yam / Los Angeles Times) 29 / 37 Black Lives Matter protesters. (Harrison Hill / Los Angeles Times) 30 / 37 Kia Smith holds a picture of her cousin in front of the southwest station as LAPD officers secure the front of the building. (Harrison Hill / Los Angeles Times) 31 / 37 Anthony Bevans, Karone Tolliver, Curtis Bevans and Malaysia Bevans chant during the Black Lives Matter protest. (Harrison Hill / Los Angeles Times) 32 / 37 Mac Bevans confronts an LAPD officer in front of the station. (Harrison Hill / Los Angeles Times) 33 / 37 Protesters talk to officers at the station. (Marcus Yam / Los Angeles Times) 34 / 37 Protesters confront LAPD Officer Doster about his race and being an officer. (Marcus Yam / Los Angeles Times) 35 / 37 Protesters confront police at the station. (Marcus Yam / Los Angeles Times) 36 / 37 A protesters shows a hand symbol of a gun to police as demonstrators march to the LAPDs southwest station. (Marcus Yam / Los Angeles Times) 37 / 37 Erica Allen raises her fist as protesters march along Martin Luther King Jr. Boulevard toward the LAPDs southwest station (Marcus Yam / Los Angeles Times) The protesters stopped at the intersection of Manchester and La Cienega, assembling in the form of a peace symbol and chanting, black lives, we matter, yeah and racist cops have got to go. They remained in the intersection known for the landmark Randys Donuts, snarling traffic for more than an hour. The demonstration was one of several across the country this weekend that focused on the fatal police shootings of two black men in Minnesota and Louisiana. Gatherings also paid tribute to the five Dallas police officers shot to death Thursday in an ambush. The gunman, Micah Johnson, said he wanted to kill white people and particularly police officers, according to Dallas authorities. For more news in California, follow @MattHjourno. ALSO Dozens protest CHPs fatal shooting of a teen during an undercover street-racing probe Santa Clarita Valley brush fire grows to 1,100 acres and is 49% contained Protesters block entrances to Bay Bridge, march in Beverly Hills, L.A. and other locations A brush fire in the Santa Clarita Valley that temporarily forced the evacuations of 2,000 residents over the weekend was 85% contained Monday night, officials said. About 700 firefighters were battling the 1,100-acre Sage fire burning near unincorporated Stevenson Ranch, said Inspector Gustavo Medina of the Los Angeles County Fire Department. Two aircraft were being used to battle the blaze, authorities said. Our main concern today is putting out as many of the hot spots as we can and trying to increase the containment line before the winds kick up, Medina said. Advertisement See the most-read stories this hour >> A small home caught fire in the burn area Sunday, but it was unclear whether that was the result of embers from the brush fire or a separate incident, Medina said. There were no injuries reported with that fire, he said. No other structures were threatened. Three firefighters, including one inmate firefighter, suffered minor injuries over the weekend and were taken to local hospitals, Medina said. They all were in stable condition. The fast-moving Sage fire fueled by hot temperatures and winds up to 25 mph forced thousands of residents to evacuate when it broke out shortly after noon Saturday. All of them were allowed to return to their homes later that night, Medina said. The cause of the brush fire is still under investigation and could take several days to determine, Medina said. An evacuation center at West Ranch High School in Stevenson Ranch will close at 11 p.m. Monday, authorities said. As of Monday morning, no one was at the center. The South Coast Air Quality Management District on Sunday issued a smoke advisory in the area affected by the Sage fire, including portions of the Santa Clarita Valley and San Gabriel Mountains. The agency said people in those areas should avoid outdoor activity, keep doors and windows closed and avoid using indoor or outdoor wood-burning appliances, including fireplaces. The smoke advisory was scheduled to expire Monday night. hailey.branson@latimes.com Twitter: @haileybranson ALSO 8-year-old girl hits, chases off prowler who broke into her home Fitness community mourns loss of trainer and his 2 children killed in freeway crash 4 arrests made in kidnapping-for-ransom case, but theres still no sign of missing woman UPDATES: 8:47 p.m.: This article was updated with new details on the containment of the fire. This article was originally published at 9:53 a.m. A man who stole a car in San Bernardino late Sunday night with two small children in the back seat was followed and ultimately arrested because of the vigilance of three good Samaritans, police said. The frantic series of events began in the parking lot of Rocky Market on East Highland Avenue about 11:30 p.m., when police said a mother ran inside to grab drinks for her two children who were strapped into car seats in the back of the vehicle, according to San Bernardino police Lt. Rich Lawhead. The woman left her car running, the air conditioner on and parked directly in front of the market window so she could see the car from inside the store, Lawhead said. Advertisement But outside was Steven Young, 21, a local transient, police said. When the mother took her eyes off the car for a minute, Young jumped in and began to pull away, Lawhead said. See the most-read stories in Local News this hour >> The mother was leaving the store just as the gray sedan was backing up, so she jumped on the hood to try and stop the driver from fleeing, Lawhead said. Young allegedly swerved left and right until the mother was shaken off, then he sped off, police said. But two people in another car in the parking lot watched the entire scene and followed Young, who crashed about two miles away when the car ran up onto a curb, Lawhead said. Two tires were popped and Young ran off, police said. The children were uninjured and the two people who followed stayed at the scene. But a third Samaritan who was walking in the area saw Young running away from the scene and pointed out where he was hiding to officers, Lawhead said. Young was found by a police dog hiding in a garage, officials said. Young was arrested and jailed on suspicion of kidnapping and carjacking. The mother, who couldnt afford a tow truck, was able to make it home with her kids after an officer changed her tires for her, police said. For breaking California news, follow @JosephSerna on Twitter. MORE LOCAL NEWS Ex-sheriffs deputy suspected of having sex with women at Joshua Tree jail Protesters with Black Lives Matter rally in Inglewood shut down 405 Freeway How black-market OxyContin spurred a towns descent into crime, addiction and heartbreak A man suspected of killing three transients and leaving a fourth for dead was released Monday because authorities said they did not have enough evidence to keep him in custody. Detectives over the weekend had gathered and reviewed witness statements and sifted through physical evidence from four violent crime scenes. When the analysis was done, officials with the police and district attorneys office felt releasing Anthony Padgett was the appropriate decision, San Diego homicide Capt. David Nisleit said. As I stated last Thursday when Padgett was arrested, we still had a lot of work to do, Nisleit said. As we continued Friday through Sunday ... we discovered exculpatory evidence which does not give me confidence moving forward with Padgett still in custody. Advertisement He would not say what specific information that was. However, Nisleit stopped short of saying the department had the wrong man behind bars. In an hour or tomorrow, we could get new evidence that might point the finger back to Padgett or someone new, he said. The captain defended the decision to arrest Padgett, 36, saying that he closely resembled the suspect seen in surveillance video and photographs. Investigators also were aware that Padgett had been convicted of setting a homeless man on fire in 2010. I could not risk not taking [Padgett] into custody and leaving him out in the community, God forbid he murders another person, Nisleit said. This was done with the utmost priority of keeping the public safe, and I believe it was the right decision then, and I believe it was the right decision now. When Padgett was taken from police headquarters to jail last week, he had declared his innocence to nearby reporters. I look like that person, Im innocent, he said in a clip posted by a 10News reporter. Gretchen von Helms, a criminal defense attorney in San Diego County, said it was unusual to have a defendant arrested and then released before the initial court hearing had taken place. Typically [police] have a sufficient quantity of evidence when they go out and arrest someone, Von Helms said. You dont want to arrest people that youre not going to have a good chance to convict later. Mondays development was disheartening to homeless advocacy organizations, whose members had expressed relief after the arrest was made. I thought I put this one on the shelf, and now Im back out there, said Bob McElroy, president of the Alpha Project. Here we go. Were going to do the same thing. Were going to have our outreach people telling the same thing keep your eyes open until they definitively catch the person who is doing this, he said. Alvin Nelson, who has been homeless for several years, said the arrest hadnt made him feel any safer, and the release didnt make him feel any more vulnerable. Its a matter of going on high alert, he said. Nelson has lived on Park Boulevard across from the downtown Central Library for about two months. The area is occupied by about three dozen people. Many of the men in the group have been sleeping on the periphery of the encampment while the women stayed on the inside in an effort to boost security. Alexis Leftridge, who also stays across from the library, said a number of her companions didnt feel safer even after the arrest because many believed there were two assailants. I wasnt going to let my guard down, she said. The series of violent assaults began about 8 a.m. July 3, with the death of Angelo De Nardo, 53, who was killed and then set on fire under the Clairemont Drive freeway bridge off Interstate 5 near Morena Boulevard. About 4:50 a.m. July 4, Manuel Nunez Mason, 61, was critically injured on Greenwood Street in the Midway District. Less than two hours later, Shawn Mitchell Longley, 41, was found dead of injuries on Bacon Street in Ocean Beach. Then, about 5 a.m. Wednesday, witnesses heard loud noises and saw one man set another on fire along a walkway between condominium complexes between State Street and Kettner Boulevard, south of Broadway in downtown San Diego. Investigators said a towel was ignited on top of the critically injured man, identified as 23-year-old Dionicio Derek Vahidy, but a witness grabbed it away before the victim was burned. Vahidy died of his injuries Sunday. According to court documents, Padgett was convicted in 2010 of mayhem and assault by means likely to produce great bodily injury for igniting a fire that burned 20% to 25% of Sydney Morenos body. Witnesses said Padgett watched the victim burn, making no attempt to help him. Padgett who, like Moreno, was homeless at the time argued in a letter to the court that while he had set the fire, he never intended to burn his friend. Moreno also believed Padgett wouldnt intentionally hurt him, according to court documents. lyndsay.winkley@sduniontribune.com Winkley writes for the San Diego Union-Tribune. Union-Tribune reporters Dana Littlefield, Joshua Emerson Smith and Joshua Stewart contributed to this story. ALSO Sailor accused of fatally stabbing girlfriend, then leading police pursuit MMA fighter Mayhem Miller arrested in altercation at restaurant 8-year-old girl hits, chases off prowler who broke into her home UPDATES: 6:38 p.m.: This article has been updated with more information about the investivation. 3:46 p.m.: This article has been updated with more information from an afternoon news conference. 3:13 p.m.: This article has been updated with more information on the homeless attacks and response from a homeless advocacy group. This article was originally published at 1:25 p.m. Ukraine urgently starts consultations on the liberalization of the visa regime with Canada, Ukrainian President Petro Poroshenko has said. "We have agreed that we immediately begin consultations on the liberalization of the visa regime. I am grateful to the Canadian side for starting this project," Poroshenko said in a joint statement with the Prime Minister of Canada Justin Trudeau in Kyiv on Monday. The president said that the issue of visa liberalization was discussed during negotiations with the Canadian prime minister. "First of all, I would like to inform you that the vast majority of visas are issued by the Canadian Embassy for the period of passport validity, and that is the implementation of the cooperation program we have given the start to. Secondly, we are closely working with our Canadian partners on reducing the number of refusals to Ukrainian citizens in obtaining Canadian visa, and I'm grateful for the absolutely clear position, which Mr. Prime Minister took in regard to this matter," Poroshenko said. Texas has always prided itself on its vigorous exercise of the 2nd Amendment. The state has long allowed the open carrying of rifles, and this year it joined a majority of other states in allowing handgun owners to carry their weapons openly. But the presence of up to 30 people carrying rifles during a protest last week at which 12 police officers were shot complicated law enforcements attempts to identify the gunman, Dallas Police Chief David Brown said Monday. The armed protesters also were wearing camo gear, bulletproof vests and gas masks, police said. Advertisement Were trying as best we can as a law enforcement community to make it work so that citizens can express their 2nd Amendment rights, Brown said. But its increasingly challenging when people have AR-15s slung over their shoulder and theyre in a crowd, he said. We dont know who the good guy is versus the bad guy when everyone starts shooting. Thursdays shooting, which left five officers dead, erupted during a protest against recent shootings of African American men by police officers in Louisiana and Minnesota. The gunman, Micah Xavier Johnson, a 25-year-old Army reservist, told police negotiators he was targeting white officers. Some gun rights advocates have argued that armed citizens, so-called good guys with guns, can aid police in combating crime. Last year, Texas passed its law allowing the open carrying of handguns, and a law allowing people to carry handguns on college campuses is set to take effect Aug. 1. Advocates for open carry laws rejected the idea that the presence of the armed men at last weeks protest caused any confusion. The fact is that there is ample evidence on social media that law enforcement was not confused during the shooting about who was a good guy and who was a bad guy with a gun, C.J. Grisham of the group Open Carry Texas said in a statement. Video evidence is clear that officers felt quite at ease during the incident in the presence of open carriers, he said. But Brown called policing with open carry difficult at best. He called on lawmakers to do something on guns, though he emphasized that as a police chief, he didnt want to get in that debate and get swallowed up by both sides who are entrenched in their positions I want no part of that. He said lawmakers need to do their job. Were doing ours, Brown said. Were putting our lives on the line. The other aspects of government need to step up and help us. The chief said police are questioning Johnsons family members, including his mother, to determine whether he had any accomplices. Johnson kept a journal of combat tactics, stockpiled bomb-making materials and other weapons, took a self-defense class and was seen by neighbors practicing military exercises in his backyard, officials have said. Records show he lived with his mother. How could his family not have known? Thats my question, Brown said at Mondays news briefing . He said Johnsons relatives have been cooperative and have not been detained. I want to make sure theres nobody else out there who had anything to do with this, Brown said. Detectives are reviewing more than 300 statements to determine which witnesses and officers need to return for further interviews, he said. In the coming days they plan to release a timeline of the gunmans movements. We dont know who the good guy is versus the bad guy when everyone starts shooting. David Brown, Dallas police chief Johnson was killed remotely by police using a robot carrying explosives after a standoff of several hours. This was the first time Dallas police had used a robot, normally used to defuse bombs, in such a situation. The department bought the Mark V-A1 eight years ago for $151,000. They improvised this idea in about 15, 20 minutes, he said. I asked the question of how much we were using. I said, Dont bring the building down. I said, I trust you, you know what I want done. The chief weighed using the robot or a sniper to end the standoff, but said during an interview with CNN on Sunday that the robot was safer. Brown said that Johnson had hidden in a corner in the garage and that using a sniper would have exposed police to great danger. We believe that we saved lives by making this decision, he said. He said he was going to kill. This wasnt an ethical dilemma for me, Brown said. And Id do it again, to save our officers. Theres partial damage to the extension arm of the robot, but it is still functional if we had to use it for other operations, he said. Brown said evidence seized from the gunmans home indicates he had originally been planning an even bigger attack. Just how big remains unclear. There was a large stockpile [of explosives]. One of the bomb techs called me at home to express his concerns about how large a stockpile he had, Brown said. He said it also wasnt clear how Johnson learned about bomb making. We dont think he learned it in the military. He learned it online, I guess, the chief said. A candlelight vigil for the fallen officers was planned at City Hall on Monday night, and visitation has been scheduled for three of the officers at funeral homes Tuesday, with funerals Wednesday. The funeral of Dallas Area Rapid Transit Officer Brent Thompson, 34, will be held at 10 a.m. Wednesday at the Potters House Church, a Dallas megachurch run by Bishop T.D. Jakes. The funeral of Dallas Police Senior Cpl. Lorne Ahrens, 48, is scheduled for 11 a.m. at Prestonwood Baptist Church in nearby Plano. The family of Sgt. Michael J. Smith, 55, has scheduled a private funeral at Mary Immaculate Catholic Church in nearby Farmers Branch and a ceremony for fellow officers and the public at noon Wednesday at Dallas Watermark Church, where he provided weekly security for years. Funeral arrangements were still pending Monday for Officers Michael Krol, 40, and Patrick Zamarripa, 32, according to a statement from Dallas police. The chief said he was still trying to come to terms with the fact that theyre holding these funerals. I dont know how Im going to make it through the week, he said. Behind candles and lights dimmed to near darkness, their faces flashed across the screen. A bootleg CD salesman in Louisiana, a cafeteria worker in Minnesota, a 17-year-old in Sanford, Fla. Then the face of a police officer, and a second, a third, a fourth and a fifth. It wasnt necessary for the pastor of the Potters House megachurch in Dallas to identify the dead projected on a screen behind him. All the faces with light skin were police officers. All the faces with dark skin were citizens killed by the police. On Sunday at 11 a.m., which the Rev. Martin Luther King Jr. once called the most segregated hour in America, the black-majority congregation of Potters House took time to reflect on a wrenching week of race-related violence in America. Advertisement These are trying times in our nation, but we believe that God can do the impossible, said associate pastor Onterio Green, pacing the vast stage at the front of the church. Halle-lujah! Halle-lujah! Halle-lu-jah! Worshipers at the Potters House church in Dallas. (Barbara Davidson / Los Angeles Times ) The week had begun with the shooting deaths of two black men at the hands of police in suburban St. Paul, Minn., and Baton Rouge, La. Then on Thursday night, a Black Lives Matter march in Dallas ended in a few terrifying moments when a sniper disrupted the peaceful protest by shooting 14 people, 12 of them police officers. Five officers were killed. Seven others and two civilians are expected to survive. In an instant, this city became the locus of the nations continuing conversation with itself on race, discrimination and justice. We are ground zero today, said state Sen. Royce West. West spoke from the stage on Sunday, joined by a dozen others, including family members of people killed by police, and police officers themselves, who pleaded for patience and understanding. I wasnt born a robot, said Dallas Police Department Cpl. Justin Brandt. We are human. Brandt, dressed in full uniform, said he has to travel the country to find acceptable recruits for the police department, and said he wished qualified applicants from Dallas would consider joining. I can get you all a job tomorrow, he told the congregation, to laughter. Sometimes, the reception in church to the police was icy. After all, the protest on Thursday was meant to bring attention to incidents of police brutality, and some of the marchers were in the pews on Sunday. When Brandt suggested that the solution to racial tension was giving the police more resources, including money for training, the room was silent. This city has not been touched by the kind of slow-boiling violence that rent Ferguson, Mo., and erupted last week in Baton Rouge between protesters and officers in riot gear. But some protesters, including James Ali-El, 36, found the presentation in church to be more show than substantive dialogue. Theyre missing the whole point of whats really going on, said Ali-El, who said members of his family are current and former members of the Los Angeles Police Department. Its camouflage [to] shut down the black community by coming out here and saying, We have to have sympathy for the police officers. Of course we have sympathy for the officers. But its convenient. Now they want restraint, now that its them [who are] hurt. Mayor Mike Rawlings, who has sought to make inroads with Dallas black community, sat in a front-row pew and spoke briefly of fighting the battles of tomorrow instead of the battles of yesterday. Frustration with the police sometimes boiled over during the on-stage dialogue. Potters House Pastor T.D. Jakes, who held a microphone and asked questions of the assembled group on stage, complained that police officers never truly face justice. Theyre always exonerated, Jakes said. Jakes then introduced a series of people whose loved ones were killed by police. Saundra Sterling said her nephew Alton was like a son to her, and she had worried about his decision to return to selling bootleg CDs in Baton Rouge. He was killed Tuesday by police. He suffered, he suffered, Sterling said. 1 / 103 Magnus, the 8-year-old son of slain Dallas Police Officer Lorne Ahrens, rides with his fathers coffin during the funeral Wednesday afternoon. Sr. Cpl. Ahrens and four other officers were killed in an attack during a Black Lives Matter protest on July 7. (Barbara Davidson / Los Angeles Times) 2 / 103 Pallbearers carry the casket of Dallas Police Sr. Cpl. Lorne Ahrens at Restland Memorial Park in Dallas on Wednesday afternoon. (Barbara Davidson / Los Angeles Times) 3 / 103 Magnus, the 8-year-old son of slain Dallas Police Officer Lorne Ahrens, speaks to officers after his fathers funeral Wednesday. Sr. Cpl. Ahrens was buried at Restland Memorial Park in Dallas. (Barbara Davidson / Los Angeles Times) 4 / 103 Funeral services are held for Dallas Police Sr. Cpl. Lorne Ahrens at Prestonwood Baptist Church in Plano, Texas. (Barbara Davidson / Los Angeles Times ) 5 / 103 People line up outside the funeral service for Dallas Police Sr. Cpl. Lorne Ahrens at Prestonwood Baptist Church in Plano, Texas. (Barbara Davidson / Los Angeles Times) 6 / 103 Vice President Joe Biden, left, Laura Bush and former President George W. Bush join President Obama and First Lady Michelle Obama at the memorial service in Dallas for five slain policemen. (Barbara Davidson / Los Angeles Times) 7 / 103 Dallas Police Chief David Brown, center, listens as President Obama speaks at the memorial service. (Barbara Davidson / Los Angeles Times) 8 / 103 Police officers from across the country and civilians attend a visitation for slain Police Sgt. Michael Smith at Mary Immaculate Church in Farmers Branch, Texas. (Barbara Davidson / Los Angeles Times) 9 / 103 A crowd gathers before the memorial at the Morton H. Meyerson Symphony Center in Dallas, where President Obama and former President George W. Bush spoke. (Barbara Davidson / Los Angeles Times) 10 / 103 Police officers take part in the Dallas Strong candlelight vigil at City Hall on July 11, 2016, in honor of the five Dallas police officers killed last week. (Barbara Davidson / Los Angeles Times) 11 / 103 Police officers at the vigil. (Barbara Davidson / Los Angeles Times) 12 / 103 Kristy Zamarripa, daughter of slain Dallas Police Officer Patricio Zamarripa, is held by her grandmother in front of a photo of the officer at the vigil. (Barbara Davidson / Los Angeles Times) 13 / 103 A woman wipes her tears in a section of seats reserved for family members of the slain police officers. (Barbara Davidson / Los Angeles Times) 14 / 103 Dallas Police Officer Victor Guzman, who was at the sniper shooting scene, holds a candle. His wife, Ciprina, is in front of him. (Barbara Davidson / Los Angeles Times) 15 / 103 Family and friends of fallen police officers take part in the vigil. (Barbara Davidson / Los Angeles Times) 16 / 103 Officer Marc Macklemore tries to remain composed during a memorial for the slain officers at the vigil. (Barbara Davidson / Los Angeles Times) 17 / 103 Heidi Smith, center, wife of slain Dallas Police Officer Sgt. Michael Smith, is comforted by her dauther Victoria, left, as they take part in a candlelight vigil at City Hall on Monday. (Barbara Davidson / Los Angeles Times) 18 / 103 Rick Zamarripa, father of slain Officer Patrick Zamarripa, attends the vigil. (Barbara Davidson / Los Angeles Times) 19 / 103 Five portraits of the officers killed last week are displayed at the vigil. (Barbara Davidson / Los Angeles Times) 20 / 103 Honor guards put up the portraits. (Barbara Davidson / Los Angeles Times) 21 / 103 Community members hold hands in prayer at a Dallas church on Sunday. (Marcus Yam / Los Angeles Times) 22 / 103 Porsha Jackson, right, speaks during a community meeting at a Dallas church on Sunday. (Marcus Yam / Los Angeles Times) 23 / 103 Prayers continue to be said July 10 as the memorial in front of Dallas police headquarters continues to grow. (Barbara Davidson / Los Angeles Times) 24 / 103 Dallas police officers wipe tears following a prayer July 10. (Barbara Davidson / Los Angeles Times) 25 / 103 People pray July 10 at Dallas police headquarters. (Barbara Davidson / Los Angeles Times) 26 / 103 Black Lives Matter activists hold hands at a protest July 10 in Dallas. (Barbara Davidson / Los Angeles Times) 27 / 103 Black Lives Matter demonstrators share a group hug with All Lives Matter activists July 10 in Dallas. (Barbara Davidson / Los Angeles Times) 28 / 103 Samuel Barnes, left, who was dispatched with emergency medical services after the Dallas attack, watches a Black Lives Matter demonstration July 10. (Barbara Davidson / Los Angeles Times) 29 / 103 Ella Fest, 3, looks at a makeshift memorial in downtown Dallas on Sunday. (Barbara Davidson / Los Angeles Times) 30 / 103 A wounded Shetamia Taylor, center, tears up as she recalls the Dallas police officers who saved her after she was wounded during a sniper attack Thursday night in which five officers were killed. At the news conference at a Dallas hospital, Taylor is surrounded by her sister, Teresa Williams, her husband, Lavar Taylor, and her children behind her. (Marcus Yam / Los Angeles Times) 31 / 103 Shetamia Taylor, right, who is recovering after being shot, hugs Angie Wisner, who helped save her son during the deadly attack when a gunman killed five police officers and wounded other officers and civilians in Dallas during a peaceful protest. (Marcus Yam / Los Angeles Times) 32 / 103 Worshippers come together for a service and town hall meeting at the Potters House megachurch in Dallas on Sunday, days after five officers were killed by a sniper during a peacerful Black Lives Matter protest. Bishop T.D. Jakes told the mostly black congregation of the citys police officers: When wickedness raised its head, they stood up for our protection. (Barbara Davidson / Los Angeles Times) 33 / 103 Saundra Sterling, an aunt who raised Alton Sterling after his mother died, is welcomed by worshippers at the Potters House church in Dallas. Alton Sterling was shot to death by police in Baton Rouge, La., last week. (Barbara Davidson / Los Angeles Times) 34 / 103 Elizabeth Holmes, 87, gives out hugs during a service at the Potters House church in Dallas, days after five law enforcement officers were killed by a sniper. (Barbara Davidson / Los Angeles Times) 35 / 103 Father Stephen Jasso greets Rick Zamarripa, father of slain police officer Patrick Zamarripa, during Sunday Mass at All Saints Catholic Church in Dallas on July 10, 2016. (Marcus Yam / Los Angeles Times) 36 / 103 A picture frame with two portraits of slain police Officer Patrick Zamarripa sits on the pew as family members stand to pray during Sunday Mass at All Saints Catholic Church, in Dallas on July 10, 2016. (Marcus Yam / Los Angeles Times) 37 / 103 Rick Zamarripa, father of slain police Officer Patrick Zamarripa, weeps during Sunday Mass at All Saints Catholic Church in Dallas on July 10, 2016. (Marcus Yam / Los Angeles Times) 38 / 103 Dallas residents add to the memorial for the slain police officers. (Marcus Yam / Los Angeles Times) 39 / 103 People give hugs to Dallas police officers standing outside the memorial for slain officers in the recent attacks in Dallas. (Marcus Yam / Los Angeles Times) 40 / 103 Tasha Lomoglio sits alone as she visits the memorial for slain police officers outside Dallas Police Headquarters. (Marcus Yam / Los Angeles Times) 41 / 103 A memorial for the slain Dallas police officers. (Marcus Yam / Los Angeles Times) 42 / 103 Community members pay their respects at the memorial for the slain officers in the recent attacks in Dallas. (Marcus Yam / Los Angeles Times) 43 / 103 Police officer M. Argumedo shares encouraging words with Brielle Delgado, 8, at the memorial for slain police officers in Dallas, Texas. (Marcus Yam / Los Angeles Times) 44 / 103 Tasha Lomoglio, of Dallas, pays her respects in front of a growing memorial at the Dallas police headquarters. (Barbara Davidson / Los Angeles Times) 45 / 103 DART Police officers pray in front of the Dallas police headquarters on Saturday. (Barbara Davidson / Los Angeles Times) 46 / 103 DART Police officers pray in front of the Dallas police headquarters on Saturday. (Barbara Davidson / Los Angeles Times) 47 / 103 A woman weeps at a memorial outside the crime scene where 5 police officers were killed and 7 more wounded, in Dallas, Texas. (Marcus Yam / Los Angeles Times) 48 / 103 Officers are deployed around Dallas police headquarters because of an unspecified threat. (Barbara Davidson / Los Angeles Times) 49 / 103 Officers secure the scene around Dallas police headquarters because of an unspecified threat. (Barbara Davidson / Los Angeles Times) 50 / 103 Police locked down the area around the Dallas headquarters because of an unspecified threat. (Barbara Davidson / Los Angeles Times) 51 / 103 People wait for lock down to be lifter around Dallas police headquarters after an unspecified threat was made. (Barbara Davidson / Los Angeles Times) 52 / 103 Dallas police officers check out an unspecified threat around the headquarters. (Barbara Davidson / Los Angeles Times) 53 / 103 Mourners grieve in front of the Dallas Police Headquarters. (Barbara Davidson / Los Angeles Times) 54 / 103 The MVPz, a Central Texas, Softball Team, pray in front of the Dallas Police Headquarters. (Barbara Davidson / Los Angeles Times) 55 / 103 Assistant Police Chief, Gary Tittle, gets a hug at the Dallas Police Headquarters. (Barbara Davidson / Los Angeles Times) 56 / 103 Seven-year-old Jacob Flanagan greets Assistant Police Chief, Gary Tittle, with his Mom, Jennifer Cobb, in front of the Dallas Police Headquarters. (Barbara Davidson / Los Angeles Times) 57 / 103 Djuana Franklin is consoled by a passerby as she weeps at the memorial for slain police officers in Dallas. (Marcus Yam / Los Angeles Times) 58 / 103 Investigators walk in a formation on Lamar Street to comb through the crime scene outside El Centro College in Dallas where a gunman killed five police officers and wounded seven others. (Marcus Yam / Los Angeles Times) 59 / 103 People pray in front of a growing memorial at the Dallas Police Headquarters. (Barbara Davidson / Los Angeles Times) 60 / 103 Homeland Security Agent, Ron Miller, of San Antonio, works with his bomb sniffing dog, Mattie, along the Earle Cabel Federal Building in downtown Dallas. (Barbara Davidson / Los Angeles Times) 61 / 103 Rachel Simon embraces her daughter Abigail Simon, 13, as they pay their respects to the slain officers at a memorial outside Dallas Police Department. (Marcus Yam / Los Angeles Times) 62 / 103 Retired Army Sgt. Chandler Davis, pays his respects at the growing memorial in front of the Dallas Police Headquarters. (Barbara Davidson / Los Angeles Times) 63 / 103 Investigators comb through the crime scene for evidence outside El Centro College on Lamar Street in Dallas where a gunman killed five police officers and wounded seven others. (Marcus Yam / Los Angeles Times) 64 / 103 Flowers, handwritten notes, balloons, candles and other mementos are left on squad cars parked at the Dallas Police Department in a memorial to the slain officers. (Marcus Yam / Los Angeles Times) 65 / 103 Djuana Franklin weeps for the slain police officers at a memorial at the Dallas police headquarters. (Marcus Yam / Los Angeles Times) 66 / 103 Flowers, cards, balloons, candles and other mementos form a makeshift memorial at the Dallas Police Department. (Marcus Yam / Los Angeles Times) 67 / 103 A large American flag flies at half mast framed by the Dallas skyline in the aftermath of the deadly police shooting. (Marcus Yam / Los Angeles Times) 68 / 103 Roses are placed on a makeshift memorial near the shooting scene. (Marcus Yam / Los Angeles Times) 69 / 103 From top left counter clockwise, Fermin Betancourt, Damien Betancourt, 10, Destiny Betancourt, 11, and Police Officer Yuridia Morales pay their respects at the memorial for slain Dallas officers. (Marcus Yam / Los Angeles Times) 70 / 103 Police Officer Katherine Rhodes, right, embraces Officer Yuridia Morales at a memorial for the shooting victims. (Marcus Yam / Los Angeles Times) 71 / 103 Flowers, notes, balloons and other mementos are left on squad cars outside Dallas Police headquarters in honor of the slain police officers. (Marcus Yam / Los Angeles Times) 72 / 103 Shelby Garcia, 16, sticks a hand-written note onto the squad cars meant to memorialize the slain Dallas police officers. (Marcus Yam / Los Angeles Times) 73 / 103 Kenneth Parsons leans on Veronica Jones as they pay their respects at a memorial for the fallen police officers in Dallas. (Marcus Yam / Los Angeles Times) 74 / 103 Shelby Garcia, 16, writes a note for the slain Dallas police officers. (Marcus Yam / Los Angeles Times) 75 / 103 Hand-written personal notes are left to honor the fallen police officers in Dallas. (Marcus Yam / Los Angeles Times) 76 / 103 Dallas residents join in a United to Heal prayer vigil at the Cathedral Guadalupe the day after the sniper attack that left five officers dead. (Barbara Davidson / Los Angeles Times) 77 / 103 An officer wipes a tear as fellow officers adjust flowers left on a police cruiser in front of police headquarters in Dallas. (Barbara Davidson / Los Angeles Times) 78 / 103 Daniel Bray embraces Emilie Bedell during an interfaith prayer event in Dallas for the victims of the mass shooting that killed five police officers and wounded seven others. (Marcus Yam / Los Angeles Times) 79 / 103 Concord Church in Dallas hosts a gathering after the sniper attack on police by Micah Xavier Johnson of Mesquite, Texas. (Barbara Davidson / Los Angeles Times) 80 / 103 DALLAS, TX July 8, 2016 Neftali Davila, of Sallas, prays as her husband Mayte holds their newborn, Mateo, as worshipper attend A United To Heal Prayer Vigil at the Cathedral Guadalupe July 8, 2016 following a sniper attack by 25-year-old Micah Xavier Johnson of Mesquite, Texas. that left 5 officers dead. (Barbara Davidson/Los Angeles Times) (Barbara Davidson / Los Angeles Times) 81 / 103 Stacy Powell, center, prays with others at the Concord Church in Dallas following the police shooting. (Barbara Davidson / Los Angeles Times) 82 / 103 Texas Highway Patrol officers help out in downtown Dallas as investigators look for evidence from the sniper attack on police the night before. (Barbara Davidson / Los Angeles Times) 83 / 103 Eleina Martinez, 5, touches Dallas Police Officer Arnie Pargas badge, draped with a black band, at a memorial outside police headquarters. (Barbara Davidson / Los Angeles Times) 84 / 103 Community members pray during an interfaith prayer event for the victims of the mass shooting in Dallas. (Marcus Yam / Los Angeles Times) 85 / 103 Tani Taylor claps for police officers during an interfaith prayer event for the victims of the mass shooting that killed five officers in Dallas. (Marcus Yam / Los Angeles Times) 86 / 103 Izzy May sobs during an interfaith prayer service for the victims of the police shooting in Dallas. (Marcus Yam / Los Angeles Times) 87 / 103 During a news conference Friday, Dallas Police Chief David Brown collects himself while talking about Thursday nights deadly shooting. (Mark Mulligan / Associated Press) 88 / 103 Noelle Hendrix places flowers near the scene of the shooting in downtown Dallas. (LM Otero / Associated Press) 89 / 103 Investigators document the crime scene outside El Centro College, where a sniper killed five police officers and wounding 7 others in Dallas. (Marcus Yam / Los Angeles Times) 90 / 103 Investigators examine the crime scene outside El Centro College in Dallas, where a sniper unleashed a barrage of bullets, killing at least five police officers and wounding seven others during a protest over recent police shootings in Minnesota and Louisiana. (Marcus Yam / Los Angeles Times) 91 / 103 Investigators document the crime scene outside El Centro College in Dallas, where a sniper shot 12 police officers, killing five of them. (Marcus Yam / Los Angeles Times) 92 / 103 Dallas police officers stand guard at a roadblock to the crime scene at El Centro College in Dallas. (Marcus Yam / Los Angeles Times) 93 / 103 Investigators search the crime scene outside El Centro College in Dallas where a sniper unleashed a barrage of bullets, killing five police officers and wounding seven others. (Marcus Yam / Los Angeles Times) 94 / 103 A Dallas police officer, who did not want to be identified, takes a moment as she guards an intersection after the deadly shooting. (LM Otero / Associated Press) 95 / 103 Dallas police officers gather downtown after the deadly shooting. (Ralph Lauer / EPA) 96 / 103 Dallas police officers face protesters on the corner of Ross Avenue and Griffin Street after the shooting. (Ralph Lauer / EPA) 97 / 103 Police officers stand guard at a barracade following the sniper shooting in Dallas. (Laura Buckman / AFP-Getty Images) 98 / 103 Dallas police protest (LM Otero / Associated Press) 99 / 103 Police stop a driver in downtown Dallas. (LM Otero / Associated Press) 100 / 103 Dallas police stand watch after the shooting. (LM Otero / Associated Press) 101 / 103 Earlier in the day, people rally in Dallas to protest the deaths of Alton Sterling and Philando Castile in Louisiana and Minnesota, respectively. (Laura Buckman / AFP / Getty Images) 102 / 103 Marchers in Dallas. (Laura Buckman / AFP / Getty Images) 103 / 103 A man lies on the ground after yelling, Dont shoot me, at police during the rally. (Laura Buckman / AFP / Getty Images) Diamond Reynolds watched her boyfriend, Philando Castile, die after he was shot several times by St. Anthony, Minn., Officer Jeronimo Yanez on Wednesday. The officer was scared, I heard it in his voice, said Reynolds, who live-streamed Castiles final moments on Facebook and spoke at the service on a phone line connected to church speakers. It clicked to me. This is much bigger than myself. Jakes asked her what she wonders about when she thinks about that day. If I could change anything, it was never to take that route, Reynolds said, her voice breaking. None of this would have happened. Finally on stage was Dallas County Sheriffs Lt. Steven Gentry, who has had a troubled history with his own department. He was fired for filming female inmates in the shower, but reinstated due to a technicality. Gentry said he was abandoned at a police station by his birth mother and brought home by a sympathetic police officer, whom he regards as his father. On Thursday, he saw one of his friends and fellow police officers die in front of him. Whether you like police or you dont, whether youve had bad interactions with us, Gentry said, were not over you, were with you. Jakes, the pastor, clutched Gentry to him. Im holding Steve and hes trembling right now, Jakes said. He turned to Gentry. You look alive, Jakes said. Gentry collapsed onto the pastors shoulder, tucked his head into Jakes lapel and wept. Twitter: @nigelduara ALSO Obama to go to Dallas on Tuesday for memorial Dallas police chief says shooter planned larger attacks on officers Black man found hanging in Atlanta park stirs fear and ugly memories When the shooting started at the Black Lives Matter protest here last week, Shetamia Taylor shouted at her four sons to run. They started running up the block and I was running behind them and I felt the bullet, she said Sunday. Taylor, 38, had been shot from behind, in her right calf. Still standing, she looked to a police officer ahead of her, a heavyset, balding white man. Advertisement Then he was shot, too. I saw him go down. When he got hit, he slumped over and he said He has a gun, run! she said, recounting the incident from her wheelchair at Baylor Medical Center. She began to sob, covering her face. It would take hours for Taylor to learn the fate of her sons ages 12, 14, 15 and 18 and of the dozen officers shot, five of them fatally, by 25-year-old Micah Xavier Johnson. Johnson said he wanted to kill white people, especially white officers, in retribution for police killings of black men. But Taylor was neither white nor a police officer. She was a mother with four black sons, and she was determined that nothing would happen to them. I was just laying on top of him. If it was going to happen to one of my sons, it was going to happen to me first. Shetamia Taylor Taylor is not an activist. She and her family live in Garland, a Dallas suburb, and dont know their way around downtown Dallas. She tried to raise her sons right, instructing them to treat police with respect, but also to call home if they were ever stopped. Taylor admired police but was increasingly disturbed by the recent spate of police shootings involving black men, and feared for her boys. It had been her idea to go to the protest, the familys first, which she saw announced on Facebook. After she was shot, Taylor managed to grab her 15-year-old son, Andrew Humphrey, and push him between a car and the curb, shielding him with her body. I was just laying on top of him, she said. If it was going to happen to one of my sons, it was going to happen to me first. She watched police stream up the block toward them -- and the shooting. One of them shouted, Is anybody hit? Andrew yelled no, unaware that his mother was injured. Taylor didnt want to alarm him, and called out quietly to one of the officers, Yes, sir, Im hit in my leg! Police rushed over, most of them white officers, and jumped on top of Taylor and her son. There was another one at our feet and another one over our head and several of them lying against a wall. And they just stayed there with us, she said. I had never seen anything like that before, the way they came around us and guarded us like that. Andrew was crying for police to move them, but they said it wasnt safe. As they lay on the concrete, pinned down by gunfire, Taylor saw another police officer get struck. She still doesnt know if the two officers who were shot in front of her lived through the night. It was hundreds of rounds, she said, shots all around us. Soon after, police decided it was time to escape to a nearby patrol car, which Taylor could see was already riddled with bullets. By the time they reached Baylor Medical Center, she said, the cars tires were flat and they were driving on rims only. Outside the emergency room, Taylor could see a police officer on a gurney. I just kept praying for everyone: for my sons to be safe, for the officers, she said. When the shooting first erupted, Taylor had glimpsed her eldest son, Kavion Washington, grab 12-year-old Jermar and pull him into a garage entrance. They ducked behind a stone pillar. Jermar Taylor, 12, breaks down during a news conference July 10 in Dallas as he recalls Thursdays shooting, which left his mother injured. (Marcus Yam / Los Angeles Times ) Jermar, who had always wanted to be a police officer, was stunned. Moments before, while marching, he had beamed to his mother, saying, Im making history! I had never heard a gunshot or even seen a real gun, he said, only in video games. Now police were directing him to sprint through the garage to safety. I was scared and didnt really know what was going to happen, Jermar said, his voice shaking. A police officer ran up and said Go, Ill cover you, Kavion recalled. He was really selfless and put himself in harms way to protect us. They ran and joined the crowd of protesters fleeing downtown. Kavions cellphone battery had died, and although strangers offered to let him use their phones, he couldnt remember his mothers number. Finally they reached Union Station, where someone loaned Kavion a phone charger and he was able to call his mother. Andrew answered. That was just a relief to hear his voice, Kavion said, until he heard the news: Were at the hospital. Is Jajuan with you? Kavion asked. He wasnt. Then we both sort of started to panic. When the shooting started, Jajuan, 14, ran several blocks away, then stopped, lost. Get to safety! a woman told him. But he didnt know how, and I didnt want to be by myself. Angie Wisner, 33, a mother of three including two sons did what she figured any mother would do for a lost child: tried to reunite him with his family. Jajuan had dropped his cellphone and hers was dead, so she suggested they head for her truck to charge it and try calling. At one point, they borrowed a strangers phone, but Jajuans mother was in surgery and did not answer. Another stranger let them into an apartment building to charge Wisners phone, which they eventually used to make an appeal on Facebook Live and Snapchat. We all was looking for each other but we couldnt connect the dots, Wisner said Sunday while meeting with the family at the hospital. Jajuan finally reached a cousin, who told him his mother had been shot. He just folded over, shaking, thinking his mother might be dead, Wisner said. She tried to reassure him. Maybe she just got shot in the leg, she said as his cousin arrived to drive him to the hospital. The bullet had indeed fractured a bone in Taylors leg, but a surgeon repaired it with a metal plate and screws and said she would be able to walk in a few months. But Taylors biggest concern was the safety of her sons. She was relieved when Andrew finally told her: They found all three and they are all OK. Just then, she looked up to see a police officer delivering bad news to a colleague. Im celebrating my kids being alive and Im listening to them say how an officer didnt make it, Taylor recounted Sunday at the hospital, surrounded by her sons and other family. It hurt, she said. Of course Im thankful that my babies are OK. But somebodys dad, somebodys husband, isnt. Twitter: @mollyhf READ MORE Dallas police chief says shooter planned larger attacks on officers Obama urges Americans to listen to each other and avoid heated rhetoric Black man found hanging in Atlanta park stirs fear and ugly memories The recent killing of a marijuana store security guard in Colorado and the wounding of another guard in San Bernardino are the latest examples of the crime lure posed by cash-only pot dispensaries, industry observers say. But while those armed robbery attempts and a pot store shootout in Walnut Park in Los Angeles County were unfolding last month, Democratic-sponsored legislation that could have led to more dispensaries offering plastic and electronic payments in lieu of cash was blocked by House Republicans. Moments such as this, said security expert Michael Jerome of Blue Line Protection Group, referring to the recent killing of 24-year-old Colorado pot store guard Travis Mason, remind us that the cash-based nature of the legal cannabis industry here in Colorado makes these dispensaries and cultivation facilities prime targets. Advertisement See the most-read stories this hour >> A former Marine with a wife and three children, Mason was shot in the head on June 19 by two armed robbers at the Green Heart pot dispensary in Aurora, a Denver suburb. It was his second week on the job. The would-be robbers, who fled without any money, are still being sought. Rewards totaling $12,000 have been offered for their capture. This incredibly sad situation underscores the public safety risk faced by our industry due to the fact that we dont have access to banking, Michael Elliott, executive director of the Marijuana Industry Group, told the Aurora Sentinel. That risk was underscored on June 8 when a Walnut Park medical marijuana dispensary owner survived a shootout with two would-be robbers. On his security camera, the owner spotted the two men arriving with masks and armored vests, according to a Los Angeles County sheriffs spokesman. One was carrying an assault rifle. The owner drew his own gun and wounded the two as they entered. The owner escaped unharmed. Santa Ana police recently announced a $100,000 reward for information leading to the arrest of a suspect in the shooting of another marijuana dispensary owner, Derek Worden, 48, who survived two bullet wounds outside his store in November. Police said anonymous donors provided the reward money after the investigation stalled. Wordens dispensary had been open for just four months. The shooter ran off without any money, officials said. Crime statistics concerning legal dispensaries are hard to come by as the industry expands, and, understandably, operators are reluctant to talk about how much cash they can have on hand. But in a late-night Seattle dispensary break-in last year, for example, thieves got away with $100,000 in cash, police said. Recreational use of marijuana is dominated by Western states its legal in Colorado, Washington, Oregon, Alaska and Washington, D.C. Altogether, 25 states and the District of Columbia allow some form of recreational or medical marijuana use. California, Nevada and six other states could make marijuana legal in a majority of U.S. states in November when they vote on legalization measures. Marijuana Business Daily reports that potential ballot measures loom in another six states, and predicts that legal pot could become an $8-billion industry in the U.S. by 2018. But marijuana use remains illegal under federal law, causing most banks to steer clear of the industry. As a result, most dispensaries must deal in cash. The Senate recently approved legislation to protect banks against repercussions if they do business with marijuana dispensaries, but a Republican-controlled committee blocked a similar amendment in the House. One of the co-sponsors, Rep. Dennis Heck (D-Wash.), mentioned slain Colorado pot store guard Travis Mason after the GOP action. Heck told the National Journal that every single member who opposed allowing this amendment ought to have that young mans name tattooed on their body to remind them. More banks are opening their vaults to pot entrepreneurs, the Associated Press reports. In March, 300 financial institutions were working with marijuana companies, based on federal data. That compares to 51 in March 2014. But cash-only remains a business hazard. Four days after Masons killing in Colorado, a 35-year-old San Bernardino medical marijuana dispensary guard was shot in the head. He survived but remains in critical condition. Three armed robbers got away with a haul of cash. That was similar to a robbery last year at a San Bernardino dispensary, except in that case, the guard, Anthony Victor Pineda, 25, died from his wounds, police said. The robbers fled with the cash. ALSO Woman driving with her 15-month-old son is shot several times Santa Clarita Valley brush fire grows to 1,100 acres and is 49% contained Memorial planned to mark 40-year anniversary of Cal State Fullerton shootings A prisoner was being moved at western Michigan courthouse when he disarmed an officer and shot and killed two bailiffs Monday before officers killed the gunman, a sheriff said. Berrien County Sheriff Paul Bailey said at a news conference that a deputy sheriff and a civilian were also shot and wounded. Bailey said the inmate was being moved from a holding cell at the Berrien County Courthouse in St. Joseph when a fight occurred. He said the man was able to disarm an officer, and the inmate got hold of the gun and started shooting. Advertisement Bailey did not release information on the inmate, including what charges he faced. I stand here with a heavy heart. A person has shot two bailiffs. Theyre both deceased, Bailey told reporters. Other people in the area of the shooting sought shelter before brave officers were able to come to their rescue and take the shooter down, the sheriff said. Bailey said he felt terrible about the deaths. Berrien County, which borders Indiana, is in the far southwestern corner of Michigan. ALSO Dallas police chief says shooter planned larger attacks on officers She wasnt a cop and she wasnt white, but she took a bullet in Dallas while protecting her sons Most pot dispensaries are forced to be cash-only. Now theyre prime targets for violent robberies UPDATES: 3:43 p.m.: This article has been updated with news that the gunman was an inmate. 2:14 p.m.: This article has been updated with more details from the sheriff. 1:25 p.m.: This article has been updated with news that two bailiffs and the gunman were killed. This article was originally published at 1:05 p.m. On gay marriage and other social issues, GOP platform hews to conservative stances In the midst of an exceptional political year, Republicans stuck with conservative orthodoxy on same-sex marriage, drug policy and other social issues during the first day of crafting their 2016 platform. Members of the GOP platform committee rebuffed efforts by moderate Republicans to strip the document of references to traditional marriage and opposition to adoption by gay couples. Proponents of the more gay-friendly language, most prominently New York delegate Annie Dickerson, became visibly frustrated by their repeatedly stymied efforts. Dickerson is an advisor to GOP mega-donor Paul Singer, who has sought to promote gay rights causes within his party. Stop repelling gays, for Gods sake, Dickerson said. But others blanched at Dickersons push. One delegate was loudly applauded when he chastised her for implying those who dont share her belief are bigots. Rachel Hoff, the first openly gay delegate to serve on the panel, was near tears as she pled for support of an amendment that was neutral on same-sex marriage and stated that Republicans held a diversity of views on the issue. Hoff, who is from Washington, D.C., told her fellow delegates, Freedom means freedom for everyone, including gays and lesbians. Her proposal, like others seeking to soften language on LGBT issues, failed. Oklahoma Gov. Mary Fallin, a co-chair of the committee, said the vote was a reaffirmation of the GOPs longtime position on marriage, but said that did not mean the party was shutting out gay and lesbian members like Hoff. Shes still welcome in the party, Fallin said. Everybody is. Delegates also sided with conservative language on drugs, rejecting a call for the legalization of medical marijuana and blocking language that would call for assessment of the consequences of the failure of drug prohibition. It seems almost ghoulish to look for a silver lining in the dark cloud that blanketed the nation last week. But I think there was one. The killings by police in Minnesota and Louisiana, quickly followed by killings of police in Dallas, knocked the lazy certainty out of almost everybody. At least for a moment, antagonists on either side of polarizing issues could see beyond the epistemic horizon of their most comfortable talking points. Black Lives Matter activists thanked the police for their protection and sacrifice. Conservative Republicans, most notably Speaker Paul Ryan and former Speaker Newt Gingrich, spoke movingly about race in America. Gun rights activists were dismayed that Philando Castille, the man shot by a police officer in Minneapolis, had followed all of the rules he had a gun permit, cooperated with the officer, etc. and was still killed. Liberals who insist that rhetoric from their political opponents inspires violence were forced to consider whether rhetoric from their allies might have helped inspire the shooter in Dallas. It was a welcome change. National conversations are usually efforts to bully everyone into accepting a single narrative when the reality is that, in this country of more than 300 million, many narratives can be in conflict and still be legitimate. Advertisement I dont doubt that representatives of each tribe will eventually retreat back to their ideological bunkers, but before they do so, lets explore some blind spots, on both sides. Former New York City Mayor Rudy Giuliani (who did not lose his lazy certainty) spent the weekend attacking the Black Lives Matter movement as racist. He wants people to focus on the fact that most black murder victims die at the hands of other blacks. Thats true, and tragic, and fairly irrelevant. Conservatives, of all people, should understand that misdeeds committed by agents of the state are categorically different from the same acts committed by normal citizens. A father who slaps his son for no good reason, however wrong that may be, is very different from a cop who slaps a citizen for no good reason. Conservatives, of all people, should understand that misdeeds committed by agents of the state are different from the same acts committed by normal citizens. This country was created, in part, because the founders were outraged by arguably slight infractions taxes on tea! on their liberties and dignity. Is it really so unfathomable that African American citizens should be outraged or distrustful of government when they have good reason to believe the state is murdering young black men? It should be said that the data do not actually corroborate this belief at least not as clearly as one might think. Harvard economist Roland Fryer found that when black suspects encounter the police, they are slightly less likely to get shot than white suspects. He called it the most surprising result I have found in my entire career. Fryer, by the way, is African American. But Fryer also found that blacks are disproportionately victims of bias when it comes to non-lethal police interactions, such as use of pepper spray, manhandling and the like. Is it so unreasonable to assume that citizens who experience such bias would also believe that it extends into police shootings? Particularly when such cases receive so much attention in social media and the press? Liberals, for their part, are often rather obtuse in how they discuss policing. Although they have seemingly boundless faith in the power and nobility of government, many draw a line around cops, creating one of the strangest ironies of modern liberalism: Many of those most eager to support new laws and new regulations suddenly lose faith when it comes to the government employees charged with enforcing them. Its particularly amazing given that law enforcement personnel typically receive far more training than your typical bureaucrat or legislator. Just as conservatives need to recognize the ills of police abuse, liberals need to acknowledge that the first obligation of the state is to defend the safety and property of its citizens, and that nothing undermines the legitimacy of the law more than vilifying those sworn to uphold it. I doubt the humility weve seen this week will last, but that it emerged at all is a source of hope. jgoldberg@latimescolumnists.com Ukraine in January-June 2016 imported coal and anthracite (foreign activity code 2701) in the amount of $678.202 million, in particular in June for $102.091 million. According to the State Fiscal Service, the Russian Federation delivered coal for $446.269 million, the United States for $95.933 million, Australia for $43.28 million, other countries for $92.72 million. The total volume of coal delivered to the country in the six months of this year amounted to 7.779 million tonnes. In January-June 2016 Ukraine exported 286,935 tonnes of coal and anthracite for $23.054 million, in particular to Slovakia for $12.999 million, Russia for $4.694 million, Turkey for $3.604 million and other countries for $1.758 million. As reported, in 2015 Ukraine imported 14.598 million tonnes of coal and anthracite in the amount of $1.632 billion, in particular Russia supplied coal worth $771.317 million, the United States for $399.247 million, Kazakhstan for $123.684 million, other countries for $338.229 million. State officials will write the June 7 primarys final chapter this week by certifying that more than 8.5 million ballots were cast, though its unlikely to assuage voters or local elections officials who complained that overlapping and confusing rules left them with a lingering political hangover. Its disheartening because peoples expectations were so high, said Kim Alexander, president of the nonpartisan California Voter Foundation. There were a lot of unhappy voters. The primarys sour ending note seems largely due to the asymmetric rules governing the presidential and statewide elections. Unlike the primary for state races where anyone could vote for any candidate the presidential contests were governed by a patchwork of rules that differed by political party. Advertisement The presidential primary is always the most difficult to conduct, said Michael Vu, San Diego Countys registrar of voters. Independent voters, known in California as having no party preference, were allowed to vote in the Democratic primary between Hillary Clinton and Vermont Sen. Bernie Sanders. But they were banned from voting in the Republican presidential primary. The Democratic Party required unaffiliated voters to use a special crossover ballot so they couldnt vote for the partys governing committee but voters had to proactively ask elections officials for the special ballot. The parties set the rules, said Dean Logan, county clerk-registrar of voters in Los Angeles County. The presidential primary is not designed with voters in mind. Get the latest news on whats happening in California politics Those rules were supposed to be enforced by local elections officials, but procedures varied county to county. Activists, many of which were fervent Sanders supporters, leveled accusations that some independent voters were being cheated out of voting for the insurgent Democrat. Reports on election day found a number of polling place flash points, where workers either offered the wrong advice or didnt use the latest roster of registered voters. California does not have a uniform system for what kind of training, or how much, to give poll workers. There is no statewide standard, and were all left to interpret these things county by county, said Joe Canciamilla, registrar of voters in Contra Costa County. Canciamillas office made headlines when state officials balked at the countys decision to give a provisional ballot to any no party preference voter who received a ballot by mail but then decided to cast a vote at a polling place. Those voters are supposed to exchange their original ballot, but some left them at home. Either way, Contra Costa officials offered a provisional ballot, reserved for those whose eligibility cant quickly be determined on election day. That gives us a clear opportunity to check that voter, Canciamilla said of his countys use of provisional ballots. In other states, critics charged that provisional ballots went uncounted. And by the time the presidential race made it to California, Sanders supporters used social media to urge people to refuse a provisional ballot. Elections officials countered that Californias election law allows wide discretion to accept those votes. The final report in Contra Costa County showed 88% of provisional ballots were successfully counted. In Los Angeles County, where more than 268,000 provisional ballots were cast, 87% were ultimately counted. Registrars reported more citizens than in prior years showing up after election day to observe the counting of provisional ballots. In San Diego, activists took to Facebook and Twitter last week with accusations that elections workers were changing provisional ballots. In one sense, they were right. Because some voters showed up in a different part of the community from where they were registered, they mistakenly voted in down-ticket races for which they werent eligible to participate. San Diego election workers redacted those mistaken votes with white correction tape, leaving intact choices made for president and U.S. Senate. We have to redact those votes in which you were not eligible, Vu said. In some counties, those ballots are remade with election workers copying all of the voters selections to a new ballot, the same process used when a ballot is damaged but a voters intent is clear. San Diego County still faces a lawsuit filed by an activist group that claimed elections officials used the wrong standard in conducting the required post-election manual recount of 1% of ballots. Alexander of the California Voter Foundation said there should be more information online about how votes are counted. That would help clear up some of the confusion, she said. People are ready to claim fraud in a heartbeat. Elections officials struggled, too, with infrequent voters who were energized by the presidential race but didnt know to which party they were registered. Many waited too late to make changes, including some who believed they were independent of all parties but actually were members of Californias American Independent Party. Last week, allegations were made in Riverside County that some voters may have had their party affiliations tampered with by changes made using the states online registration portal. In a written statement, Secretary of State Alex Padilla said there are no substantiated claims from district attorneys and county registrars of unauthorized political party affiliation changes. Meantime, a number of votes arrived at local elections offices at the last minute. A series of election changes in 2014 included a law that required ballots arriving as many as 72-hours late to be counted, as long as they had a postmark of election day (in this case, June 7). For some reason, they like to hand them in at the polls or mail them the day of the election, said William Rousseau, Sonoma Countys clerk-recorder-assessor. Election workers in Rousseaus small community had to sift through 43,000 mailed ballots that were either turned in on election day or arrived during the following three days. Votes from Sonoma were among some of the last ones counted in the state. In the end, the biggest challenges may have been caused by both mechanics and money. Though election procedures vary by California county, interviews with officials from across the state found broad agreement on the need to update voting systems. Those devices, they said, are ill-equipped to handle so many different ballots by party and language as well as super-sized races like the 34 primary candidates in the race for the U.S. Senate. Elections, say observers, continue to be underfunded, with more money needed both to expand outreach and to pay for procedures mandated by the state. We had so many complex rules in this election, Alexander said. Theres got to be a way to achieve the kind of uniformity and equal treatment of voters that people expect, and money is the way you do that in California law. john.myers@latimes.com @johnmyers ALSO It only took a month to count Californias votes. Heres why, and why it may get better Judge allows a Santa Cruz voters Bernie Sanders ballot to count, after mistaken registration as an American Independent Unusual election outcomes are the new normal with Californias top-two primary rules 5 million Californians are expected to vote by mail, a new record for a statewide primary Im Christina Bellantoni. This is Essential Politics, and we start off the week with an investigation. At a time when Hillary Clintons speeches to private groups are likely to face more scrutiny, we took a look at how Bill Clinton changed the rules of political speech-making for cash. Evan Halper reports the former president would push not just corporate hosts but also nonprofits and universities to pay fees well beyond what they were accustomed to. His aides would turn what had been a freewheeling format into tightly scripted events where every question from the audience was screened, Halper writes. He dissected contracts and internal emails connected to half a dozen speeches Clinton gave in the Bay Area soon after departing the White House for a glimpse into the unusual demands and outsize expense reports associated with bringing him to town. Advertisement In one case Clinton racked up a nearly $1,400 bill for a days worth of phone calls from San Franciscos Fairmont Hotel and a $700 dinner for two. The documents outline even more detail. GOVERNOR SITS DOWN WITH BIG OIL California Gov. Jerry Brown has made a name for himself with his missionary-like zeal for battling global warming. But he also knows oil companies have been powerful players in Sacramento, so his administration has been meeting with industry representatives in hopes of reaching a consensus on extending Californias climate programs. The conversations have touched on the cap-and-trade program, which Brown is counting on to help fund the bullet train, and the low-carbon fuel standard, a regulation that the oil industry has targeted. BIDENS SENATE PICK Vice President Joe Biden, who knows a thing or two about the U.S. Senate, is expected to endorse Atty. General Kamala Harris in Californias Senate race, Phil Willon scoops. What makes it intriguing is that this is all a Democratic family affair given Harris and her rival Rep. Loretta Sanchez of Orange are Democrats. DAZED AND CONFUSED, CALIFORNIAS PRIMARY COMES TO AN END Californias June 7 primary results will be formally certified this week, an end to whats been a rocky few weeks for voters and elections officials alike. John Myers examines the myriad problems and complaints that arose as votes were being cast and counted. Much of the confusion seems rooted in the fact the states primary rules -- anyone can vote for any candidate -- are completely different from those imposed by the major political parties in the presidential primary. In all, more than 8.5 million votes were counted. Cathleen Decker tackled a similar topic in her Sunday column, explaining why it took so long for California to count the votes, and why it might improve by 2020. ASSEMBLYMAN SEES POLITICAL EROSION AFTER DOMESTIC VIOLENCE RULING Since a judge issuing a domestic violence restraining order against Assemblyman Roger Hernandez in response to charges from his wife that he severely beat her, the Democrat has suffered a dramatic political erosion. He has been stripped of his Assembly committee assignments and at least six members of the Legislature, including Assembly Speaker Anthony Rendon, have dropped their endorsements of his campaign for Congress. Now a group of Democratic political operatives is actively trying to sink his effort to unseat nine-term Rep. Grace Napolitano this fall, Javier Panzar reports. SANDERS MOMENT After Bernie Sanders fell short in his bid for the Democratic presidential nomination, the Vermont senator turned his attention to the partys platform. In recent elections, hammering out the principles and proposals in the platform has been a relatively sedate process, but Sanders turned it into a raucous referendum on liberal ideas he pushed during the primary. The final draft, which is being sent to the Democratic National Convention in Philadelphia later this month, includes calls for a $15-per-hour federal minimum wage, a price on greenhouse gas emissions and expanding Social Security, Chris Megerian reports from Orlando. Megerian and Mark Z. Barabak go inside the party to explain insiders readiness for Sanders to endorse Clinton. Sanders also announced Monday that he will join Clinton at an event in New Hampshire on Tuesday. DELEGATES FEELING THE BERN Much like the man they hope to nominate for the presidency, many of Sanders delegates from California are recent converts to the Democratic Party, according to a Times analysis of the states delegation headed to Cleveland at the end of the month. Seema Mehta and Maloy Moore report that 1 out of 3 either switched parties or newly registered as Democrats to support the insurgent at the Democratic National Convention. In comparison, Clintons roster reads like a whos who of Democratic politics. For the latest news from the trail, keep an eye on Trail Guide and follow @latimespolitics. SKELTON TAKES ON THE GUN LOBBY AFTER DALLAS The National Rifle Assn.s Wayne LaPierre created a stir after the 2012 Sandy Hook Elementary School shootings in Newtown, Conn., when he said, The only thing that stops a bad guy with a gun is a good guy with a gun. The tragedy last week in Dallas, which claimed the lives of five police officers and injured several other people, disproves that theory, writes George Skelton in his Monday column. The killings came just days after Brown signed a package of bills to tighten the states already-tough gun control laws. In November, voters will have the chance to decide on a proposition backed by Lt. Gov. Gavin Newsom that would strengthen those laws even more, Skelton says. PODCAST: THE POLITICAL RIPPLES OF VIOLENCE This weeks episode of the California Politics Podcast explores what might happen here in the Golden State after last weeks tragic events across the country. John Myers also leads a discussion on the governors efforts to extend the states climate change law and the final takeaways from the June 7 statewide primary. TODAYS ESSENTIALS -- After cutting short a series of meetings in Spain following the NATO summit, President Obama on Sunday urged Americans on all sides of the Black Lives Matter debate to listen to each other and not undercut the cause of reform through violence or careless talk. Mike Memoli reports that the president tried to comfort and calm from a distance as he headed home early. -- Assemblyman David Hadley (R-Manhattan Beach), who is facing a tough challenge from Democrat Al Muratsuchi in his coastal Los Angeles County district, is being accused of illegally coordinating his campaign with an independent expenditure campaign that has spent six figures supporting him so far. Muratsuchis camp says theyve filed an official complaint with states the Fair Political Practices Commission. -- Brown and Newsom were out of state on vacation, so state Senate Pro Tem Kevin de Leon (D-Los Angeles) was acting governor last week. Once he was imbued with the powers of the executive branch, he did something probably every Angeleno would if they had the chance: He declared July 8, 2016, Vin Scully Day in California. -- The veepstakes game is going in earnest. Here are the top picks for both Clinton and Donald Trump. -- Former Facebook President Sean Parker has put another $1.25 million into the campaign for Proposition 64, the initiative to legalize the recreational use of marijuana in California, bringing his total contributions so far to $2.5 million. -- House Democrats spent more than two hours demanding the U.S. House consider a bill to expand background checks sponsored by Rep. Mike Thompson (D-St. Helena). Members were supposed to be debating the rules for changing a bill to fund opioid addiction treatment, but instead, dozens of members asked over and over to bring up the bill during Democrats allotted time. -- Former Rhode Island congressman Patrick Kennedy, son of the late Sen. Ted Kennedy, on Thursday endorsed Sanchez for U.S. Senate. He praised her work on behalf of people with mental illness. -- Who will win the November election? Give our Electoral College map a spin. LOGISTICS Miss Fridays newsletter? Here you go. Did someone forward you this? Sign up here to get Essential Politics in your inbox daily. And keep an eye on our politics page throughout the day for the latest and greatest. And are you following us on Twitter at @latimespolitics? Please send thoughts, concerns and news tips to politics@latimes.com. Remember this drivel? The only thing that stops a bad guy with a gun is a good guy with a gun. Well, that gun lobby rubbish was again disproved in Dallas. Twelve good guys law enforcement men and women trained to shoot were stopped by one bad guy. Five officers were killed and seven wounded. Two civilians also were injured before the bad guy was finally stopped by a bomb-carrying robot. How many good guys with guns were there trying to subdue this bad guy? Maybe 100? More? Advertisement The bad guy himself, like so many killers, apparently also was a good guy, until he wasnt anymore until he decided to shoot white cops. Micah Xavier Johnson, a 25-year-old black man, had no known criminal record or ties to terror groups. He was formerly a U.S. Army reservist stationed in Afghanistan. Clearly not all terrorism is wrought by radical Muslims, let alone immigrants. The better way to have stopped this ambushing assassin would have been to deny him his guns in the first place, especially any assault rifle. Its called gun control, the two words that trigger a firestorm among the National Rifle Assn., the firearms industry and their Republican robots. The good guy with a gun nonsense was first spewed by NRA head Wayne LaPierre after the December 2012 massacre of 26 children and staff at Sandy Hook Elementary School in Newtown, Conn. After that, there were mass shootings in Washington, D.C.; Isla Vista; Charleston, S.C.; Roseburg, Ore.; San Bernardino; Orlando, Fla. Yet, congressional Republicans still have refused to tighten gun controls nationally sensible, simple safeguards such as expanding background checks to include sales at gun shows and through the Internet. Maybe none of it would have stopped the nutty Dallas racist. But one thing might have reduced the snipers kill: a national ban on high-capacity ammo magazines, such as the one California long has had and a few days ago significantly strengthened. The Democratic-dominated California Legislature unhindered by Republican pledges of allegiance to the gun lobby has led the way nationally on guns, preserving 2nd Amendment rights while trying to control firepower and who gets to use it. Somethings working. Nationally, gun deaths have remained roughly the same. But in California, they have dropped more than 20% since 2000, according to Garen J. Wintemute, a longtime UC Davis gun violence researcher. Gov. Jerry Brown generally has been agnostic on gun control, at least until very recently. On July 1, he surprised many by signing several bills tightening the states already-tough laws. Californians will have the opportunity to strengthen them further in November when they vote on Lt. Gov. Gavin Newsoms gun-control ballot initiative, Proposition 63. Two bills Brown signed were aimed directly at assault weapons, which ostensibly are banned in California but exist through loopholes. He hadnt been expected to sign either. But apparently the governor had seen too much bloodshed on TV and was feeling public pressure. One measure will ban the sale of semiautomatic assault rifles with bullet buttons that permit the exchange of ammo magazines. Guns are defined as assault weapons if they include certain military-style features such as a pistol grip or flash suppressor. Those bullet-button guns that already exist will need to be registered. Another bill will outlaw the possession not just the sale of magazines holding more than 10 rounds. Those now owned must be sold to a gun dealer or turned in to law enforcement. But cops wont be knocking down doors. We dont have the resources to make a sweep of every house in California, says the bills author, Sen. Loni Hancock (D-Berkeley). Thered need to be a warrant to search your house or car for another reason, or if youre stopped on the street and have one. We are now making it absolutely clear that these magazines, which are not designed for hunting or target shooting, but are designed to kill as many people as possible in as short a time as possible, have no place in a civil society. One surefire way to stop a bad guy with a gun is to cut off his bullets. Thats the purpose of a bill by Senate leader Kevin de Leon (D-Los Angeles) to require instant background checks during ammunition purchases a simple act of swiping a drivers license to make sure the customer is not prohibited from owning a gun. Updates from Sacramento A more elaborate ammunition background check would be required under Newsoms initiative. Newsom and de Leon are engaged in a little feud. The two Democrats have competing political agendas. Newsom is running for governor. De Leon is hoping to back another candidate. And the Senate leader seems to feel he owns the ammo issue. He was pushing it long before the lieutenant governor, having witnessed gun violence up close. De Leon has called Newsoms initiative irrelevant because we [legislators] have taken care of business. Newsom scoffs at that, saying the senators snide remark is foundationless. One unique provision of the initiative one Newsom considers a biggie would require seizing a convicted bad guys guns before he is sentenced for a crime. Strangely, neither California nor any other state has such a law, he says. Wed quickly get thousands and thousands of weapons off the street, Newsom asserts. Even the NRA should agree that idea makes sense. But dont bet on it. george.skelton@latimes.com Follow @LATimesSkelton on Twitter ALSO In move to enhance public safety, Gov. Jerry Brown signs gun-control proposals into law Capitol Journal:The gun lobby cant have its cake and eat it too Full Coverage: Dallas police shooting In early April, experts at a military lab outside Washington intensified their search for evidence that a dangerous new biological threat had penetrated the nations borders. They didnt have to hunt long before they found it. For the record: An earlier version of this article misspelled enterobacteriaceae as enterbacteriacaea. On May 18, a team working at the Walter Reed Army Institute of Research here had its first look at a sample of the bacterium Escherichia coli, taken from a 49-year-old woman in Pennsylvania. She had a urinary tract infection with a disconcerting knack for surviving the assaults of antibiotic medications. Her sample was one of six from across the country delivered to the lab of microbiologist Patrick McGann. Within hours, a preliminary analysis deepened concern at the lab. Over the next several days, more sophisticated genetic sleuthing confirmed McGanns worst fears. Advertisement There, in the bacteriums DNA, was a gene dubbed mcr-1. Its presence made the pathogen impervious to the venerable antibiotic colistin. Were seeing more drug-resistant infections. And people will die. William P. Hanage, Harvard University infectious disease epidemiologist Ana Ong, a molecular lab tech at Walter Reed, holds a culture of E. coli with the drug-resistance gene called mcr-1. (Melissa Healy / Los Angeles Times ) More ominously, the genes presence on a plasmid a tiny mobile loop of DNA that can be readily snapped off and attached to other bacteria suggested that it could readily jump to other E. coli bacteria, or to entirely different forms of disease-causing organisms. That would make them impervious to colistin as well. It was a milestone public health officials have been anticipating for years. In a steady march, disease-causing microbes have evolved ways to evade the bulwark of medications used to treat bacterial infections. For a variety of those illnesses, only colistin continued to work every time. Now this last line of defense had been breached as well. A second U.S. case of E. coli with the mcr-1 resistance gene was reported this week in the journal Antimicrobial Agents and Chemotherapy. Researchers are still working to determine whether it, or any of 18 other samples from around the world, contained the gene on an easy-to-spread plasmid. See the most-read stories in Science this hour The golden age of antibiotics appears to be coming to an end, its demise hastened by a combination of medical, social and economic factors. For decades, these drugs made it easy for doctors to treat infections and injuries. Now, common ailments are regaining the power to kill. Harvard University infectious disease epidemiologist William P. Hanage cautions that we will not be flying back into the dark ages overnight. Hospitals are improving their infection control, and public health experts are getting better at tracking new threats. But in a race against nature, he said, the humans are losing ground. Were seeing more drug-resistant infections, Hanage said. And people will die. :: In 1928, British bacteriologist Alexander Fleming discovered that an errant penicillin mold growing in one of his petri dishes had the power to kill staphylococcus, a type of bacteria that causes pneumonia, skin infections and food poisoning. It took scientists, industrialists and the pressures of a world war to convert the mold into a mass-produced medicine, which was ready in time for troops to pack on D-Day. More than 100 antibiotic compounds have been introduced since. But almost as soon as they were given to patients, scientists began finding evidence that disease-causing bacteria were developing resistance to these new wonder drugs. Bacteria meet, mate, compete and evolve inside living bodies. When an antibiotic is added to the mix, only the strongest survive. Humans have accelerated this natural process by indiscriminately prescribing antibiotics and by routinely feeding the drugs to livestock, scientists say. Multiply the number of humans and animals taking these drugs, and you multiply the opportunities for antibiotic-resistant strains to emerge. Until very recently, few made the connection between antibiotic use in individual cases and the emergence of antibiotic resistance, said Dr. Susan Bleasdale, an infection-control expert at the University of Illinois in Chicago. Patients with earaches, sinus pressure and sore throats demanded antibiotics, and physicians tended to oblige. The results have been deadly. Each year, more than 2 million people in the U.S. are infected with a bacterium that has become resistant to one or more antibiotic medication designed to kill it, according to the federal Centers for Disease Control and Prevention. At least 23,000 people die as a direct result of antibiotic-resistant infections, and many more die from other conditions that were complicated by an antibiotic-resistant infection, the agency says. As medicines such as tetracycline, erythromycin and vancomycin lost much of their effectiveness, colistin continued to overwhelm trouble-making bacteria such as Salmonella, Klebsiella and E. coli. Colistin is toxic to the human kidney, and doctors largely stopped using it in the 1970s when safer medications became available. But now that so many antibiotics have lost their ability to vanquish E. coli and other bacterial invaders, colistin has become the only hope for some desperate patients. Its not apocalyptic until it is. Shame on us if we wait till bodies are in the street. Peter Pitts, president of the Center for Medicine in the Public Interest The slow, steady march of antibiotic resistance doesnt cause people to bleed to death in the streets, the way the Ebola virus does. It doesnt cause heart-rending birth defects, as the Zika virus does. And it rarely makes headlines. A survey released in June by the Infectious Diseases Society of America found that only 30% of Americans believe that antibiotic resistance is a significant problem for public health. Yet officials at the World Health Organization warn that gonorrhea may soon become untreatable because of growing resistance to the antibiotic ceftriaxone, a member of the cephalosporin class. The WHO also notes that extensively-drug-resistant tuberculosis is now circulating in 100 countries, and that worldwide resistance to carbapenem antibiotics has weakened physicians last line of attack against life-threatening intestinal enterobacteriaceae infections. Its a slow catastrophe, said Army Col. Emil Lesho, director of the Defense Departments Multidrug-resistant Organism Repository and Surveillance Network. The problem goes beyond treating infections. As bacterial resistance grows, Lesho said, were all at risk of losing our access to medical miracles weve come to take for granted: elective surgeries, joint replacements, organ transplants, cancer chemotherapies. These treatments give bacteria an opportunity to hitch a ride on a catheter or an unwashed hand and invade an already vulnerable patient. The struggle to sustain the effectiveness of antibiotics is a never-ending arms race. If humankind were regularly finding new anti-microbial agents and turning them into medicines, there might be less cause for worry. Researchers havent identified a new class of antibiotic medication since 1987. As a result, while bacteria have continuously evolved new ways to thwart antibiotics, the medicines have not gained new mechanisms to fight back. The economics of drug development are partly to blame. To offset the millions of dollars they pour into research, clinical trials and the FDA approval process, pharmaceutical companies aim to develop blockbuster drugs, said Dr. Anthony Fauci, director of the National Institute for Allergies and Infectious Diseases. An ideal candidate would be used by millions of people every day for the rest of their lives, like pills to keep cholesterol or blood pressure in check. Antibiotics wont pay the freight. They should be prescribed sparingly and only used for about a week. They could be rendered obsolete at any time by resistance genes. Worst of all, they compete in a field of inexpensive generics. Without government policies that encourage investment in the antibiotics, theres very little incentive for companies to do it themselves, Fauci said. Other approaches can help. Under Fauci, the NIAID is funding the development of tests that would speed the diagnosis of infections and prompt more careful use of antibiotics by physicians and hospitals. New vaccines to prevent bacterial infections are under study, and existing vaccines could be more widely used. The use of bacteria-killing viruses an approach called phage therapy that revives an idea largely abandoned in the 1930s is getting a second look. :: The Pennsylvania patient whose infection was impervious to colistin was able to beat back the bacteria in her urinary tract with the help of other antibiotics. She survived. Others have not been so lucky. Hospital patients infected by antibiotic-resistant bacteria are twice as likely to die as those infected by the non-resistant strains of the same bacteria, studies show. Experts say its just a matter of time before other disease-causing bacteria pick up the fateful mcr-1 gene. Since its discovery was first reported in China in November 2015, it has spread to human, animal, food and environmental bacteria on every continent. Its not apocalyptic until it is, said Peter Pitts, president of the Center for Medicine in the Public Interest and former associate commissioner of the FDA. Shame on us if we wait till bodies are in the street. melissa.healy@latimes.com Follow me on Twitter @LATMelissaHealy and like Los Angeles Times Science & Health on Facebook. MORE ON ANTIBIOTIC RESISTANCE How quickly antibiotic resistance can spread Why antibiotic use on farms ruins medicines for people Can the government encourage the development of new antibiotics? Teixobactin and the hope it represents for fighting antibiotic resistance How quickly can antibiotic resistance spread? Consider the case of a Swedish man who traveled to India in 2009. While in New Delhi, the man became infected by a strain of Klebsiella bacteria bearing a gene that made it impervious to the antibiotic carbapenem. Microbiologists quickly found the gene in bacterial samples from Mumbai as well. In the span of just two years, it also turned up in Croatia, Pakistan, the United Kingdom, Canada, Japan and China. READ: A "slow catastrophe" unfolds as the golden age of antibiotics comes to an end They found it everywhere, Hanage said. The cat was not just out of the bag; it had gotten out of the bag, made its way into the hamster cage, and was eating the hamsters. Today, the Centers for Disease Control and Prevention estimates that 9,000 U.S. patients per year are infected with carbapenem-resistant bacteria, causing 600 deaths annually. Were always trying to keep one step ahead, said Harvard infectious disease epidemiologist William P. Hanage, who warned that bacteria has been at this competition far longer than humans. There are lots of bugs, lots of drugs, and lots of ways that resistance can happen, and they can start overlapping with each other. Its damnably complicated. Antibiotic timeline (@latimesgraphics) melissa.healy@latimes.com Follow me on Twitter @LATMelissaHealy and "like" Los Angeles Times Science & Health on Facebook. MORE ON ANTIBIOTIC RESISTANCE Why antibiotic use on farms ruins medicines for people Can the government encourage the development of new antibiotics? Teixobactin and the hope it represents for fighting antibiotic resistance For decades, Girl Scouts have pledged to make the world a better place. Now theres scientific proof that they do. After completing five hourlong courses on energy conservation, Junior-level Girl Scouts boosted their households energy-saving activities by as much as 49%, according to a study published Monday in the journal Nature Energy. They didnt stop there. In one of the courses, the fourth- and fifth-grade girls also prompted their parents to increase their conservation behaviors by up to 12%. Advertisement The Girl Scouts success in this unique clinical trial demonstrates that children have the potential to serve as agents of change for their entire families, Hilary Boudet, an assistant professor at Oregon State Universitys School of Public Policy, and her colleagues concluded. Americans could certainly use the help. According to the U.S. Energy Information Administration, U.S. households account for 21% of the worlds energy use, despite making up about 4% of the worlds population. The energy-conservation course Girls Learning Environment and Energy, or GLEE was developed at Stanford University. It encourages girls to save energy by having them practice skills, like making sure charging cords were plugged into power strips. Also, by rehearsing these skills with their fellow Girl Scouts, the girls reinforced one anothers motivation to follow through with their new skills at home. The GLEE team invited Girl Scout Junior troops from Santa Clara, San Mateo and Alameda counties to try the program. Fifteen troops were randomly assigned to a course focused on saving energy at home, and 15 were assigned to a different course examining energy use related to food production and transportation. Altogether, 327 Girl Scouts and 303 of their parents tried one of the two programs. In both cases, the Scouts created a fake newscast about energy conservation. As part of their newscast, the girls demonstrated and filmed examples of energy-saving behaviors. It took between eight and 12 weeks for most of the troops to complete the training. The course focused on energy conservation at home was the more effective of the two, survey data showed. By the time the training sessions were over, the girls who had increased their residential energy-saving activities by 49% a change the researchers were able to measure by using the girls in the food and transportation course as controls. Eight months later, the girls who were trained in residential conservation techniques were still applying what they had learned their energy-saving behaviors were up 27% compared to where they had been before the course began. The biggest changes they made in their homes included turning off power strips at night, changing the temperature settings in their refrigerators and using cold water in the washing machine. Surveys of parents revealed that the moms and dads of these Girl Scouts were also doing more to save energy as a result of their daughters training. By the end of the course, parents energy-saving behavior had increased by 12% compared to the pre-training baseline. Nine months later, their conservation efforts were still 6% higher than they had been at the start of the study. The parents were most likely to make improvements by adjusting the temperature of their refrigerators and water heaters, and by air-drying their clothes instead of using a dryer. The course about ways to save energy by modifying eating and transportation behaviors didnt have quite the same effect. When the training was over, the girls in this group increased their energy-conservation activities by a more modest 7%. (Again, the researchers were able to determine this by using the girls in the residential-energy group as controls.) See the most-read stories in Science this hour >> These girls learned they could save energy by cutting back on meat and processed foods, by re-using their water bottles, by checking the tire pressure on their family cars and by walking or biking to school instead of driving. But when they were surveyed again seven months later, the effect had worn off, the researchers found. Surveys of parents also showed that the lessons hadnt rubbed off on them. In hindsight, the researchers speculated that the Girl Scouts had less power to influence things like the amount of meat their families ate or the modes of transportation their families used. Still, the GLEE interventions worked well enough for the researchers to recommend extending them to more Girl Scout troops, and perhaps to kids in other youth organizations as well. They noted that the course about household energy use prompted each Girl Scout family to cut its energy use enough to prevent the emission of 160 to 330 pounds of carbon dioxide per year, on average. These results suggest great potential to produce more widespread and far-reaching impacts, the study authors wrote. Alice Grnhj, who studies environmental policy and the consumer behavior of children at Aarhus University in Denmark, agreed that the courses motivated families to conserve energy. But she added that more research is needed to understand which aspects of the GLEE curriculum were responsible for the changes in the girls behavior, and that of their parents. No matter how much energy the Girl Scouts might save, policymakers had better not rely on them too much, Grnhj wrote in an essay that accompanied the study. This should not distract us from the fact that the ultimate responsibility for reducing energy consumption and transforming a non-sustainable energy system rests with adults, and not with children, she wrote. karen.kaplan@latimes.com Follow me on Twitter @LATkarenkaplan and like Los Angeles Times Science & Health on Facebook. MORE IN SCIENCE Why we feel emotions in our guts, and what microbes have to do with it The damage wrought by acidic oceans hurts more than marine life and lasts longer than you think How tails may have helped ancient animals make the transition from water to land The Newport Beach City Council took the first step Tuesday night to retrofit thousands of streetlights to more fixtures considered more energy-efficient. The council voted 6 to 0 to move forward with a plan to switch 3,224 of the citys 5,000 streetlights to light emitting diode (LED) fixtures, which are said to reduce carbon emissions and last longer than the existing high-pressure sodium bulbs. In a few months, staff will ask the City Council to select a contractor for the retrofit. The switch likely will begin in about four months and last up to six months, the city said. This is a great project, said George Murdoch, the citys general manager for utilities. The energy and maintenance savings are significant. The lighting is also adjustable, so you can control how bright the light is and the direction its pointing. Its a lot more flexible than what we have now. In 2013, the city partnered with The Energy Network, a group that evaluates where public agencies can implement projects for energy efficiency. The organization determined that Newport Beach could save money on energy bills and maintenance by changing the lights on streets the group identified, according to a city staff report. LED bulbs emit a bright white hue and are said to last 15 to 20 years. The conversion is expected to save the city more than $155,000 annually on energy and maintenance, Murdoch said. The current bulbs emit yellow light and usually burn out in three to five years. The city typically spends about $55,000 a year on maintenance, Murdoch said. As the quality of LED fixtures has improved, theyve become ideal for streetlights, said Rebecca Houser, a consultant with The Energy Network. The truer light enhances public safety and gives greater visibility to constituents. The city already has LED fixtures on Irvine Avenue and Bayside Drive. LED lights in more of the city also will mean a reduction in greenhouse gas emissions equal to removing 2,870 vehicles from the road, according to the city staff report. The conversion initially will require the city to spend about $1.2 million from the general fund, but once the project is complete, Southern California Edison will reimburse nearly half the cost. The rest estimated at nearly $617,000 will be funded through an Edison program that enables public agencies to finance energy-efficient projects with no interest and to pay back the loan as part of their utility bills. Murdoch said it will take the city about four years to pay back the loan. The annual savings from the LED bulbs will cover that cost, he said. On the Toluca Lake stretch of Riverside Drive sits Timmy Nolans, an Irish pub thats best described as a combination of Bostons Bull and Finch (from which the concept for the original Cheers TV series hails), the Corrib in West Roxbury, Mass., and any friendly local thats ubiquitous across the UK. In short, its a bar with dining tables, albeit one that you can bring the kids to, as long as theyre comfortably beyond the age of Cheerios snacks and running willy-nilly around the restaurant. After an overstuffed week of nearly unbearable heat that made work difficult and grocery shopping and doing the laundry completely impossible, I realized the fridge was empty and dinner was upon us. It was decided that Timmy Nolans was the answer to our weather-induced miasma because, as everyone should be aware, pub food, cold beer and a convivial atmosphere can solve many problems. The lunch crowd at Timmy Nolans Tavern and Grill in Toluca Lake relaxes after a soccer match on Thursday, July, 2016. (Roger Wilson / Staff Photographer) We collected the tweens, who are used to entering through the restaurants front door, directly into the downstairs bar. Theres limited seating on the first floor, the room is fairly dark and the bar busy, but the patrons appear to skew over 40 and are largely from the neighborhood, the music is good and the vibe is friendly. Its also a short walk to the staircase that leads to an upstairs dining room, where theres a small bar and a number of comfortably situated tables, as well as a couple of large, flat screens that broadcast whatever game is being played. A handful of booths are available too. They all have individual small screens; which is great if youre sitting with someone who must watch Sponge Bob, or another game than the one being broadcast on the bigger televisions. The menu includes a variety of traditional Irish pub fare , as well as burgers, sandwiches and some pasta dishes. It should go without saying that the beer selection is consistently choice. Normally well order some fried potato, pickle or cheese appetizer because its a pub but we were a little daring and ordered calamari saut?ed with garlic, tomato, basil and lemon instead. It was a pleasant surprise. The calamari had a bit of a crunch to it and the simple sauce was delicious enough for us to sop up with fresh sourdough bread. The fish and chips at Timmy Nolans Tavern and Grili in Toluca Lake. (Roger Wilson / Staff Photographer) The mains were solid. The bangers in our Bangers and Mash were perfectly grilled, the mashed potatoes creamy and peas not mushy. A ramekin of thick gravy is served on the side but the dish is better off without it. The fish and chips, made with cod, may not be like the authentic versions found at other local pubs, but its standard fare and well-liked by the younger members of the party. Irish stew arrived in a bread bowl, and while the kitchens turning the bowls cut off top into cheese bread was a bit much, the beef, potato and carrot concoction was good. It packs a tiny bit of heat and we thought we could taste curry. After much discussion with the wait staff, who then queried the kitchen, we found out it was mostly red wine, A-1 sauce and tomato paste but no curry. We suspect information may have been withheld, or perhaps our taste buds failed us, but no matter, it did the job. On a prior visit, there was an unfortunate order of linguine but also a very happy order of corned beef and cabbage, and that dishs diner was so enamored that she spooned out every last drop. Our order of shepherds pie on that visit was perfectly respectable. The service is relaxed, personable and sometimes unhurried, which is all good in a pub environment, but if youre a stress beast this may not be your joint. The staff knows their bar offerings too. A request for a beer with a lemony finish turned into a suggestion of a Heffenweizen shandy, but after it was served, our waitress remembered the Muis, a more sour American wild ale style beer, thats brewed locally in Carson. A taste was procured and the full glass of Heffenweizen was swiftly switched out for the Muis. After a few sips, the heat of the week melted away, the tweens chattered amiably, a game played on the screen above our heads, and downstairs the bars regulars sang along to Queens Fat Bottomed Girls. Timmy Nolans made everything all right. -- What: Timmy Nolans Tavern and Grill Where: 10111 Riverside Drive, Toluca Lake More info: (818) 985-3359, timmynolans.net -- ELISABETH GREENBAUM KASSON is a contributor to Marquee. Ukrainian Prime Minister Volodymyr Groysman spoke of Kyiv's desire to expand its cooperation with Canada in the field of security and defense. "Delivery of assistance and the training of our Armed Forces in the defense sector is critical. Of course, we would like to expand our cooperation, both in the defense area and in the field of security, enhancing our interaction to the largest extent possible," Groysman said at a meeting with Canadian Prime Minister Justin Trudeau in Kyiv on Monday. Groysman underlined that Ukraine is an exceptionally peaceful nation, adding that "the conflicts came to us from beyond". "Our objective today is to create an appropriate level of defense so that anybody with aggressive plans would not even think of attacking Ukraine, and in order to make Ukraine strong," Groysman added. Trudeau, for his part, underlined that Ukraine was able to achieve a sizable success in implementing reforms. Canada intends to continue supporting Ukraine and its citizens, without whom any successful reforms are impossible, Trudeau said. The City Council on Tuesday ordered a study be conducted to examine the costs and scope of building a park over a section of the Ventura (134) Freeway in Glendale. Three council members flew to Dallas, Texas, earlier this month and visited Klyde Warren Park, a cap park over a highway that may be a design they want to model. Join the conversation on Facebook >> Their 5.2-acre park had trees, a walking trail and plenty of seating, said Councilman Vartan Gharpetian. He said Klyde Warren serves as a connector between two different parts of the city. It would do the same in Glendale. It will connect north Glendale to south Glendale, Gharpetian said. With a 4-0 vote, the council opened a bidding process to allow for interested firms that want to handle the feasibility study to apply. The study is expected to cost about $300,000. The council would consider approval of the contract at a future meeting. A final design for Glendales cap park could be years away, but city staffers have already kicked around the idea of first constructing a segment over the 134 Freeway between Central Avenue and Brand Boulevard a distance of about .2 miles. While that segment has been nicknamed the heart, a further extension to Geneva Street is being called the soul. Overall, it could end up being as large as 4 or 5 acres. In Dallas, Gharpetian along with Councilman Zareh Sinanyan and Councilwoman Paula Devine learned about the many public-private partnerships it took to bankroll Klyde Warrens construction. Nearly $57 million was generated through private donations, Sinanyan said. In exchange, the donors got to name parts of the park. Naming rights to different portions of the park were sold off to individuals or companies that were willing to raise money for the park, Sinanyan said. The name Klyde Warren actually belongs to the child of a donor who pledged millions. It just struck me as a very creative way of raising money, Sinanyan said. However, resident Mike Mohill said during the meeting he was skeptical that kind of approach would have the same results in Glendale. Dallas is a major city that can raise money, he said. They have plenty of guardian angels, private people to raise the money. Other than Mr. [Rick] Caruso, I cant think of how many guardian angels we have in the city of Glendale. But Gharpetian said there have been several major developments built within the city. Studying the feasibility of a cap park is worth investigating, he added. The [Glendale] Galleria wasnt an easy project. The Americana at Brand wasnt an easy project, Gharpetian said. See, how many years did it take us to get the Americana built? [The cap park] may not happen tomorrow, but if we dont work on it, it will never happen. The other side of the partnership coin is getting the state and even the federal government involved with funding, Devine said. Once the park was constructed, it costs the city of Dallas more than $700,000 a year to maintain it. A trio of community meetings were held last year where residents were polled on the prospect of having a cap park and what the open space should entail. About 75% of respondents said they were in favor of the project, with walking trails, a childrens play area and concert space being the most popular amenities. I think if we can pull something like this off in our city, we would really be on the national map, not just for public safety, but for having one of the best parks in the country, Sinanyan said. -- Arin Mikailian, arin.mikailian@latimes.com Twitter: @ArinMikailian -- ALSO: Hard work pays off for Glendale High School dance team Lawsuit claims GUSD-owned site diminishes value of neighboring residence City Council votes to relocate planned Armenian American Museum to Central Park Residents of La Canada Flintridge are being advised to take measures to prevent mosquito bites, after the West Nile Virus was recently identified in a mosquito sample collected near the intersection of Foothill Boulevard and Oak Grove Drive. Levy Sun, a spokesman for the Greater Los Angeles County Vector Control District, said the sample was collected on Aug. 6 as part of the districts routine disease surveillance program and sent for testing at a UC Davis laboratory. When the results came back Aug. 14 indicating at least one insect collected in the mosquito trap was infected with the virus, the district immediately contacted city officials and began posting warning signs in public spaces near the collection site. Humans could be at risk for contracting the disease if they are bitten by an infected mosquito, though they cannot pass it on to other humans. So far this year, 36 cases of humans with the West Nile Virus across 10 counties have been identified by the California Department of Public Health. The states second fatality this year was reported Monday by the San Bernardino County Department of Public Health. Sun said that although the infected sample came from one specific part of town, all residents should exercise caution. No matter where you are in La Canada Flintridge, its safe to say West Nile Virus is actively circulating there, he added. Mosquitoes dont really care about city boundaries theyll go wherever the blood is. One in five people infected with the virus typically see symptoms appear within five to 15 days, including fever, headache, nausea, skin rashes and body aches. One in 150 people infected will become severely ill, stated a release issued Friday by the city. Still, human infections are relatively few compared to the number of infected mosquitoes collected by vector control districts throughout the state, data from the Public Health Department indicates. La Canadas positive sample was one of 13 new insect infections recorded in Los Angeles County last week alone. Altogether, a total of 1,577 infected mosquitoes in 28 counties statewide have been identified this year, according to the website westnile.ca.gov. Peak mosquito season runs from late May or June through November, although activity is ongoing throughout the year. Sun said La Canadas last recorded brush with an insect shown to be infected with West Nile Virus occurred in 2012. Kevin Chun, director of administration, said the countys Vector Control District regularly samples areas in town known for their likelihood of standing water, occasionally spraying to combat the spread of mosquitoes. We were contacted on Friday (and told) that one of their samples on the southeast side of town had a mosquito with West Nile Virus, Chun said Monday. It doesnt mean mosquitoes with West Nile Virus are only on that side of town. Chun encouraged the use of protective clothing and mosquito repellent, especially at dawn and dusk, when mosquitoes are most active. He also advised residents to protect against infestation by eradicating all areas of standing or stagnant water, where the insects typically lay their eggs. Its about educating the public and letting them know this is something to be aware of, Chun said. Additional resources are available through the Vector Control Districts website, www.glacvcd.org, or by calling (562) 944-9656. Sightings of dead birds can be reported to the State West Nile Hotline at (877) 968-2473. Anyone experiencing West Nile Virus-related symptoms is advised to seek immediate medical attention. -- Sara Cardine, sara.cardine@latimes.com Twitter: @SaraCardine Heavy fighting erupted Monday between government and opposition forces in South Sudans capital, Juba, as last-ditch international pressure by the United Nations failed to stem a slide back into war. South Sudanese military helicopter gunships flew over the capital, tanks were deployed and heavy artillery boomed. At least 7,000 people fled their homes to take refuge in civilian sites run by the UN peacekeeping force, United Nations Mission in the Republic of South Sudan, or UNMISS. Hundreds took refuge in churches. There is shooting outside where I am, said an activist who did not want to be named and who was lying on the floor of his home as he spoke. The civilians taking refuge in church compounds do not have protection. Advertisement There are two main fears at the moment, he said. One, bombs or shells from tanks may fall on our roof. Two, soldiers force their way in our compound and murder us. Some of the heaviest fighting occurred close to a U.N. base and camp for displaced people. Authorities called for calm and warned civilians to stay at home. Two UNMISS peacekeepers were killed and eight civilians in UNMISS sites died after being hit by fire from outside. At least 270 people had already been killed over several days of fighting, according to South Sudanese authorities. That number did not include Mondays casualties. Skirmishes first broke out Friday in Juba and intensified on Sunday and Monday, a continuation of the ethnic war that began there in 2013 and rapidly spread. The country struck a fragile peace deal last August. The deal was designed to bring the two sides together in a unity government, but key elements were never implemented making the return to war almost inevitable, according to analysts. Calls by the U.S., the U.N. Security Council and the African Union to stop the conflict were ignored, raising fears that war could spread from the capital across the country and spill over into neighboring countries. Fighting was reported in Torit, east of Juba, and to the west in Mundri. The American embassy was evacuating all non-essential staff and humanitarian agencies and international organizations were also planning evacuations. Fred McCray, country director of Care USA said the humanitarian community was in lockdown because it was unsafe to move and the airport was closed. If the airport reopens Tuesday, a mass evacuation of international agencies and humanitarian staff is likely. No one wants to leave. But the situation has gotten so dangerous and unpredictable here, McCray said. I think theres a feeling the fighting is going to go on. The situation has been building for some time. If humanitarian staff leave, support for the 4.8 million South Sudanese in the midst of a severe hunger crisis would be scaled down dramatically. You have this perfect storm of people terrorized by conflict and hit with this issue of how theyre going to be able to feed their families from day to day, McCray said. People are getting more and more desperate. The economy is collapsing. Smoke rises above Juba, the capital of South Sudan. Explosions and heavy weapons gunfire are shaking the city Monday in the fifth day of clashes between government and opposition forces. (Iain McLellan / Associated Press ) The U.S. has called for a regional force to be deployed to restore peace. The Intergovernmental Authority on Development, a group of regional powers involved in brokering the South Sudan peace deal, held an emergency session in Nairobi on Monday to discuss action. Festus Mogae, chairman of a regional monitoring commission set up to ensure the peace deal was observed, called for a cease-fire Monday and appealed to the international community to act urgently to restore peace and ensure humanitarian needs were met. He said a joint military cease-fire commission set up under the peace deal, including South Sudans government and opposition, failed to meet and work as a team, creating the conditions for violence. Casie Copeland, analyst on South Sudan with the International Crisis Group, an independent analysis group, said the crisis was moving more swiftly than the international community seemed prepared for. The pace of conflict in Juba is far outweighing the pace of international engagement, Copeland said in a tweet. There is phenomenal effort ongoing across front lines to halt the conflict, supported by IGAD. It may not succeed but its the best chance. But we must be honest that despite efforts, hope for a deal to halt fighting in Juba is in short supply right now. President Salva Kiir issued a cease-fire order on Monday evening. Earlier, the army chief of staff, Paul Malong, ordered soldiers to return to their barracks and warned that soldiers found looting property would be arrested. McCray said the fighting had calmed down by evening. A statement by UNMISS on Monday condemned in the strongest terms possible the use of heavy weapons, including rockets from attack helicopters, close to UNMISS protection of civilians sites and is gravely concerned about reports that armed forces have prevented civilians from seeking protection. In December 2013, political rivalry between the president, Kiir, of the Dinka ethnic group, and his ambitious deputy, Riek Machar, a member of the Nuer group, spilled into war. The ruling Sudan Peoples Liberation Movement party and army split based on political loyalties, but the fighting swiftly turned to ethnic killing, with civilians hardest hit. The most contentious part of the August peace deal was the limit on armed forces each side could maintain in Juba. In April, Machar returned to Juba with his guards to become first deputy president in the unity government. But analysts warned that both sides were flouting the deal and preparing for war. NEWSLETTER: Get the days top headlines from Times Editor Davan Maharaj >> When fighting resumed in recent days, the two leaders called for calm, raising questions as to whether both fully control their soldiers. The homes of opposition figures from the Nuer ethnic group have been targeted this week, according to Human Rights Watch spokeswoman Jehanne Henry. Much of Mondays fighting occurred near Machars compound. The International Crisis Group warned recently that the country was headed back to war unless regional and global powers took firm steps to get the peace process back on track. The formerly warring parties are now flouting [the August peace deal] and increasingly preparing for widespread conflict, a statement from the group said on July 1. Implementation is stalled and fighting is already proliferating around the country. Unless something is done, it is a matter of only a little time before there is a return to war, and the agreement collapses. Edmund Yakani, a peace activist from the Community Empowerment for Progress Organization, said the low levels of trust and confidence between the government and opposition forces in the unity government had been apparent in recent days. The likelihood of repeating of ethnic revenge is very high, he said. With UNMISS, the capacity is small. Theyre protecting people at their sites, but theyre not protecting anyone else. ALSO Unease along the open border of Northern Ireland and Ireland after the Brexit vote South Korean official faces wrath after saying 99% of his countrymen are like dogs and pigs No matter how it decides, international courts ruling in South China Sea case wont end the fight Three years ago, the Philippines asked an international tribunal to sort out an increasingly testy dispute with China over whos entitled to do what on and around rocks, reefs and other formations in the South China Sea a resource-rich zone through which huge quantities of goods and oil are shipped daily. On Tuesday, the court in The Hague ruled that Beijings claims to historic rights in the South China Sea are invalid -- but no one expects the decision to end the fight. In fact, the ruling could exacerbate tensions in the region, at least in the short term. Beijing has vowed it will not accept the ruling, but that doesnt mean that China wont react to it, possibly in provocative ways. The Philippines, the U.S. and other nations may also feel compelled to respond. Advertisement At the heart of the dispute is what China calls its nine-dash line a U-shaped area of demarcation dipping far off the mainlands southern coast, sweeping east of Vietnam, down near Malaysia and Brunei, and then looping back up west of the main Philippine islands. The loop encompasses the Paracel and Spratly islands and Scarborough Shoal. Though China has never explicitly defined what privileges it believes it has within the nine-dash line, it has asserted historic rights in the area. The Philippines worries that such a claim could eventually lead China to assert full sovereignty and control over all the land, water, seabed and other atolls and shoals within its boundaries. Other countries, including Malaysia and Vietnam, share similar concerns. Those fears have multiplied in the last few years as China has rapidly expanded a number of the small land formations it controls, piling huge quantities of sand onto small outcroppings and building landing strips and other facilities. The U.S. has responded by sending military planes and ships into the region to assert freedom of navigation rights. On Friday, China began several days of live-fire military exercises in the South China Sea, launching missiles and torpedoes from ships, flying jets in formation and surfacing submarines. China says that although it is a signatory to the U.N. Convention on the Law of the Sea, the issues at hand involve questions of sovereignty not covered by that agreement. China refused to send a delegation to argue its side in The Hague court; Chinas foreign minister, Wang Yi, told U.S. Secretary of State John F. Kerry in a phone conversation last week that the proceedings were a farce, Chinas official Xinhua News Agency reported. Beijings position is clear: no acceptance, no participation, no recognition, and no implementation, Fu Ying, a former vice foreign minister, wrote in a commentary published Sunday in Foreign Policy magazine, part of Beijings public relations campaign against the tribunal. Beijing has lined up scores of supporting nations for its position many of them African countries dependent on China for investment. But countries including the U.S., Australia, Japan and many European nations have backed the Philippines in its case, warning that if Beijing refuses to accept a decision that goes against its interests, it could open a new era of coercion and intimidation in which an increasingly confident China opts out of international agreements it has signed but later decides it doesnt like. Some experts expect the situation to become more tense before it gets better. It seems increasingly likely that Beijing will seek to retaliate in some way, on the ground or in the water, to show it will not be bound by the ruling and will seek to punish Manila for refusing to drop the case, Gregory B. Poling, director of the Asia Maritime Transparency Initiative at the Center for Strategic and International Studies in Washington, said during a panel discussion last month on the case. Among the steps China might take, he said, are initiating island-building work on outcroppings it controls in the Scarborough Shoal a move he said would be environmentally horrendous as well as legally and diplomatically very troubling because it could create a large Chinese-controlled island 190 nautical miles from Manila. China could also attempt to reimpose a blockade on Filipino marines stationed on a rusting vessel on Second Thomas Shoal in the Spratlys, Poling said. It could declare an Air Defense Identification Zone in the South China Sea or deploy fighter jets to its newly built airstrips in the Spratlys. If China takes such steps, the U.S. will probably feel compelled to respond. The U.S. will likely react with a massive diplomatic campaign against China and send its navy through the region more regularly, to reinforce its demand for comprehensive freedom of navigation, said Thomas Eder, a research associate at Mercator Institute for China Studies in Berlin. Other states in the region might feel that they should now quickly follow up and submit their own cases to law of the sea tribunals. Eric Shimp, a trade and regulatory advisor with the law firm Alston & Bird, said that could affect commerce. An increased military presence and activity by multiple countries means an uptick in the risk of potential conflicts in the region, he said. These tensions and the risks they present will need to be navigated by shipping and other companies doing business not just in Asia, but also through the South China Sea, which will translate into added costs in terms of the entire supply chain. Longer term, though, some analysts expect that China and other countries with claims in the South China Sea will have to come to the bargaining table, and the tribunals decision will be part of the backdrop. At some point after the decision is announced, the parties will resume negotiations on the basis of the tribunals decision, Jerome A. Cohen, an expert on law in China and Asia, wrote recently on his blog. To save Chinas face, there would be no need for explicit reference to the arbitration in any agreement that might emerge. The Philippines foreign secretary, Perfecto Yasay Jr., on Friday told local media the government hoped to quickly begin direct talks with China after Tuesdays ruling. President Rodrigo Duterte, elected in May, has expressed more of a willingness to negotiate with China than his predecessor. But how long it will be before negotiations take place is anyones guess. There may be a fairly long period, Cohen said, before [China] decides to negotiate on the basis of the arbitration decision. MORE WORLD NEWS Obama leaves final NATO summit with work unfinished on both Afghanistan and Russia Japans ruling coalition wins election, opening door to constitutional change Egypt wants to revive the Israeli-Palestinian peace process julie.makinen@latimes.com UPDATES: July 12, 7:38 a.m.: This article was updated throughout with news of the ruling. This article was originally published July 11 at 9:48 a.m. They are comments so harsh they might make Donald Trump blush. A South Korean government official is in the doghouse (and pigpen) over some disparaging remarks he made last week during a meeting with newspaper reporters, at which he said that 99% of his countrys people are like dogs and pigs. Na Hyang-wook, head of the Education Ministrys policy bureau, put his foot in his mouth while he was out for drinks, saying, among other things, that 99% of South Koreans have no ability to move up in the world and can be treated like animals simply fed and kept alive. The remarks were initially reported over the weekend by the Kyunghyang Shinmun, a left-of-center daily newspaper. Advertisement The 99% quip recalls GOP nominee Mitt Romneys comparatively mild remarks in the 2012 presidential campaign that 47% of Americans are dependent on government comments that may have cost him the election. And Nas statement was just part of a slew of eyebrow-raising remarks. Na didnt stop at bashing his own countrymen; he also said that African Americans and Latinos in the U.S. dont even try climbing the social ladder, the Kyunghyang Shinmun reported. Visitors walk by signs displaying currency exchange rates at downtown Seoul, South Korea. (Lee Jin-man / Associated Press ) The comments, and the spirited reaction to them, underscore a growing sensitivity over class distinctions in South Korea. The remarks seemed to sting particularly because Na, 47, is responsible for overseeing policy at the education ministry and education is the thing that many South Koreans look to in order to improve their social mobility. When she heard of Nas remarks, 27-year-old office worker Kim Bo-ra was shocked, but the more she thought about it, not wholly surprised. She said she already didnt have a very high opinion of her countrys political elite. I dont feel that mad because Ive come not to expect much from leaders and people in politics, said Kim. But at the same time, his remarks were really strong. Na reportedly made the comments during an evening gathering with some Kyunghyang reporters. He has not commented publicly since the remarks were reported, but hasnt denied making them. After being reported, Nas remarks quickly became a trending topic on social media, with many voicing outrage and calling for Na to be fired. The ministrys Facebook page has been inundated with angry, sarcastic comments, many of them starting with mock formal greetings that translate as, How do you do? Im a pig/dog. On Monday, Nas case was still all over Korean-language Twitter, with users sharing links that used the case as fodder for jokes, with one headline (link in Korean) asking, Maybe [if he gets fired] Na can go back to his hometown and raise pigs and dogs? (Na is originally from Masan, a small city in the countrys south.) A lot of people suspect that this is how their government feels about them, but we almost never hear it. These comments confirm those suspicions. Sewoong Koo, managing editor of Korea Expose It is not clear what Na will do next, and whether hell be able to continue in his position at the ministry. An Education Ministry official told the Korea Times that Na regrets the comments and was under the influence of alcohol at the time. The official also said that Na is currently suspended from his position, and a final decision on his fate will be made after further investigation. The timing of the remarks could hardly have been worse. South Koreans are increasingly up in arms over growing inequality and rising unemployment, particularly among youth. Online, young people here regularly describe the country as hell and decry their inability to get ahead. Survey data released earlier this year by Statistics Korea, a government body, found that the percentage of respondents who felt that it was possible to move up the social ladder through their own effort fell from 37% in 2009 to 22% in 2015. A survey by the Organization for Economic Cooperation and Development this year found South Korea ranking second from the bottom among 35 member countries in terms of wage inequality. See the most-read stories in World News this hour >> Some analysts suspect that South Korean government officials view their constituents with condescension, and that Na was merely saying what many believe. Its the fact that someone actually came out and said this thats extraordinary, and what makes it so resonant, said Sewoong Koo, managing editor of Korea Expose, a reporting and analysis website. A lot of people suspect that this is how their government feels about them, but we almost never hear it. These comments confirm those suspicions, Koo said. Park Ji-youn, 22, a recent college graduate, said she hopes the kerfuffle caused by Nas commentary may actually be instructive to other people in high places. Hopefully the attention this has aroused will teach government officials like him that the way they think is not quite normal, she said. Borowiec is a special correspondent. MORE WORLD NEWS Obama leaves final NATO summit with work unfinished on both Afghanistan and Russia Japans ruling coalition wins election, opening door to constitutional change Egypt wants to revive the Israeli-Palestinian peace process A clergymans daughter known for her calm, common-sense demeanor has emerged from the unholy political scrum that erupted after the Brexit referendum, poised to become Britains prime minister as the onetime empire weathers financial fallout, social schism and deepening angst over its place on the world stage. Theresa May, who since 2010 has served as Britains home secretary, was to assume the top job Wednesday, significantly accelerating what could have been a months-long transition of power following the countrys landmark June 23 vote to leave the European Union. Her principal rival, relatively inexperienced junior government minister Andrea Leadsom, abruptly withdrew Monday from a two-woman contest for the leadership of the governing Conservative party and, by extension, the prime ministers post. Advertisement Prime Minister David Cameron, who had announced within hours of the votes result that he would step aside, said Monday he planned in two days time to make a final parliamentary appearance. He then expects to ceremonially tender his resignation to the queen, who will formally name his successor because that, despite all the tumult, is how these things are done. So we will have a new prime minister in that building behind me by Wednesday evening, Cameron told reporters, gesturing toward the iconic Georgian facade of 10 Downing Street in London, the governments headquarters and prime ministers residence. The developments mark the latest chapter in the momentous breakup drama set in motion by the popular referendum whose result 52% to 48% in favor of the Leave campaign was an apparent shock to even the most ardent and outspoken supporters of breaking from the 28-nation EU. Britain has been an ambivalent but crucial member of the bloc and the full repercussions of the decision to withdraw remain perilously unclear. The vote results rattled markets worldwide. Economists have warned that implementing the split from the EU could undermine Londons status as a world financial capital and tip the country into a punishing recession. The referendum result could also fuel separatist sentiment in Scotland, which strongly supported staying in the EU. May, 59, who had offered low-key backing to the Remain camp, indicated she would do her best to bring a sense of unity to a dramatically polarized political landscape and offered assurances that she would not seek to defy voters wishes and reverse the outcome. The referendum, technically, was advisory, and it will fall to the countrys new leader to formally set the pullout mechanism in motion, though there is as yet no timetable for that. Brexit means Brexit, and were going to make a success of it, May told backers outside Parliament. Full coverage: Britain votes to leave the European Union The incoming prime minister, who is Oxford-educated but has held herself largely apart from the clubby old-boys network of the British political world, is widely regarded as an experienced and steady hand in Camerons government, if not an overly charismatic figure. She has -- in characteristically measured tones often described public service as her abiding passion. Cameron offered May his full support, as did her erstwhile rival, Leadsom. So did leading leave supporter Boris Johnson, the flamboyant former mayor of London who had originally been considered a front runner to succeed Cameron as party leader and prime minister after the vote. And so did Johnsons onetime loyal second, Justice Minister Michael Gove, who, in what was described by the British press as an act of Shakespearean villainy, leaped unexpectedly into the race for the Conservative leadership, driving Johnson out. Gove was knocked out of the running after May and Leadsom garnered more support from within the party. Leadsom, too, seemed to find her brief turn in the spotlight a bruising experience. After the race narrowed last week to her and May, she was savaged on social media over the weekend for suggesting in an interview that motherhood made her a stronger candidate than the childless May remarks for which she apologized. Either she or May would have made history as only the second woman to serve as prime minister, after Margaret Thatchers turn at 10 Downing a generation ago as the Iron Lady. May is widely perceived as not lacking in steel herself. Shes been around shes an experienced negotiator and experienced minister, said Frances G. Burwell, a vice president and European analyst at the Atlantic Council. Although May has said she does not plan to hold early elections, she might be tempted by ongoing disarray in the opposition Labor party, whose beleaguered head Jeremy Corbyn now faces a formal leadership challenge at the hands of a parliamentarian named Angela Eagle. Many observers said they expected Mays Cabinet to include a mix of Brexit backers and opponents, as she seeks to calm an overheated political atmosphere and bring a much-needed measure of stability after Britains biggest political and economic upheaval in decades. I think well see her trying to build a consensus, Burwell said. Whether she succeeds is something else. MORE WORLD NEWS Obama leaves final NATO summit with work unfinished on both Afghanistan and Russia Japans ruling coalition wins election, opening door to constitutional change Egypt wants to revive the Israeli-Palestinian peace process UPDATES: 2:19 p.m.: This article has been updated with additional information about Theresa May. 9:46 a.m.: This article has been updated with Times reporting. 8:12 a.m.: This article has been updated with Prime Minister David Cameron saying he will step down Wednesday. The original version of this article was published at 4:27 a.m. While playing in the waist-high grass, Tom and Bridie Conlons young children learned to detect British soldiers in their midst by the scent of smoke and gunpowder outside their home along the border between Ireland and Northern Ireland. British watchtowers spiked with barbed wire loomed over the rocky hills. Soldiers scoured the thickets and streams for signs of Irish Republican Army insurgents. Near the Conlons 60-acre cattle farm outside the Northern Irish town of Newtownbutler, IRA bombs routinely destroyed roads and bridges. Advertisement Those were the days, beginning in 1968, recalled with classic Irish understatement as the Troubles the sectarian conflict in Northern Ireland between Protestants loyal to Britain and Catholics who wanted a united Ireland. Since hostilities ended with the 1998 Good Friday agreement, the watchtowers were dismantled, the abandoned border posts swallowed by weeds and dirt. The border was opened, allowing travelers to pass between the countries without checks a powerful symbol of the peace. Its quiet now, like when we were children, said Bridie Conlon, 70, who, with her husband, rebuilt one blasted-out building into a general store. But the borders status is suddenly in question again following Britains vote last month to leave the European Union. If Britain breaks away, it would retain Northern Ireland, while Ireland would continue as a separate country with its own EU membership. That would make the 310-mile border the only land boundary between Britain and Europe, prompting questions over whether checks will be reinstated to control trade and restrict immigration, a key demand of British voters. Any effort to impose a hard border with checkpoints or passport controls risks upsetting a delicate status quo that has allowed Northern Ireland to begin rebuilding from the 30-year conflict that killed more than 3,600 people and wounded 40,000. Tom Conlon and his wife, Bridie, own 60 acres of land straddling the border. (Shashank Bengali / Los Angeles Times ) People have gotten used to going to and fro, said Tom Conlon, whose farmland spans both countries. I cant see them putting up checkpoints again. But then, no one knows exactly whats going to happen. Conlon, 75, operates the weekly Clogher Market, which brings traders from as far as 50 miles on either side of the border to a sprawling gravel lot just inside Northern Ireland. Ireland lies a few hundred yards away, down a meandering country road that carves through lush green farms fringed by neat hedgerows. The crossing is imperceptible except that the speed-limit signs switch from miles to kilometers, per EU laws. In the June 23 referendum, voters in Northern Ireland six majority-Protestant provinces that Britain partitioned from Ireland in 1921 overwhelmingly supported staying in the EU, 56%-44%. Overall, the vote in what became known as Brexit was 52%-48% to leave. See the most-read stories in World News this hour >> Formed after World War II to generate economic cooperation and prevent conflict, the EU has played a pivotal role in keeping the peace among Northern Irelands 2 million people. Since Britain and Ireland were EU members, the 1998 agreement allowed the border to be opened pleasing Catholics by unifying the island geographically if not politically. Even many Protestant unionists support EU membership because it allows them to leave the economically struggling north to seek education and jobs in Ireland or mainland Europe. The EU has also spent more than $1.4 billion since 1995 on peace-building programs between Britain and Ireland. The Good Friday agreement allowed both sides to be who they wanted to be, said Neil Duffy, an independent historian and researcher in the Northern Irish town of Londonderry. It made the border irrelevant. But now its become relevant again. Northern Irelands two largest political parties share power in government, but the Democratic Unionist Party representing Protestants campaigned to leave the EU while the Catholic party Sinn Fein voted to remain. Martin McGuinness of Sinn Fein, the deputy first minister in the government, has said exiting the EU would be disastrous and called for a referendum on Irish unification, a move that British and unionist politicians oppose. The Good Friday agreement...made the border irrelevant. But now its become relevant again. Neil Duffy, historian Tensions linger in Londonderry, which Catholics call Derry, Northern Irelands second-largest city. Site of the 1972 Bloody Sunday massacre in which British troops killed 14 unarmed Catholic protesters, the city of 108,000 today is deeply segregated, with most Protestant families having moved to the opposite bank of the River Foyle or out of the area altogether. In the lone Protestant enclave in the historic core, about 300 residents live in low, tightly packed apartments, the Union Jack flapping from flagpoles. Although violence is rare, police still keep watch over the regular Protestant parades that coil through the city. At a recent march, a flute band played God Save the Queen at a memorial to soldiers who died fighting for the British army in the two world wars. You can look at the surface and say the situation is brilliant, Duffy said. But kick away a bit of earth and the bitterness is there. A Protestant marching band parades through Londonderry, Northern Ireland. (Shashank Bengali / Los Angeles Times ) While politicians in both countries say they want to keep the border open, security experts say some controls will be needed to prevent illegal immigration. About 60 Syrian refugees who moved to the city in April under a British resettlement program have been barred from crossing into Ireland an unprecedented restriction. That was the first time in years that the border was a reality, said Peter Hutcheon, editor of the Londonderry Sentinel, a weekly newspaper for the Protestant community. For many people the whole point of leaving the EU was to secure Britains borders, so it will have to be policed somehow. Once that starts to happen, there are severe implications for relations between north and south. Hutcheon said he was considering applying for an Irish passport, for which all Northern Irish are eligible under the Good Friday agreement. A growing number of unionists are taking such a step, he said, with 18 years of peace having eased Protestant skepticism of a united Ireland. Another factor is Scotland, where 62% of voters wanted to remain in the EU. Scottish leader Nicola Sturgeon has said she would pursue a referendum on independence from Britain a move that could prompt calls for a so-called Celtic union between Scotland and a united Ireland. We have a lot more in common with [the Scottish] than we do with the British, Daniel McDermott, 26, said outside a pub in Newtownbutler. But McDermott, whose father and grandfather were IRA members, was more troubled by what Brexit would mean for his welding job at an Irish manufacturer, 45 minutes across the border. Im going to have to apply for a visa to work, he said. Theres no good jobs here for welders. George Ryan, who leads tours of the site of the 1972 Bloody Sunday massacre, hopes the EU referendum will bolster chances for Irish reunification. (Shashank Bengali / Los Angeles Times ) George Ryan, a Catholic who was born near the site of the Bloody Sunday violence and now gives tours of the area, said economic concerns could bolster support for a vote on unification. I didnt think a united Ireland was possible in my lifetime, said Ryan, 54. But maybe it will be. Follow @SBengali on Twitter MORE WORLD NEWS Obama leaves final NATO summit with work unfinished on both Afghanistan and Russia Japans ruling coalition wins election, opening door to constitutional change Egypt wants to revive the Israeli-Palestinian peace process The Pentagon will send 560 more troops to Iraq to help prepare for a long-awaited assault on Mosul, the Islamic States self-declared capital in the country, possibly before President Obama leaves office. Defense Secretary Ashton Carter announced the escalation a boost of more than 10% in U.S. forces deployed in Iraq during a visit Monday to Baghdad to meet with senior Iraq officials and U.S. commanders and troops. The increase brings the number of authorized U.S. military personnel in Iraq to 4,647. But that tally doesnt include special operations forces and temporary deployments that bring the total to more than 5,000. Advertisement Carter said many of the new troops will work from an air base near Qayyarah, which was recaptured Saturday by Iraqi forces and is about 40 miles south of Mosul. Backed by U.S.-led coalition warplanes, Iraqi ground forces and their allies have recaptured several major cities in recent months, including Tikrit, Ramadi and Fallujah, and have sought to cut off roads leading to Mosul. Despite the summer heat, our Iraqi partners with your intrepid support pressed ahead with the fight and cleared one town after another, dealing ISIL a series of blows, Carter told U.S. troops at Baghdads airport, using an acronym for the militant group. With these additional U.S. forces, well bring unique capabilities to the campaign and provide critical support to Iraqi forces at a key moment in the fight, Carter said. The Pentagon said the new U.S. forces will include engineers, logistics personnel and other military advisors, and that Qayyarah will become a vital springboard to retake Mosul. U.S. officials said a team of American troops went into Qayyarah on Sunday for a quick site assessment and then withdrew. One likely job is helping Iraq troops build bridges to get across the Tigris River into Mosul. The Qayyarah-based force will be allowed to accompany Iraqi troops at the battalion level, and thus closer to the front lines than U.S. troops who are largely confined to Iraqi division headquarters. See the most-read stories in World News this hour >> As we pursue our next plays, we and our partners will continue to look at what more we can do to create and seize opportunities and accelerate ISILs lasting defeat, Carter said. The announcement ran into flak in Congress, where Rep. Mac Thornberry (R-Texas), chairman of the House Armed Services Committee, complained that the war against Islamic State cannot be won by inches and insisted that the White House needs to submit a supplemental budget request. Carters daylong visit to Iraq comes after a two-day NATO summit in Warsaw, where allies agreed to increase support for countries in the Middle East and North Africa that are battling Islamic extremism. Carter met in Baghdad with Iraqi Prime Minister Haider Abadi and other Iraqi leaders as well as Lt. Gen. Sean MacFarland, the U.S. military commander in Iraq. The recapture of Qayyarah followed weeks of slow progress by Iraqi ground troops seeking to approach Mosul from the south. Their advance was blunted by Islamic States extensive use of booby traps and snipers. But coalition forces overall have notched up significant gains in recent weeks in both Iraq and Syria. Overall, coalition-backed forces have recaptured about 40% of the territory that the extremist group once controlled. Islamic State, in turn, has launched a series of suicide bombings in Iraq in an apparent attempt to rekindle sectarian warfare between Sunnis and Shiites, and to destabilize the central government. At least 40 people were killed at a Shiite shrine in Balad, north of Baghdad, on Friday. On July 3, Islamic State suicide bombers killed at least 292 people in Baghdads bustling Karada area. The White House would like to capture Mosul and deal a decisive blow to the militants before Obama leaves office in January. Previous efforts to advance on the heavily defended city slowed or stalled, so the timing remains uncertain. Mosul fell quickly when convoys of heavily armed Islamic State fighters stormed out of neighboring Syria and overran much of western and northern Iraq two years ago. Islamic State has held the northern city, one of Iraqs largest, since June 2014. The stakes are high for Abadis fragile government in Baghdad, which has struggled to quell growing public discontent. Abadi fired the head of security for Baghdad and other security officials on Friday, according to a statement from his office. ALSO Behind a Bill Clinton speaking engagement: A $1,400 hotel phone bill and $700 dinner for two Obama urges Americans to listen to each other and avoid heated rhetoric Clinton, Sanders officially set Tuesday for unity rally in New Hampshire UPDATES: 9:11 a.m.: This story has been updated with additional details. 5:51 a.m.: This article has been updated with additional background. This story was originally published at 5:44 a.m. Hillary Clinton tops Donald Trump by nine points in a new, national Pew Research Center survey, including trouncing him by a near 3 to 1 margin among Hispanic voters. In a head to head match-up between the two presumptive nominees of their respective parties, the former first lady leads 51 percent to 42 percent. Among Hispanic voters, Clinton holds a commanding 66 percent to 24 percent advantage. Researchers found immigration reigns as one of the most important issues of the election among Latino voters, with many speculating Trump's hardline stance on the issue has caused him ant chance he may have held of bagging a significant portion of the Latino vote. Trump marked the official launch of his campaign by deriding undocumented immigrants as criminals and drug dealers. In addition to his vow to deport millions, he has also pledged to build a wall along the Mexican border to further keep immigrants out. Conducted over a near two week period commencing in mid June from among more than 2,200 voters, pollsters also found the margin of Clinton's advantage remains unchanged when third-party, Libertarian candidate Gary Johnson is added to the mix. In such a scenario, Clinton garners 45 percent of the vote, to Trump's 36 percent and Johnson's 11 percent. As much as party lines, pollsters found much of the vote is also split along racial divides. Among African-Americans, Clinton leads by a staggering 91 percent to 7 percent margin, while Trump runs top among white, non-Hispanic voters 51 percent to 42 percent. When posed with the question of who is "more personally qualified," voters also picked Clinton over her GOP challenger, with 56 percent of all voters agreeing she is personally qualified to be commander in chief compared to just 30 percent of voters who see Trump in the same way. In addition, 53 percent of voters say the phrase "would use good judgment in a crisis" better describes Clinton, while just 30 percent of voters say the same about Trump. Overall, the economy, terrorism and immigration rank among the most important issues in the minds of voters, with Clinton widely viewed as the candidate best equipped to handle the issue of race relations. A new Human Rights Watch (HRW) report concludes that at least 18 people died while in the custody of federal immigration officials over a three year period ending just last year largely due to subpar medical care in several instances. The blistering report finds among the victims were legal immigrants, undocumented immigrants and people seeking protection under U.S. refugee laws. In all, the report delves into the details surrounding the deaths of 31 who died while in the custody of Immigration and Customs Enforcement (ICE) Office of Detention Oversight (ODO). Experts Find Several Deaths Preventable As part of the analysis, HRW officials employed the services of at least two independent medical experts who have since ruled at least seven of the 18 deaths in question were more than likely preventable. Researchers noted 13 of the original 31 cases tabbed for examination were not independently studied because ICE did not release sufficient information related to them. Among the deaths medical experts deemed preventable was the case of Manuel Cota-Domingo, a 34-year-old immigrant from Guatemala who died of heart disease, untreated diabetes and pneumonia. From the time he began complaining of chest pains, experts found Cota-Domingo was denied medical attention for eight hours due to reasons that included an Eloy Detention Center policy that forbidding certain medical staff from calling for emergency services. "If diagnosed properly and treated, diabetic ketoacidosis and pneumonia are treatable," Dr. Allen Keller, one of the two medical experts, said. "But both of these life-threatening diagnoses were missed at the detention facility." Another maddening instance came in the case of Raul Ernesto Morales-Ramos, a 44-year-old immigrant from El Salvador who is believed to have suffered from undiagnosed cancer. While being held at the Theo Lacy Facility, medical experts found his gastrointestinal symptoms went unaddressed and he was never "appropriately referred for specialist care." Advocates Push for Reforms By and large, HRW officials insist their findings point to the need for additional reforms to the immigration detention centers used by the government to house the thousands of immigrants facing deportation proceedings. Shocking as some of the findings may be, there not surprising to many of those in the know, who insist facilities like Eloy and Theo Lacy have long been among the most "notorious" as it relates to providing substandard medical care. "More people have died at Eloy since 2003 than at any other immigration detention facility," said Christina Fialho, co-executive director at the immigrant rights group Community Initiatives for Visiting Immigrants in Confinement (CIVIC). The ACLU and the immigrant rights group Detention Watch Network previously named Theo Lacy one of the worst detention centers in the U.S. As recently as last year, 10 detainees filed a complaint against the facility alleging physical abuse, medical neglect, and retaliatory transfers. In all, 14 deaths have been reported at Eloy since 2004, including several suicides. Indeed, both experts used by HRW expressed grave concerns about the quality of mental health care in three additional cases of people who committed suicide. In each case, medical officials concluded subpar care contributed to the person's demise. In addition, a previous ACLU, Detention Watch Network and National Immigrant Justice Center report entitled "Fatal Neglect" found that suspect medical standards played a major role in another eight in-custody deaths from 2010 to 2012. In that instance, ICE's inspection and oversight channels were considered to have failed to identify or address problems that contributed to the person's death. Ukrainian President Petro Poroshenko will pay an official visit to Baku, where the fifth session of the Ukrainian-Azerbaijani Presidential Council will take place, on July 13-14, the Ukrainian presidential press service said on Monday. The signing of an array of agreements aimed at strengthening cooperation between the two countries in various sectors is planned as part of the visit. The agenda of the visit also includes Poroshenko's meetings with Azerbaijani Prime Minister Artur Rasizade and the country's Milli Mejlis (parliament) Chairman Ogtay Asadov. Ukraine and Belarus have signed an agreement on bilateral cooperation in the customs field. The corresponding document was signed by Head of the State Fiscal Service of Ukraine Roman Nasirov and Head of the Customs Committee of Belarus Yuri Senko at a meeting in Kyiv on June 11. The agreement includes the program of cooperation between the two countries for the second half of 2016 and the system of advance notification of emergencies on the Ukrainian-Belarusian border. During the meeting Nasirov noted well organized processes and exemplary relations between the countries on the border. Ukraine may receive EUR90 mln in financial aid from EU for public administration reform The European Union plans to deliver EUR90 million in financial aid for the public administration reform in Ukraine, the country's Cabinet of Ministers press service said on Monday. The decision was made following the meeting between Ukrainian Prime Minister Volodymyr Groysman and the EU Commissioner for European Neighborhood and Enlargement Negotiations, Johannes Hahn. "Until the yearend, the EU is ready to provide financial assistance in support of the reform of public administration and its size will be EUR90 million for three years," the press service said. Head of Ukraine's State Fiscal Service Roman Nasirov has been elected for one year President of the Intra-European Organization of Tax administration (IOTA) uniting 46 tax administrations of European countries, the press service of the State Fiscal Service has reported. The press service said that the decision was made at the 20th meeting of the IOTA General Assembly in Bucharest held on July 7 and July 8. It is for the first time when a representative of Ukraine heads IOTA. "Next year our country has a possibility of receiving all advantages of holding the meeting of the General Assembly that is held in the IOTA presiding country under its rules," Nasirov said. According to a posting on the IOTA website, the organization was founded in 1996 by seven founding members (Bulgaria, Czech Republic, Hungary, Latvia, Lithuania, Poland and Slovakia). The Secretariat of the organization is located in Budapest, Hungary. Ukraine became the associated member in 2004 and the full member in 2006. Karabakh conflict is yet to be resolved gradually Gradual settlement is the only option to resolve the Karabakh conflict, Azerbaijani President Ilham Aliyev said. "The activity has been observed in the negotiating process over the past 3-4 months. Both of Azerbaijan and Armenia need negotiations. The step by step solution is the only option to resolve the Karabakh conflict," Aliyev said at a meeting dealing with the outcomes of Azerbaijan's socio-economic development in H1 2016 on Sunday. The mediators should put pressure on Armenia in order to resolve the conflict, he said. The status quo is yet to be altered in the conflict, Aliyev said. The Karabakh conflict is yet to be resolved with due account of the norms of international law on the basis of Azerbaijan's territorial integrity, the president said. During the April hostilities the Azerbaijani Armed Forces have given a worthy response to the Armenian side and have managed to liberate 2,000 hectares of territories, he also said. NATO at the summit in Warsaw has agreed a comprehensive package of assistance to Ukraine for strengthening its security sector, NATO Secretary General Jens Stoltenberg said at a joint press conference with Ukraine's President Petro Poroshenko in Warsaw on Saturday. NATO is helping both with a council and with the target support aimed at making the armed forces and defense institutions of Ukraine more efficient and more accountable. It is the basis of the package which was agreed at the summit. The Trust Fund established at the previous summit will provide logistical support, help in the fight against cyber threats, as well as the rehabilitation of wounded military servicemen, he said. In addition, they will help in the fight with a hybrid war and the threat of improvised explosive devices, Stoltenberg said. The security in Ukraine is the key to the security in NATO, and the Alliance is ready to help Ukraine with this, the secretary general summed up. The joint statement of the NATO-Ukraine Commission passed at the summit in Warsaw describes the cooperation between Ukraine and the Alliance and lists the aims of the comprehensive assistance package (CAP). "NATO's level of engagement with Ukraine since 2014, both at the political level and in terms of practical work, has been unprecedented. NATO will continue to engage in a close political dialogue in the framework of the NATO-Ukraine Commission and support Ukraine in carrying out its ambitious reform agenda, including by making the best use of the Annual National Program, the Planning and Review Process and the CAP," the document reads. The package aim is to consolidate and enhance NATO's assistance aimed at helping Ukraine to become more resilient, to better provide for its own security and to carry out needed reforms, including in the security and defense sector. According to the statement, the assistance package envisages the continued cooperation to advance and implement strategic reforms. It contains more than 40 areas where NATO will support Ukraine in reforms conducted by the Ukrainian government. "Allies will continue their support to Ukraine through the NATO Representation to Ukraine, as well as bilaterally providing advice and support particularly for Ukraine's defense and security structures, based on NATO standards and principles, including the civilian democratic control of the Armed Forces and to achieve interoperability with NATO," the document says. In addition, the commission welcomed the adoption of the Strategic Defense Bulletin which will serve as Ukraine's defense reform roadmap. A NEW event licence is putting a gun to the head of some agricultural shows after Charleville Show revealed it cost them over 20,000. New regulations were signed in 2015 but the first the committee of Charleville Show heard about it was a phone call from Cork County Council. For the first time in its 37th year history they were required to secure an event licence. Billy Biggane, PRO, said they were informed that you need an event licence for any event over 5,000 people. It is absolutely ridiculous. If youre talking over 5,000 it is a very small event. The county council were on to us and said it had to be done. It cost us over 20,000 in total. It is a huge drawback. We had to increase the price going in at the gate over it, said Mr Biggane. The long-serving committee member likened the process to getting planning permission. It only came to light a few months before the show. There is a huge amount of work in it. We had to get maps, plans and drawings of where everything is and submit it to ten different bodies including the council, gardai, health board, National Roads Authority, fire department etc It is like planning permission. They all have to have an input into it then, said Mr Biggane. The event licence costs 2,500 but all the add-ons brought it to 20,000. They insisted on us employing a traffic management company which cost 8,500. In previous years we worked with Charleville gardai, said Mr Biggane. On the Friday before the show an inspection was carried out by a number of bodies. We all agree with health and safety but it is getting ridiculous. In total, you are talking over 20,000. It is all wrong. It looks like they are trying to prevent you holding a show. They put every obstacle in your way, said Mr Biggane. Paddy Ryan Luke, Cappamore Show secretary for 57 years, agrees with Mr Bigganes sentiments. You have statutory bodies imposing unreasonable rules and regulations. It would be more in their line to support and help voluntary committees who are sacrificing their leisure time for the good of their communities. If committees are being discouraged they eventually will throw in the towel. It is generally recognised that community groups throughout the country are the backbone of rural Ireland and keep it ticking over. It is putting a gun to their heads. It is hard enough for voluntary bodies to make ends meet financially without imposing that kind of additional expense. There should be concern for the welfare of other shows. It is a worry, said Mr Ryan. In June 2015, Paudie Coffey, then Minister of State, signed revised event licensing regulations following consultation with Alan Kelly, then Minister for the Environment. In establishing the review of the event licensing regulations, I was particularly anxious to ensure that the issues and confusion which arose in 2014 in relation to the licensing of specific outdoor events would not be repeated and that there would be a greater level of certainty for all parties including event promoters, venue owners and residents living close to such venues - in relation to the conducting of such events in the future, said Mr Kelly. ANOTHER opportunity to extend the Great Southern Trail from the Limerick border to Listowel has been lost, according to the chairman of the Great Southern Trail Group, Liam OMahony. Minister for Transport, Tourism and Sport Shane Ross recently announced funding of over 3.5m for what he described as shovel-ready greenway projects in in Kildare, Meath, Longford and Westmeath. And he listed rural regeneration, job creation and tourism development among the benefits of Greenway projects. We are pleased that greenway projects are being funded, Mr OMahony said. But he was gravely disappointed that yet again, the extension of the GST to Listowel had been overlooked. Over 1700 people in the Listowel area signed a petition supporting such a project, Mr OMahony pointed out. And he criticised the snails pace of Kerry County Council for failing to expedite the transfer of the land from CIE and let the project go ahead. A He contrasted Kerrys inertia with the energy of Waterford County Council which has been powering ahead to develop a 50km trail from Waterford City to Dungarven. Kerry has deservedly been accorded accolades for its scenes in Star Wars, he said. Unfortunately, when it comes to Greenways it is definitely orbiting in a different planetary system to Waterford. Mr OMahony however also blamed the residual opposition of some landowners along the 10km track for holding up the project. It is now over three years since the big stand-off at the border, he continued, referring to a protest by a group of landowners in 2013. There is a small number of people who are residual objectors, he continued. They were there 25 years and they must be smiling now that they are having such an influence that they can stymie a natural development of the unfinished trail which is designated as a Euro Velo route. The GST Group had carried out an aerial survey of the 10km track, he added. The trail to Listowel could be done for less than 1m, he stressed. And the work could be done in a couple of months. As the first greenway in the country, it made sense for the Great Southern Trail to extend through Listowel and on to Tralee, Mr OMahony argued. This would add 50km to the trail, making it the longest greenway in Ireland and right on the doorstep of Shannon and Kerry airports., with all the potential inherent in that for tourism and rural regeneration. It was now time for the government to knock heads together and get the project moving again, Mr OMahony said. THREE third-level institutions in Limerick have been awarded over half of the 1 million available from the Higher Education Authority under the Erasmus mobility fund to support the transfer of students and staff to countries outside of Europe for teaching, training and research collaboration. Following a competitive process, the three Limerick-based higher education institutions the University of Limerick, Mary Immaculate College and Limerick Institute of Technology secured the largest share of the funding available, 543,152, in the 2016 Call under the EUs Erasmus+ Programme. The 2016-2018 project at UL will facilitate the funding of 57 staff and students to and from universities in Bangladesh, Ethiopia, Malaysia, Georgia, Algeria, Morocco, South Africa, Lebanon, Kenya, Ghana and Israel. UL is the only HEI in Ireland to receive funding for South Africa and the African countries. The success of the Shannon Consortium institutions [which includes the three Limerick institutions] will open exciting new teaching and research opportunities for the colleges concerned in a range of countries, a number of whom are relatively new partners of Irish higher education, said Gerry OSullivan, head of international education at the HEA. The impact will not only be felt at the local level but in the countries of origin of the students and staff. Internationalisation of Irish higher education is proceeding at a significant pace and it is most encouraging to see the Limerick institutions building on their complementary strengths. UL has been awarded 241,634, MIC has been awarded 133,168, and LIT is to receive 168,350. Mary Immaculate College was successful in its first Erasmus+ ICM funding application in 2015 to bring three Syrian refugee students from Lebanon for one year of study. LITs 2016 award will enable the institute to welcome staff and students to its campuses, while LIT staff and students will be funded to travel for work and study at universities abroad. The countries for which funding was awarded are Malaysia, China, Brazil, Canada, Kazakhstan, Tajikistan and Uzbekistan. Ukrainian army observes 94 attacks on its positions in Donbas Ukrainian army positions in Donbas came under 94 attacks on Sunday, including by use of artillery, the anti-terrorist operation press center wrote on Facebook on Monday morning. "Most attacks, 48, were observed in Donetsk sector. The hostiles fired 152mm artillery systems banned by the Minsk agreements on our fortifications in Novhorodske, and 122mm self-propelled [artillery] systems were fired on Mykolaivka Druha," the report said. Meanwhile, Ukrainian army strongholds came under attack of 120mm mortars near Mayorsk, Pisky, Avdiyivka, Novhorodske and Troitske in Donetsk area, the press center said. Grenade launchers, armored vehicles' weapons, large-caliber machineguns and small arms were also fired in Donetsk area. Twenty-nine attacks were observed in Mariupol sector. For instance, 120mm mortars fired on Ukrainian strongholds in Talakivka, Vodiane, Novohryhorivka and Maryinka, and 82mm mortars were used near Shyrokyne, Krasnohorivka, Hnutove and Maryinka. Seventeen instances of random and chaotic fire of various types of weapons were observed in Luhansk sector. According to the press center, 16 shots were fired from forbidden 122mm artillery weapons on Ukrainian positions in Novooleksandrivka and 14 shells of that caliber were fired on Ukrainian positions in Novozvanivka. We and our partners use cookies to Store and/or access information on a device. We and our partners use data for Personalised ads and content, ad and content measurement, audience insights and product development. An example of data being processed may be a unique identifier stored in a cookie. Some of our partners may process your data as a part of their legitimate business interest without asking for consent. To view the purposes they believe they have legitimate interest for, or to object to this data processing use the vendor list link below. The consent submitted will only be used for data processing originating from this website. If you would like to change your settings or withdraw consent at any time, the link to do so is in our privacy policy accessible from our home page. Ukraine and NATO are concerned by Russia's military build-up in Crimea and Black Sea region which will potentially have further implications for the stability of the region, a joint statement by the NATO-Ukraine Commission says. "We condemn Russia's ongoing and wide-ranging military build-up in Crimea, and are concerned by Russias efforts and stated plans for further military build-up in the Black Sea region, which will potentially have further implications for the stability of the region," the commission's statement reads. The statement also contains a call to action on Russia to cease Crimea's occupation. "We call on Russia to reverse its illegal and illegitimate self-declared annexation of the Crimean peninsula, which we do not and will not recognize," the document says. The Commission expressed its deep concern of Crimean Tatars' state on the occupied peninsula. "We are especially concerned by the harassment and discrimination against the Crimean Tatars and other members of local communities," the statement specifies. In addition, NATO and Ukraine call on Russia to allow international monitoring structures for caring out their essential work in view of the protection of human rights. The Commission demands Russia observe human rights in Crimea and to solve the situation. "We once again demand that the Russian de-facto authorities take the necessary measures to address the deteriorating human rights situation in Crimea and to ensure the safety, rights, and freedoms of everyone living on the peninsula," the joint statement reads. Canadian businessmen could take part in privatization in Ukraine Ukrainian President Petro Poroshenko has invited Canadian businessmen to take part in the privatization process in Ukraine. "We have invited Canadian businessmen to take active part in the privatization process that would be transparent, effect and it will be in line with the agreements signed at the business forum," Poroshenko said at a joint press conference with Canadian Prime Minister Justin Trudeau in Kyiv on Monday. The Ukrainian president said that this would step up and simplify cooperation between the two countries. Ukrainian President Petro Poroshenko asked Canadian Prime Minister Justin Trudeau to extend the duration of stay of the Canadian military instructors training Ukrainian troops. Thus, Poroshenko during a joint statement with Trudeau in Kyiv on Monday recalled that Canadian servicemen train Ukrainian troops at the Yavoriv training range. "Today we made an agreement that this cooperation program will by all means continue, and this is Canada's aid in the creation of the new professional Ukrainian army," Poroshenko said during the joint statement with the Canadian prime minister on Monday. "We point out that the Canadian military instructors should remain in Ukraine till March 2017, and it's good to announce today that I asked Mr. Prime Minister to extend this mission," he said. The Ukrainian side fully honored its obligations under the Minsk agreements in the security area, Ukrainian President Petro Poroshenko said. "Ukraine clearly proved that it has implemented 95% of all its political obligations and 100% in the security area. And in this situation it is vitally important to us for Russia to fulfill the security regulations to initiate the political process," he said at a press conference following negotiations with Canadian Prime Minister Justin Trudeau in Kyiv on Monday. The NATO-Ukraine Commission has pointed to the increase of ceasefire violations by militants in Donbas and called on Russia for full implementation of the Minsk agreements. "Russia continues to foment a persistent state of instability in eastern Ukraine, which has led to the loss of nearly 10,000 lives in Donbas and deprived Ukraine of a considerable part of its economic output. We are particularly concerned by the increase in ceasefire violations along the line of contact primarily by Russian-backed militants," the Ukraine-NATO Commission said in a joint statement adopted as part of the summit in Warsaw. The commission recalled that, according to the package of measures for the implementation of the Minsk agreements, an immediate and comprehensive ceasefire in certain areas of Donetsk and Luhansk regions of Ukraine had to be implemented as of February 15, 2015. "We urge all parties to fully comply with the commitments they signed up to, including adherence with the ceasefire and with a view to holding local elections in certain areas of Donetsk and Luhansk regions as soon as security conditions allow in accordance with the Minsk agreements," the statement reads. NATO and Ukraine expect Russia to live up to its commitments and use its influence over the militants to ensure that they meet their commitments in full. "We call on Russia to stop its political, military and financial support to the militants and to implement its commitments under the Minsk Package of Measures including the withdrawal of its forces and military equipment from the territory of Ukraine and to allow Ukraine to reinstate full control over its state border," the commission said. The commission also welcomed the release of Nadia Savchenko and called on the parties to ensure the release and exchange of all hostages and unlawfully detained persons. NATO and Ukraine also expressed their full support the OSCE Special Monitoring Mission (SMM) which has a key role in helping to deescalate the conflict and stressed the importance of full and unhindered access for the OSCE monitors. "Impediments to the SMM's work, which continue to occur overwhelmingly in areas under the control of the Russian-backed militants, represent a violation of the Minsk agreements and seriously impede the monitoring function of the SMM," the joint statement reads. "We remain confident that an inclusive political process aimed at reinstating law and constitutional order in certain areas of the Donetsk and Luhansk regions of Ukraine is possible, provided that security is effectively and durably ensured and that local representatives are elected based on Ukrainian law, in accordance with relevant OSCE standards and monitored by OSCE/ODIHR, as stipulated in the Minsk Agreements," the commission said. Neanderthal bones uncovered in a Belgium cave show unmistakable signs of butchery, and scientists said they are the first evidence of Neanderthal cannibalism in northern Europe. Archaeologists pieced together 99 bone fragments to identify five distinct Neanderthals, four adults and a child, who lived between 40,500 and 45,500 years ago. Markings on the bones included indentations from hammering (likely to remove bone marrow), and cut marks from carving the flesh away from the bone. Also in the cave were the remains of horses and reindeer, which had been similarly butchered. "Similarities in anthropogenic [human-created] marks observed on the Neanderthal, horse and reindeer bones suggest similar processing and consumption patterns for all three species," the scientists wrote in their research (opens in new tab), published this week (July 6) in the journal Scientific Reports. [Image Gallery: Our Closest Human Ancestor] The Neanderthal remains provide "unambiguous evidence" of cannibalism, the researchers said. Other Neanderthal bones have also shown signs of cannibalism, but the Belgian site is the farthest north to do so showing regional variability of Neanderthal mortuary behavior. The other discoveries were in France, Portugal and Spain, where scientists found a group of Neanderthals, including an infant, who may have been cannibalized by another group of Neanderthals. This skeletal illustration shows where marks were found on Neanderthal bones from Troisieme caverne of Goyet in Belgium that suggest both cannibalism and that the bones were used as stone tools or as a means of sharpening stone tools (retoucher traces). (Image credit: Sci. Rep. 6, 29005; doi: 10.1038/srep29005 (2016).) Beyond cannibalism, it appears that the Neanderthals also used their peers' remains as tools. A few of the bones bore markings that suggested they'd been used to help sharpen stone tools. This new research adds to the overall understanding of Neanderthals' relationship with the dead, as their behavior toward the deceased varied from preparing burials to using the bodies for food or creating tools, the researchers noted. "The big differences in the behavior of these people on the one hand, and the close genetic relationship between late European Neanderthals on the other, raise many questions about the social lives and exchange between various groups," Herve Bocherens, one of the lead researchers, told CBS News. An analysis of DNA within the Neanderthal mitochondria (energy-making organelles in cells that carry their own DNA) suggested that the Belgian Neanderthals were genetically similar to other Neanderthal communities living in Germany, Spain and Croatia. This suggests the Neanderthal population in Europe at the time was small, as there was "only modest genetic variation despite large geographic distances when compared to modern humans," the scientists wrote. The Neanderthals went extinct about 30,000 years ago, and are modern humans' closest extinct relatives. Original article on Live Science. A wild capuchin monkey in Brazil using a "hammer" stone to crack open the shell of a cashew nut placed on a larger "anvil" stone. A group of wild capuchin monkeys in Brazil have used stones as tools to prepare their favorite meal of cashew nuts for more than 700 years, according to a new study. Archaeologists found evidence that capuchin monkeys in Brazils Serra da Capivara National Park have used stones to smash open the shells of cashew nuts and seeds for at least 100 monkey generations. The researchers observed young monkeys learning to use stones as tools from older monkeys at recognizable "cashew-processing sites," such as around the base of a cashew tree. After selecting and using heavy "hammer" stones on heavier "anvil" stones to pound open a nut, the monkeys discarded the stones in piles at the processing sites, where other monkeys would later select stones to use. [See photos of the monkeys using stone tools] The new study, published today (July 11) in the journal Current Biology, is the second report in recent weeks showing evidence of a monkey "Stone Age" from the Primate Archeology Project at Oxford University, led by archaeologist Michael Haslam. Last month, Haslam and other scientists published research in the Journal of Human Evolution about archeological evidence for tool use by wild macaques in Thailand, which showed they have been using stones to open shellfish and nuts for at least 50 to 100 years. "Until now, the only archaeological record of pre-modern, nonhuman animal tool use comes from a study of three chimpanzee sites in Cote d'Ivoire in Africa, where tools were dated to between 4,300 and 1,300 years old," Haslam wrote in a statement about the latest research. "Here, we have new evidence that suggests monkeys and other primates were also using tools for hundreds, possibly thousands of years." The findings could even shed light on the possible influence of monkeys' tool use on human behavior, he added. "For example, cashew nuts are native to this area of Brazil, and it is possible that the first humans to arrive here learned about this unknown food through watching the monkeys and their primate cashew-processing industry," Haslam said. A giant leap for monkeys Michael Gumert, a primatologist at Nanyang Technological University in Singapore and a co-author with Haslam of the research paper on tool-using macaques in Thailand, told Live Science that the evolution of tool use in primates could have arisen in part from learned traditions and in part from genetic adaptions. "There is a developmental process, they have to learn this," Gumert told Live Science. "This isnt something that just comes naturally. But for things like human language, for example, we have genetic and biological foundations for language but we require the cultural information as well." [Creative Creatures: 10 Animals That Use Tools] A Burmese long-tailed macaque using a stone to pound open an oyster shell on Piak Nam Yai Island on Thailand's west coast. (Image credit: Oxford University) This makes it hard to separate the two, Gumert said, "and culture and biology keep acting on each other, and once an animal forms a culture, the culture will affect the biology. And we have no idea how that works in these guys yet," he said. Gumert has studied tool-using macaques on islands in Thailand's Laem Son National Park for around nine years. They were discovered in 2005 by Suchinda Malaivijitnond, a biologist at Chulalongkorn University in Bangkok and co-author of the Thailand research, during a biodiversity survey of the region in the aftermath of the Indian Ocean tsunami late in 2004. For the latest study, the researchers used boats to observe Burmese long-tailed macaques using stones to hammer open shells and nuts on a beach on Piak Nam Yai Island, near the border with Myanmar. "They use a lot force, and they have a lot of control over the tool," Gumert said. "When Im out on the boat 70 meters to 100 meters [230 to 328 feet] away and they're chipping away at those oysters, you can hear it smack, smack, smack." After the macaques finished their seaside picnic, the researchers excavated part of the beach and discovered stone tools that, through carbon dating and other tests, scientists determined were used by macaques between 50 and 100 years ago. But Gumert thinks that tool use by macaques in the region is likely to go back many thousands of years, and future research could help build a timeline for the tool-using behavior. "It might be thousands of years, it might be tens of thousands of years we dont know," Gumert said. "Macaques have been around for 20 million years, so that's our time window." Primate archaeology For both the Thailand and Brazil studies, the researchers observed monkeys using stones to open nuts and other foods, and identified characteristic signs of use on stones that the monkeys had used and then set aside. [Gallery: Monkey Mug Shots] In Brazil, excavations to a depth of about 2.3 feet (0.7 meters) underground at a food-processing site near cashew trees found 69 stones that had been used as tools by previous generations of capuchin monkeys. They were identified by the shape and size of typical "hammer" and "anvil" stones, the distinctive patterns of damage caused by capuchin pounding, and dark residues on the stones that were shown in mass spectrometry experiments to be caused specifically by cashew nuts. Carbon dating on small pieces of charcoal discovered near the stones established the oldest were at least 600 to 700 years old, or about 100 generations of capuchin monkeys, the researchers said. They also found that the stones selected as tools by the monkeys were unchanged in size or shape over that time, which suggests that capuchin monkeys are "conservative" about tool technology and prefer not to change the tools they use unlike humans living in the same region, according to the scientists. Uncovering the history of primate tool behavior was a first step in a wider project to compare primate tool use with early human tool use, Haslam said in a statement. "We find that primates with much smaller brains than humans have innovative ways of exploiting the food sources available to them," he said. "What we don't have at the moment is a body of archaeological evidence to compare the evolutionary behavior of other primates with our own. As we build up a fuller picture of their evolutionary history, we will start to identify the similarities and differences in human behavior and that of other primates." Original article on Live Science. A new equation combines information about a given exoplanet and the formation of life to describe the probability of life forming on an exoplanet over time. Planets Kepler-36b and Kepler-36c are depicted in this artist's illustration. When life originates on a planet, whether Earth or a distant world, the newborn life-forms may have to overcome incredible odds to come into existence and a new equation lays out exactly how overwhelming those odds may be. The creators of the equation hope it can connect diverse areas of research that aim to answer long-standing questions about the origins of life, much like how the famous Drake equation pulled together research concerning communications from intelligent life. "The idea of the equation, at some level, is to try to connect the unknown, presumably microscopic events that give rise to the first thing that we would call a living system to connect those microscopic components to the macroscopic fact of whether a planet has life starting on it," Caleb Scharf, an astrophysicist at Columbia University and lead author of the new work, told Space.com. [8 Newfound Alien Worlds Could Potentially Support Life] The Drake equation, originally penned by astronomer Frank Drake in the 1960s, laid out a series of terms estimating how many intelligent extraterrestrial civilizations likely exist in the Milky Way. The equation takes into account factors such as the rate of star formation in the galaxy, the fraction of planets where life emerges, and the fraction of that life that gains intelligence and the capability to broadcast its presence into space. Over the years, the equation has acted as a road map for researchers searching for communications signals created by intelligent civilizations beyond Earth. Scharf and his co-author Lee Cronin, a chemist at the University of Glasgow in Scotland, hope to provide a similar road map to researchers trying to work out how and how often life forms on a given planet. "It came out of a moment in the field where we were trying to bring some cohesion to this study of origins of life," which is notorious for its disparate areas of focus, Scharf said. "To my mind, what this equation is trying to do, or at least trying to prompt people to think about, is how you make that connection how do you go from some story about how life may have originated on Earth to a quantitative assessment of the probability that that happened, and what that means for life elsewhere in the universe," he said. Series of steps The new equation breaks down the process of abiogenesis the formation of life from nonliving components into a series of simpler factors. Those factors incorporate the planet's conditions, the ingredients needed to form life and the likelihood of those ingredients getting into the right configuration for life to emerge. As with the Drake equation, each of the terms is straightforward to describe, but each hides additional complexity and room for new research. Here is the equation: The average number of origin-of-life events for a given planet = (number of building blocks on planet) 1/(average [mean] number of building blocks needed per "organism") (availability of building blocks during time t) (probability of assembly in a given time) time. (Image credit: Caleb Scharf and Lee Cronin) On Earth, building blocks for life take the form of amino acids, lipids and certain essential metals. Somewhere else, though, an entirely different set of ingredients could create enough complexity to form life the equation doesn't assume any specific set is necessary.On the left, the equation considers the average (mean) expected number of origin-of-life events for a given planet. To get there, it takes into account the number of potential "building blocks" for life on the planet, the average number of building blocks needed to create a living system, the availability of those building blocks during a given time and the probability of that assembly happening during that time. "We're being kind of sneaky," Scharf said. "I think it's one of the beautiful things about it: If you write the equation this way, you don't necessarily have to worry about all the fine, fine details, but what you do do is, you start to break open the factors that you might be able to put some numbers to." For instance, if you know the size of a planet and its composition, you can begin to estimate how many potential building blocks for life there are on the planet. To calculate whether those building blocks are actually available to form life, you'd have to know more about the conditions on the planet, such as its temperature, which could render some of the blocks unusable or inaccessible. For example, these blocks could be unusable or inaccessible if they're always in gaseous form or if water is not readily available although future research might show that life could emerge in more scenarios than scientists currently know about. In that way, the equation "links where people in exoplanetary science may actually begin to get some data, on the size of planets, the composition, and so on, to the piece that we still don't really understand but we know must have some kind of probability of happening": how it is that life first begins, Scharf said. A trillion test tubes The value P a , which is the probability that life will assemble out of those particular building blocks over a given time, is murkier and much more interesting. If the value of P a is very low, it's extremely unlikely that life will form even when the ingredients are there potentially explaining why humans haven't yet happened to create life in the lab, even if scientists have used the right ingredients, Scharf said. But a planet-wide "lab" would increase the odds that life-creating events will occur. "We might have to wait 100 million years for it to fall into place just in a test tube," Scharf said. "Whereas on a planet scale, you've got a trillion test tubes probably even more than that. It's conceivable that, using this equation, playing these games, is hinting at a possible explanation for why we haven't seen life miraculously appearing in our laboratories, that there's some subtle thing that has to happen that really doesn't happen often." And if the scale is larger than planetary, Scharf said, that could further increase the likelihood of life forming. Early Earth and Mars, for example, were cultivating their own, separate chemistries, but the early solar system was chaotic; impacts with other solar system bodies could have resulted in material exchanges between the two planets. That would have led to even more "test tubes" the chemical mixing could have allowed even more interactions to occur, potentially hitting the right combination, Scharf said. If multiple planets exchange materials, it could lead to a sort of "chemical amplification [that] could, in principle, be hugely important," he said. "It could be all the difference between getting life to occur or not, especially when we're dealing with such tiny, tiny probabilities on the microscopic scale of something going right," he added. Factoring our ignorance Scharf hopes that the new equation can bring together the different areas of research that relate to each of the equation's terms. For instance, the equation provides an opportunity to combine detailed examinations of far-off exoplanets, chemical research about how different molecules in different physical states can interact to generate complexity, and investigations of the smallest possible units that can exhibit living traits. Combining these areas of research, in turn, could help to link scientists' large-scale understanding of exoplanets to the microscopic chemical interactions, Scharf said. "It's not an answer; it's a new tool for trying to think about the issues involved," Ed Turner, an astronomer at Princeton University, told Space.com. Turner was not involved in the work, but the paper's definition of the left-hand probability the expected number of origin-of-life events draws heavily from his work to allow for incorporating scientists' uncertainty about the origins of life based on observations of life on Earth (and how much weight to give those observations). "To really put numbers on those, to think very specifically about a lot of the factors in their equation, will require a lot more knowledge about exoplanets than we have now," Turner said. "We may be decades off from being able to talk about things like the total mass of building blocks on a planet's surface and things like that." Turner pointed out that the same was true about the Drake equation: Scientists have been able to quantify only some of the terms recently, such as the number of potentially habitable planets. Therefore, the equation could become more useful as the science progresses, he said. In the meantime, it can act to "divide our ignorance into different factors" and focus research on those different components, Turner added. [The Father of SETI: Q&A with Astronomer Frank Drake] But some of the factors especially biological ones, such as the switch from nonliving to living organisms may not be understood anytime soon, he said. Paul Davies, an astrobiologist at Arizona State University who was not involved in the study, also said that the term that incorporates the probability of nonlife becoming life will be among the hardest to define. "We don't know the mechanism whereby nonlife turns into life, so we have no way of estimating the odds It may be one in a trillion trillion (it's easy to imagine that), in which case, Earth life may be unique in the observable universe," Davies told Space.com in an email. "But P a may be quite large. We simply can't say." "Setting that aside, I think the remaining terms are discussed in a very useful way as a conceptual framework for research," he added. To get a grip on that probability, humanity would have to encounter another instance of life's emergence beyond our own for comparison. Future observatories that can see exoplanets in more detail, like the James Webb Space Telescope, may be able to detect signatures from life in earlier forms than Drake might have thought we'd spot life on the microscopic scale rather than life actively communicating with humanity. That kind of data could help illustrate what other forms life can take. The work also mentions the possibility of life arising multiple times using different building blocks for instance, that some form of life that previously existed on Earth, or one that exists currently but is unknown to science, came into being separately from our brand of life with a totally different chemical vocabulary. Davies said that a good step toward narrowing down the likelihood would be to investigate Earth's own organisms for evidence of this possibility. "We just need one other sample of life (second genesis) and the field is transformed, because we would know P a can't be exceedingly small," Davies said. "And that sample might be right here on Earth. Frankly, almost nobody has looked." The new work was detailed July 4 in the journal Proceedings of the National Academy of Sciences. Email Sarah Lewin at slewin@space.com or follow her @SarahExplains. Follow us @Spacedotcom, Facebook and Google+. Original article on Space.com. Number of Canadian police instructors in Ukraine to increase Canada will increase the number of instructors training Ukrainian policemen, Canadian Prime Minister Justin Trudeau said. Twenty-two police officers are training local policemen and developing an effective program to train competent police forces, Trudeau said during the joint briefing with Ukrainian President Petro Poroshenko in Kyiv on Monday. He also noted that representatives of Canada are included in the Organization for Security and Co-operation in Europe (OSCE) Special Monitoring Mission and that Canada also provides humanitarian aid to the victims of the Donbas conflict. Canada took on obligations to provide $700 bln starting from 2014, he added. A woman arrested under the Domestic Violence act was fined 250 at last months sitting of Granard District Court. Margaret Doran, 54 Mostrim Oaks, Ballymahon Road, Edgeworthstown was accused of breaching a safety order, which was handed down in March of this year, at the same address on June 15. The court heard that on the date in question, Gardai met with Ellen Doran at the house, who alleged that Margaret Doran had been in the house and threw items at her. It was revealed that the defendant had to be restrained and when cautioned, replied; stick it up your hole. She has said to me drink doesnt agree with her, explained Solicitor for the accused, Ms Brid Mimnagh. Ms Mimnagh further pointed out that the defendant was a mother-of-twelve and that she and her husband and ten of her children, as well as Ellens husband and their three children lived at the above address, but that Mr and Mrs Doran were hoping to get a house of their own the following week. In order to alleviate the situation, Ms Doran has offered to reside at 60 Mostrim Oaks. Judge Hughes fined the defendant 250 in respect of the matter and warned her; If you come before me again youll be going to prison. Ms Doran was also ordered not to make contact with her daughter Ellen or her dependents, to stay away from 54 Mostrim Oaks and to stay sober. The amnesty of the members of militants operating in the east of Ukraine is only possible after holding the democratic elections in Donbas, Ukraine's representative in the political subgroup of the Trilateral Contact Group, Olha Aivazovska, has said. "On the subject of amnesty, the Ukrainian position is clear: one can talk of amnesty only after holding the democratic elections, and if the work of the judicial branch of authority is reinstated. That is, amnesty may be a measure implemented within the framework of the judicial and legal system of the state of Ukraine, and not by any other means," she said during a broadcast of the 112 TV channel. Family & Parenting, Music, Movies & Entertainment, Local News, Press Releases, Seasonal & Current Events By Long Island News & PR Published: July 11 2016 By popular demand, that little guy from the Sun is set to be back in Sag Harbor, NY! Sag Harbor, NY - July 7, 2016 - By popular demand, that little guy from the Sun is set to be back in Sag Harbor, NY! Gustafer Yellowgold brings his out-of-this-world, multimedia family show to the Bay Street Theater, 1 Bay St., Sag Harbor, NY 11963 at 11:00am on Saturday, August 20th Groovy Gustafer Yellowgold is a friendly fellow who came from the Sun and landed in the Minnesota woods. Illustrator/songwriter/performer Morgan Taylor developed the Gustafer Yellowgold experience as equal parts pop rock concert and animated picture book. This live performance will feature material from the Grammy Nominated DVD/CD set "Gustafer Yellowgold's Dark Pie Concerns" along with plenty of fan favorites from the six previous Gustafer Yellowgold DVD/CD sets. Since his creation in 2005, Gustafer Yellowgold has become an international phenomenon, headlining family friendly venues all across the U.S., in London, and even in Seoul, Korea. Yet, Gustafer is not just for kids! Gustafer Yellowgolds Show has performed as the support act for WILCO and The Polyphonic Spree, and Gustafer has been seen off-Broadway in his own productions of Gustafer Yellowgolds Mellow Sensation and Gustafer Yellowgolds Infinity Sock. Gustafers orchestra show, Gustafer Yellowgolds Golden Heart Symphony, has thrilled audiences coast-to-coast. The Los Angeles Times enthused, "Taylors whimsical, lightly psychedelic world forms an interesting bridge between adult and kids music. The Washington Post declared, Hes a star on stage and screen! The mix of catchy tunes and offbeat stories has endeared Taylor, and Gustafer, to teenagers as well. The Chicago Tribune wrote, Gustafer Yellowgold has made kids music so cool that teenagers and ultra-hip bands such as Wilco want to get in on the act a shiny blend of pop art and pop tunes. New York Magazine named Morgan Taylor Best Kids Performer, calling Taylor the most original performer on the family-music scene today. What: Gustafer Yellowgolds Show - Goat on a Boat at Bay Street presents Gustafer Yellowgold Where: Bay Street Theater, 1 Bay St., Sag Harbor, NY 11963 When: Saturday, August 20th - 11am Tickets: $15, Info: 631-725-9500 website For more information about Morgan Taylor and Gustafer Yellowgold or to schedule an interview, please contact Brian Pearl email. Check out the Gustafer Yellowgold website here Pets & Animal, Local News, Crime, Press Releases By Long Island News & PR Published: July 11 2016 Lee Hughes is scheduled to be sentenced Monday July 11,2016 9:30 am, Lee Hughes pleaded guilty to his part in the in the horrendous act of cruelty to Miss Harper. Update - July 11, 2016 - Lee Hughes did not show up for sentencing and a warrant was issued for his arrest. The earlier press release on Lee Hughes scheduled sentencing is below. Mineola, NY - July 10, 2016 - Lee Hughes is scheduled to be sentenced Monday July 11,2016 9:30 am, Lee Hughes pleaded guilty to his part in the in the horrendous act of cruelty to Miss Harper. The Nassau County SPCA will once again have advocacy members attend Nassau County Criminal Court Part 98 in Mineola (see map below) sentencing of Smith. Please join! Miss Harper was found in September 2013, when she was just a puppy, with her back leg and both her ears cut off. The Nassau County SPCA is eternally grateful to the advocacy members who continue to show their support in our quest for justice for Miss Harper. The Nassau County SPCA Court Advocacy members will be present at all proceeding to convey a strong message to the defendant, the judge, and all other interested parties in the criminal justice system: this community cares about the outcome of these animal abuse cases. The Nassau County SPCA sincerely appreciate the court advocacy members who have taken the time to show their support for Miss Harper. For information on the Nassau County SPCA Court Advocacy click here. To sign up for the Court Advocacy Program please click here Looking to stay up to date about all of the news stories and local headlines that are important to Long Islanders? We've rounded up the top coverage for all of the important topics from multiple sources around Long Island, so you can be sure you've got the most recent update on the top stories for Long Island. Have an idea for a news story? Email us at news@longisland.com Columnists Press Releases The Ukrainian government in retaliation to Russia's prolongation of the food embargo until the end of 2017 has extended the validity of Ukrainian counter-sanctions for the same period of time. "In point one the words and figures 'until August 5, 2016' will be replaced with the words and figures 'until August 31, 2017 (inclusively)'," the Cabinet of Ministers said in a resolution as of July 6, which has made some changes in the resolution of December 30, 2015 introducing the ban on the importation of a number of Russian goods until August 5. Under the document, the resolution to extend the counter-sanctions will go into force from the day of its publication. As was reported, on June 29 Russian President Vladimir Putin extended the validity of food embargo until the end of 2017, regarding the countries which had imposed sanctions against Russia. The Russian embargo on Ukrainian goods has been valid since January 1, 2016. In retaliation, the Ukrainian government at the beginning of this year adopted trade countermeasures, and banned the supplies of Russian food products, as well as a number of other goods, and their aggregate import value corresponds to the Ukrainian export value banned by Russia. United States Secretary of State John Kerry will visit Moscow this week to discuss the format and content of a roadmap for the implementation of the Minsk agreements, Ukrainian Foreign Minister Pavlo Klimkin said. "We discussed elements of such a roadmap with John Kerry last week: I spoke about it, such logic was, of course, discussed also at the Group of Five [Britain, Germany, France, Italy and the U.S.] meeting with Ukraine. Now, this week, John Kerry will visit Moscow, and intense consultations are underway, both in the Normandy format, i.e. with our German and French friends, and with our U.S. friends and partners, on how to maintain the sequence of steps and the timeframe, but above all clear-cut assurances that such steps will be taken by Russia," Klimkin told a press conference in Kyiv on Monday. It was reported that at a briefing held jointly with Kerry in Kyiv on July 7 Ukrainian President Petro Poroshenko said that Kyiv was in talks with international partners over a roadmap on implementation of the agreements reached in Minsk in February 2015. The parties to the "Normandy format" (Ukraine, Germany, France and Russia) are negotiating a possibility of signing a roadmap that would set specific deadlines for implementation of the Minsk agreements. It is not being ruled out that the document could be signed at the next meeting of the four countries' leaders. MEXICO CITY, July 10- The United States should stay away from the South China Sea issue and avoid repeating its history of military intervention and political manipulation in the Caribbean in the past century. The Permanent Court of Arbitration (PCA) in The Hague will announce its award on Tuesday in an arbitration case filed unilaterally by the Philippines against China on disputes over the South China Sea. Looking back at the drama, it's not difficult to see that the United States has played an important role in disturbing the once peaceful waters since it adopted a "pivot to Asia" strategy. And it's not the first time for the nation to do so. Having been regarding Latin America as its backyard, the United States has never stopped making waves in the Caribbean. Cuba is one of the biggest victims. The United States occupied the country during the 1898 American-Spanish War and forced it to sign a contract to indefinitely lease Guantanamo Bay, which later became the first overseas military base of the United States and has never been returned. Later on, the United States dispatched troops to Cuba three times after the establishment of the republic in 1902, and has adopted a hostile attitude toward the country ever since the victory of the Cuban revolution in 1959. After failing to topple Cuba's regime in April 1961 by sending over 1,500 mercenaries, the United States started imposing economic and financial blockade and trade embargo on Cuba, which have not been completely lifted as of today. In 1903, the United States instigated Panama's independence from Colombia, and forced the new government to sign an unequal treaty on building the Panama Canal. Over half a century later, in a bid to seize control over the canal, the George H.W. Bush administration sent an army of 26,000 to Panama on Dec 20, 1989 in the name of "protecting American lives there from political instability." The same reason had been used to justify the U.S. occupation of Haiti from 1915 to 1934. In August 1926, U.S. Marines invaded Nicaragua to bolster the pro-American conservative government when a civil war torn the small central American country apart. While in April 1965, when a civil war broke out in the Dominican Republic and overturned a U.S.-installed government, the United States sent nearly 40,000 troops to "restore order" in the country. The same tragedy also happened to Grenada, one of the smallest countries in the Caribbean. In October 1983, the Reagan administration sent 5,000 Marines to Grenada to topple its Communist regime. In little more than a week, the government was overthrown. Throughout the 20th Century, the United States has been incessantly cruising its warships on the Caribbean waters, trying to assert its influence over the region. Its interference that blocked the path of independent development for Caribbean countries, and resulted in long time of turmoil as well as social stagnation in some of the countries. Obviously all the military operations, political interference and economic sanctions made by the United States are only for one purpose -- defending, if not wanting more, its interests in the region. As former U.S. President Ronald Reagan once put it, "the Caribbean region is a vital strategic and commercial artery for the United States." Since it began to enjoy a rapid rise of political eminence at the end of the 19th century, the United States has been driving wedges in the Caribbean countries so that it could gain dominance over the entire region. And now it is using the same strategy in the Asia-Pacific, specially, the South China Sea. Since a U.S. strategy shift in 2009 toward Asia-Pacific, tensions and disputes between countries in the South China Sea have been increasing dramatically. Recently the situation has been worsened due to a string of provocative actions made by the U.S. Navy under the banner of "free navigation." U.S. warplanes and warships have been patrolling dangerously close to Chinese territory, emboldening some nations, even though the region is thousands of miles away from the U.S. homeland. It seems like a habitual behavior of the United States to boss around. However, the South China Sea is not the Caribbean and U.S. hegemony will not work there. This is not only because China's claim of sovereignty over the islands in the South China Sea is legitimate, but also because China has always been a firm advocate for peace and prosperity in the region. With regard to the current disputes in the South China Sea, China proposes a "dual-track" approach, namely peacefully and properly handling the disputes through direct talks between the parties involved and jointly maintaining peace and stability in the South China Sea with the members of the Association of Southeast Asian Nations. There has been peace and prosperity in the South China Sea for most of the time in past centuries and will prevail in the future unless outside forces come to interfere. So the United States should stop treating the South China Sea as the next Caribbean and quit the habit of meddling in other countries' business. MOSCOW, July 10 -- Russia's Foreign Ministry on Sunday slammed the North Atlantic Treaty Organization (NATO) for its anti-missile deployment in Europe, saying the bloc continued to deliberately ignore the action's negative consequences and risks for the entire Euro-Atlantic security system. The ministry's spokeswoman Maria Zakharova accused the United States and NATO in a statement of "deliberate actions to change the existing balance of force, including the forced implementation of the U.S./NATO anti-missile plans in Europe." "Contrary to the objective interests of maintaining peace and stability in Europe, as well as the need for uniting all responsible international players to fight real and not contrived modern challenges, the alliance focuses on the containment of a non-existent 'threat from the East,'" Zakharova said, commenting on the NATO summit held in Warsaw on July 8-9. There have been "completely exaggerated" attempts at demonizing Russia in order to justify the steps taken toward a military buildup in Europe and divert attention from NATO's "destructive role" in provoking crises and instability across the world, she said. "We expect NATO's detailed explanations regarding its enforcement in all directions during the upcoming Russia-NATO Council's meeting at the level of permanent representatives due July 13," the spokeswoman said. On the first day of the Warsaw summit, NATO acknowledged the initial operational readiness of the anti-missile shield, which in fact means that NATO can officially take command of the component of the missile defense system, located in Romania. As the fighting in Syrias northwestern Latakia Province continues, several foreign jihadist groups have released media highlighting their roles in the fighting. Many of the groups are Russian speaking, while all are within al Qaedas network inside Syria. Among the foreign jihadist groups, two are directly within the Al Nusrah Front, al Qaedas official branch in Syria. The first, Katibat al Tawhid wal Jihad (KTJ), is a predominately Uzbek group that has fought in many battles across northwestern Syria. In its most recent video, KTJ shows its fighters advancing against the Assad regime in the mountains of Latakia. Liwa al Muhajireen wal Ansar (LMA), a Russian-speaking brigade within Nusrah, has also released photos from the area. LMA, which is a collection of Russian-speaking militants formed from different groups that have pledged bayah (allegiance) to Nusrah, published photos showing fighters patrolling in the countryside after reportedly defeating Assads soldiers. Earlier pictures from LMA show its fighters scouting regime positions. Yet another Russian-speaking group to have advertised its role is Ajnad Kavkaz, a predominately Chechen group. Late last month, Ajnad Kavkaz (or Soldiers of the Caucasus) released a video from Ayn Issa in Latakia showing intense fighting with regime soldiers. The Chechen group claimed victory, however, the hills surrounding Ayn Issa have switched hands numerous times in recent months. In other photos, Ajnad Kavkaz claims to have captured spoils from the battles and several dead regime soldiers are shown. Other smaller Russian-speaking groups are also present in the fighting in Latakia. According to From Chechnya to Syria, one such group is Junud al Makhdi, comprised of ethnic Tartars and Bashkirs. The website, which tracks Russian-speaking militants in Syria, reports that Junud al Makhdi is a group comprised of jihadists that fought in Afghanistan alongside the Taliban and al Qaeda for the last 10 years. Additionally, its emir (leader) trained in Chechnya with Ibn Khattab, the leader of al Qaedas International Islamic Battalion in Chechnya before he was killed in 2002. The website also reports that it fights in Latakia alongside Turkmen jihadists, as well as the Turkistan Islamic Party. The Turkistan Islamic Party (TIP), an ethnic Uighur faction that also fights alongside al Qaeda and the Taliban in Afghanistan and Pakistan, has released several photos and videos in recent weeks from Latakia. In many of the photos, the jihadists are shown shelling regime positions with mortars and rockets in the Jabal al Turkman region. In a tweet from a member of the Imam al Bukhari Jamaat (also known as Katibat al Bukhari), a predominately Uzbek group that swears allegiance to the Taliban, the fighter claims that it too is fighting in Latakia. The tweet claims that four members of the jihadist group have been killed in recent days, but no photos or videos have been released by the group. Earlier this year, however, it did produce a video from Jabal al Akrad in Latakia. The jihadist-led Jaysh al Fateh (Army of Conquest) coalition and its allies launched this new assault on Syrian regime positions in Latakia on June 27. The offensive, named the Battle of Yarmouk, involves a mix of jihadists, like Al Nusrah and Ahrar al Sham, and fighters affiliated with the Free Syrian Army (FSA). Many of these FSA groups, like Jaysh Tahrir, the 1st Coastal Division, and Jaysh al Izzah, are supported by the United States. The latter two groups have even uploaded videos showing their use of US-made TOW anti-tank missiles. (See LWJ report, Jihadists and other rebels attack Syrian regime positions in Latakia province.) Photos from the different foreign jihadist groups: Katibat al Tawhid wal Jihad: Liwa al Muhajireen wal Ansar: Ajnad Kavkaz: Turkistan Islamic Party: Caleb Weiss is a research analyst at FDD's Long War Journal and a senior analyst at the Bridgeway Foundation, where he focuses on the spread of the Islamic State in Central Africa. Are you a dedicated reader of FDD's Long War Journal? Has our research benefitted you or your team over the years? Support our independent reporting and analysis today by considering a one-time or monthly donation. Thanks for reading! You can make a tax-deductible donation here. JUBA, July 10 -- South Sudan's Health Ministry has confirmed 271 people were killed in Friday's clashes between rival army factions outside the presidential palace in the capital Juba and there is renewed heavy fighting on Sunday. In a statement, the Health Ministry said those killed included 32 civilians, 5 police officers, 44 government troops and 190 soldiers of the Sudan People's Liberation Movement-In Opposition (SPLM-IO) led by Vice President Riek Machar. "The death toll is expected to rise as these are preliminary figure," the statement said. It added 34 government soldiers, five police officers and at least 27 civilians were being treated in hospital. The clashes between government troops and SPLM-IO forces on Friday afternoon were the most serious since the reconciliation between President Salva Kiir and former rebel leader Machar in April. Kiir and Machar on Friday evening called for calm after the clashes. They said they were holding a meeting at the presidential palace when the gun battle broke out. However, fighting erupted again early Sunday in Juba, and gunfire and artillery shelling could be heard. The UN Mission in South Sudan said the fighting had prompted about 1,000 internally displaced people in a UN Protection of Civilian (PoC) site to flee to the UN base in Jebel area. The statement from the Health Ministry didn't speak about the Sunday fighting which has also forced hundreds of civilians to seek protection at UN bases. William Gatjiath Deng, a SPLM-IO spokesman, said government troops attacked their base in Jebel early Sunday with three helicopter gunships bombing their camps. Sources told Xinhua there were also fighting near Vice President Machar's residence. Meanwhile, the Special Representative of the UN Secretary-General in South Sudan, Ellen Margrethe Loj, on Sunday urged all parties to immediately restrain their forces, engage in dialogue to find a political solution to the crisis. "For the safety and security of those displaced civilians, the United Nationsurges all parties to respect the civilian nature of the PoC sites," Loj said. The renewed violence has raised fears that the war-torn country could descend into conflict again. Civil war erupted in South Sudan in December 2013 after fighting between forces loyal to President Kiir and his then sacked deputy Machar in Juba. Machar denied he was planning a coup but then mobilized a rebel force. A peace deal signed by the two men last August under UN pressure led to the formation of a national unity government in April, with Machar returning to his old post. Tens of thousands of people were killed in the civil war. Luton is a large town, borough and unitary authority area of Bedfordshire. Luton and its near neighbours, Dunstable and Houghton Regis, form the Luton/Dunstable Urban Area with a population of about 258,000. Luton is home to Championship team Luton Town Football Club, London Luton Airport and The University of Bedfordshire. You can find us on Facebook and Twitter. For all the latest news from Luton sign up to our newsletter here. Hurtigruten Christens New Explorer Ship MS Spitsbergen North of the Arctic Circle The destination is one of the most spectacular in the world for adventure travel, and truly one of the highlights of the Hurtigruten Norwegian coastal voyages.The setting was picture-perfect, with the natural phenomenon of the Midnight Sun casting a magical light on the event as the ships Godmother, Norwegian explorer, smashed a Champagne bottle into its side. As one of the most merited contemporary explorers, with numerous achievements including expeditions to the North Pole and South Pole, Mount Everest, Antarctica, and Greenland under her wing, Skog is a great match for the new vessel. Shes also climbed the Seven Summits to stand on top of the highest mountain on each of the earths seven continents, living and breathing everything adventure travel and Hurtigrutens ethos represent.MS Spitsbergen's Captain Engvik and Godmother Cecilie Skog. Photo: rjan BertelsenThe ship is named after Spitsbergen, the largest island in the Svalbard Archipelago, located on the very northernmost part of Norway, next to the North Pole. The island is also where Hurtigrutens founder, Richard With, first started explorer tourism in the Arctic in 1896, so it holds particular significance. She joins Hurtigrutens fleet of explorer ships on Norways coastline, and will set sail on her first voyage from Bergen on 24 July 2016.Adventure travel is one the fastest growing global tourism mega trends and Lofoten offers everything the new adventure traveler seeks: Spectacular scenery, authenticity, a unique history and atmosphere, and access to active nature-based experiences. Svolvaer in many respects mirrors the modern Hurtigruten, being a world leading provider of explorer cruises with activity, learning and sustainability in the core," said Hurtigruten CEO Daniel Skjeldam.After spending her first season in Norway, MS Spitsbergen will alternate between the Norwegian coast and polar expeditions. From 2017, guests can sail with her to Greenland, Iceland, the Faroe and Shetland Islands, as well as to Arctic Canada. Here, passengers will discover L'Anse aux Meadows, a UNESCO World Heritage site where the first Vikings settlements were discovered in 1960.The Vikings crossed the North Sea and landed on the British Isles. Although they are often thought of as barbaric raiders, they were also accomplished explorers and settlers.Between year 800 and 1000, the feared and fabled Vikings carried out a number of expeditions to explore the North Atlantic Ocean. This expedition follows a route described in the Vinland Saga.This expedition includes the chance to visit two national parks, several different settlements and intriguing cities. Along the way, guests will get to experience remarkable archaeological remains and get an insight into the interesting history of the Vikings.This voyage explores the unspoiled regions on both sides of Baffin Bay. From Newfoundland and Labrador in Canada; via the grand landscape of Baffin Island; to Greenland where guests can touch an iceberg with their bare hands and marvel at the power of nature in Ilulissat Icefjord.A unique Arctic expedition exploring the northwest coast of Greenland, along the edge of the Greenland Ice Sheet, which stretches 1,500 miles north, covering up to 80 per cent of the island.Guests can discover the spectacular and un-spoilt scenery of Greenland and visit Icelands capital of Reykjavik, and the charming Faroe and Shetland Islands.Mythical Iceland is the perfect destination for adventurers looking to explore wilderness, wildlife, history and settlements.Visit website: Ricky Martin to Perform at Sardinia's Hotel Cala di Volpe This year, they are welcoming Ricky Martin as their first guest for the summer to perform at their summer concert on the 23rd July. The king of Latin pop will entertain and make the audience dance with his greatest hits: Living La Vida Loca, She Bangs, La Bomba, La Copa De La Vida, just to name a few. A special event that will be preceded by a magnificent gala dinner by the pool signed by the Executive Chef Maurizio Locatelli.Visit website: Nepal to give two pairs of rhinos as gift to China KATHMANDU, July 10 (Xinhua)-- The Nepalese government, on Sunday decided to give two pairs of one-horned rhinoceros to China as a goodwill gift, a government spokesman said here in Kathmandu on Sunday. A meeting of the Council of Ministers of the government made the decision, government spokesman Sherdhan Rai told media. "We have decided to gift the two pairs of rhinoceros to Chinese government in order to help carrying out research studies on rhinoceros conservation in China," the government spokesman said. There are currently 645 rhinoceros in the Himalayan nation, according to Kathmandu Post Online. Of the total rhinoceros, 605 of them are in Chitwan National Park located in the Central Nepal. The rhinos to be gifted to China will also be selected from the park, the Kathmandu Post Online report further said. The laser-guided smart robot [Photo:huanqiu.com] A laser-guided smart robot is being developed in China, that not only parks a car in under two minutes, but can also squeeze the vehicle into even the smallest and most awkward of spaces with no need for a driver. The nightmare of finding a parking space and then parking your car may soon be a thing of the past, thanks to an automated guided vehicle (AGV) that is soon to hit the market. Created by Shenzhen-based Yeefung Techonology, the laser-guided machine can park a car in under two minutes, and slip it into even the tightest of spaces. Nicknamed 'Geta', short for "Get A Car", the invention aims to cut down the time it takes to hunt for spaces in increasingly overcrowded cities. The brainchild of Yeefung's 33-year-old CEO, Marco Wu, Geta doesn't need tracks or a navigator, and has 360 degrees mobility. ''The parking robot is designed to increase the amount of parking space, becoming a rare thing thanks to land restrictions in China, and all over the world. Our company is focusing on three-dimensional parking which requires minimal space. The robot can go everywhere without tracks, it's free and versatile and will reform parking in the future.' Geta transports a vehicle by picking it up from underneath, and positioning it so that it will fit into a slot. Wu says the system can save 30-40 percent of available space. "The robot is just like a human being. It uses laser navigation, scanning a reflected signal to pinpoint its location. And like our eyes, it knows where it is by recognising objects around it. The signal is then transmitted to a computer containing a map which then shows the robot where to go." The Chinese Mainland is expected to have around 170 million cars by 2017, according to a report by Shenzhen-based consultancy company Forward Intelligence. The Ministry of Industry and Information Technology says China will have over 200 million cars by 2020, which is likely to make parking increasingly difficult. Wu is predicting high demand from China and around the world for the parking automaton. "There are many companies interested in our products, such as commercial property companies in Singapore and London, public parking companies in the Middle East, and developers, governments, as well as public parking companies in China. The price for each robot is over one million RMB." In 2014 a London parking space sold for 530,000 US Dollars, 2.5 times the cost of an average UK home, showing how big a problem finding a parking space is becoming. There are other parking robots around the world which take vehicles to designated parking bays, such a Dusseldorf airport's RAY service, while other systems use tracks to guide the robots to the right parking space. Two major bridge refit contracts have been completed by Radio Holland USA on Holland America Lines cruise ships Oosterdam and Prinsendam. The bridge refit on board the M/S Oosterdam was concluded in Palermo, Sicily in early May. Then just days later Radio Holland continued with a bridge upgrade project for the M/S Prinsendam, which took place in Freeport, Grand Bahama. The latest refits follow refit work on M/S Noordam and Westerdam in 2014 and 2015. Rob van den Hof, Nautical Superintendent of Technical Operations from Holland America Line, commented, Both bridge retrofits for Oosterdam and Prinsendam were highly customized projects which each required their own specific approach. The expertise and project management skills of Radio Holland ensured completion within a limited time frame. Result is a seamless integration with existing systems while providing the additional functionality of the latest Furuno navigation equipment. Jamie Futch, Project Engineer, based in Radio Hollands office in Jacksonville, Fla., said, Given that these two important Holland America Line projects were so close together, it was a considerable logistical challenge. We made sure we had the same project team on the spot to maximize efficiency and consistency. We had a maximum of two weeks to complete the work as the ships were in drydock, Futch said. In the case of Prinsendam, passengers were on board so we couldnt afford even the smallest delay. To ensure the project could run seamlessly, all the Factory Acceptance Tests took place in the U.S. before the equipment was shipped to the yards. Radio Holland and Holland America Lines relationship goes back many years, and Radio Holland technicians are therefore familiar with the Holland America Line vessels due to conducting maintenance on the Nav/Com equipment for many years. I think this is one reason why Holland America Line chose us. They also know we have a solid project department able to perform these large-scale upgrades in such a short amount of time, Futch said. Radio Hollands scope of work on the ships comprised system integration, engineering, installation, testing and training. The company also provided additional equipment including an upgrade of the autopilot interface with the propulsion system to deliver fuel savings. The bridge retrofit included: removal of the existing integrated bridge, all antennas and ECDIS computers, as well as design and installation of new console tops including wing consoles to accommodate new Furuno radars, ECDIS (Main and Backup), EMRI designed Furuno Autopilot with two controlling heads with track control, EMRI AZIPOD propulsion interface, Satellite Speed Log, two AIS and two GPS systems. The scope of work for the Prinsendam, which is an older ship, was more extensive. Here, Radio Holland modified the bridge layout so it is in line with that of the Vista Class ships, which included moving equipment and installing an echo sounder and speed log. Additionally, Radio Holland carried out an extensive cable clean up and modernization, removing some 250 redundant cables. This makes serviceability much easier. The bridge layout was also changed to accommodate a pilot station so the pilot can have their own radar on board and Radio Holland created an Officer on Duty position, whereby the officer can oversee the entire bridge. Radio Holland also organized an extensive training. It was really useful for the crew to physically get their hands on the equipment and learn about the new systems before the ship left drydock. Meanwhile, Radio Holland USA has recently moved to a larger office in Jacksonville which enables us to conduct our own FAT testing for projects of this magnitude. We can now do everything in-house, set up the entire bridge layout for any type of vessel. Everything is fully tested before it is shipped for installation on board. Fergus Campbell, Managing Director of Radio Holland USA, said, We are continuing refit work for Holland America Line. Next refit work is already planned on board the Westerdam, a second upgrade, including extra equipment. This upgrade is to bring Westerdam into the same specification as the Noordam and Oosterdam. The Westerdam was the first ship we refitted and as things progressed more equipment was added to the Noordam and Oosterdam. Radio Holland was also awarded a 16-ship maintenance agreement in 2015, so we are proud to keep the equipment on board the HAL vessels up and running 24/7. A pipelay and construction campaign for the Sonam Field Development Project for Chevron Nigeria Ltd. has been successfully completed, announced Sea Trucks Group. The contract for the project was awarded to West African Ventures Ltd, Sea Trucks principle Nigerian business in June 2015. The Sonam Field Development Project is located in shelf concession OML 91, Escravos Area, offshore Nigeria, in water depths ranging from 7 meters around Okan to 66 meters at Sonam. The scope of work encompassed transportation and installation of the Okan PRP jacket, piles, deck and bridge; survey of pipeline route and the installation of the 32km x 20 pipeline between Sonam NWP and Okan PRP; fabrication, load-out and installation of risers and subsea spools for Sonam NWP and Okan PRP; and pre-commissioning and commissioning of the pipeline (including hydro-test, dewatering, drying and Nitrogen Purging). Installation engineering activities and the preparations of project management documentation commenced immediately upon the contract signing in June 2015, while field installation started with the pre-lay survey in November 2015. The group utilized two of its DP3 Pipelay Construction vessels, Jascon 30, for the pipelay operations and Jascon 25, for the installation of the jacket, deck and bridge. Installation of subsea equipment as well as pre-commissioning activities were carried out by Jascon 8, one of the groups construction barges. Also a number of the groups tugs and barges supported the offshore campaign. The offshore work was completed in June 2016. Record iron ore shipments to China moved through Australia's Port Hedland terminal in June, as major producers BHP Billiton and Fortescue Metals operated close to full capacity and inventories at Chinese ports swelled. Most of the ore both companies mine is sent to China, where higher-grade imports are displacing lower quality domestic ore in Chinese steel mills. Shipments to China from the Indian Ocean port increased to 34.5 million tonnes in June from 31.7 million tonnes in May, topping the previous record of 33.9 million tonnes in March, according to the Pilbara Ports Authority. Inventories of imported iron ore at major Chinese ports stood at 104.5 million tonnes on July 11, the most since December 2014, according to data tracked by SteelHome consultancy. Total iron ore shipments from the port- the world's largest of its kind - climbed to 41.8 million tonnes in June, also a record, from 39.4 million tonnes in May, port data showed. Since December, the Roy Hill mining partnership of Gina Rinehart's Hancock Prospecting, Marubeni, POSCO and Taiwan's China Steel Corp have used Port Hedland, joining BHP and Fortescue Metals and contributing to the increase in ore shipments. The Roy Hill mine will eventually produce up to 55 million tonnes per year, but it will be early next year before that level of production is reached. Rising production amid a drop in Chinese steel production led Australia's official forecasting agency on Friday to cut its 2016 price forecast by nearly 2 percent to $44.20 a tonne, citing concerns over slowing growth in demand, and it sees little change in 2017. That is well below the current price of $55.20 a tonne and the first-half average of $48. China's iron ore mine production fell by 6 percent from a year ago in the first four months of 2016 and is forecast to fall by 12 percent in 2016 and by a further 20 percent in 2017, Australia's Department of Industry, Innovation and Science said in its latest commodity forecast on Friday. (Reporting by James Regan; Editing by Christian Schmollinger) The worlds biggest Atlantic salmon producer wants to start farming fish inside a ship and the idea has merit, says a report in IOL. Building traditional fish farms on the open water in Norway has become almost impossible because of state rules intended to curb outbreaks of sea lice, a parasite that can kill young fish. So raising salmon inside an unwanted cargo ship is one of a few options proposed by Marine Harvest ASA, which is trying to boost production at a time when prices are near a record high. Output in Norway, the top producer, is falling just as supply declines from the rest of the world. Employing a Panamax vessel better suited to carrying coal or steel was one of the responses to a Norwegian government programme seeking ways to solve the parasite problem and stop farmed fish from escaping into the open sea. Winning proposals will get coveted farming licences at reduced prices. Its more or less kick-starting fish farming again in a new way, Alf-Helge Aarskog, chief executive officer of Marine Harvest, said in an interview last month after the application was submitted. Its also a good time to buy a cargo ship. A construction binge fuelled by higher freight rates doubled vessel capacity in the past decade, while shipments of cargoes such as coal and iron ore have expanded at a slower pace. Daily rates to hire Panamaxes have plunged by 94 percent since 2007. Billionaire oil and shipping tycoon John Fredriksen is Marine Harvests biggest shareholder. Buying a 10-year-old ship would cost about $7 million and modifying it with six holding tanks for fish may cost another $2.5 million to $5 million, said Erik Stavseth, an analyst at Oslo-based investment bank Arctic Securities. That would put the total bill, including the government license, at about $18 million, less than half as much as building a conventional farm may cost, he said. Royal Bank of Scotland is facing setbacks over a proposed sale of its Greek ship finance business, with potential suitors backing off, partly because of the British vote to leave the European Union, sources told Reuters. Britain's June 23 vote has raised the risk of recession and earnings downgrades that have battered bank shares. Reuters reported earlier that week that RBS had received bids for its Greek shipping operation. Sources said Credit Suisse and China Merchants were among the suitors. Banking and finance sources say Brexit has put into doubt any advancement of discussions for now - highlighting the fallout for transactions that had been in the works. "The deal has unsettled some buyers and obviously there would be a knock-on impact on deals like this one because of Brexit," one banking source familiar with the matter said. RBS declined to comment. Two separate finance sources said Credit Suisse had backed away. "Interest has definitely cooled and Brexit does not help," one said. "Capital pressures on European banks are also weighing on such portfolio sales now." A third source added: "If you are a Chinese buyer, the whole thing might now appear too scary or complicated." China Merchants did not respond to an emailed request for comment. Credit Suisse declined to comment. The Greek operation was worth about $3 billion although sources in the shipping business said that problems with lending to the industry, much of which is in a deep downturn, would affect the value of what could be recouped via a sale. The business also includes a branch banking licence as well as about 40 staff, the sources said. They said there was a question mark over what would happen with the banking licence, once Britain pulls out of the EU, which was adding to considerations by potential suitors. The British bank, which was rescued with a 46 billion pound ($59.70 billion) government bailout during the financial crisis, had previously been a top lender to the global shipping industry and its Greek office played a pivotal role. "RBS has to decide whether they want to try and offload this whole business at increasingly distressed prices, which has already been eroded given the non-performing part of the portfolio," another finance source said. "If you add Brexit to this mix, it's another complication. They may be better off to wait although the bank is under pressure to lighten its non-core segments." RBS, 73 percent state-owned, is in the midst of a restructuring aimed at returning the bank to profit after eight straight years of losses. The bank's total shipping exposure reached 7.1 billion pounds in the first quarter of this year, down from 7.5 billion pounds at the end of last year. Non-performing loans to the industry - those on which repayments are significantly in arrears - increased to 827 million pounds in the first quarter of this year from 434 million at the end of 2015, RBS said in its quarterly results. ($1 = 0.7705 pounds) (By Jonathan Saul and Andrew MacAskill; Additional reporting by Sophie Sassard and Sumeet Chatterjee; editing by Adrian Croft) Physical supplier and trader of marine fuel oil the Bomin Group is launching a physical operation in Port Louis, Mauritius, effective from July 12, 2016. Bomin (Mauritius) Ltd. will utilize the 2008-build, double-hulled bunker barge MT Hakkasan to provide customers with products, including IFO 180cst, as well as MGO. All deliveries will be provided under a Bomin specific Bunker Delivery Note (BDN). Port Louis is the only official port of entry and exit for sea vessels in Mauritius, and it is critical that we have a strong physical presence here to support our customers and our global network, said Jan Christensen, Global Head of Bunker Operations, Bomin Group. Customers want a first-rate service that maximizes both cost and operational efficiencies, a flexibility in the choice of products, assurances of their quality, and that they are delivered at the right quantity. Bomin has built a global reputation for providing this. Mauritius is located adjacent to one of the largest ocean routes on the East/West trade past the Cape of Good Hope. As a safe Indian Ocean port, customers bunkering with Bomin in Port Louis will benefit from no in-port delays, and significantly lower calling costs than other ports in the region, the company said. Safe anchorage is also available outside the harbor for bunker-only calls, with depths varying from 14 meters to 70 meters. Commenting on the expansion, Christensen continued, The launch of Bomin Mauritius is a continuation of our strategy to expand and enhance our global physical operations, building closer relationships with our customers to offer real value in the bunkering process that goes beyond just the price of the product. Brazil's state-led oil company Petroleo Brasileiro SA is working hard to meet its production targets, the company's head of exploration and production, Solange Guedes, said on Monday in a telephone interview. Guedes said the company's floating, production, storage and offloading ship (FPSO) named Cidade de Saquarema could begin operations in the Lula Central field this month and that she expects that Cidade de Caraguatatuba FPSO in the Lapa field to start operations in August. (Reporting by Marta Nogueira; Writing by Jeb Blount) Kalmar, part of Cargotec, and its US dealership Darr Equipment Co. of Houston have delivered a Kalmar Super Gloria reachstacker for barge handling to the JR Intermodal Green Transport Terminal in Texas. The order was booked in August 2015, with delivery on May 30, 2016. This unique reachstacker is the first Kalmar Super Gloria that has been delivered to the Western Hemisphere. The unit can reach the fourth row in a barge, which is further than Kalmar's standard reachstacker, and lifts 45 metric tonnes. The Kalmar DRG450-92HCS5XS reachstacker has a long nose and over height legs for negative lift, and good capacity retention (27 metric tonnes in the fourth row with the support jacks down). The machine also features an elevating cabin to give visibility into the barge and a rear detection system and camera for maximum safety. Container transport via barge from the Green Transport Terminal facility replaces local truck transport and reduces both diesel emissions and traffic. The heavy-duty Super Gloria reachstacker is necessary to handle increased demand resulting from the Panama Canal expansion project, with yearly TEUs at the facility projected to rise from 20,000 to 40,000 TEUs over the next three years. Kelly Richardson from Richardson Stevedoring & Logistics, which handle containers at the Green Transport Terminal, commented: "The Kalmar reachstacker will improve capacity in the stacking lanes, helping us to meet our critical time deadlines." JR Intermodal already relies on Kalmar forklifts at the City Docks and Greensport in Houston and in Mobile, Alabama, and chose Kalmar again for their service, reliability, and flexibility in supplying equipment on short notice. The deal also includes 24-hour maintenance. CHANGSHA, July 10 (Xinhua) -- A dike in a county in central China's Hunan Province breached around 11 a.m. Sunday, one hour after local authorities began the urgent evacuation of residents. Residents were being transferred when the breach happened at a flood detention basin called Xinhua by local residents in Huarong County, according to an official with Hunan Provincial Flood Control and Drought Relief Headquarters. Judging from the current water level, substantial casualties are not expected, said the official. The Xinhua flood detention basin covers 4,400 hectares and is home to over 27,000 people. Libya's U.N.-backed government in Tripoli is in negotiations with an armed brigade controlling two main oil ports to reopen the terminals and lift a force majeure to restart exports, a member of its ruling council said on Monday. Libya's oil industry has been battered by conflict among rival armed factions who control quasi-fiefdoms in a challenge to successive governments, and also by attacks by Islamic State militants which has expanded in the chaos. The Tripoli statement follows positive remarks about reopening the ports from Ibrahim Jathran, commander of the Petroleum Facilities Guard who control Ras Lanuf and Es Sider oil ports with an export capacity of 600,000 barrels per day. The two ports have been closed since 2014 after fighting between armed factions to control them. Islamic State has also launched attacks there. But Libyan forces recently pushed Islamic State back into its stronghold in Sirte. "We are holding discussions with the Petroleum Facilities Guards (PFG) of the central region," Mousa Alkoni, a member of the government's presidential council said at a ceremony in Tripoli. "After the discussions with the PFG are finished, the force majeure will be lifted." He did not give any further details, but Jathran has said that they are working to reopen the ports. Alkoni said he doubted production would return soon to previous levels. Militant attacks, fighting between armed factions and a conflict between two rival governments have kept Libya's oil production at around 350,000 bpd, or less than a quarter of its output before the 2011 uprising that ousted longtime strongman Muammar Gaddafi and began years of instability. Most analysts expect technical damage from shutdowns and militant attacks will delay Libya's attempts to recover its oil production to its capacity. State oil company NOC is now merging with an energy company set up in the east by Libya's rival eastern government, a move seen by analysts as a step to restoring order to the industry. But that will also depend on armed groups on the ground. The NOC in Tripoli, recognised by the international community, and the eastern NOC had operated in parallel as the rival governments struggled for control. The U.N.-backed government now in Tripoli is meant to supersede those administrations, but hardliners on both sides are holding out. (Reporting by Ahmed Elumami; writing by Patrick Markey, editing by David Evans) Stocks VIX & SP500 Comparison of 2008 Market Top Today I like to share my thoughts about the S&P 500 index, the volatility index, and what I believe will be the trigger/event which sends stock markets around the world to new multi-year lows. While the charts shown here are very simple there is a lot of information behind the scenes that backup the analysis/predictions on the charts below. For example, the sentiment of the average market participant remains extremely bullish on the market. The majority of investors think and feel stocks will continue to rise in the coming year. Typically, when the majority of investors think the same thing it tends to be a contrarian signal that the opposite will soon take place. Cycle analysis is telling us that the seven-year cycle, which is one of the most powerful cycles that reoccurs in the stock market is now topping. What does this mean? It means we should expect a 1 to 3-year stock market correction. The market breadth has been slowly deteriorating over the past year. Fewer stocks are making new highs, and many leading sectors are already in bear markets. So it is just a matter of time before the US large-cap stocks roll over, breakdown, and start a new bear market. As of Friday, July 9 weve seen the stock market momentum show signs of a short squeeze, and also that the majority of market participants are in a panic running to buy stocks. In fact, the NYSE volume ratio shows that there are 18 shares being purchased to every 1 being sold on Friday. I consider a ratio over three to be an extreme level, meaning 18 is signaling a potential significant turning point in stocks in the coming week. SP500 & VIX Weekly Comparison Chart If you take a look at the chart below you can see where I feel the stock market is currently trading in terms of its 2007 to 2008 market top. The volatility index is also showing similar patterns to what we saw before the 2008 bear market. Based on the current price action of the S&P 500 index and the volatility index it appears that a sharp decline in shares is likely to unfold in the coming weeks. SP500 & Bond Price Comparison Bonds rally before/lead Bear Markets Take a look at the chart below. The red line is the price of US bonds. The black candlestick chart is the S&P 500 index which is the US large-cap stocks. As you can see the price of bonds start to rally way before the US stock market rolls over and sells off. Why does this happen? I believe that the smart money is rotating their money slowly out of equities and into bonds in anticipation of the bear market collapse. Bonds act as a safe haven during times of weakness in both the economy and stock market. US equities still have a long way to fall before they are technically in a confirmed bear market. The recent rally in bonds is just the beginning for what is to happen. The Trigger/Event/Tipping Point for A Global Equities Crash In a recent article called Deutsche Bank to initiate the next financial crisis, I wrote about how Deutsche Bank is going down the exact same road as Lehman Brothers. In short, both stocks are declining in a similar fashion in terms of share price. But here is the kicker If you thought Lehman Brothers was bad you havent seen anything yet. The big differences this time around with the banking crisis is that this is 40 times larger than Lehman Brothers and will directly affect almost all key countries and banks around the world. And this time, countries are in far worse shape financially than they were in 2008 during the Lehman Brothers bankruptcy. My Concluding Thoughts: In short, the US stock market is trying to hold up and convince investors everything is fine. While stocks are testing all-time highs I know as a technical analyst that the market is much weaker than it appears. A lot of things are coming together to form a major market top but like all previous stock market tops, they require a lot of time to mature before they breakdown and new nominal highs are normal to see. So, if you want to stay ahead of the curve and avoid the next stock market crash and profit from it follow me at www.TheGoldAndOilGuy.com to receive my daily analysis, swing trades and long term ETF investing signals. Chris Vermeulen Join my email list FREE and get my next article which I will show you about a major opportunity in bonds and a rate spike www.GoldAndOilGuy.com Chris Vermeulen is Founder of the popular trading site TheGoldAndOilGuy.com. There he shares his highly successful, low-risk trading method. For 7 years Chris has been a leader in teaching others to skillfully trade in gold, oil, and silver in both bull and bear markets. Subscribers to his service depend on Chris' uniquely consistent investment opportunities that carry exceptionally low risk and high return. Disclaimer: Nothing in this report should be construed as a solicitation to buy or sell any securities mentioned. Technical Traders Ltd., its owners and the author of this report are not registered broker-dealers or financial advisors. Before investing in any securities, you should consult with your financial advisor and a registered broker-dealer. Never make an investment based solely on what you read in an online or printed report, including this report, especially if the investment involves a small, thinly-traded company that isnt well known. Technical Traders Ltd. and the author of this report has been paid by Cardiff Energy Corp. In addition, the author owns shares of Cardiff Energy Corp. and would also benefit from volume and price appreciation of its stock. The information provided here within should not be construed as a financial analysis but rather as an advertisement. The authors views and opinions regarding the companies featured in reports are his own views and are based on information that he has researched independently and has received, which the author assumes to be reliable. Technical Traders Ltd. and the author of this report do not guarantee the accuracy, completeness, or usefulness of any content of this report, nor its fitness for any particular purpose. Lastly, the author does not guarantee that any of the companies mentioned in the reports will perform as expected, and any comparisons made to other companies may not be valid or come into effect. Chris Vermeulen Archive 2005-2019 http://www.MarketOracle.co.uk - The Market Oracle is a FREE Daily Financial Markets Analysis & Forecasting online publication. (Global Times) 08:35, July 11, 2016 Illustration: Liu Rui/GT A series of incidents that have happened or are upcoming indicate the deterioration of China's peripheral environment. One of them is the decision taken by the US and South Korea on Friday to deploy the Terminal High-Altitude Area Defense (THAAD) system in South Korea, which has far-reaching influence. China has long held that the deployment of the THAAD system will severely jeopardize China's security interests and has made clear its firm opposition. This stance was strongly reiterated by Admiral Sun Jianguo, deputy chief of the Joint Staff Department of China's Central Military Commission, at the Shangri-La Dialoguein Singapore in early June. Anyhow, the final decision on THAAD deploymentis a setback for China. As early as 2013, Washington began to pressure Seoul into joining its anti-missile system. While South Korea has been hesitant to make a decision considering opposition from China and other parties, it was prompted to rush the deployment by North Korea's fourth nuclear test in January. For Seoul, its peaceful "Sunshine Policy" toward Pyongyang failed since the latter continued with its nuclear development, while Beijing has failed to constrain Pyongyang's nuclear ambitions in a clear-cut and effective manner. Although Resolution 2270 adopted by the UN Security Council in March imposed the toughest-ever sanctions on North Korea and accordingly China has taken multiple measures, South Korean opinion has already taken shape and imperiled its relations with China. Bilateral ties will undergo further disruption upon the decision to deploy THAAD. From China's perspective, the Patriot PAC-3 missile defense system already deployed by Seoul is capable of helping it defend itself from Pyongyang's missile threats. In this sense, the US' advancement of THAAD deployment in South Korea actually targets China as well as Russia. Yet despite China's opposition, South Korea still binds itself closer to the US in terms of security or the US rebalancing strategy to the Asia-Pacific, which mainly targets China. This therefore sets China against South Korea over the security issue and gravely erodes their mutual trust. Besides, the THAAD deployment decision means another US gain in its rebalance to the region. Behind it stands the US military-industrial complex that persistently promotes arms sales to make huge profits. North Korea's obstinate nuclear development has considerably unsettled South Korea and enabled the US to advance its rebalancing strategy, to strengthen the US-South Korea alliance and to intensify US-Japan-South Korea trilateral cooperation. This jeopardizes China's security interests. Under the circumstances, China needs to review its policy on the Korean Peninsula. China's efforts to safeguard stability in the peninsula have often been offset by Pyongyang's nuclear moves. North Korea is no longer a buffer zone for China, but a source of troubles. It's time to consider whether North Korea is an asset to China or a liability. The decision on THAAD deployment is a setback for China's peninsula policy, but China should be cautious in considering sanctions on South Korea. There is still some information missing now. For instance, some say that Seoul will limit the detection range of the X-band radar of the THAAD system into no more than the north of the peninsula. China needs to observe calmly and gathers more accurate intelligence. The US has always pursued absolute security, which usually comes at the cost of other countries' security. It remains the dominant party in its bilateral alliance, as is in THAAD deployment. As the US has deployed anti-missile systems in Japan, the latest decision to deploy THAAD system in South Korea will likely form a US-led regional missile defense network and militarily connect the three allies. This does no good to China. However, the deployment of the THAAD defense system will stimulate relevant parties to strengthen and develop their strategic forces such as intercontinental ballistic missiles and carriers, so that a chain reaction may be triggered. This is what concerns security in Northeast Asia and China-US relations. The author is a professor at the Institute of International Studies, Fudan University. [email protected] Martinsville City Council on Tuesday will consider applying to the Virginia Clean Water Revolving Loan program for funds to make an estimated $11 million in improvements to the citys sewage treatment plant. The council heard details about the potential project during its June 28 meeting. The improvements would include the installation of a liner in the sludge lagoon, a new press to remove water from sludge and a lime stabilization system that would help increase the pH level of sludge. City officials would, at the least, like to reduce the weight of sludge that must be trucked to a North Carolina landfill for disposal. When water is removed from it, sludge weighs less, and that reduces disposal costs. Ultimately, they would like to avoid having to dispose of the sludge, such as by selling it to farmers to use as fertilizer. But to be able to sell it, they must improve its quality to meet federal environmental standards. Since the previous meeting, consultants have prepared detailed financial information about the project. Most of the cost would be covered by savings resulting from the improvements, said City Manager Leon Towarnicki. That information will be presented, and the loan application considered, when the council meets at 7:30 p.m. Tuesday in its chambers on the second floor of the municipal building on West Church Street uptown. Also on the agenda, the council will hear an update on the citys strategic plan for 2016, which was adopted earlier this year. Officials intend to constantly update the plan as tasks pertaining to accomplishing the various performance measures it reflects are completed. The council also will consider routine-type budget amendments and hear public comments. That portion of the meeting no longer is televised. At 6 p.m., the council will tour the Blue Ridge Regional Airport. The year 2012 is coming to an end. This has been a year in which the optimism of the bourgeois was crushed under the millstone of the world recession. The early hopes of growth have given way to desperation as the world economy is once again plunged into recession. The bourgeois economists, central bankers and politicians see no way out, and indeed there is no way out under capitalism. The workers have responded in a massive way. In Spain, Greece and Portugal, the workers have taken the lead and dragged behind themselves even the most backward layers. Civil servants, but also police officers, and soldiers in some cases, have shown their willingness to fight the austerity policies. Their willingness to fight is only held back by the complete bankruptcy of the union leadership. As well as fighting on the industrial plane, the working class has attempted to find a way out on the electoral plane. In Greece and France they mobilised for their traditional organisations in an attempt to defeat austerity. As we say, betrayal is inherent in reformism, and it did not take long for Hollande to betray all his promises as his Greek and Spanish colleagues had done before. The socialist parties of Spain and Greece are increasingly looked upon with disdain by the advanced workers who are turning to parties to their left. Soon the masses will follow the Greek example in France and Spain. Polarisation in politics can be seen on all levels. Still there is no way out through reformism, even its left variety. Only a revolutionary struggle against capitalism can guarantee the workers even their most basic demands. It is high time to strengthen the forces of Marxism inside the labour movement and the youth to provide an alternative to the rotten policies of the leadership. In the Arab revolution, the phase of democratic optimism has passed. It might seem that counter-revolution has temporarily gained an upper hand through the Muslim Brotherhood and their sister parties across the Arab world. We already witness the beginning of a new wave of mobilisations both in Egypt and Tunisia, this time against the new governments of the bourgeois Islamist forces. Amongst the activists this has introduced a sober, thinking mood. Many of them are wide open to us, but our forces are too small to reach them. The Marxist tendency has foreseen all these developments. Our perspectives have been proven by events. Yet, it is not enough to remain passive observers. Everywhere where we have a base, we can see the opportunities that are open to us for rapid expansion of the tendency. The speaking tours of Alan Woods in Canada and Italy and Angelos Iareklides in Italy, where hundreds of people came to listen to our ideas, show the opportunities that are open to us. The youth are looking for revolutionary ideas! We need to prepare the financial resources for the coming battles. All around the world, our analysis is being read and discussed. We are beginning to open up the work in the Baltic States and in Turkey, as well as India, Afghanistan and Egypt. In many countries, such as Britain and the United States, we are on the verge of a breakthrough. We need to prepare the international with the financial resources to intervene in these events. A revolutionary organisation is not funded by multinational companies buying favours of politicians. We have to rely on the sacrifices of our members and periphery. In the summer we raised a record 37,000 for the international collection plus another 8,400 for Greece, making a total of 45,000, a record for our international. The money for Greece has meant that the section has bought themselves a printer as well as rented a big office in central Athens for a year. The large ordinary collection made it possible to bring the biggest delegation of Pakistani comrades to the congress ever. Yet the fact is that we are way behind the needs of the situation. In 2008 we had a centre of 7 full timers, overseeing the work of 22 groups and sections and a daily website. Today, we have 6 full timers overseeing the work of 25 groups and sections and the website. On top of that, we are trying to break into South Africa, Eastern Europe, the Middle East and East Asia, areas where we are very thin on the ground. This just gives an idea of the challenges that the internationals finances face. We would like to wish all our readers and supporters a red new year 2013, full of fruitful revolutionary struggle, while at the same time we appeal for a donation (however big or small) towards our work. The Chinese embassy in Thailand is looking into the accident that killed an 18-year-old Chinese tourist. The young victim was swimming at Pileh Bay on Phi Phi Leh Island, Krabi province and was hit by a speedboat propeller after the boat driver started the vessel while the man was under water. Chinese embassy officials are helping the victim's family deal with the aftermath, requiring proper compensation from the travel agency as well as the boat company. The 20-year-old boat driver has been detained for reckless driving. Police said the driver switched on the engine to move backward as other tourists shouted that the victim was swimming to the boat from the rear. The driver claimed the boat anchor broke loose and the vessel was drifting toward a nearby boat, so he started the engine to move it back to position. GREENFIELD -- At Franklin Recovery Center and Northern Hope in the former Lunt Silversmith building, everything is new. New walls, new furniture and a new purpose for this former factory building at 298 Federal St., shuttered and vacant since the former silverware design and manufacturing company shut down in 2009. Behavioral Health Network, the Springfield agency that will open the two co-located drug treatment facilities Monday, wants that theme of a new beginning to carry on once patients start arriving. "That's why I like working in addiction recovery. There is a lot of hope in rehab," said Susan C. West, senior vice president at Behavioral Health Network. "People can really get their lives back. They go back to their families. They go back to jobs and careers. I've seen it. We have people who work for us who have gone through rehabs and recovery." West and her staff led a tour Friday of the new rehab centers totaling 33,000 square feet on two floors. The facility is open to those suffering from drug and alcohol addiction, including those under a court order to seek treatment, according to BHN. It's the only facility of its kind in Franklin County. In 2015, Franklin County sent nearly 300 people to other parts of the state for acute drug treatment or clinical stabilization services, according to statistics kept by the Massachusetts Department of Public Health. Behavioral Health Network already has two 32-bed facilities in Springfield: the Carlson Recovery Center detoxification program at 471 Chestnut St. and the Hope Center "step-down" rehabilitation program at 35 Heywood St. Providence Behavioral Health Center has a 30-bed rehab on Route 5 in Holyoke. Other than that, those with addictions seeking help look east to Worcester or west to Pittsfield, where programs are expanding but inundated under the weight of the state's opioid addiction epidemic, West said. Behavioral Health Network's operation in Greenfield is really two clinics, West said. Franklin Recovery Center is what clinicians call "first steps," the first five to seven days of recovery after someone with an addiction stops using. They graduate to Northern Hope for up to 30 additional days of treatment before heading back into the world to live as a sober individual. Each floor has 32 beds -- 16 for men and 16 for women -- for a total of 64 beds. Both floors have the look of a college dormitory. Rooms are spacious, but each has only a plain twin bed and a bookshelf. Patients get to keep up to three changes of clothes and no more, said Nancy Elmer, a nurse with the program. Everyone gets toothpaste and deodorant issued to them when they enter, since there is no telling what illicit substances might be secreted in outside toiletries, she said. Elmer said the intake process might take as little as 20 minutes but involves a complete search. That's when new patients tell staff their names, ages, give some general health information and divulge what drug or drugs they use, how much and for how long. "They might be really wobbly," she said. "They might not be able to get around and function very well." Some are so sick that they need their food brought to them. They can't get out of bed for group therapy, counseling sessions, Alcoholics Anonymous and Narcotics Anonymous meetings or activities like art or board games. But Elmer said they aren't allowed to stay in bed for long. Soon everything becomes mandatory. "This isn't come in and sleep it off," she said. "You have to participate in your recovery." After five to seven days, patients move upstairs into the longer-term recovery of Northern Hope. There, Elmer said, they have to be responsible about taking their medications. They have to do their own laundry. And they get chores. "Cleaning the bathrooms. You might have to clean the bathroom and not just the area you used," she said. "At mealtimes, you might be in charge of wiping down tables and putting things away." Northern Hope has a small gym with treadmills and other equipment. There is a yoga room with a yoga specialist on staff. There are day rooms and a dining room. All of it is well appointed, if a bit institutional looking. And all of it is within eyesight of a nurse station or staff office, Elmer said. "We are always watching them," she said. It's not a locked facility. Patients can leave at any time, she said. If under a court order to get treatment, it's up to the court to sanction them and the police to bring them back. Eventually, patients progress to a point where they start talking with staffers about life on the outside, getting a place to live and getting back to work. The building itself is getting back to work. Real estate developer Joseph Pellegrino Jr. of 401 Liberty St. LLC in Springfield said he jokes with Behavioral Health Network executives that they are both in the same business: rehab. "I do buildings, and they help people do rehab," he said. Pellegrino and his his brother, lawyer and former Springfield City Councilor Raipher D. Pellegrino, own 401 Liberty Street LLC. In 2009 the Pellegrinos rehabbed a former wire factory at 401 Liberty St. in Springfield into offices for Behavioral Health Network. The Springfield Hope Center is part of the same complex. Renovations at the Lunt building in Greenfield cost $6 million, he said. The brothers plan to spend another $5 million rehabbing the rest of the Lunt complex into medical offices. "We'd like to turn this whole abandoned mill in Greenfield, use the bones of it, and turn it into a medical campus," he said. "The key is to strip back all the add-ons that have been attached to the building over the years and get it back to what it once was." Once stripped back, contractors had to add all the mechanical and electrical systems to make the building meet stringent codes for rehabilitation facilities. Old mills are good for this use because they were built before there was much in the way of artificial light. The old Lunt building dates back to the 1890s and has big windows and tall ceilings. Rooms seem large and airy with plenty of sunlight. Lunt Silversmiths was 107 years old when it shut down in 2009, the victim of the 2008 recession and changing tastes away from formal table settings. At the end, the company had 12 to 15 employees, down from the 800 people the corporation employed in 2001. Lunt's bankruptcy and inability to pay taxes left the city of Greenfield owning the property and its ground contamination, Mayor William Martin said Friday. The Pellegrinos were the only developers to respond the city's request for proposals. Under their deal with the city, the Pellegrinos are leasing most of the former Lunt property from the city for $2,300 a month, and have made a $20,000 down payment. Once the environmental cleanup is complete, they will buy the property from the city for between $50,000 and $350,000 depending on the cost of the environmental cleanup that is not covered by a $1.8 million grant from the Environmental Protection Agency. The lease agreement could last for as long as three years, Martin said. Environmental work is ongoing. The Pellegrinos will continue to lease the property to Behavioral Health Network. Martin said Greenfield benefits from the deal. The city gets the property back on the tax rolls and gets the pollution that had been spreading cleaned up, and the city gets 120 jobs as people go to work at Behavioral Health Network to staff the centers. Franklin County also gets a rehabilitation facility to address its residents' problems with addiction. This story expands upon: HOLYOKE -- Even without MGM Resorts International planning to open its $950 million resort casino in Springfield's South End in 2018, the Pioneer Valley would still face a shortage of trained culinary artists. And Holyoke Community College was facing a shortage of space to train them, President Bill Messner said Monday during a press event with MGM Resorts International and city and state officials. "Even if MGM hadn't come to town, we would have been looking for places to expand," Messner, who retires later this month after 12 years as president. The culinary and hospitality industry is one of the top three local employers, Messner said. "We need to satisfy that demand," Messner said. "Of course MGM coming to town is going to compound that demand for graduates." He spoke Monday during a press event at the Cubit Building, 164 Race St., in downtown Holyoke. The new center, set to open a year from now in summer 2017, will be named the MGM Resorts HCC Center for Culinary Arts at Holyoke. Holyoke Mayor Alex Morse, Springfield Mayor Domenic Sarno, U.S. Rep. Richard E. Neal, D-Springfield, and MGM executives also spoke. "There are a lot of good things happening on Race Street," Messner said. The name means something , Messner said. It means something to have the MGM brand associated with a program in downtown Holyoke, a place where no one five or six years ago would have thought the name belonged. But that was before the Massachusetts Green High Performance Computing Center, Gateway City Arts and other projects. Under the plan announced Monday, Holyoke is getting $400,000 up front from the $1.28 million in neighboring community impact payments Morse negotiated to receive from MGM over the course of 15 years. The city has an agreement to turn over the $400,000 to HCC to help fund the culinary arts program so long as 50 Holyoke students each year get to attend a job-training culinary program for free. MGM is putting up $100,000 in addition to the $400,000 it owed Holyoke, said Mike Mathis, MGM Springfield president and chief operations officer. That makes a total of $500,000. "Fifty Holyokers a year," Morse said. "That means hundreds or thousands of families have a chance to improve their lives." Neal also spoke on the theme. "That is what community colleges do," Neal said. "They give people a leg up on the first rung of the ladder." The total number of students in the job-training program could be much higher. The course will be shorter than programs designed to lead to associate's or bachelor's degrees. MGM Springfield will need to hire as many as 800 new workers for the culinary and hospitality departments at the casino, which is set to open just more than two years from now in September 2018. MGM Springfield has said it expects to hire a total of 3,000 people, of which at least 2,200 will be employed on a full-time equivalent basis with benefits. Mathis said MGM will help design the curriculum for the center. But Messner said it won't just be for MGM workers. Training will be broad enough to be applicable in any number of workplace settings. Holyoke Community College had already announced that it received a $1.55 million grant from the U.S. Economic Development Administration and $1.75 million from the state government to convert space on the first and second floors of the Cubit building into the culinary arts center. New owners Denis and Marco Luzuriaga are converting the former Cubit Wire & Cable Co. Inc. building at Appleton and Race streets into commercial spaces and residential lofts. The building fronts Holyoke's famous canals. The project has been forming for about two years, Messner said. He hopes to have it completed in summer 2017 with classes beginning at about that time. HCC plans to move its degree-granting culinary programs to the Cubit from its main campus in the fall of 2017. As a result of their new space, those programs will double from about 100 degree-seeking students now to 200, Messner said. Prospective students can learn more by emailing Robert Griffin, Holyoke Community College director program operations, at rgriffin@hcc.edu. Police are asking for the public's help in identifying a woman whose body was found in New Bedford Saturday. The woman -- who authorities say was likely between the ages of 18 and 25 -- was found near the intersection of Fillmore and Mount Pleasant streets, according to Massachusetts State Police. She has a few tattoos, police said, including the name "Jayden" on her right forearm, flowers on her right foot, a tribal tattoo with a music note on her rib cage and a key with the words "loyalty" and "trust issues" on her upper chest. The woman is of Hispanic heritage, and appears to be around 5 feet 2 inches tall and weigh around 175 pounds, police said. The cause of the woman's death was not immediately available. Those with information are asked to call Massachusetts State Police at 508-993-2016. NORTHAMPTON -- Cynthia S. May, 65, stood in Hampshire Superior Court Monday and faced the family of Hannah P. Frilot, the late University of Massachusetts student whom she struck with her car two years ago this month. "I do apologize. I'm so sorry for your loss," she said before sitting back down and burying her face in a tissue. May, who lives in Orleans but also has a home in Sunderland, pleaded guilty to a charge of driving to endanger as part of the plea deal in Hampshire Superior Court Monday. She was originally charged with negligent motor vehicle homicide in the July 31, 2014 accident in which she struck Frilot as she walked in the bicycle lane of North East Street in Amherst around 11 p.m. As part of the plea agreement, the attorneys in the case recommended probation with conditions including that she continue to have no driver's license until Dec. 31, 2017. After that point, she will have a 7 a.m. to 7 p.m. driving curfew until Dec. 31, 2020. She is ordered to complete 300 hours of community service for driver safety programs at the UMass and Amherst police departments and, as she has agreed, to donate $7,500 to help fund those programs. In handing down the recommended sentence, Judge Richard J. Carey said that the prosecution likely could have proved its case at trial. The plea agreement, which he described as Frilot's last act executed on her behalf by the district attorney's office, was an "act of mercy." "The loss is indescribable. There's no disposition in this world that can make up for that," he said, addressing the Frilot family. Hannah Frilot's parents, Michael and Michelle Frilot, and her sister, Claire Frilot, all tearfully addressed the judge during the plea hearing. Hannah P. Frilot Frilot was an engineering student from Arizona who was about to start her senior year at UMass. Her family spoke of future events that were planned and dreamed of, that will now never happen. They mourned that she will never be able to start her career, get married, have kids and share other happy occasions with her family. "I lost a piece of my past, present and future," Claire Frilot said of her sister. Michael Frilot said that on the night of his daughter's death, he had traveled from Arizona to spend the weekend with her. "Instead I received a phone call that left me alone in tears on a hotel room floor," he said. Michelle Frilot said that her "deep, visceral grief" has been "multiplied exponentially" by the legal proceedings following her daughter's death. "Even though it has been almost two years, I still don't know how to live without her," she said. The prosecution has said that May was likely drowsy after driving from Cape Cod to her home in Sunderland at the late hour, but Michelle Frilot in her statement accused May of falling asleep at the wheel. During the plea hearing, First Assistant Northwestern District Attorney Steven E. Gagne said that Frilot left a gathering at a friend's house and was riding her bicycle home when she came upon her housemate walking home. She started walking with him, her bicycle in between them, at first on the sidewalk and then in the bicycle lane when the sidewalk became overgrown. Gagne said the friend told police that he remembered "feeling a swoosh and the next thing he knew, Hannah and her bicycle were gone," Gagne said. He said May's Toyota Rav 4 crossed the faded white line between the lanes and struck Frilot from behind, throwing her approximately 50 feet. She died at the scene. May called 911. Gagne said she told police that the woman had been in the middle of the road, but based on Frilot's friend's statement and accident reconstruction, she was in the bicycle lane. Gagne said that police reconstructed the accident at the same time of night with a Rav 4 and a female walking a bicycle in the bike lane and determined that a driver should have been able to see that something in the bike lane about three seconds before impact, and should have identified it as a person two seconds before reaching the spot. May told police that she was not sleepy at the time. She said she "may have seen movement" just before impact, but didn't know why she didn't notice it sooner, Gagne said. Her attorney, Harry Miles of Northampton, said that May has led a good and productive life and has to live with her role in the tragedy. In recommending the sentence, Gagne said that while this case involved negligence, many people have had the experience of driving drowsy, or even being snapped to attention by the sound of a rumble strip on the side of the road. "Maybe we've all gotten lucky from time to time," he said. "On this night, no one got lucky." He said May's community service requirement is tailored to help prevent similar accidents in the UMass and Amherst area. The UMass Police Department has a Watch for Me program about being aware of pedestrians, bicyclists and other drivers. May will donate to both police departments with the funds to be split between their road safety initiatives. She will participate in the work to increase awareness about roadway safety, including physical changes like better signage, Gagne said. Frilot's family sued May and her insurance company a year ago, saying that the insurance company has denied liability. The suit seeks triple damages from the insurance company as well as damages from May for negligence, emotional distress, wrongful death and loss of consortium. CHICOPEE -- A black bear first seen roaming around near Slate Road and Memorial Drive earlier this weekend returned on Sunday night. The bear was seen in the area of Westover Road and Donahue Road on Sunday. He then climbed a tree on Shirley Street and spent the night there, said Michael Wilk, public information officer for the Chicopee Police Department. The first sighting of the bear was on Saturday morning when he was seen around the Home Depot store on Memorial Drive, on Edbert Street and on Slate Road, Wilk said. "Our officers did contact (state) Environmental Police and they stated he will return to his natural habitat and to just stay away and leave him alone," Wilk said. People who live in the area are advised to remove any food sources outside including bird feeders, garbage cans and pet food that will attract the bear, he said. "Once he realizes there is nothing to eat, he should return to the woods," Wilk said. People who do see the bear are asked to contact the police department dispatch at 592-6341 so other residents know where he has been seen. People are asked not to approach the bear, he said. Bear sightings are common in Western Massachusetts but few have been reported in Chicopee. In May one was seen off Burnett Road on Moreau Drive and near Caddyshack Drive. SPRINGFIELD -- Corey Mitchell-Edwards may have delayed the start of his cocaine trafficking sentence more than a year by skipping out on his trial and heading to Georgia, but he still must serve 12 years or more in state prison. Mitchell-Edwards' April 2015 trial was a strange one, with a jury told on the second day they should not draw any conclusions from the fact Mitchell-Edwards was not there. Hampden Superior Court Judge John Agostini finished the short trial without the defendant, who failed to show up after the first day of proceedings. The jury found Mitchell-Edwards guilty of trafficking cocaine in the amount of over 200 grams. Corey Mitchell-Edwards Now all that is left is the sentencing by Agostini, Assistant District Attorney Max Bennett said when Mitchell-Edwards, 27, was brought into court Monday. U.S. Marshals took him into custody in Duluth, Georgia in June. Hampden Superior Court Judge Tina S. Page on Monday noted that the $5,000 bail Mitchell-Edwards had posted, which allowed him to be free pending his trial, had already been forfeited. Bennett told Page Mitchell-Edwards "absconded in the middle of his trial" and was "tried in absentia." A date will be set to have Agostini, who is sitting in Berkshire Superior Court, sentence Mitchell-Edwards. The conviction carries a 12-year mandatory minimum sentence. Mitchell-Edwards, who was represented by Nicholas J. Raring, was at the first day of his trial on April 14, 2015. There was no scheduled trial session April 15, but when April 16 came around Mitchell-Edwards did not show up. After the prosecution and defense searched for Mitchell-Edwards the morning of April 16, Agostini restarted the trial at 2 p.m. that day. Jurors returned the guilty verdict April 17. His sentencing was delayed, though, because that step can't happen unless he is present. Mitchell-Edwards was charged with trafficking cocaine in the amount of over 200 grams after police executed a search warrant Feb. 28, 2014, on the apartment of Robert Lemanski at 4 Sackett St. in Westfield. Lemanski, a co-defendant with Mitchell-Edwards, pleaded guilty in April 2015 to possession of cocaine with intent to distribute, possession of heroin with intent to distribute, and possession of marijuana with intent to distribute. Lemanski, 54, was sentenced to the 78 days he spent in jail awaiting trial plus two years probation. Defense lawyer Mark L. Hare said Lemanski had developed a drug addiction because of painful arthritis and began letting Mitchell-Edwards and others use his apartment for drug dealing, having them pay him in drugs. Bennett said when police searched the apartment, officers found a "grow operation" of pot plants in a bedroom closet as well as marijuana packaged for sale. They found 42 bags of heroin in the drawer of Lemanski's nightstand, and more than 200 grams of cocaine on top of the refrigerator. Lemanski told police the marijuana and heroin were his but the cocaine belonged to Mitchell-Edwards. CHELSEA, Mass. -- A Rhode Island man is due in court Monday on charges he looked over a changing room partition in a Revere store to watch a teenage girl trying on bathing suits. Revere (Mass.) Police identified Sheldon Fulbeck as a suspect seen in this surveillance photo following a changing room spying incident at Target. Sheldon Fulbeck, 39, of Lincoln, is scheduled to be arraigned on allegations he spied on the 17-year-old girl June 12 at the Revere Target, the Suffolk District Attorney's Office said. The incident occurred about two hours before Fulbeck was scheduled to meet with his ex-wife in Kittery, Maine, police said. Fulbeck's public defender has argued that the allegations arise out of the contentious divorce. The suspect is being charged with accosting a person of the opposite sex and related crimes. Fulbeck was previously charged with recording women in the North Attleborough Target store's changing room in March 2014. That case is pending. SPRINGFIELD -- At a special promotion ceremony held at Springfield Police headquarters this past week, Jessica L. Henderson became the first black woman to achieve the rank of lieutenant in the Springfield Police Department. And when her family, friends and the community got together to celebrate, one result was a festive Seen@ gallery, shot by Ed Cohen, a freelance photographer with The Republican. Henderson said at the promotion ceremony she was honored to have earned the badge, and honored to wear it. She specifically cited the late police officer Mary Griffin, who in 1982 became the first black woman promoted to the rank of sergeant. "This has been passed on to me," she said, adding that there was no shortage of role models for her in her career and that she is prepared to be a role model for those who follow her. Henderson said she had her eye on achieving the rank of lieutenant since she was hired in 1995. She amended that to say at least since she made it past her probationary period. Having achieved her goal, she said, "This is the first step." With 10 years to go before retirement, she said she will look to climb higher in the department if that is what God has planned for her. An item billed as breakup insurance for the new relationship between Taylor Swift and actor Tom Hiddleston has been removed from Chinese e-commerce giant Taobao on suspicion of illegal fund collection. The insurance first went on sale just after rumors and photos of the new couple became public. Selling for just 1 yuan, the item description promised to double customers' money if Swift broke up with Hiddleston within one year. However, the so-called breakup insurance is not a real type of insurance, and Taobao executives suspect that it could be a case of fraudulent fund-raising. Therefore, the item has been removed from Taobao along with several other suspicious products, according to the companys announcement which was issued on Wednesday. Lei Liang, the head of Taobao's monitoring team, told Qianjiang Evening News that items on the company's "blacklist" are either entirely illegal or else being sold illegally, often because their sale require licenses that the vendors do not possess. Despite the concerns of Taobao higher-ups, the Taylor Swift breakup insurance was allegedly selling well before it was removed from the site. One seller claimed to have sold 543 insurance policies, while another said that one customer spent 400 yuan on the insurance, China Daily reported. No results could be found on Taobao for Swift and Hiddleston's "breakup insurance" as of press time. The variety and inclusiveness offered by Taobao allow many people to sell all kinds of products in the name of innovation, but everything is under our close watch, Lei noted. The first blacklist contained eight products, including illegal registration for unqualified drivers for the online car-hailing app Didi Dache, as well as the temporary "rental" of a boyfriend or girlfriend. According to Lei, the monitoring team at Taobao, which consists of fewer than 50 employees, works hard to identify all suspected unlawful products on Taobao every day. The products are then removed and the sellers can even be punished. As of June, the team had removed over 2.34 million unlawful products, Qianjiang Evening News reported. Bozeman is a rapidly growing city with a high quality of life in part due to the easy access to the outdoors, burgeoning tech industry, and cultural experiences it offers. Montana Precision Parts http://www.seacast.com/ an industrial- and aerospace-parts manufacturer located just outside Butte on Rick Jones Wayhas plans to grow by 80 to 100 employees by 2020, says company general manager Chris Eurich. According to Eurich, the expansion will be thanks to a new piece of equipment Montana Precision purchased last month from KEA Automation a company from Telford, U.K., that makes automated systems for the casting industry. ANNIE PENTILLA [email protected] Full Story: http://missoulian.com/business/montana-precision-parts-plans-to-grow-by-to-employees-executives/article_eaf19cc9-43e4-5f13-b93e-619e5ea3af60.html Opposition is growing across Wyoming to any increase in the states wind-generation tax over concerns it will hurt jobs and stifle development. The Wyoming Association of Municipalities, Carbon County Commissioners and others said it could also jeopardize efforts to make Wyoming one of the largest wind producers in the United States. Full Story: http://www.greatfallstribune.com/story/news/local/2016/07/10/opposition-growing-wyoming-wind-tax-increase/86929698/ On July 7, 16-year-old Wang Chuan (a pseudonym) accepted the terms of a very strange bet. In exchange for 200 yuan (around $30), Wang not only swallowed one thumbtack, he also drove three ball point pen refill tips into his abdominal cavity through his navel, according to Shandong-based Qilu Evening News. After enacting the conditions of the wager, Wang went to see a doctor. According to Li Pengyu, vice director of emergency surgery for the Jinan hospital where Wang was treated, the thumbtack had already begun moving through Wang's digestive tract when he was examined. The hospital said it would be better for Wang to eliminate the pin from his body naturally, so that his intestinal tract would not be damaged. Fortunately, the pin was eliminated on the morning of July 8. The three ball point pen tips, each around 1 centimeter in length, were removed from Wang's stomach during a four-hour surgery. Wang was in good condition when a reporter from Qilu Evening News went to speak to him in his hospital ward. However, both Wang and his mother refused to be interviewed for the story, and Wang has yet to explain why he accepted the bet. The July 9 wedding of one couple in Anhui province's Wuwei county featured some very unique elements. For groom Xu Hao and bride Xiao Ruoyu, a farm vehicle served as the wedding limo, a muddy levee served as the aisle, and floodwaters became the wedding's backdrop. Xu is a squadron commander with the Wuhu armed police. Xiao is a kindergarten teacher. The couple met three years ago, but they were forced to postpone their wedding twice because of Xu's job. On July 1, just after Xu returned home for his wedding, a flood began in Wuwei county. Xu dutifully volunteered to go join the rescue efforts. Xu worked for nine straight days as a volunteer rescue worker. On July 9, Xiao went to the levee to visit her husband-to-be, and Xu's fellow workers decided to throw the pair a special wedding during their mid-day break. The soldiers made a bridal bouquet out of dog tail grass. The wedding rings were also made from grass, and the wedding feast consisted of box lunches. After the ceremony, Xu carried Xiao through the floodwaters on his back, and then promptly rejoined the rescue efforts. Woman captured silently confronting heavily-armed police in LA, USA is a 28-year-old mom and nurse's assistant who spent 24 hours in jail for her 'crime' It is the photo seen around the world: A young woman in a flowing dress standing with her arms crossed facing down a line of heavily armed police while two armored officers rush forward to put her in handcuffs. Now Dailymail.com can exclusively reveal that she is Ieshia Evans, 28, a mother and licensed practical nurse from New York, who was attending her first protest when she was arrested. Natasha Haynes, a lifelong friend of Evans, said she traveled to Baton Rouge, Louisiana, following the shooting of Alton Sterling because she 'wanted a better future for her five-year-old son'. Haynes said: 'She's a vision with a beautiful heart and peaceful stance in life. I've known her for 20 years. She was the maid of honor at my wedding. I'm a god-mother to her son. 'She's everything to me and I'm just happy she's safe, not hurt and - most importantly - happy that she got up and did something about injustices here in the states.' Haynes explained that Evans, a nurse's assistant, was 'overcome with emotion' while watching the demonstrations on TV and decided to take action. She left her son behind with his father in New York and traveled to the protest site where she was taking part in the main demonstration shortly before she was arrested. Haynes said that the fateful moment she was arrested came when officers attempted to push the crowd back from the Baton Rouge Police Department, despite the protest being peaceful until that point. Evans told her: 'I went into the street with my arms crossed and just stared at them. I guess they didn't like it because they detained me.' While Evans said that the arrest was a little rough, as was processing, while in jail she said a kind officer made sure all of the demonstrators were treated well. Police records show she was held overnight before being booked and released earlier today, and she is now in her hotel room in Louisiana recovering from her ordeal. (Photo/Cjn.cn) On July 10, the last seven residents of Wuhan City Vocational College were rescued by students from the school. Teachers from the college have saved the red flag used by the students during their rescue efforts to add to the school's history museum, commemorating the brave and unselfish deeds of the 58 student volunteers. On July 4, an emergency meeting was held at the college, and the decision was made to evacuate the campus. Junior Ren Zhiyong then called upon some students in his class and formed a rescue team to help carry out the evacuation. More student quickly expressed interest in joining, and soon the team was composed of 58 students. By the afternoon of July 6, the water level in the most affected areas had exceeded 2 meters. Thirteen students, chosen based on their heights of 1.7 meters and up, took charge of evacuating residents in the deepest waters. Among others, they rescued a woman who was eight months pregnant, a resident who was over 80 years old and a 6-year-old child. By July 10, the rescue team had successfully rescued over 700 people from the flooded area. Members of the rescue team all agreed that this was the most meaningful and fulfilling thing they have done in college so far. (Photo/Cjn.cn) Chicken will be the best-positioned protein due to its low price position in times of pressure on consumer spending power but rises in production costs and the long-term impact of COVID-19 threaten to disrupt the sector, according to Rabobank. by Jess Nelson , July 11, 2016 Microsoft found itself in hot water this week after a recruiting email encouraged interns to get "lit" on a Monday night. Patrick Burtchaell, a Loyola University student and Facebook intern, shared an email his roommate received from Microsoft on Twitter. In it, a Microsoft University Recruiter attempted to recruit the email recipient to attend a Microsoft event using rather colloquial online language. The email alluded to drinking games, began with the greeting, HEY BAE INTERN! <3 and ended with the phrase, HELL YES TO GETTING LIT ON A MONDAY NIGHT. For those in need of translation, bae is a term of endearment, while lit is another word for intoxication. Brands may be tempted to adapt cultural language anomalies in their email marketing, but to do so also risks offending someone, sending subscribers text they dont understand or even hurting brand trust. advertisement advertisement Regardless of the size and vision of your company, colloquial terms like lit and bae should be avoided in your email marketing, says Ross Andrew, CEO of digital marketing company Maropost. Impressions are everything and in working to engage with consumers of all demographics, a brand needs to carry itself as professionally as possible, especially in what is said and written in its content. Email marketing campaigns can be damaged through a lack of professionalism in not only the body of an email, but certainly in subject lines. Another risk when incorporating colloquialism in email marketing is appearing inauthentic. "When sending email -- just like any personal communication -- its important to stay true to who you are," says Steven Aldrich, chief product officer of GoDaddy. "If you (or the company you represent) wouldn't call someone bae or refer to a meal as noms in conversation you shouldnt send an email using those phrases. Being authentic establishes trust, and maintaining a focused list of relevant contacts you are emailing will increase engagement. Vivek Sharma, CEO of marketing technology company Movable Ink, agrees with Aldrich's assertions. It's a good rule to speak to your audience on their terms, but it's obvious when marketers try too hard, says Sharma. Customers and prospects want authenticity and can sniff out stilted attempts to be cool. How casual language gets depends on the brand and the experience they're trying to create. A skateboard brand will engage with customers differently than a law firm, but in general, emails designed to be loose and fun should still be written naturally. Context matters. If you don't understand the context, don't try to get too clever. If you're consciously thinking about what trendy words or style to use, you're already headed in the wrong direction. by Bob Garfield , Featured Columnist, July 11, 2016 Marketing is all about discrimination. The idea is to carve the marketplace into various segments often demographic, including ethnic ones and then: Focus special attention on those with a history of patronizing the category, with an offer and message tailored to the segment. Due to the risks of pandering to, condescending to, stereotyping and patronizing various communities, there needs to be some sensitivity brought to bear, but targeted marketing to minority groups makes sense for advertisers and customers alike. Gefilte fish indexes low in El Paso. Curry just doesnt move off the shelves in Montana. This is not complicated. All you have to do is look at census data, and the strategy just writes itself. So, yes, if you were a major political party, and you wished to succeed in a presidential campaign, of course youd want to look at the same data a beer, food, auto, diaper or banking marketer would consult. Then youd want to be discriminating in how you speak to various slices of the electorate. advertisement advertisement Which is just what Donald Trump and the Republican Party are doing: discriminating. But, to paraphrase the great Inigo Montoya, I dont think that word means what they think it means. You discriminate by learning about a segments common attributes, marketplace behavior and cultural values and cues -- not by, say, deporting them to Mexico. Or stopping them at the border from entering the Land of Opportunity. Or smearing them. Or denying their rights. Putting aside how hateful, unconstitutional and fundamentally un-American that is, do you really want to antagonize so many segments of the population? Do you see Pepsi calling Coke drinkers rapists and murderers and terrorist? You do not. Because some people chafe at that kind of treatment. Its just poor customer relationship management. Remember, the presidency isnt even a Coke and Pepsi sort of proposition. Its not like one party gets 60% of the Oval Office and the other gets 40%. This is winner take all. So if your marketing strategy is to demonize one part of the population to impress another part, youd better do the math, or else youre not only being loathsome bigots, youre being dumber than an anvil. Vastly stupid. Historically stupid. Suicidally stupid. So lets have a look at some population trends, shall we? According to Census data, minorities now comprise 38.4% of the population. Now lets say the rest of the population -- the white folks -- split evenly between red and blue. Which party will the 56.6 million Hispanics support? Ha ha ha ha ha ha ha. Hey, Reince Priebus. Hey, Paul Ryan. Youd better hope all your years of gerrymandering still assure you the House of Representatives, because unless Hillary Clinton is discovered in Benghazi destroying email servers with ISIS, you will be destroyed in national races in November. And you most likely will have no chance to control the Senate or the White House for a generation. Or ever. Because, according to the same data, 48% of the population under 18 is minority. Gentlemen, in your support of a racist demagogue -- in the craven, cynical name of preserving party unity -- you have taken your intellectually, morally bankrupt party to the brink of insolvency. You have looked at the future and pissed on it. There are only two reasons you cling to any relevance whatsoever: The Democrats are spineless and ineffectual themselves, and The Koch brothers and the NRA have bought you so many statehouses, you can still control Congressional district maps for the foreseeable future. But you just keep on doing what youre doing. Keep on supporting that repulsive ignoramus youre about to nominate. Keep on singling out the constituencies you most need -- not for understanding and common cause, but for hate speech. Then in the second week of November, you and your 40% can think about what Supreme Court justice is coming your way. I know youre a little dim when it comes to math, but do you understand 5 to 4? PYONGYANG, July 11 -- The Democratic People's Republic of Korea (DPRK) warned Monday that it will take "physical measures" to cope with the U.S. deployment of Terminal High Altitude Area Defense (THAAD) in South Korea. The countermeasures will be taken once the location of the deployment is decided, said the Korean People's Army in the warning carried by the official KCNA news agency. Pyongyang stressed that the United Statesand South Korea, which claim the DPRK's self-defense is a "severe threat" and their aggressive means of warfare is "defensive," were just confusing black with white. The two countries' joint THAAD deployment decision results from the U.S. ambition to dominate the world and South Korea's confrontation with the DPRK, Pyongyang said, warning that the DPRK army will "make merciless retaliatory strikes to reduce South Korea to a sea of flames, debris once an order is issued." In a joint statement Friday, Seoul and Washington said the two allies decided to deploy THAAD in South Korea to protect the country and its people from the DPRK's nuclear threats, weapons of mass destruction and ballistic missiles. Regional countries including China and Russiahave repeatedly voiced their serious concern over the move due to its far-reaching negative impact. by Maarten Albarda , Featured Contributor, July 11, 2016 Ebiquitys subsidiary Firm Decisions has been summoned into court by Group M, WPPs media buying agency. And WPP CEO Martin Sorrell argues that Ebiquity, the media auditor working with the Association of National Advertisers (ANA) and K2 Intelligence on the U.S. media transparency report, is heavily conflicted" because its subsidiary, FirmDecisions audits whether agencies have complied with their contracts with advertisers while other parts of the business manage pitches for clients, advise them how to 'secure better prices' and audit media spend, according to Gideon Spanier, writing on US Campaign. The legal action disputes the potential breach of a non-disclosure agreement involving four or five different confidential documents that were sent in error to the auditor by Group M agencies, writes Spanier. advertisement advertisement The incident goes back to 2014, but it looks like WPP/Group M kept it up their sleeve for when it would come in handy. Which is ironic, given that the crux of the case involves a comment made by a Firm Decisions Australia employee about keeping a Group M document up their sleeves for later use. So now it is 2016, and the K2 bomb has gone off. Ebiquity is heavily involved in providing K2 guidance in its investigations, as well as being charged by the ANA to develop a set of contract recommendations for its members to use in media agency negotiations. It would seem convenient for agency holding companies to sow some seeds of doubt about Ebiquitys integrity. The whole case is of Group Ms own making, it seems, as apparently it sent confidential client documents to Firm Decisions Australia in error back in 2014. Once the company realized its mistake, it asked to have the documents back, which Firm Decisions agreed to. Firm Decisions also reprimanded all employees involved in the potential Keeping-Documents-Up-Their-Sleevesgate. Sorrell also said We dont think Ebiquity is an auditor -- again, as cited in the US Campaign post (from which all further quotes come). What does Sorrell recommend to marketers interested in media auditing? We think, for audit purposes, you should use a professional auditor, i.e. one of the Big Four firms by which he means Ernst & Young, PwC, Deloitte or KPMG. The problem with these firms media auditing credentials? They simply do not have the in-house subject matter experts to conduct media audits in most markets. Funnily enough, Firm Decisions Stephen Broderick agrees that his company is not an auditor: "What were asked by our clients to do is not statutory auditing. What clients ask us to do is to carry out financial compliance contract reviews. All of the senior staff at Firm Decisions are chartered, qualified, certified accountants, so they are all regulated by their own industry body. So everyone agrees that Firm Decisions isnt an auditor in the financial sense. It is, however, a very credible media auditor that reviews agency contract compliance, media plans and cost quality for many clients around the world. And according to Debbie Morrison, a director at ANA sister organization ISBA in the U.K., specialist media auditors do a fine job for many U.K. advertisers (as they do for many U.S. advertisers). She says: We dont hear any complaints about them, so why change now?" I think theres a place for financial auditing when, as a marketer, you want to ensure your budgets are managed responsibly and in compliance with the laws of the land that govern these budgets (Sarbanes-Oxley, for instance). And there is absolutely a place for specialist media auditors who understand the inner workings of media strategy, planning, buying and costs. None of these legal maneuvers should distract from the real issues highlighted in the ANA report, nor should they call into question the investigators credibility. Perhaps departing Association of American Advertising Agencies president Nancy Hill can mend the fences, tear down the walls and rebuild the partnership that once existed between advertisers and their agencies. Wouldnt that be an amazing legacy? by P.J. Bednarski , Staff Writer @pjbtweet, July 11, 2016 Solutions to solve ad blockers seem to just keep popping up, much like the troublesome ads themselves. Heres one that hits the problem head on, with consumer logic in mind. Ourdata, just being launched this week at the Apple Store, proposes to issue shares to consumers who will agree to see ads. The value of the shares will be determined by the number of consumers involved and theyll be paid by the publishers that also have to agree to participate. The Ourdata team in San Francisco will all make it work, but members--shareholders--will really own it. It seems Ourdata, from its very name, wants to push the idea that consumers bring something to the advertising table. They bring themselves and all that information-- their age, sex, race, location, browsing proclivity and interest in products and services. All of that is worth money, which is what Ourdata aims to collect. As a Ourdata graphical video states, in the Internet world, there are buyers and sellers, making tons of money off the product. And guess what? That product is you.. Every time we go on line, large companies youve never heard of are following us and gathering our data. And then they sell it to advertisers for billions of dollars. Then the advertisers use that data to save themselves billions of dollars by targeting their advertising. advertisement advertisement So the deal is this: Publisher and advertiser agree pay a small amount to Ourdata and in return, the consumer accepts the ad. And data keeps getting collected. Right now, other ad blockers take the money that Ourdata would return to its shareholders. If all this sounds altruistic, you haven't heard the half of it. Ourdata is a B Corporation--B for Benefits-- a type of company that includes a positive impact on society as one of its legally defined goals. Theyre allowed in 30 states and versions are allowed in many countries. Recently The Philadelphia Inquirer, a once thriving paper hurt by the changing times, became a B Corporation in order to continue its journalistic mission. Ourdata joined with Susan. B. Komen Foundation, which fights breast cancer, as its launch partner and when you sign up, you can type in Komens referral code so your shares proceeds go to them. Or you can choose your own charity or just take the money, once there is some. But Ourdata pledges to donate 90% of its own profits to charity. Other ad blockers went too far, says Iggy Fanlo, co-founder of Ourdata and an Internet entrepreneur. They blocked data but also blocked the ad. Now its time to renegotiate the deal. We want to be an ad enabler. New research from eMarketer says by the end of this year, 26.3% of all U.S. Internet users will be using some kind of ad blocker. Thats 70 million consumers. In a podcast, eMarketer analyst Lauren Fisher lists ways publishers are trying to combat ad blockers. Those include new models, like charging users for an ad free version, or just reminding users that advertising pays the freight. Its hard for publishers, she said. I dont envy publishers trying to figure it out. And as for charging consumers for an ad-free experience, she adds, I dont know if thats enough to move the needle. Who knows if this is? Ourdata is set up to find out as fast as it can. Early investors get the most shares. The first 10,000 get 10,000 shares each, but that goes down and after there are a million shareholders, each new one gets only 7,000 shares. (In case you wonder what these could ever be worth, Fanlo says, possibly, maybe, $300. Thats not much. It is better than not-$300. And If its given to charity, thats something, though you could pocket it.) Non-Apple users can still sign up, and get the more generous share amount when Ourdata expands to Android platforms. If nobody is that excited, well, Fanlo says, it will be just a nice experiment, and worthless. Fanlo points out, before those Ourdata shares can accrue value, Well, we do need to reach some level of critical mass or scale. He says he and co-founder David Glickman have had preliminary conversations with some publishers. But he says, These publishers--Google, MSFT, Amazon, Taboola and many other large platforms have already been paying the large guys (other ad blockers) and would genuinely prefer to pay folks like us. For consumers, Fanlo says, Ourdata offers a choice.Having to watch an ad but getting paid is a valid choice. Not getting paid--that seems to be a bad choice. pj@mediapost.com by Laurie Sullivan @lauriesullivan, July 11, 2016 Beginning September 2016, Chinas State Administration for Industry & Commerce will treat paid search as Internet advertising for the first time. The revenue could be subject to an additional 3% tax for all ads bought on local engines and marketplaces. The new tax could affect all online advertising, but especially take a bite out of earnings for companies like Baidu and Alibaba Group Holdings, as well U.S.-based Google, Microsoft and Yahoo. The new regulation tax surfaced in Baidus April 20-F filing to the Securities and Exchange Commission. At the time, the company wrote that it made substantially all its revenue from online marketing services, including pay-for-performance, or P4P, services, according to one report. advertisement advertisement China's commerce regulator issued new rules for Internet ads on July 4, requiring search engines to clearly distinguish advertisements from search results by labeling them "ads." The move made after Wei Zexi, a college sophomore, died after making choices for cancer treatment. On May 9, China's authorities concluded that Baidu's search algorithm influenced Wei's media choices and the fairness of his objectivity in search results. Officials say that ads for products or services that make false promises or are misleading are illegal. Certain ads must pass Chinese approval first. Ads cannot occupy more than 30% of the search results page, per a report, which states it could make Google rethink ad positioning in search engine query results. The new guidelines state that text, images and videos containing information promoting goods or services and hyperlinks are considered online advertising. "The new rules said Internet companies that fail to label promotional content as ads will be fined up to 100,000 yuan per violation," according to one report. Caixin also reports the policy prohibits email operators, such as Mail.163.com from embedding ads at the bottom of emails. by Laurie Sullivan @lauriesullivan, July 11, 2016 Google plans to train 2 million Android developers in India during the next three years, which begs the question as to whether the country will become the next outsourcing hub for advertising and mobile app product development. The hundreds of millions of users waiting to come online in a mobile-first world create tremendous potential opportunities for India and for Google, which wants to create a skilled, global workforce in Android development. On Monday, Google announced the launch of Android Skilling, a program to establish an end-to-end training, specific channels and certification that will certify that developers are proficient in Android development. advertisement advertisement Companies like Google see India as a huge market for services and key resource for talent, everything from search advertising to cloud services to mobile app development. Last year, Google, with assistance from Udacity, introduced a program in India called Android Developer Nanodgeree. Today, Google sees more than 11,500 students across India enrolling every month, per Google. Two weeks ago, the two launched Android Basics Nanodegree to provide developers with an end-to-end path without having to send all of our students to different places to learn the prerequisites. The program will have input from various training partners, such as Edureka, Koenig, Manipal Global, Simplilearn, Udacity and UpGrad. Those training certify them in skills related to Android requirements, giving them the opportunity earn a job in the industry. The move will help Google create a network of developers similar to the way other companies like Microsoft, Oracle, and IBM previously did to help build out their respective international presence, mostly in the IT and enterprise sectors. by Erik Sass , Staff Writer @eriksass1, July 11, 2016 The French have long enjoyed a reputation for defending things that would never occur to Anglophones as needing defense, like the French language. To the long list of quixotic causes embraced by the French, we can now add another newsstands. Yes, the citizens of Paris are organizing to protect the iconic lozenge-shaped newspaper kiosks dotting the city, whose domed roofs provide a perfect backdrop for artsy black-and-white photos of couples kissing or what have you. The citys government is proposing to replace them with updated designs, which some observers have compared, not at all favorably, to a sardine can. When the government decides whether to proceed with the plan this week, it will have to include a petition signed by tens of thousands of Parisians protesting the plan to do away with the 360 distinctive newsstands. They were built four decades ago, but based on designs dating back to the second half of the 19th century an era associated with some of the most architecturally characteristic parts of Paris, including the Eiffel Tower. Mediakiosk, a division of global outdoor signage giant JCDecaux, has signed a 15-year management contract which includes the task of overhauling the kiosks, at an estimated cost of $57.5 million. Critics of the plan to replace the kiosks invoked business arguments, too, warning that tampering with the citys established image, even in seemingly small ways, might affect the citys tourism industry: We want Parisian newspaper kiosks to keep all the charm of romantic Paris so dear to tourists while improving the comfort of people working in them. Another recent move to spruce up the city, the removal last year of tons of love locks left by tourists on the famous Pont des Arts bridge, also inspired a wave of criticism on apparently pragmatic business grounds even though the practice only dated back to 2008. But now the situation is getting serious. In a particularly pointed attack, one comment warned that swapping out the old kiosks for the sleek, sardine-like new ones could leave Paris looking like God help us all London. The cholesterol medicine simvastatin, which is one of the most commonly used pharmaceuticals in the world, also has a beneficial effect on the immune defence system with regard to diseases such as type 1 diabetes, multiple sclerosis and rheumatoid arthritis. Danish researchers have now explored why this is so, and their findings may result in improved treatment. New research from Aarhus University has demonstrated how simvastatin, one of the most commonly used medicines in the world - typically prescribed to reduce cholesterol - also has a direct effect on the immune defence system. This discovery opens up new opportunities for treating chronic inflammatory diseases. Sought-after explanation of unexpected effect The immune defence system, which normally protects the body against infections and foreign bodies, sometimes attacks the body's own tissue. This error in the immune system - whose cause is unknown - results in a chronic state of inflammation which breaks down the tissue. This, in turn, triggers diseases such as rheumatoid arthritis, multiple sclerosis and type 1 diabetes. In the case of multiple sclerosis, the immune defence system destroys the central nervous system, while the inflammation affects the kidneys, eyes and sense of touch in both type 1 and type 2 diabetes, leading to a variety of complications. However, simvastatin has been shown to reduce the level of inflammation in these diseases, even though it sometimes has to be administered in high concentrations to have any effect. The reason why it does so has eluded researchers thus far. "Simvastatin - and statins in general - are not designed to have this effect. We have now identified a new mechanism that forms the basis for the effect, and this opens up new opportunities for developing a better substance to combat these inflammatory diseases. It's an interesting line to pursue because a great many people can take statins without significant side effects," relates Thomas Vorup-Jensen, Professor at the Department of Biomedicine at Aarhus University. Statins are like a plug The reason for the positive effect is that the pharmaceutical acts as a 'plug' in the proteins that retain the immune cells in the inflammation zones. With the plug in place, the immune cells can no longer contribute to the inflammation, which is therefore reduced, leaving the patient feeling better. In the case of diabetes, for example, it can help reduce the risk of patients developing complications. "We initially observed this mechanism in the laboratory. Of course, we now need to establish whether it works in the same way in vivo, but we think it's likely," says Thomas Vorup-Jensen. External funding: The Danish Multiple Sclerosis Society, the Danish Council for Independent Research, the Lundbeck Foundation, the Danish National Research Foundation and the Aarhus University Research Foundation. Partners: Researchers from Science and Technology at Aarhus University. Article: Structural basis for simvastatin competitive antagonism of complement receptor 3, Maria Risager Jensen, Goran Bajic, Xianwei Zhang, Anne Kjr Laustsen, Heidi Kolds, Katrine Kirkeby Skeby, Birgit Schitt, Gregers R. Andersen and Thomas Vorup-Jensen, Journal of Biological Chemistry, doi: 10.1074/jbc.M116.732222, published 23 June 2016. The brains of some people with autism spectrum disorder grow faster than usual early on in life, often before diagnosis. A new study co-led by Salk Institute scientists has employed a cutting-edge stem cell technique to unravel the mechanisms driving the mysterious phenomenon of excess brain growth, which affects as many as 30 percent of people with autism. The findings, published July 6, 2016 in the journal Molecular Psychiatry, show that it is possible to use stem cell reprogramming technologies developed in the past decade to model the earliest stages of complex disorders and to evaluate potential therapeutic drugs. Intriguingly, the Salk team found that stem cell-derived neurons made fewer connections in the dish compared to cells from healthy individuals. Furthermore, the scientists were able to restore communication between the cells by adding IGF-1, a drug currently being evaluated in clinical trials of autism. "This technology allows us to generate views of neuron development that have historically been intractable," says senior investigator Rusty Gage, a professor in Salk's Laboratory of Genetics and holder of the Vi and John Adler Chair for Research on Age-Related Neurodegenerative Diseases. "We're excited by the possibility of using stem cell methods to unravel the biology of autism and to possibly screen for new drug treatments for this debilitating disorder." Autism, which affects approximately 1 out of every 68 children in the United States, is characterized by problems communicating, difficulties interacting with others, and in repetitive behaviors, although the symptoms range dramatically in type and severity. There is no known cause of autism. In 2010, Gage, Carol Marchetto of Salk's Laboratory of Genetics, Alysson Muotri of the University of California, San Diego, and their collaborators showed they could recreate features of Rett syndrome - a rare disorder that shares features of autism but is caused by mutations in a single gene - in a petri dish. They did so by taking skin cells from patients, adding a mix of chemicals that instructed those cells to form stem cells, and in turn, coaxing their new stem cells into neurons. The ability to form what's called induced pluripotent stem cells (iPSCs) from human cells was pioneered by researchers in 2007, but some scientists were initially skeptical that the new technology could lend insight into complex heritable disorders such as autism. "In that study, induced pluripotent stem cells gave us a window into the birth of a neuron that we would not otherwise have," says Marchetto, a senior staff scientist and the study's first author. "Seeing features of Rett syndrome in a dish gave us the confidence to next study classical autism." In the new study, collaborating with Muotri and other scientists at UCSD once more, Gage's team created stem cells from a subset of people with autism whose brains had grown up to 23 percent faster than usual during toddlerhood but had subsequently normalized. The neuron precursor cells derived from the patients multiplied faster than those of typically developing individuals. The finding supports a theory some experts have put forth that brain enlargement is caused by disruptions to the cell's normal cycle of division, Marchetto says. In addition, the stem cell-derived neurons of individuals with autism behaved abnormally, bursting with activity less often compared with those cells of healthy people. Those neurons' activity seemed to improve by adding IGF-1, which is known to enhance the connections between neurons. The group plans to use the patient cells to investigate the molecular mechanisms behind IGF-1's effects, in particular probing for changes in gene expression with treatment. Although the newly derived cells are far from patients' brains, a brain cell by itself may reveal important clues about a person, Marchetto says. "It never fails to amaze me when we can see similarities between the characteristics of the cells in the dish and the human disease," she adds. The research was supported by the California Institute for Regenerative Medicine, the National Institutes of Health, The International Rett Syndrome Foundation, a NARSAD Independent Investigator Award, a NIMH Autism Center of Excellence Program Project grant, The Leona M. and Harry B. Helmsley Charitable Trust, The JPB Foundation, the Robert and Mary Jane Engman Foundation, the CDMRP Autism Research Program, the University of California, San Diego Clinical and Translational Research Institute, and Autism Speaks. London's Great Smog of 1952 resulted in thousands of premature deaths and even more people becoming ill. The five December days the smog lasted may have also resulted in thousands more cases of childhood and adult asthma. Researchers from Columbia University's Mailman School of Public Health, the University of California, San Diego and University of Massachusetts studied how London's Great Smog affected early childhood health and the long-term health consequences. Findings are published online in the American Thoracic Society's American Journal of Respiratory and Critical Care Medicine. The results, based on health data from the 1940s and 50s, showed that the Great Smog event of 1952 likely still affects some people's health more than 60 years later. In "Early Life Exposure to the Great Smog of 1952 and the Development of Asthma," Matthew Neidell, PhD, associate professor of Health Policy and Management at the Mailman School of Public Health, and colleagues noted that the Great Smog presents a "natural experiment" because the smog was intense, "exceeding current regulations and guidelines by a factor of 5 to 23"; localized to a major city; and unanticipated. "Because the smog was unexpected, residents likely didn't leave the city," said Prashant Bharadwaj, PhD, associate professor of economics at the University of California, San Diego and co-investigator. The researchers analyzed 2,916 responses to a life history survey that is part of the English Longitudinal Study on Aging. Among other health questions, the survey asked participants if they had asthma as a child (up to age 15) or asthma as an adult. Responses of those who were exposed to the Great Smog in utero or in early childhood were compared with those born between 1945 and 1955 who lived outside of London during the Great Smog or lived in London but were not exposed to the smog in utero or in their first years of life. The results showed that exposure to the Great Smog in the first year of life was associated with a statistically significant 20 percent increased incident of childhood asthma. The researchers said they found a non-significant, but similar trend between exposure to the smog in the first year of life and adult asthma (a 9.5 percent increase) and in utero exposure and childhood asthma (8 percent increase). The authors note that a number of studies examine the relationship between early childhood exposure to air pollutants and the development of asthma but can only determine an association, not a cause-and- effect relationship, because there may be confounding factors that are overlooked or not fully accounted for in the analysis. Given that there is no evidence of another event simultaneous with the Great Smog that might affect asthma incidence, they add, their study overcomes the issue of confounding and "suggests a strong possibility of a causal link between early childhood exposure to air pollution and the later development of asthma." The study has implications for other countries and cities today with high levels of air pollution. In recent years, the authors write, Beijing has experienced the highest levels of air pollution ever recorded. "Our results suggest that the harm from this dreadful event over 60 years ago lives on today," noted Dr. Neidell. "It also suggest that very young children living in heavily polluted environments, such as Beijing, are likely to experience significant changes in health over their lifecourse." The study was supported by the University of California Center for Energy and Environmental Economics. Article: Early Life Exposure to the Great Smog of 1952 and the Development of Asthma, Prashant Bharadwaj, Joshua Graff Zivin, Jamie T Mullins, and Matthew Neidell, American Thoracic Society's American Journal of Respiratory and Critical Care Medicine, doi: 10.1164/rccm.201603-0451OC, published online 8 July 2016. Immunotherapy reduces cardiovascular risk in patients with rheumatoid arthritis, according to research presented at Frontiers in CardioVascular Biology (FCVB) 2016 by Professor Aida Babaeva, head of the Department of Internal Medicine, Volgograd State Medical University, Volgograd, Russia. The combination of two extra-low dose anticytokine drugs reduced rheumatoid arthritis disease activity and cardiovascular events. "Rheumatoid arthritis is an autoimmune disease in which cytokines such as tumour necrosis factor (TNF) and interferon (IFN), which normally protect the body, attack healthy cells," said Professor Babaeva. "Patients have painful and inflamed joints. They are also at increased cardiovascular risk, particularly if their rheumatoid arthritis is not controlled." Professor Babaeva's previous research showed that treatment with anticytokine drugs can decrease the activity of rheumatoid arthritis. Extra-low dose anti-TNF reduced levels of inflammatory mediators and cytokines including C-reactive protein (CRP), rheumatoid factor, TNF, interleukin-1 (IL-1), and interleukin-6 (IL-6). The effect was more apparent and developed earlier when patients were treated with a combination of anti-TNF and anti-IFNV, both at extra-low doses. The current study investigated the impact of the combination of drugs on cardiovascular events. It included 68 patients who had suffered from active rheumatoid arthritis for at least five years. Patients were randomised to receive the combination of anti-TNF and anti-IFNV plus standard disease-modifying therapy (38 patients) or placebo plus standard therapy (30 patients). During the three year follow up period the investigators monitored rheumatoid arthritis disease activity and cardiovascular events. Patients taking the combination of anticytokines had a lower rheumatoid arthritis disease activity score, as measured by the DAS28,2 and more dramatic decreases in IL-1, IL-6 and TNF than the group on standard therapy alone. The incidence of cardiovascular events (unstable angina, severe hypertensive crisis, and deterioration of chronic heart failure) was more than double in the group on conventional disease-modifying drugs alone (37%) compared to those also taking the combination of anticytokines (13%). Professor Babaeva said: "Our findings suggest that the decreased rheumatoid arthritis disease activity with the combination of anticytokines translates into decreased cardiovascular risk. Rheumatoid arthritis promotes the development of cardiovascular disease in a number of ways. Therefore, decreasing disease activity may also reduce cardiovascular risk by slowing down or halting these processes." For example, rheumatoid arthritis is associated with dysfunction of the blood vessel lining (called endothelium), which leads to lipid accumulation in the artery wall, plaque formation and atherosclerosis. Increased disease activity is also linked with a pro-coagulant state in which patients are more prone to blood clots and thrombosis. Patients with active disease have an increase in molecules that promote inflammation, which has been associated with an increased risk of cardiovascular disease. In patients with hypertension, target blood pressure was reached in 71% of those taking the combination of anticytokines compared to just 32% of patients on standard therapy alone. Professor Babaeva said: "This doesn't mean that the two drugs directly impact on blood pressure. But the combination can improve endothelial function and it could be that blood pressure is more stable when disease activity is low." "We found that the combination of two anticytokines containing extra-low doses of antibodies against TNF and IFNV can improve the efficacy of standard rheumatoid arthritis therapy and decrease cardiovascular risk," said Professor Babaeva. She concluded: "We do not think that all patients with rheumatoid arthritis should be treated with this combination. In patients with highly active disease, the standard biologics are better at preventing severe complications such as progressive joint destruction and/or systemic manifestations (vasculitis, uveitis, involvement of internal organs). We recommend this new approach for preventing cardiovascular events in patients with moderate disease activity who are not receiving the standard biologics and who do not have severe complications." Researchers at Umea University in Sweden have published new findings on the adaptation of the bacterial cell wall in the Journal of the American Chemical Society. The study reveals novel bacterial defence mechanisms against the immune system and how they can become resistant to antibiotics. Bacteria are surrounded by a mesh-like structure which, similar to an external skeleton, defines the cell shape and provides protection against external attacks. This remarkable polymer cell wall called peptidoglycan, given its basic composition of sugars and amino acids, is well known for being a major target of beta-lactam antibiotics such as Penicillin. Despite this structure having been the focus of extensive investigations on the long-lasting battle against bacterial pathogens (i.e. bacteria that cause infectious diseases), there is currently little understanding of its natural variability and the consequences of such changes on the ability of bacteria to adapt and survive in a threatening environment. "This new study provides a powerful pipeline which permits rapid identification and boosts knowledge of cell wall biology in bacteria and opens new avenues towards the development of taxon-specific antimicrobial strategies," says infection biologist Felipe Cava who led the study at the Laboratory for Molecular Infection Medicine Sweden (MIMS) and the Department of Molecular Biology at Umea University. One objective of the research at the Cava Lab is to find new treatment methods against infectious disease and resistance to antibiotics. The study will be of great importance to address fundamental questions on bacterial adaptation to environmental challenges such as to the natural occurring poly-microbial environments as well as to the parasitic, commensal and symbiotic relations with other organisms. In their recent publication, the researchers investigated the cell wall chemical diversity in the Alpha-subdivision of Proteobacteria, possibly the most abundant organisms in the world. This division includes the most common bacteria in surface water, as well as some plant, animal and human pathogens. The research resulted in finding unprecedented chemical modifications in the cell wall of certain bacteria which enabled them to adapt to their environmental niche. "We identified a novel peptidoglycan structure displayed by acetic acid bacteria, which are very relevant microbes in the food industry. One of these modifications occur in the diaminopimelic acid, a highly conserved amino acid in the peptidoglycan cell wall of Gram-negative bacteria. In addition, these species have devised an original way of crosslinking their peptidoglycan mesh, which is different to what has been described for other bacteria so far," explains Felipe Cava. The biological importance of the study is that these bacteria actually develop structural adjustments in their peptidoglycan layer to create better-fitted cell walls to live in, and colonise competitive environments. "We showed that these modifications are not purely decorative, but instead, empower acetic acid bacteria with a higher level of protection against lytic enzymes delivered by competing microbes. Also, we showed that the cell wall structure to a certain extent elicits an innate immune system response in the vinegar fly Drosophila melanogaster - a natural host for these bacteria," says Felipe Cava. Felipe Cava was appointed in 2013 as a Wallenberg Academy Fellow at the Umea University and is head of an independent research group at The Laboratory for Molecular Infection Medicine Sweden (MIMS), the Swedish partner in The Nordic EMBL Partnership for Molecular Medicine. Article: Chemometric Analysis of Bacterial Peptidoglycan Reveals Atypical Modifications which Empower the Cell Wall against Predatory Enzymes and Fly Innate Immunity, Akbar Espaillat, Oskar Forsmo, Khouzaima El Biari, Rafael Bjork, Bruno Lemaitre, Johan Trygg, Francisco Javier Canada, Miguel A de Pedro, and Felipe Cava, Journal of the American Chemical Society, doi: 10.1021/jacs.6b04430, published 23 June 2016. UNITED NATIONS, July 10 -- The UN Security Council said Sunday that several UN peacekeepers from China and Rwanda were killed or injured in attacks in South Sudan's capital Juba, which has been hit by escalating fighting lately. The exact number of casualties is not known yet. The 15-nation UN body issued a statement to condemn the attacks and express condolences to the families of the peacekeepers, following an emergency session on South Sudan, a closed-door meeting that started here Sunday afternoon. It warned that attacks against civilians and UN premises and personnel may constitute "war crimes" and assailants must be held accountable and could be subject to sanctions. The council urged an immediate end to the fighting in South Sudan, demanding President Salva Kiir and First Vice President Riek Machar do their utmost to control their respective forces and genuinely implement their peace agreement, "including the permanent cease-fire and redeployment of military forces from Juba." The council also encouraged regional countries, the African Union Peace and Security Council and the Inter-Governmental Authority on Development, to continue firmly engaging with South Sudanese leaders to address the crisis. The UN Mission in South Sudan (UNMISS), acting under a 2014 Security Council resolution, has 13,490 uniformed personnel, including nearly 1,200 civilian police, plus 787 international civilians and 1,215 local civilians assigned to it. The UNMISS' task is to protect civilians, particularly women and children against violence. This includes patrolling sites for displaced people and refugee camps and creating conditions for the delivery of humanitarian assistance. Earlier Sunday, UN Secretary-General Ban Ki-moon said he was "shocked and appalled by the heavy fighting" in Juba, and strongly urged the leaders of the youngest country in the world to "do everything within their power" to de-escalate the hostilities. "This senseless violence is unacceptable and has the potential of reversing the progress made so far in the peace process," Ban said in a statement issued here via his spokesman. The fighting in Juba started on July 7 between soldiers loyal to the president and the first vice president, and has killed at least 271 people. South Sudan gained independence on July 9, 2011 from Sudan after more than two decades of war. In December 2013, Kiir accused his deputy Machar of plotting a coup, which was denied by the latter but led to a cycle of retaliatory killings. In August 2015, Kiir and Machar, who was a former rebel leader, signed a peace deal which paved the way for the formation of a transitional unity government. Scientists have identified for the first time the 'cell of origin' - in other words, the first cell from which the cancer grows - in basal cell carcinoma, the most common form of skin cancer, and followed the chain of events that lead to the growth of these invasive tumours. Our skin is kept healthy by a constant turnover, with dying skin cells being shed and replaced by new cells. The process is maintained by 'progenitor' cells - the progeny of stem cells - that divide and 'differentiate' into fully-functional skin cells to replenish dying skin. These cells are in turn supported by a smaller population of 'stem cells', which remain silent, ready to become active and repair skin when it becomes damaged. However, when this process goes awry, cancers can arise: damaged DNA or the activation of particular genes known as 'oncogenes' can trigger a cascade of activity that can lead ultimately to unchecked proliferation, the hallmark of a cancer. In some cases, these tumours may be benign, but in others, they can spread throughout the body - or 'metastasise' - where they can cause organ failure. Until now, there has been intense interest in the scientific field about which types of cell - stem cell, progenitor cell or both - can give rise to tumours, and how those cells become transformed in the process of tumour initiation and growth. Now, in a study published in Nature, researchers led by Professor Cedric Blanpain at the Universite Libre de Bruxelles, Belgium, and Professor Ben Simons at the University of Cambridge, have demonstrated in mice how skin stem and progenitor cells respond to the activation of an oncogene. Their studies have shown that, while progenitor cells can give rise to benign lesions, only stem cells have the capacity to develop into deadly invasive tumours. The researchers used a transgenic mouse model - a mouse whose genes had been altered to allow the activation of an oncogene in individual stem and progenitor cells. The oncogene was coupled with a fluorescent marker so that cells in which the oncogene was active could be easily identified, and as these cells proliferate, their 'daughter' cells could also be tracked. These related, fluorescent cells are known as 'clones'. By analysing the number of fluorescently-labelled cells per clone using mathematical modelling, the team was able to show that only clones derived from mutant stem cells were able to overcome a mechanism known as 'apoptosis', or programmed cell death, and continue to divide and proliferate unchecked, developing into a form of skin cancer known as basal cell carcinoma. In contrast, the growth of clones derived from progenitor cells becomes checked by increasing levels of apoptosis, leading to the formation of benign lesions. Share on Pinterest The green-labelled cells show a basal cell carcinoma in mouse tail epidermis derived from a single mutant stem cell and expanding out of the normal epidermis stained in red Image Credit: Adriana Sanchez-Danes "It's incredibly rare to identify a cancer cell of origin and until now no one has been able to track what happens on an individual level to these cells as they mutate and proliferate," says Professor Blanpain. "We now know that stem cells are the culprits: when an oncogene in a stem cell becomes active, it triggers a chain reaction of cell division and proliferation that overcomes the cell's safety mechanisms." "While this has solved a long-standing scientific argument about which cell types can lead to invasive skin tumours, it is far more than just a piece of esoteric knowledge," adds Professor Simons. "It suggests to us that targeting the pathways used in regulating cell fate decisions - how stem cells choose between cell proliferation and differentiation - could be a more effective way of halting tumours in their tracks and lead to potential new therapies." This work was supported by the FNRS, TELEVIE, the Fondation Contre le Cancer, the ULB fondation, the foundation Bettencourt Schueller, the foundation Baillet Latour, the European Research Council, Wellcome Trust and Trinity College Cambridge. TORONTO , Oct. 25, 2022 /CNW/ - Most parents wish the world for their children on their birthday. But what if you were to instead wish for their survival? The David Foster Foundation shares a unique, heartfelt story of Evanne, a two-time ... Please complete this form and we'll send you a personalised information that is requested You may use this for your own reference or forward it to your friends. Please use the information prudently. If you are not a medical doctor please remember to consult your healthcare provider as this information is not a substitute for professional advice. Implantable Loop Recorder (ILR) or Insertable Cardiac Monitor is a small implantable device which monitors electrical heart activity and records the arrhythmias. It is a USB-sized device. Abnormal heart rhythm is called arrhythmia. There are many devices to evaluate the electrical rhythm of the heart. The Electrocardiogram (ECG) is a routine test which aids in the recording of the electrical activity of heart. ECG alone is not adequate in patients who experience arrhythmias less frequently. Holter Monitoring helps in the continuous electrocardiographic (the graphical record of electrical heart activity) recording for 24-48 hours or more. Cardiac event recorder is a portable external device, which helps to record the electrical activity of the heart whenever the patient experiences the symptoms. Cardiac event monitor helps to detect the arrhythmias, which occur less than daily. Implantable Loop Recorder (ILR) is an implantable device that can be used in patients with arrhythmias, which occur once in months or year. Advertisement ILR is useful in patients who present with the following symptoms and when ECG, 24 hour Holter monitor or a 30-day event monitor are not enough to capture the problem because of the less frequent occurrence. Recurrent Unexplained Syncope: Syncope is temporary unconsciousness. It can be due to multiple reasons. Cardiac causes of syncope are arrhythmias, obstructed blood flow within the heart, heart failure, myocardial infarction, diseases of the valves of the heart, cardiomyopathies, aortic stenosis or blood clot. The diagnostic tests like electrocardiogram and echocardiogram can help to detect the underlying cause of the cardiac syncope. ILR is useful in recurrent unexplained syncope. Syncope is temporary unconsciousness. It can be due to multiple reasons. Cardiac causes of syncope are arrhythmias, obstructed blood flow within the heart, heart failure, myocardial infarction, diseases of the valves of the heart, cardiomyopathies, aortic stenosis or blood clot. The diagnostic tests like electrocardiogram and echocardiogram can help to detect the underlying cause of the cardiac syncope. ILR is useful in recurrent unexplained syncope. Recurrent Palpitations: In patients with infrequent unexplained palpitations, ILR is safe and cost effective diagnostic option. Cryptogenic Stroke: ILR is found to be useful in patients with unexplained stroke (decrease in the blood supply to the brain) due to undetected atrial fibrillation. ILR is found to be useful in patients with unexplained stroke (decrease in the blood supply to the brain) due to undetected atrial fibrillation. Atrial Fibrillation: In patients with Atrial Fibrillation ILR can be used as a monitoring device. The battery life of the ILR is two years which gives ample time to detect the problem and hence it allows the physician to diagnose the condition to provide proper treatment. ILR records the electrical heart activity. It works by two ways. ILR monitors the heart rhythm and records any rhythm that crosses the set threshold parameters in the device. ILR records both the tachyarrhythmias (abnormal increase in the electrical heart activity) and bradyarrhythmias (abnormal decrease in the electrical heart activity). ILR also records the electrical heart activity when the patient triggers the patient activator. The patient should trigger the activator after placing it close to the chest and near the ILR. The patients are instructed to trigger when they experience lightheadedness, dizziness or skipped beats. The implantation of ILR is performed in the hospital. It is done under complete aseptic precautions and local anesthesia. The area left to the breastbone and below the collar is cleaned sterile. A local anesthetic agent is injected at the incision site. A skin incision of about 1-2 cm is made, and a pocket is created below the skin to hold the device. ILR is placed in the pocket; skin is sutured and dressed. It takes around 20-30 minutes to implant ILR. Advertisement The discharge is usually on the same day of the procedure. The doctor usually suggests the patient for a check-up after 1-2 weeks to make sure that the incision has healed. Later, the patient will be asked to visit once every three months.The device will be programmed to a threshold heart rate level to detect the low and high heart rates. It will be programmed to detect the arrhythmias. The device records when the heart rhythm reaches the set programmed parameters and also when the patient triggers the activator. The device check is called interrogation. Interrogation can be done at physicians office or remotely from the patients place. No special precautions are necessary. ILR does not interact with electronics like microwave ovens, laptops, washers, phones or metal detectors. It is suggested to carry an ILR Id card. The card helps when the patient travels and has to go through the metal detectors. Id card allows the doctor in the emergency room to find the abnormal recordings during the symptoms. ILR can be useful for a longer time. Once it is implanted, it can be a useful tool for around two years. In patients with arrhythmias that occur once in months or more, it can be the best diagnostic tool. Since it is an implantable device, the patient compliance issues are minimal. Russia This Week is a weekly review by the MEMRI Russian Media Studies Project, covering the latest Russia-related news and analysis from media in Russia, the Caucasus, Central Asia, and Eastern Europe. Cartoons Of The Week Vitaly Podvitsky, Vk.com/13studiya, July 8, 2016 NATO expands eastward, drawing closer to the Russian border. Vitaly Podvitsky, Vk.com/13studiya, July 9, 2016 An early Russian take on the Dallas shootings Zakharova Dixit Russian Foreign Ministry spokesperson Maria Zakharova is one of the most-quoted Russian officials. She is known for using colorful language when describing Russian foreign policy in her weekly press briefings. The following are Zakharova's quotes of the week: Briefing by Foreign Ministry Spokesperson Maria Zakharova from Crimea, July 7, 2016. Source: Mid.ru, July 7, 2016. "Are there specific examples of how Russia is undermining peace and order in Europe? What lies behind these words? Sweden claims that we are threatening them. The UK says we are a threat. NATO Secretary General Jens Stoltenberg says we are undermining peace and order. What are the specific examples? Show them to us - and we will work on them." (Mid.ru, July 7) "We see everything that NATO is doing. We are responding to it, saying that this is not our choice. If there is a feeling that Russia poses some threat, let's create new formats to discuss this if the existing ones are insufficient. Meanwhile, we have the Russia-NATO Council that was blocked altogether for a long time and is just gradually resuming its work. We have a permanent mission at NATO in Brussels and it is also ready to analyze all information jointly, although the headquarters restricted the movements of the mission's employees and reduced contacts to a minimum." (Mid.ru, July 7) "We took note of a lengthy report by the Defence Committee of the House of Commons released on July 5 and titled 'Russia: Implications for UK defence and security'...Given the logic used by the authors of this document, it causes fairly mixed feelings. On the one hand, the authors are caught up in stereotypes they have fallen for, and included several tired theses about Russian aggression in Ukraine, threatening behavior toward NATO members, propaganda and misinformation, etc. On the other hand, they state the unprecedentedly poor state of Russian-British relations (which is true, and we officially state that), and call upon the UK government to resume a dialogue with Moscow as soon as possible in order not to risk the occasional appearance of conflicts which can be avoided by improving information exchange... However, the authors call for not only keeping the existing EU sanctions intact, but even expanding them. Notably, they made a shamefaced disclaimer that due to the Brexit vote, the UK's ability to push through this decision will be put to the test." (Mid.ru, July 7) Quote Of The Week: Russian Deputy Prime Minister Dmitry Rozogin, who is in charge of the defense industry and served as Russia's ambassador to NATO until 2011, explained his views on NATO while visiting Tiraspol, Moldova's second largest city, and the capital of the unrecognized Pridnestrovian Moldavian Republic (Transnistria).. Rozogin said: "One by one, they are normal guys, but when they gather together - they are devil incarnates. It is a relic of the Cold War. What they are doing now toward Russia, its allies, its partners - this is really going too far. They are openly building up not as much military capabilities as military rhetoric...There is in fact nothing behind this apart from the selfish interest to justify its own existence. NATO is not a useful organization. NATO should have been dissolved long ago, and the Russian president talked about it...When new difficulties appear in international relations now, they openly enjoy it, they are glad that they can show that someone needs them... In principle, this organization was set up exclusively for conflicts, for wars, for aggravating the situation" (Tass.ru, July 6) Russian Deputy Prime Minister Dmitry Rozogin (Source: Tass.ru) In The News: Russia-NATO Relations NATO-Russia Council Commenting on the next NATO-Russia Council at the permanent representatives level scheduled for July 13, Senator Franz Klintsevich, the first deputy chair of the Russian upper house's Committee on Security and Defense, said: "I personally do not expect any concrete results from the NATO-Russia Council meeting. In this case, we are heading to it with inferior luggage. It is obvious that Russia cannot be not disturbed by, to put it mildly, unfriendly gestures by the alliance in the former Warsaw Pact states." (Rt.com, July 2) The NATO Summit In Warsaw July 8-9 On July 8, 2016, NATO's two-day Warsaw Summit began. The summit represented an opportunity to define NATO's policy vis-a-vis Russia. (See MEMRI Special Dispatch No. 6514, NATO July 8-9 Summit In Warsaw: Russian Envoy To NATO Grushko Calls NATO 'Military Methods' An Attempt To Create New Dividing Lines In Europe, Make European Countries Dependent On The U.S., July 8, 2016; MEMRI Special Dispatch No. 6510, One Day To The NATO Summit In Warsaw, Russian Reactions To UK Parliament's Report On British And NATO Relations With Russia, July 7, 2016; MEMRI Special Dispatch No. 6507, Two Days To NATO's Warsaw Summit, Pro-Kremlin Think Tank Valdai Club's Expert Warns: 'Western Support... To Anti-Russian Political Forces In Belarus, Armenia And Azerbaijan Would Lead To New Crises Like That In Ukraine', July 6, 2016; MEMRI Special Dispatch No. 6505, Three Days Before NATO's Warsaw Summit, Russian Envoy To NATO Grushko: NATO Transformed 'Central And Eastern Europe Into An Arena Of Military Confrontation', July 5, 2016; and MEMRI Special Dispatch No. 6503, Four Days To NATO's Warsaw Summit, Putin Tells Meeting Of Russian Diplomats: 'Today, NATO Seems To Be Making A Show Of Its Anti-Russian Stance', July 4, 2016) Russia To Respond If Finland Joins NATO On July 1, Russian President Vladimir Putin visited Finland. In that occasion, Putin said that Russia would respond if Finland joins NATO. Putin said: ""Do you think we will continue to act in the same manner [if Finland joins NATO]? We have withdrawn our troops 1,500 [km from the border]. Do you think they will stay there?" Commenting on Putin's statement, Russian Foreign Minister Sergey Lavrov mentioned possible Russian reactions if Finland joins NATO: "Clearly, if the infrastructure of an organisation that refers to you as an enemy is getting closer to your borders, you have to respond. We have enough expertise in this area. I'm confident that the Defence Ministry and the General Staff know exactly what to do. Again, we believe that our relations with Finland are as good as ever. We hope that our Finnish neighbours will not succumb to the temptation to join anti-Russian actions." (Mid.ru, July 4; See MEMRI Special Dispatch No. 6502, Russia This Week - June 27 - July 4, July 4, 2016) Russia-Sweden Relations During Sweden's Almedalen Week, an annual political festival (July 3-10), Swedish Defense Minister Peter Hultqvist said that Russia is using "various methods to try and weaken Europe". The head of Sweden's military intelligence and security service, Major General Gunnar Karlsson, also spoke at the event, saying that Russia would "benefit from and would like to see a split within the EU, and also NATO". Sweden's Supreme Commander of the Armed Forces, Micael Byden, also spoke of Sweden's relationship with Russia, voicing his concern about a number of near-collisions in the past years between Russian military jets and Swedish aircraft. Byden said: "There are examples when we flew close to each other. One mistake can mean an accident that can be used improperly or maybe I have information that could solve the issue but it does not reach [its destination]." Commenting the Swedish official's statement, Zakharova said: "We were perplexed by the statement made by Supreme Commander of Sweden's Armed Forces Gen. Micael Byden about Russia being the biggest military threat to Sweden at the annual Almedalen political week...One gets the impression that many Western countries are part of a well-orchestrated effort to accuse Russia almost daily of posing a threat to someone...As for Sweden, we hear such statements regularly and in different contexts...Ultimately, all the stories about Russian subs proved to be simply the inventions of Swedish military officers, like the universally known tale about the Loch Ness Monster but this time [set] in Sweden. Not a single story was confirmed. Needless to say, it is deplorable that Russophobic statements coming out of the Swedish military - all haunted by a phantom Russian threat - are becoming routine...Let me repeat that if our Swedish partners have concerns over the so-called 'Russian threat,' we are always ready to discuss them in a direct dialogue on all issues that arise. Regrettably, the Swedish side is deliberately avoiding a businesslike, substantive discussion, resorting instead to public diplomacy that is not being used for its designated purpose. This is not public diplomacy but using the media for propaganda." (Thelocal.se, July 7, Mid.ru, July 7) Confidence Building Measures In The Baltic Region During Putin's visit to Finland, the Finnish President Sauli Niinisto recommended enhancing confidence building measures in the Baltic region. Putin said: "The Finnish president [Sauli Niinisto] came up with the proposals today on the first steps aimed at enhancing confidence and preventing conflicts [in the Baltic airspace]. I have already said that I agree with this... We welcome the Finnish President's proposal [to ban flights over the Baltics with transponders off]. Upon my arrival back in Moscow I will order the Foreign and Defense Ministries to raise this matter at the upcoming Russia-NATO Council meeting, which is to take place after the Russia-NATO summit in Warsaw." On July 2, Minister of Defense Sergei Shoigu ordered the defense apparatus to present recommendations on building such confidence measures. In an official statement the Ministry of Defense stated: "According to the President's orders, the Minister of Defense orders the preparation of recommendations on building confidence [trust] measures, which will enhance the safety of aviation activity in the Baltic Sea region. Specifically, the work is being performed on organizational and technical measures, including the use of transponders by the military aviation". During Putin's visit to Finland, the Finnish president recommended to Putin to ban military aviation flights without transponders. (Ria.ru, July 2; See MEMRI Special Dispatch No. 6502, Russia This Week - June 27 - July 4, July 4, 2016) Commenting on the confidence measures in the Baltic, (ret.) Colonel Igor Korotchenko, editor-in-chief of the Russian National Defense magazine, said: "This decision reiterates Moscow's intention to decrease the military tensions with NATO and to provide confidence and transparency in military activities so as to minimize possible incidents in the Baltic airspace." Korotchenko added that he would like to hope that NATO countries would act as responsibly as Moscow. (Ria.ru, July 2) The president of the Academy of Geopolitical Problems, Konstantin Sivkov, mentioned that by using transponders, Russian military aircraft will increase security along Russia's borders but NATO would not reciprocate on the issue since it is interested in continued tensions. He added that the U.S. would seek to keep its aircraft along the Russian borders unidentified. Sivkov said: "[If we use transponders] no one is going to accuse us of violating foreign airspace; safety and security will increase and this in turn will decrease tensions in the airspace. Furthermore, if previously we had to scramble our aircraft in order to identify the air target, now we will know for sure that it is an American reconnaissance aircraft. It's very undesirable for the U.S." (Ria.ru, July 2) Commenting on the same issue, Mikhail Nenashev, captain first-rank and Chairman of the All-Russian Movement for Supporting the Fleet, said: "NATO's and America's conduct is about straightforward provocations, and thus all the technical deterrence, security and safety measures are not the first priority. The priority is NATO's policy to increase tensions." (Ria.ru, July 2) The Baltic Fleet - Vice Admiral Alexander Nosatov Appointed Acting Commander Of The Baltic Fleet In June, Russian Defense Minister and Army General Sergei Shoigu fired Baltic Fleet Commander Viktor Kravchuk, his chief of stuff Rear Admiral Sergey Popov and more than fifty high-ranking fleet officers, including other admirals and captains first class. According to the Russia media outlet, Fotanka.ru, this is the first time that a massive firing of officials has been publicized. According to the official statement Kravchuk and Popov were penalized for "serious shortcomings in organizing combat training, daily activities of their units, poor care of their subordinates as well as misrepresenting the real situation in their reports." On July 1, Russian Defense Minister Sergei Shoigu announced that he had appointed Vice Admiral Alexander Nosatov to the post of Acting Commander of the Baltic Fleet. Until recently Nosatov commanded the Black Sea Fleet headquarters and also headed the Naval Academy. Viktor Litovkin, a military observer from the TASS news agency and a retired colonel, said: "All those involved in the Baltic Fleet will be replaced. They will all be demoted and sent to the Northern Fleet and the Pacific Fleet and other places." (Rbth.com, July 4; See MEMRI Special Dispatch No. 6502, Russia This Week - June 27 - July 4, July 4, 2016) Makeup of Baltic Fleet Command Forces (Source; Themoscowtimes.com, July 6, 2016) Russia-Moldova Relations - Russian Deputy PM: Moldova May lose Transnistria Due To Rapprochement With Romania Russian Deputy Prime Minister Dmitry Rogozin said in an interview with the Kommersant daily after his visit to Moldova: "The fact remains, it is obvious even to the fiercest supporters of unification with Romania, that if Moldova takes a step towards Romania, the Transnistria region will fall off at this steep turn... [If Moldovans want to join Romania] then they had better let the Transnistrian region go amicably, and if they do not want to go to Romania, if they want to remain a sovereign state, a smart state that will protect all its citizens, then it will take years, but those years will not be in vain as they will be spent on restoring territorial integrity." (Tass.ru, July 8) Putin Signs News Anti-Terror Law On July 7, Putin signed the Federal Law On Amendments to the Federal Law On Counterterrorism and Certain Legislative Acts of the Russian Federation and the Federal Law On Amendments to the Russian Federation Criminal Code and the Russian Federation Criminal Procedural Code Re: Introduction of Additional Measures to Counter Terrorism and Guarantee Public Security. The Kremlin's website reported: "The Federal Law expands the list of terrorism-related crimes for which legal liability begins at age 14. The Law also toughens criminal penalties for crimes of a terrorist or extremist nature and clarifies the definition of financing terrorism. The Law adds to the Criminal Code the article Failure to Report a Crime, and the article Act of International Terrorism, establishing the act of carrying out beyond Russia's borders an explosion, act of arson or other action that endangers the lives, health, freedom and inviolability of Russian Federation citizens, seeks to disrupt the peaceful coexistence of nations and peoples, or is directed against the Russian Federation's interests as a separate crime. The Law also makes several amendments to the Criminal Procedural Code." Human rights groups and Russian businesses have criticized the new law. Rt.com reports that the "bill also requires communications companies to surrender encryption keys to state security agencies on demand, allowing them to read encrypted data. Non-compliance could cost companies between 800,000 and 1 million rubles ($12,300 - $15,400) in fines." Business ombudsman Boris Titov asked Putin not to sign the bill. Titov said: "According to expert estimates the ensemble of solutions does not correspond to its declared objective and besides, data collecting, recording and processing contain the potential risks of huge leaks that will harm civil servants, entrepreneurs and other Russian citizens," The law will come into force on July 20. It was formulated by Irina Yarovaya, a legislator from the ruling United Russia Party and Federation Council member Viktor Ozerov. Yarovaya is behind several controversial laws including laws that criminalize acts that offend religious beliefs, allow police to fire at crowds, ban drug users from entering employment ranks and require NGOs, who receive foreign funding, to register as "foreign agents." (Kremlin.ru, July, 7; Rt.com, July 7; Themoscowtimes.com, July 7) Russia Welcomes Possible Marine Le Pen Visit To Crimea The chair of the Russian State Duma's Defense Committee, Admiral Vladimir Komoedov, invited Marine Le Pen, the president of the populist French National Front party to Sevastopol to celebrate Navy Day. Komoedov's press release stated: "If the 'rightists' and 'leftists' have an identical goal, i.e. the prosperity of their own homeland, then 'leftists' may be much closer to the 'rightists' than to the 'sluggish centrists,' who promote liberal European values as an ultimate good". (Gazeta.ru, July 5) Commenting on Komoedov's invitation to Marine Le Pen, Zakharova said: "Our embassy passed the invitation to Marine Le Pen's office. We know she received it, but it is too early to talk about her reaction. We welcome any contact that can help show the real situation in the Republic of Crimea to the Western public, including the European public." (Mid.ru, July, 7) Tit-For-Tat Diplomatic Expulsions In scenes reminiscent of the Cold War, the U.S. and Russia expelled each other's diplomats. The Americans claimed that the action was provoked by Russia's policy of hounding and shadowing American diplomats in Moscow including an altercation at the entrance to the American embassy in Moscow. Russia maintained that the Americans were not innocent diplomats but espionage agents. Zakharova scoffed: "A simple incident from the life of an ordinary CIA official was turned by our American colleagues into an attack by a Russian policeman on an inoffensive American diplomat. We have outlined all facts, everyone may make their own conclusions." (Tass.ru, July 9) Russian Deputy Foreign Minister Sergey Ryabkov blamed the Obama administration for the incident and the general unraveling of U.S. Russia relations: "[Authorities] in Washington resort to specific actions, further exacerbating the atmosphere of bilateral relations, which the Obama administration has methodically been crushing for more than two years now, including attempts to undermine our economy and military threats... "We do hope that Washington will come to realize the depravity of an aggressive anti-Russian line of action. If it decides, however, to move further along the path of escalation, [such policy] will not remain unanswered." (Rt.com, July 9) Russia In Syria Russia is to deploy new Katran Ka-52K naval helicopters in Syria. Russian aircraft carrier Admiral Kuznetsov will take on board state-of-the-art deck-based Ka-52K Katran helicopters. The new choppers are intended to be employed in military operations in Syria between October 2016 through January 2017. (Pravda.ru, July 3) On July 8, 2016, the Islamic State's (ISIS) Homs province published an official communique announcing that organization members managed to down a Russian attack helicopter east of Tadmur (Palmyra) and kill its crewmembers. (See MEMRI Special Dispatch No. 6515, ISIS Takes Responsibility For Downing Russian Attack Helicopter East Of Palmyra, July 10, 2016) Russian Reactions To Brexit Commenting on Brexit, RIA's columnist Vladimir Lepekhin writes: "From my perspective, the growing disintegration wave in Europe stems from the same global phenomenon. This is the beginning of reformatting the current world order, which implies radical mass protests as the means of current politics. The results of these protests are either national referendums (in the Western countries) or a political coup and civil war (in peripheral countries)..." (Ria.ru, July 4) (See also MEMRI Special Dispatch No. 6497, Russian Reactions To Brexit, June 29, 2016; See also MEMRI Special Dispatch No. 6460, "Director Of Russian Think Tank Valdai Club Programs: Moscow Has Much To Lose From Brexit," June 7, 2016) Strange But True FSB Cadets Violate Traffic Laws While Parading With Luxury SUVs FSB cadets graduating the academy drove 28 2016 Mercedes-Benz G-Class, a mid-size four-wheel drive luxury SUV, and toured Moscow, while violating traffic laws and capturing their actions on video. Commenting on the FSB cadets' actions, former FSB major-general Aleksandr Mikhailov said: "The cadets have breached every intelligence and counterintelligence rule at the very beginning of their career". (Source: Echo.msk.ru, July 2) Russia's Federal Communication Regulator Blocks Websites Calling For Duma Election Boycott Russia's Federal Communication Regulator Roskomnadzor blocked four websites that advocated a boycott of the upcoming State Duma elections. Roskomnadzor stated that the request to block the sites originated with the prosecutor-general's office. Roskomnadzor amplified on its website: "Reasons [for blocking the websites] include the publication of propaganda material intended to promote among the Russian people the idea of boycotting the State Duma elections." Roskomnadzor also believes these sites attempted "to coordinate actions by citizens in organizing protest actions that violate established laws." (Themoscwtimes.com, July 8) On July 1, 2016, the Iranian regime marked the 37th Qods (Jerusalem) Day, as it has since Ayatollah Ruhollah Khomeini, the founder of the Islamic Revolution in Iran, designated it on the last Friday in Ramadan. In addition to the regime's usual messages stressing the need for the annihilation of the State of Israel, this year antisemitic messages stood out in statements by senior Iranian officials, in newspapers such as the regime mouthpiece Kayhan, and on signs carried in regime-organized marches. This report will focus on these antisemitic messages: 'Kayhan': The Character of the Jews And The Myth of the Holocaust In its editorial on June 30, the eve of Qods Day, Kayhan, which is affiliated with Iran's Supreme Leader Ali Khamenei, discussed the circumstances that led to the state of the Jews, Israel, depicting the Jews as cheating, cunning, subversive, racist, plundering, and satanic, and as exploiting the "myth of the Holocaust" in order to steal Islamic lands. The newspaper stated that the enemies of Islam had invented an entity out of riffraff from ethnic groups under the guise of the Jewish religion, so that the Jews could enslave the world. It argued that the European states, headed by England and America, had agreed to the Jewish plot not only because they wanted to expel their own Jews, which were an element for subversion and espionage, but also because they wanted to use them as a perpetual deterrent to the rise of Islam. The following are the main points of the editorial, "A Patch [also a reference to the yellow patch] That Doesn't Belong," with the byline of Mohammad Hadi Saharai: "About 70 years ago some of the countries, primarily the European ones, with the support of the then-young America, spoke of and advocated the establishment of a state [for the Jews], and even ratified this, while the international community did not yet understand what was about to happen. "The establishment of a Jewish state for the world's Jews involved a litany of lies [a reference to the claim that the Holocaust was a myth], which successfully duped [the countries that voted in favor in the UN vote] and led to the establishment of a state that would lead the Jews from a miserable life to a still more miserable life. But the reality was more dangerous than it seemed, and it is impossible to remain silent about it. "Perhaps one of the questions that preoccupied the Imam [Ayatollah] Khomeini and the martyr Ayatollah [Morteza] Motahari, because they had more foresight than others, was: How is it that the Europeans, who up to that time had vexed and harassed the Jews and considered them parasitic, are now thinking of establishing a state for them and are concerned about their existence? Countries that for years had wearied of the Jews' subversion and clandestine activity, and that sometimes attacked them on various pretexts, and that even now are calling them, and depicting them in their symbolism, as mice because they are cunning and diabolical, suddenly saw an advantage in helping them. How did this come about? "The myth of the Holocaust, although a figment of the Jewish historians' imagination, grew in part from the roots of social reality. Although the Auschwitz crematoria and the rest are a figment of the Jews' imagination, this great lie emerged from the Europeans' hatred of the Jews. Without such negative thinking and views vis-a-vis this people, it would have been inconceivable, and it would have been impossible to tell such a huge lie. "This writer believes that in every people there is both good and bad, but still believes that there are peoples with certain pronounced traits. Corroboration for this [position] can be found in the Koran as well, which describes the rage of the Hijaz Arabs before the emergence of Islam as a sign that this trait was found in most of them. Or, for example, the Jews' [trait of] stiff-neckedness - [indeed,] the Koran states, several times [regarding the Jews] that a mark of shame accompanies them and that the wrath of God rests upon them. "The question is: Has the Jewish religion changed, or has the nature of the Jews changed, so that the Europeans began to think about [the benefit of] their enemies? On the other hand, it is inconceivable that the policy of the world powers has changed. So what is the story [behind] their vote to establish this Jewish state, when Britain had abstained in the [1947] vote to establish this entity [Israel]? In effect, this is the policy of the 'Old Fox' [i.e. Britain], whose policy is always spreading discord [in order to continue to rule]... "The establishment of the Zionist regime [a mere] three years after America's successful nuclear missile operations and after that country's nuclear attack on Hiroshima and Nagasaki is another point that must be considered - it may be America's power that forced countries to vote for Israel. [It is this] power that made it possible for a dagger called Israel to penetrate the Islamic world and cause its body [to suffer] 70 years of pain. "[This] state comprised a small group of Jews who were long-time local [residents who originated from] the [now-]occupied territories, together with many immigrants from England, America, the Soviet Union, Poland, and other places, who strove to present a homeland because of their greed for a greater Hebrew state, their desire to escape misery and wretchedness, and their humiliation under the rule of others, and who [therefore] immigrated to the mirage of this country. This immigration led to the theft of the Palestinians' land and the establishment of the openly terrorist state of the Children of Israel. The crimes of Sabra and Shatila, of Qana, and of Deir Yassin, of the 22-day [2008-9] Gaza war, and of the 33-day [2006 Lebanon] war - all these comprise chapters in the annals of the crimes of strife-instigating and criminal [Jewish] people. "The enemies of Islam drew the Jews to Palestine from every place in the world, and they pinned together diverse ethnic groups with different languages and customs, who had only the religion of the Jews in common, so that they might intermingle - and that they might, in this way, enslave the world more than in the past. "They created a history for this wandering people, and manufactured heroes. They distorted and falsified the reality that they could not eradicate - this is their area of expertise. [The enemies of Islam] disseminated all this deceit via outlets such as Hollywood, the satellite channels, magazines, and so on, and fed [it all to] the public. They retold the lie with such enthusiasm that even those who were lied about believe it. They created the myth of the Holocaust in order to attract economic investment, and conquered Islamic lands using the same lies they tell about the European Christians; to this very day, they extort a stipend for this from European countries such as Germany, England, and Poland, and so on, and every year they demand reparations from them. "Although the main reason behind Europe's and America's support for the establishment of Israel lies in their desire to be rid of their [the Jews'] subversion via commerce, espionage, trade in antiquities, disruption of norms, and usury, the most important reason of all was [the desire] to create a dogmatic, merciless, racist, rapacious, and cunning base on the Islamic lands, called Israel, that would constitute deterrence in the world to counter a growing Islam, and so that they could cause the Muslims to perpetually fear this satanic dog. "This measure, although it came... a few decades after the Sykes-Picot agreement that dismantled the power of the Islamic world, nevertheless attests to the connection between the events, because of the power of the permanent actors [i.e. the superpowers] who have been operating ever since [Sykes-Picot]. "These politics, which are known to be dirty, are English politics, whose sources are drawn from the blood of the innocent and the oppressed... and which is based on the doctrine of Satan. The same politics that uproots people in order to implement a certain policy... degrades people in order to instate a tyrannical [Jewish] people, executes countless children, and captures many women in order to remove a nuisance to an invading people. The crimes of Israel, America, and England are too numerous to count. Few events in the Islamic world do not bear the fingerprints of the three [members] of [this] axis of evil. This axis of evil is also tied to the arrest of the Shi'ite Sheikh Zakzaky... in Nigeria, the execution of Sheikh Nimr [by the regime] in Saudi Arabia, the arrest of Sheikh Ali Salman, and, later, the revocation of the [Bahraini] citizenship of Ayatollah Isa Qassim [by the authorities there]. "How wonderful it is that 37 years ago, the Imam Khomeini called the last Friday of Ramadan Qods [Jerusalem] Day, so that the courageous ones across the world can, while fasting, ask God for the death of these three Satans, and shout and demand the removal of this patch that does not belong, which constitutes a cancerous growth and Satan's artery of life - that is, Israel - in order to save humanity from it."[1] Iranian Official: "God Removed His Blessing From The Jews, And Today There Are Only A Few Tens Of Millions" Mohsen Rafighdoost, who served as minister of Iran's Islamic Revolutionary Guard Corps (IRGC) during the 1980-8 Iran-Iraq War and who today heads the Noor Foundation, explained in his June 29 Qods Day address that "wealthy Jews" had attempted to actualize the dream of the greater Jewish state "from the Nile to the Euphrates" and to plunder the area's natural resources. Denying that he was against the Jews, "but merely the Zionist segment of them," he went on to depict the Jews as usurpers and plunderers throughout history. Following are excerpts from his comments: "God removed His blessing from the Jews, and today there are only a few tens of millions of Jews in the world. I will remind you that we do not oppose Jews, but merely the Zionist segment of them. "After 300 years of Zionist [sic] activity, eventually, some 70 years ago, they managed to occupy an Islamic state and instill a false regime called Israel. This created an atmosphere that attracted wealthy Jews from around the world to come to Israel and fulfill two dreams. The first was, they were told that the Jewish state would be large, from the Nile to the Euphrates; the second was to plunder the natural resources in this area of the Middle East, which is rich in them. "This issue was developed by free Zionist movements with the wars in '67 [the Six Day War] and '73 [the Yom Kippur War], but [Iran's 1979] Islamic Revolution replaced the Israeli Embassy in Tehran with a Palestinian Embassy, thus sounding the final chord of this advance... "Several years after the victory of the Revolution, Hizbullah was created, and Israel suffered defeat at its hands in two wars [1982-2000 and 2006], to the point that all their illusions regarding 'a greater Israel' from the Nile to the Euphrates dissipated. Initially they tried to surround themselves with a wall, but after the 33-day war [the 2006 Lebanon War], according to our information, immigration to Israel ceased, and people even began emigrating [from it]. "Israel wanted some of the wealthy Jews to come to it also, as well as Jews from other countries like Ethiopia, in order to increase its population. After Israel's defeat by Hizbullah, which was a great event, Israel [also] suffered a defeat in Gaza, although [the latter is] a small area, and the most densely populated in the world. "After a series of defeats, the flow of immigration reversed entirely, and therefore the Zionist regime [now] taxes emigration [from Israel]. Eventually, they said: Anyone who wants to emigrate from Israel must leave behind all their possessions, and [only] then can they leave. Despite this, people still volunteer to do this. "Mr. [Khamenei] was right when he said, 'If the state [of Israel] cannot create deterrence like it did in the Six Day War, it will not manage to exist in the region'... We are currently fighting courageously in Syria against the Islamic State (ISIS), [but] we are actually not fighting ISIS in Syria [at all] - [we are fighting] Israel and America. "ISIS is an ugly phenomenon, much like the Taliban and Al-Qaeda - which were created by America. But these terrorist groups will become a problem for them [America and Israel], as has happened thus far. If today we are fighting in Syria and protecting a certain movement, it is because [they] do not want us to reach 25 years [the time limit set by Khamenei for Israel's continued existence] and Israel will [indeed] be destroyed."[2] Khamenei Advisor In IRGC: "Qods Day Is The Start Of The Countdown To The End Of The False Occupying Zionist Regime" On June 30, 2016 Gen. Yadollah Javani, Khamenei's advisor in the IRGC, said: "Tomorrow is Qods Day - a day when we raise our voices against the main violators of human rights, a day when we call for death to the evil ones, a day when we voice our heartfelt cries over the child murderers who have occupied the Palestinian lands and Jerusalem... "Thirty-seven years ago, the Imam Khomeini named the final Friday of Ramadan 'Qods Day'... thus burying in history, once and for all, the infantile Zionist dream of establishing a regime from the Nile to the Euphrates in the heart of the Islamic lands. This strategic move has become a roadmap for the increasing strengthening of the 'resistance front' and the waning of the 'camp of compromise [with the West].' This roadmap has prepared the ground for the unifying of all the potential in the Islamic world to liberate Jerusalem... Qods Day is the start of the countdown to the end of the false occupying Zionist regime... "Thirty-seven years ago, global Qods Day gathered the scattered energies in the Islamic world, thus setting a strategic siege on the child-murdering and occupying Israeli regime and its supporters in the West and in the region... Now, the anti-Zionist resistance camp in the region has become invincible... in the shadow of the guidelines and strategies of [Leader] Khamenei, and on the horizon we already see the signs of the liberation of Jerusalem and the collapse of the Zionist regime... "Now, the sword of the Islamic ummah is in the powerful hands of the resistance; soon it will slice the shameful artery of the occupiers of Palestine and Jerusalem. This day is undoubtedly near... The day is nigh when the policy and strategy of eradicating Israel from the world and restoring the nomadic and oppressed Palestinian people to its main homeland will come true."[3] IRGC Statement: "In Light Of The Guidelines Of... Khamenei, And In Light Of His Support, We See Signs Of The Liberation Of Jerusalem And The Collapse Of The Zionist Regime" On June 30, 2016, the IRGC issued a Qods Day statement noting: "The signs of the liberation of Palestine and the collapse of the Zionist regime [are already] appearing, and in another 25 years Israel will disappear from the map of the region. "Thirty-seven years after the Imam [Ayatollah Ruhollah] Khomeini instituted Qods Day, the internal capabilities and energy of the Islamic world are stronger than ever - so much so that the child-murdering Zionist regime has been pushed into a strategic siege in which all the ruling Zionist regime's efforts to prevent the global wave of anti-Zionism are fruitless. "The ideal of the liberation of Jerusalem and the oppressed Palestinian nation from the usurping Zionists, in light of the words and guidelines of [Iran's] Islamic Revolution, has made the anti-Zionist resistance front invincible, and has [transformed it into] the iron fist of the Islamic ummah against the camp of compromise [with the West] and the false murderous Zionist regime... "The resistance, and the third intifada, have made the occupied lands an unsafe and frightening place for the occupiers of Jerusalem, and have instilled dread [in the Zionists]... "The issue of Palestine continues to be a top priority for the Islamic world. Israel is the No. 1 enemy of regional and global security, and the Zionist regime's Satanic dreams of realizing a 'greater Middle East' and extinguishing the flames of the Palestinian resistance and intifada are fading. In light of the guidelines of the leader of the Muslims, the Imam Ali Khamenei, and in light of his support, we see the signs of the liberation of Jerusalem and the collapse of the Zionist regime, and, by the grace of God, in 25 years, this tree of evil and this cancerous growth [i.e. Israel] will disappear and be uprooted from the map of the region."[4] Images From Qods Day Marches In Iran Iranian President Hassan Rohani in Tehran Qods Day march. Signs in the background feature swastikas incorporated into the word "Israel" (Fars, Iran, July 1, 2016) Effigy of the Statue of Liberty with a Star of David crown at a march (Tasnim, Iran, July 1, 2016) Saudi King Salman, ISIS leader Abu Bakr Al-Baghdadi, President Obama, and Israeli Prime Minister Netanyahu hanged in effigy (IRNA, Iran, July 1, 2016) Burning Israeli and American flags, along with images of President Obama, Saudi King Salman, and Israeli Prime Minister Netanyahu (ISNA, Tasnim, Iran, July 1, 2016) Burning a coffin covered by Israeli flag featuring images of Saudi King Salman with vampire fangs (Tasnim, Iran, July 1, 2016) Endnotes: JUBA, July 11 -- Gunfire erupted again in Juba, capital of South Sudan, on Monday, raising fears of an escalation in fighting between soldiers loyal to President Salva Kiir and those supporting First Vice President Riek Machar, according to media reports. At least 271 have been killed in the fighting since its eruption on Thursday, and the United NationsSecurity Council has urged the rival leaders to rein in their forces and end the violence. In an address broadcast on the Palestinian Authority TV channel on June 23, Palestinian cleric Sheikh Imad Hamatu said that while the Americans had killed 27 million Indians when they entered America, over 40 million Africans whom they carried off to the American colonies, and hundreds and thousands in Nagasaki and Hiroshima, the Muslims are not terrorists. "The Prophet Muhammad carried out 27 raids and 38 attacks," said Hamatu, in which "there were only 1,284 casualties," most of whom were from among the Muslim army. Following are excrpts Imad Hamatu: What did Hitler say to Stalin in World War II? He said: No problem. A million Germans died in battle over in your country, and a million Russians died over here. What matters is who will have the last laugh. A million... He said the word as casually as can be. A million people... A million of yours and a million of ours. How many Russians were killed in battle? 27 million. Allah Akbar. And the Germans? 5.5 million. Allah Akbar. What is this? These mass murderers do not care about the figures. For them it's good news. 27 million... 5.5 million... Allah Akbar. What is this crime? God sends all the angels so that one person will convert to Islam. But the criminals of the world do not care about the number of humans [killed]. Brothers, this is a terrifying thing. It is terrifying that millions of human beings... How many people did the world lose in World War I? 11 million. And in World War II? 55 million. How many Indians did the Americans kill when they entered America? Some 27 million. How many Africans did the Americans carry off, only to die at sea? Over 40 million were killed when they reached the American colonies. Who was responsible for Nagasaki and Hiroshima? Hundreds of thousands were killed there. The Muslims are not terrorists. The Muslims are not terrorists. The Muslims deliver mercy to the people. [...] Dr. Muhammad Imara published a very important study on the number of raids and of attacks carried out by the Prophet Muhammad, and the number killed in them. What were the findings of the study? It found that the Prophet Muhammad had carried out 27 raids and 38 attacks. In other words, the Prophet Muhammad carried out over 60 raids and attacks. How many people were killed in them? There were 1,284 casualties. In all the raids of the Prophet Muhammad and all his battles - how many were killed? Only 1,284. This included 1,000 Muslims and 284 of their enemies. Allah Akbar. [...] Priyanka Chopra and Kylie Jenner are two beautiful gifts to mankind by Mother Nature, right? And if you are a fan and you thought that there was just one version of our very own PeeCee, then you are so damn wrong! God was extra kind when he bestowed upon us the benign pleasure of having more beauty around us. Meet Navpreet Banga, a Vancouver-based fitness blogger who perhaps shares the gene pool with Priyanka Chopra and Kylie Jenner both! She kicks ass all the time and her amazing YouTube channel Browngirlifts is a treasure chest of motivation and fantabulous videos made by her where you can see her lift weights like they are feathers. When she is not working out, she is making this world go insane over the fact that how MUCH she resembles Priyanka Chopra! Since she also freaks herself out over her uncanny resemblance, she even has a tattoo on the side of her arm, making her a Piggy Chop clone. She can easily shift to being Kylie in her leisure time I guess. Just that her lips are natural! She even did a Kashibai makeover to let people drool more! Can't get over this look ? #KashiBai #Makeover #PriyankaChopra #Bajirao #BajiraoMastani #Bollywood #IndianLook A photo posted by Navpreet Banga (@browngirllifts) on Apr 23, 2016 at 11:23am PDT They can probably star in a movie together as twins. What do you think? @priyankachopra A photo posted by Navpreet Banga (@browngirllifts) on Mar 22, 2016 at 9:25am PDT Hello miss Priyanka Kylie hybrid. You are a rare species! NEW YORK (AP) Wendys said hackers were able to steal customers credit and debit card information at 1,025 of its U.S. restaurants, far more than it originally thought. The breach affects about 18 percent of the fast-food chains U.S. locations. The hamburger chain said Thursday hackers were able to obtain card numbers, names, expiration dates and codes on the card, beginning in late fall. Some customers cards were used to make fraudulent purchases at other stores. Wendys, which has about 5,700 U.S. locations, is among retailers and restaurants suffering through a spate of hacks in recent years. Noodles & Co. said last week that a security incident may have compromised credit- and debit-card payment data at some of its locations. Wendys urged customers to check their accounts for fraudulent purchases. We are committed to protecting our customers and keeping them informed. We sincerely apologize to anyone who has been inconvenienced as a result of these highly sophisticated, criminal cyberattacks involving some Wendys restaurants, said CEO Todd Penegor. We have conducted a rigorous investigation to understand what has occurred and apply those learnings to further strengthen our data security measures. The Dublin, Ohio, company first announced it was investigating a possible hack in January. In May, it said malware was found in fewer than 300 restaurants. About a month later, it said two types of malware were found and the number of restaurants affected was considerably higher. Customers can see which locations were affected through the Wendys website. The company said it is offering free one-year credit monitoring to people who paid with a card at any of those restaurants. DPRK warns of "physical measures" against U.S. deployment of THAAD in S.Korea PYONGYANG, July 11 -- The army of the Democratic People's Republic of Korea issued a warning Monday that it will take "physical measures" against the deployment of the Terminal High Altitude Area Defense (THAAD) in South Koreaby the United States. Seoul and Washington announced Friday the deployment of the THAAD as part of the U.S. Forces Korea (USFK) stationed in South Korea to better tackle the so-called nuclear and missile threats from the DPRK. Countries in the region including China and Russiahave repeatedly voiced opposition to THAAD on the Korean Peninsula, whose radar can locate missiles far beyond the DPRK territory. DEPLOYMENT AMID OPPOSITION Under the operational control of the USFK commander, the allied forces of the United States and South Korea reportedly aim to deploy one THAAD battery by the end of next year. Its site would be announced within weeks. A THAAD battery is composed of six mobile launchers, 48 interceptors, an airborne radar and a fire control system. THAAD, designed by the U.S. defense company Lockheed Martin, can shoot down missiles in a terminal phase using a hit-to-kill approach. The X-band radar can spot a missile as far as 2,000 km with a forward-based mode and 600 km with a terminal mode. As the two have the same hardware, the terminal mode, which South Korea allegedly plans to adopt, can be changed into a radar with a much longer detectable range. Seoul, allegedly having no plan to purchase the THAAD system estimated at about 1.5 trillion won (1.3 billion U.S. dollars), would provide site and infrastructure for the deployment, while Washington would pay the costs for operation and maintenance of the system. The two countries have been engaged in consultations since February on whether to deploy the THAAD system, which they say would enhance defense against DPRK threats. However, civic group activists in South Korea warned that the deployment of THAAD will trigger arms race in Northeast Asia and escalate regional tensions. DPRK WARNED OF "MERCILESS RETALIATORY STRIKES" In the warning carried by the official KCNA news agency, the DPRK's army voiced its "steadfast will" to "make merciless retaliatory strikes" against South Korea. Deploying THAAD is a direct product of U.S. ambition to dominate the world and South Korea's confrontational moves against the DPRK, it noted. One day after the THAAD announcement, the DPRK reportedly conducted test-firing of a submarine-launched ballistic missile in waters southeast of the DPRK's eastern port city of Sinpo. Media reports said the test appeared to have failed. Yonhap news agency cited South Korea's Joint Chiefs of Staff as saying that the missile was successfully ignited but later exploded in mid-air. South Korea later denounced the test-firing as another round of provocation by Pyongyang, saying that successful or not, it has once again breached UN Security Council resolutions. The South Korean Foreign Ministry said the country will never tolerate its northern neighbor conducting such provocations, vowing to continue applying sanctions against it and strengthening a combined deterrence with the United States. REGIONAL COUNTRIES VOICED SEVERE OPPOSITION Although the United States and South Korea said that THAAD will not target any other third country, the U.S. missile defense system has far exceeded South Korea's defense needs and would directly threaten the strategic security of China and Russia. On Friday, China said it is "strongly dissatisfied with and firmly opposes to" the THAAD deployment, warning the move may further destabilize the Korean Peninsula and hold down efforts to achieve denuclearization through dialogue. The Chinese Foreign Ministry urged Washington and Seoul to terminate the deployment, which will severely harm the security interests of regional countries as well as the "strategic balance" in the region. One day later, Chinese Foreign Minister Wang Yi noted that China was within its right to question the behind-the-scenes motives of the move. Wang called on South Korea to be cool-headed and think over what the deployment could actually bring for its security, for the realization of peace and stability on the Korean Peninsula, as well as for the settlement of the Korean Peninsula nuclear issue. In Russia, the Foreign Ministry on Friday voiced "serious concern" over and condemnation against the THAAD deployment, saying such "ill-considered" actions will bring "tragic and irreparable consequences for the situation in Northeast Asia and beyond," and undermine the global strategic balance. Evgeny Serebrennikov, deputy chairman of Russia's Arms Committee at the upper parliament house, also said Friday that the committee would work with the Defense Ministry to decide on military plans including deployment of missiles and ground units to counter THAAD. HUARONG, July 11, 2016 -- Relocated residents rest at Maanshan Experimental School in Huarong County, central China's Hunan Province, July 11, 2016. Residents were being transferred after a breach happened on Sunday at a flood detention basin called Xinhua in Huarong County, according to an official with Hunan Provincial Flood Control and Drought Relief Headquarters. The Xinhua flood detention basin covers 4,400 hectares and is home to over 27,000 people. (Xinhua/Li Ga) SHENYANG, July 11 -- Chinese tourists are being offered the chance to visit the Democratic People's Republic of Korea (DPRK) without a passport, thanks to a new tour package launched in northeast China's Liaoning Province. Since July 9, the program has allowed tourists to travel from the border city of Dandong to have a half-day stay in Sinuiju of the DPRK. They only need to apply for an entry permit with their ID cards in Dandong and go through border inspection in the DPRK before starting the trip, according to the organizer, Dandong China International Travel Service. Visitors need to pay 350 yuan (52 U.S. dollars) for each trip and can travel around a designated zone measuring 30,000 square meters. During the first three days of the deal being offered, some 1,000 Chinese have applied for an entry permit, according to Quan Shunji, general manager of Dandong China International Travel Service. Quan said the travel zone will be expanded to 130,000 square meters in the future, allowing it to receive 10,000 tourists per day during peak seasons. Facing Sinuiju across the Yalu River, Dandong sees over 10,000 tourists traveling to the DPRK from its port every year. A geological formation in a village in Xiuyan Man Autonomous Prefecture, Liaoning province has been proven to be a meteor crater, according to Beijing Sci-Tech Report. Based on estimations, the crater was formed about 39,000 years ago, and it is the first proven meteor crater anywhere in China. Currently there are 64 families living in the bowl-shaped crater. In 2007, a researcher with the Guangzhou Institute of Geochemistry, Chen Ming, carried out research in Xiuyan and named the special landform "Xiuyan Pit." Then, in March 2015, Chen and his team returned to Xiuyan and spent five months drilling in order to acquire rock samples from 300 meters beneath the ground. Now Chen has finally found indisputable evidence that the pit was created by a meteor. Chen's colleague, Chen Hongping, said that the crater is 150 meters deep. After its formation about 39,000 years ago, a lake came to occupy the pit where sediments had been deposited. Approximately 11,000 years after that, the lake disappeared due to a notch in the east end of the pit and it turned gradually into the meteor crater that can be seen today. Another scientist, Xie Xiande, said that a total of 176 meteor craters in 32 different countries have been documented since the 1960s. The one in Xiuyan is the first one discovered within Chinese territory. Poised to fill a gap in the research of such landforms in China, the Xiuyan meteor crater is of great significance to the future study of geology and evolution, Xie said. Recently, a man from western China's Shaanxi province wed his American fiance in a traditional Chinese ceremony. The wedding venue was decorated to resemble ancient China, with the bride, groom and everyone in the wedding procession dressed in traditional Chinese costumes. The groom rode in on a horse, attracting quite a bit of attention from curious onlookers. The 26-year-old groom, surnamed Xue, met his wife while working in the U.S. state of Minnesota. He and his wife, Jessie, soon fell in love and eventually decided to have a traditional Chinese wedding in Xue's hometown. Xue and his wife are now living and working in Hangzhou. As the nation debates law enforcement's actions in these two high-profile shootings of black men, a growing number of groups are training citizens to record and monitor police encounters for potential excessive force. They offer classes on rights, tips on recording better footage and ideas on where to share on social media for maximum exposure Fatal police interactions caught on video in St. Louis, Missouri; North Charleston, South Carolina; Albuquerque, New Mexico; and Staten Island, New York, sparked similar angry reactions. In each case, a bystander or community advocate caught the encounter on video and posted it online before authorities had a chance to confiscate it. "We have to depend on our cameras to keep us safe. We have to depend on our cameras to keep us out of jail," said Dennis Flores, co-founder of El Grito de Sunset Park, an organization that keeps an eye on police in Brooklyn's Sunset Park. Some groups, like El Grito, go out least once a week on an organized patrol. Others like the Louisiana-based Stop the Killing Inc., monitor police scanners for possible deadly police encounters. That's how an activist recorded the Sterling shooting. In addition, some American Civil Liberties Union state affiliates, like in New Mexico and California, even allow residents to download an app so users can easily record and upload footage before police can confiscate smartphones. ACLU officials can then examine the footage and details around the alleged abuse to determine if legal action is needed. A police advocate questioned the assumptions underlying the video monitoring. "This is a fad based on the premise that police officers are doing something wrong," Fraternal Order of Police executive director James Pasco said. Advocates say the phenomenon isn't just about technology but also related to people wanting to provide independent versions of deadly police encounters outside of official statements and body cam footage. It also encourages those directly involved with a police encounter or a witness like Diamond Reynolds in the Castile case to pull out a phone and start recording, advocates say. "These are images of police violence not from the perspectives of police," said David Correia, a University of New Mexico American Studies professor who led a 2014 sit-in at Albuquerque City Hall over that city's high number of police shootings. "Cellphone video allows us to see police the way they haven't been seen before." In Baton Rouge, a community activist captured cellphone video of 37-year-old Sterling being pinned down by two officers before Sterling was shot at close range. In the cellphone video, someone yells, "He's got a gun! Gun!" and gunfire erupts moments later. Baton Rouge Police Chief Carl Dabadie Jr. said Sterling was armed but that there are still questions about what happened. In Minnesota, a video posted Wednesday night on Facebook Live appears to show the moments after 32-year-old Castile was shot by police during a traffic stop while his girlfriend and a child were in the car. In the widely shared Facebook video, a woman in a vehicle tells the camera "police just shot my boyfriend for no apparent reason." Beside her is a man whose shirt appears to be soaked in blood. And in Albuquerque two years ago, police shootings caught on a police helmet camera and a cellphone generated violent protests before the U.S. Department of Justice and the city signed an agreement to revamp the police force. A Justice Department investigation found a pattern of excessive force by Albuquerque police. "Every single person has the capability of being a police monitor now because of cellphones," said Darren White, a retired sheriff in New Mexico's most populous county. "I think officers should conduct themselves as if they are always being recorded." WeWatchCop coalition member James Crawford, 38, who, has trained dozens of advocates in Oakland, California, and Ferguson Missouri, said the training has exposed people to other communities. "This massive amount of documentation is showing people (who) are not directly impacted by police what is happening on the other side of town, in places that they don't necessarily know," Crawford said. "I think videos are actually bringing people together where we can all have a clear conversation about police misconduct." But Pasco, with the Fraternal Order of Police, said although officers welcome evidence in any case, they worry about possible doctored videos coming from unknown sources. "There is always a concern about editing and selective recording," Pasco said. Antonio Buehler, founder of the copwatch organization Peaceful Streets Project in Austin, Texas, said citizen filming officers allows information to spread mainstream. Albuquerque Police Officers Association president Shaun Willoughby cautioned that videos of police encounters tell only a fraction of the story. They don't take into account the calls for service or conversations before encounters, he said. Still, Willoughby said police should encourage recording of their interactions. "I would take it a take further. Sign up for a ride-along. Ask about use of force policies," Willoughby said. "You will see what we deal with." PARIS TOWNSHIP A 26-year-old Bad Axe woman was killed this morning in a single-vehicle accident. Huron County Sheriff Kelly J. Hanson reports that at 6:22 a.m. Sunday, his office responded to Atwater Road, east of Verona Road in Paris Township, which was the scene of a single-vehicle fatality accident. As the investigation is continuing this afternoon, it appears that sole occupant driver, Seana M. Block, age 26, of Bad Axe, left the roadway and entered the south side ditch and went airborne into a woods and impacted with several trees, Hanson reports in a news release. The exact time of accident is not known, but believed to have most likely occurred between around 4:30 (a.m.) and the time a passerby discovered it. She was pronounced dead at the scene, and had to be cut out of the vehicle by the Sherman Township Fire Department. An autopsy is scheduled for Monday. Accident investigators have processed the scene and cleared it around noon. Also assisting at the accident scene was Bingham EMS. The program began in the early 1970s as a partnership between CMU and a group called United Migrants for Opportunity. CMU says more than 40 people were part of a program that lasted a decade. A reunion is planned for Monday at CMU's Bovee University Center. Juan Zamudio, a native of Corpus Christi, Texas, says the scholarship allowed him to "break the cycle." He says college wasn't an option for most children who picked crops with their families and couldn't afford college. Juan and wife Marissa together have five degrees from CMU. Organizers quickly repudiated violence against police, but also said they understand the frustration that led to Thursday's shootings. "Black Lives Matter doesn't condone shooting law enforcement," said actor and model Sir Maejor, an organizer with the movement in Atlanta. "But I have to be honest: I understand why it was done. I don't encourage it, I don't condone it, I don't justify it. But I understand it." Dallas Police Chief David Brown said that before he was killed, the gunman told a negotiator he had acted on his own, and was "upset about Black Lives Matter." "He said he was upset about the recent police shootings. The suspect said he was upset at white people. The suspect stated that he wanted to kill white people, especially white officers," the chief said. Police have not indicated whether the shooter had any involvement with Black Lives Matter, but the killings have put the movement under a microscope. "This is a devastating time for us as activists and organizers. We cannot bring about justice through violence," said Jeff Hood, a pastor in Dallas who said he heard the shots and saw officers fall after Thursday night's rally. The youthful organizers seem determined to keep up their pressure with angry marches, waving off criticism from some that they bear responsibility for the killings. Many others including such civil rights icons as U.S. Rep Congressman John Lewis say the protests must remain peaceful to achieve justice. "I was beaten bloody by police officers. But I never hated them. I said, 'Thank you for your service,'" Lewis tweeted Friday. That comment prompted scornful responses by some African-Americans, who seek a more combative approach despite the backlash. In Philadelphia, activists intend to channel that anger into a "Weekend of Rage." "Everyone is not going to be on our side, but those who understand will join in the struggle and help educate the masses. We are hurting. We are tired. We are fed up," said Erica Mines of the Philly Coalition for REAL Justice. Black Lives Matter began in 2013 after black teenager Trayvon Martin was shot to death in Florida by one-time community watch volunteer George Zimmerman, who was later acquitted. The movement has grown as fatal shootings of blacks by police officers are increasingly recorded and shared on social media, sometimes as confrontations are still unfolding. After this week, are activists concerned about their safety? Of course, says Black Lives Matter Philly organizer Laniece Williams. "If the whole country seemed hell-bent on discrediting your organization and movement because we dare to demand that Black Lives Matter, and the media perpetuates the hate group rhetoric, would you or would you not be a little on edge?" "However, we will not be stifled or stopped," she said. "We continue our efforts to bring about a better world for all of us." A Texas organizer of Black Lives Matter, Ashton P. Woods, said the repeated shootings by police are pushing some unstable people over the edge. "That does something to the human psyche. I don't care what color or ethnicity you are," he said. "We could say the same things about white hate groups that inspire xenophobia or hatred against Muslims." "We can't really focus on whether people are going to cast us as a hate group when we are working to dismantle hate," Woods said. Given recent strife between the two, though, you could be forgiven for thinking they are of different political parties. The friction is not limited to Schuette's high-stakes criminal and civil investigation of Flint's water crisis, for which Snyder has apologized and five state employees have resigned, been fired or charged. Beyond the ongoing Flint probe which has sparked highly public disputes between the men over turning over documents and a lack of communication they are at odds over a federal directive related to transgender students, school employee retirement deductions, U.S. pollution regulations and Great Lakes water withdrawals. Schuette is expected to run for governor in 2018 when Snyder cannot due to term limits. Their latest clash came Friday, when the attorney general whose office represents the state in legal matters joined a new lawsuit opposing the Obama administration's requirement that public schools allow transgender students to use restrooms and locker rooms conforming to their gender identity. He sued for the "people" of Michigan when Snyder did not bless a suit on behalf of the state. "Our time and attention is focused elsewhere right now," Snyder spokeswoman Anna Heaton said. On Tuesday, Schuette announced that he would not appeal a Michigan Court of Appeals ruling that ordered the return of $550 million withheld from school employees' paychecks for retiree health care. Snyder is pursuing the appeal, so Schuette's office will provide for a special assistant attorney general instead. In June, Schuette said he was "disappointed" that the governors of the eight states adjoining the Great Lakes had approved a suburban Milwaukee city's proposal to draw its drinking water from Lake Michigan. He called it a "bad precedent." Schuette also continues to challenge federal regulations targeting pollution from coal-fired power plants, without support from Snyder. Schuette has sought to downplay the tension, saying that his relationship with Snyder receives too much scrutiny from the media while noting that staffers for the men "might get too competitive." "I have high regard for the governor and respect his office and what he does. I think he respects my responsibilities as attorney general," he said. Schuette pointed to their personality differences but said "on many, many, many of the key issues, we have agreement." Much of the conflict stems from the Flint investigation, for which Schuette has appointed an outside team of 22 lawyers and investigators. It is expected to cost at least $4.9 million and result in additional criminal charges. Two state Department of Environmental Quality regulators and Flint's utilities administrator were charged; two water engineering companies were sued. Snyder aides were unhappy when special counsel Todd Flood said publicly that he was not receiving all the documents requested from the Snyder administration. Schuette subsequently backed Flood, saying that the governor's attorneys had not provided sufficient information. The Snyder camp reported difficulty turning over records that are not under its control and that date as far back as 2003. The sides have since met and pledged to "work collaboratively" on producing documents. Before that flap, Snyder was forced in May to temporarily halt civil and administrative investigations into how a state agency contributed to the drinking water crisis after being warned they were unintentionally compromising Schuette's probe and a federal criminal investigation. The Schuette camp was perturbed with an already-concluded state police investigation of the DEQ's role in the lead contamination, while the Snyder side was frustrated over having to delay an inquiry targeting a second state agency, the Department of Health and Human Services, months after the review was publicly announced. Given the "charged" political dynamics related to the Flint probe, it is not surprising that the tension has spilled into public view, said Tom Shields, a Republican consultant in Lansing. But the public back and forth is beneficial for both sides, he said. "People would be suspect of any deals that are cut that were not done in public," Shields said. The 2018 gubernatorial race is a factor, too, as Schuette appears to be distancing himself from a governor who has overseen an economic recovery but whose approval ratings have sunk in the wake of the Flint disaster. Lt. Gov. Brian Calley, a Snyder alley, could also run for the GOP gubernatorial nomination. "At this point in time, (Schuette) needs to make sure he's carving out his own agenda here and his own track record, so that he's not painted with some broad brush by people that are opposed to him," Shields said. "An attorney general should do that." But Brexit a British exit from the 28-nation union is the EU's biggest crisis so far. No country has ever left before. Despite some speed bumps, the EU has grown from the six nations that founded a coal and steel community in 1951 to a half-billion strong trading bloc when Croatia signed up three years ago. Now that the British decision is winding back more than 60 years of EU integration, no one knows exactly how to pick up the pieces and move on. Should the EU do more or less? How should it interest people in a project that seems distant and difficult to understand? And is the bloc even to blame for this crisis when many simply aren't happy with their own governments, let alone distant Brussels? In the background, Greece feels marginalized as its people suffer under tough reform policies imposed by creditors to save its debt-wracked economy. Italy is bickering with Germany over whether that austerity is really necessary. And the Hungarians are going to hold a referendum on refugee quotas. This is what European political limbo looks like. While heads have rolled in Britain, where Prime Minister David Cameron has resigned and the three leading figures of the "leave" campaign tumbled or quit, no one is stepping down in Brussels. With the July-August summer recess closing in, everyone is hunkering down and looking toward a summit of EU leaders in Bratislava on Sept. 16 to see what can be done. The president of the EU's sprawling executive body which over the years has proposed thousands of pieces of legislation that impact how citizens live, study, travel or do business has been an early target for critics. Jean-Claude Juncker leads an administration of some 33,000 people. He and his policy commissioners are routinely portrayed as the embodiment of the unelected bureaucrat, imposing inane, invasive laws on ordinary citizens. Certainly many in Britain see it that way, even if most proposals are debated between member countries and the European Parliament - whose members are elected every five years - before they become law. Yet Juncker, barely two years in office on a mandate to reform, is not going to fall on his sword. "I refuse to allow the commission to be blamed for the outcome of the British referendum. We will not bare that responsibility," he told EU lawmakers Tuesday. "I haven't said that we want a 'United States' of Europe." He said the Commission was endorsed by all member nations, including the departing Britain. "This is a commission with a mandate to reform and we are doing that," Juncker said. "It has not overnight lost its legitimacy." The 61-year-old former Luxembourg premier, one of Europe's longest serving leaders, also makes an easy target amid rumors that he's not well. His employees deny this. Meanwhile the EU's newest member countries many from Central and Eastern Europe and which joined together in 2004 feel they have been sidelined for far too long. They think European heavyweights like Germany and France and soulless EU institutions have been dictating to them, in particular by imposing refugee quotas that they voted against. They argue that the British referendum on June 23, which was influenced by concerns about migration and national sovereignty, is a wake-up call for EU nations to reclaim their own powers. "It is necessary to make reforms that will reach the founding treaties implemented within the European Union," Slovak Prime Minister Robert Fico said on July 2, ushering in his right-leaning government's six-month presidency of the EU. "I reject the claim that everything is perfect and fine and that we shouldn't touch anything," he said. Easier said than done. The Treaty of Lisbon, which took effect in 2009, was drawn up after years of reflection involving all member countries, experts and civil society. Changing it would take years more. In February, pressed by Britain, other EU nations refused to revisit the treaty to try to persuade the U.K. to stay. Germany, the EU's driving power, says now is the time for cool heads, not knee-jerk reactions. "In this first phase after the British referendum, it is not about discussing structural questions or more or less Europe it is about having very concrete thoughts on all European levels, in the institutions and in the member states, about how we can create a successful and effective Europe," said German Chancellor Angela Merkel's spokesman, Steffen Seibert. He said Germany would do all it can not to "strengthen centrifugal forces" pulling the EU apart. "Now is the time for pragmatism," German Finance Minister Wolfgang Schaeuble told the Welt am Sonntag newspaper. "If all 27 don't pull together from the beginning, then a few can start." It would not be the first time. While the EU has 28 members, some nations have chosen to integrate more deeply in smaller groups. Nineteen use the shared euro currency. Twenty-six are in the passport-free Schengen travel area including four non-EU countries and 10 nations cooperate on a financial transactions tax. But as the EU looks inward for answers, disenchantment with national governments has prompted all sorts of European voters to turn to the far right or left. "People are anxious and insecure, because in just a few decades the world has changed beyond recognition. Globalization, the digital revolution and urbanization have radically changed the way we live, while our communities have become much more diverse," Dutch EU lawmaker Sophie In't Veld said. "It is a mistake to think we can weaken the anti-EU populists by weakening the EU. We have to make the EU stronger, more democratic and accountable, and more able to act and address the big challenges of today," she said. Commerce Minister Gao Hucheng's comments underscored growing concerns about the global economy, which have deepened since the U.K. voted to leave the European Union, raising fears of a global recession. "In the past few years through our shared hard work, the global economy emerged from its previous low and is developing in a good direction," Gao said. But at the same time, "the deep effects of the global financial crisis can still be felt." Gao did not mention Brexit in his opening remarks at a meeting of trade ministers in Shanghai on Saturday held in the run-up to China's hosting of the G20 summit later this year. He said governments should work together to find ways to revitalize growth. "The revival and growth of the global economy is still lacking in strength," Gao said. "Low levels of global trade and investment have not recovered to their pre-financial crisis levels." Last month, the World Bank cut its forecast for the global economy this year, predicting it will expand 2.4 percent, down from the 2.9 percent it expected in January. Low commodity prices continue to vex many developing countries whose economies depend on exports of those commodities. And advanced economies are still struggling to gain momentum as they contend with aging workforces and lackluster productivity growth. Meanwhile, China faces pressure to shrink bloated industries including steel, which its trading partners complain is flooding their markets with unfairly cheap exports, hurting their producers and threatening jobs. Details added (first version posted on 15:11) Baku, Azerbaijan, July 11 By Maksim Tsurkov Trend: An Air India plane, flying on London-Mumbai route, made an emergency landing at the Heydar Aliyev International Airport in Baku in the evening on July 10, Trend learned from the airport July 11. Air Indias Boeing 787 Dreamliner, flying on London-Mumbai route with 206 passengers onboard, made a successful emergency landing at Baku airport at around 22:00 (UTC/GMT +4 hours) July 10 due to technical reasons, said the airport without elaborating on the malfunction. Air India sent another plane to Baku in order to take the passengers to Mumbai. The plane with all the passengers onboard took off from Baku at 14:37 (UTC/GMT +4 hours), said the airport. --- Follow the author on Twitter: @MaksimTsurkov In fact, people in San Francisco won't be able to buy polystyrene foam coolers, kiddie pool toys or packing peanuts after supervisors approved a measure in June that goes far beyond the prohibition on foam food carryout containers in effect in dozens of cities and counties. Environmentalist are cheering San Francisco's ban as the most comprehensive by a large U.S. jurisdiction on the cheap insulating foam that cushions goods and keeps drinks hot or cold. They say the lightweight plastic is extremely slow to decompose, and it pollutes waterways, harming marine life and birds. Detractors, however, say the legislation does nothing to stop foam-wrapped goods that are shipped into the city such as heaters, computers and just about everything else defeating San Francisco's stated purpose of reducing waste. They'd rather San Francisco recycle the product. What's formally known as "expanded polystyrene" is the latest plastic to be targeted by cities and counties, much like the single-use plastic bag, which San Francisco outlawed in 2007. Most people recognize the material by its brand name Styrofoam, although Styrofoam is not used to make disposable cups or packing peanuts. The San Francisco measure builds off a 2006 ordinance mandating food vendors and restaurants use recyclable or compostable carryout containers. That meant no puffy polystyrene. Cities such as Seattle, Los Angeles, and Portland, Oregon, followed suit. New York City tried, but a state judge last year overturned a ban on plastic foam containers and packing peanuts, saying they could be recycled. A 2015 study found 8 percent of microscopic particles found in the San Francisco Bay were identified as foam, likely from polystyrene products, said Rebecca Sutton, a senior scientist with the nonprofit San Francisco Estuary Institute. Overall, the amount of plastic bits found in the bay was seven times greater than in Lake Erie, which is the most polluted of the Great Lakes. "It's a timely response to recent studies that show the San Francisco Bay contains an abundance of micro-plastics, including foam fragments, that come from plastic products that fragment easily," said Miriam Gordon, California Director of Clean Water Action. Debbie Raphael, director of the San Francisco Department of the Environment, acknowledged the city can't control its borders but said it can lead the way. "Like so many of the policies that start in San Francisco, we're hoping that this policy gets picked up in surrounding communities and at the state level so its maximum impact can be realized," she said, adding that San Francisco's contracted garbage company cannot recycle the product. Businesses are on board, said Jim Lazarus, a senior vice president at the San Francisco Chamber of Commerce, committed to the city's goal of sending nothing to landfill by 2020. "It's just a fact that a lot of polystyrene ends up in landfill," he said, "and where there are reasonable alternatives, packaging options, we support that." The measure prohibits San Francisco businesses from using the material to sell goods, so butchers within city limits, for example, can't use the foam to wrap meat and poultry. But the city can't stop meat packed elsewhere from using foam trays. It can't stop Amazon from shipping people their new electronics in foam. And that's why Walter Reiter, deputy director and legal counsel for the EPS Industry Alliance, said the ordinance is a sham. The alliance represents businesses that make and use expanded polystyrene. It is pondering a legal challenge. "It's going to do nothing about the protective packaging coming in," he said. "I don't know how it reduces their waste stream." San Francisco Supervisor London Breed proposed the ordinance. The ban on the retail sale of foam goods is effective Jan. 1. The prohibition on meat and fish trays is effective July 1. SUWON AIR BASE, South Korea Lt. Col. Ethan Hall relinquished command of 6th Battalion, 52nd Air Defense Artillery Regiment, to Lt. Col. Mark Pelini during a change of command ceremony July 7 at Suwon Air Base, South Korea. The 6-52 ADA Battalion plays an integral role in ballistic missile defense for the Republic of Korea. Stationed across the peninsula, the Iron Horse Battalion provides a sphere of defense that aides in the deterrence of adversary aggression. When reflecting on his tenure in command, Hall's proudest moment was a recent opportunity when his battalion was called up to execute the very mission for which they continuously train. "The battalion responded absolutely flawlessly," said Hall. "Within a few hours of notification, we were able to execute our mission, and that doesn't happen by accident, it happens through a lot of practice and rehearsals." After two years in command, Hall will move on to the Pentagon for his next assignment, where he'll serve in the operations section on the Joint Staff. "The Iron Horse Battalion has earned the reputation as a superbly led, disciplined, well maintained, and trained formation, that is indeed ready to fight tonight," said Col. Mark Holler, 35th ADA Brigade commander. "The Soldiers that have served in this command, come away as better Soldiers, better leaders, and better Americans." Incoming commander Pelini, and his family come to the Republic of Korea from Washington D.C., where he last served as the Missile Defense Branch Chief at the Pentagon. "I'm absolutely humbled to be in this position," said Pelini. "Any opportunity you get to lead Soldiers is a great opportunity. My family and I are very excited about joining the Iron Horse team." The commander of Air Combat Command was bullish about the upcoming declaration of initial operational capability for the F-35A, telling reporters on the first day of the Farnborough International Airshow that it could happen as early as August or as late as December. "IOC, we always use that term," Air Force Gen. Herbert "Hawk" Carlisle said. "It really means those airplanes are ready for combat. They are ready to be in combat and ready to move forward." The Air Force remains committed to its target of buying 1,763 of the conventional takeoff and landing variant of the 5th-generation fighter, Carlisle said. A June "deployment" of seven aircraft to Mountain Home Air Force Base, Idaho, was declared a success by the Air Force after the planes completed all 88 planned sorties.Carlisle said the deployment involved about 180 personnel and gave the service the chance to test out the ability of the F-35's Autonomous Logistics Information System, or ALIS, to function in a deployed environment. Some software issues are still being worked out as the aircraft approaches its final stages of testing and development. Carlisle said the Air Force was still working with contractor Pratt & Whitney to incorporate propulsion information from the F-35A into the complex software. The program executive officer for the F-35 Joint Program Office, Air Force Lt. Gen. Christopher Bogdan, said airmen must currently manually input that information into the ALIS system each time the aircraft touches down. "In the next six months or so, we will integrate that information directly into the ALIS system," he said. So how does the F-35 compare with the Air Force's other fifth-generation tactical fighter, the F-22 Raptor, also manufactured by Lockheed Martin? Thats theater- and scenario-dependent, Carlisle said. "The F-35 is the best air-to-air airplane in the world, except for the F-22," he said. "The F-22 is the best air-to-ground aircraft in the world except for the F-35." The Air Force is also looking to apply lessons learned from the cutting-edge F-35 to the slightly older Raptor, he said. "If you talked about shared technology, modernization, ability to maintain the [low observable technology] qualities of it, the F-35 is newer and it is better in that respect," Carlisle said. Three F-35A aircraft crossed the Atlantic last week to appear at the Royal International Air Tattoo in England, which represented the first appearance of the platform in the U.K. -- Hope Hodge Seck can be reached at hope.seck@military.com. Follow her on Twitter at@HopeSeck. EUGENE, Ore. First Lt. Cale Simmons, who cleared the bar at 5.65 meters 18 feet, 6.4 inches in the men's pole vault finals, secured a spot on the U.S. Olympic team at the U.S. Olympic team track and field finals here July 4. Simmons finished second at the finals, just behind Army 2nd Lt. Sam Kendricks, who cleared the bar at 5.91 meters, setting an Olympic trials record. Simmons said he vaulted 5.72 meters, his best ever, in Denver last month, and he hopes to earn a medal at the Olympic Games in Rio de Janeiro in August. Rigorous training Besides pole vaulting in high school in Sacramento, California, Simmons said, he vaulted at the U.S. Air Force Academy as well. He said his current training regimen includes heavy doses of sprinting, some gymnastics and weight training, as well as plyometric and isometric exercises. The Air Force, he added, provides good full-time training assistance via the World Class Athlete Program, of which he is a part. In his off time, Simmons said he enjoys rock climbing, skydiving and trampoline work. Although that's not part of his WCAP training program, he believes it helps with body control and situational awareness. "I'd like to think they play a role," he said. All in the family Simmons's identical twin brother, 1st Lt. Rob Simmons is a C-17 Globemaster III pilot stationed at Joint Base Charleston, South Carolina. He and an older sister, Capt. Rachel Schaefer, stationed in Colorado Springs, Colorado, also are pole vaulters and Academy graduates. Interestingly, Simmons said, although he and his siblings serve in the Air Force and are pole vaulters, they are the first in their family to participate in the sport or to serve in the Air Force. All were recruited by the Academy to be pole vaulters, he added, although he's the only one of the three who currently is doing it competitively. Living the dream "The Air Force has been great to me," Simmons said. For his first two years, he was stationed in Germany as a contracting officer. Although there wasn't a pole vault program there, he said, he had the most wonderful time of his life, seeing the sights of Europe during his off-duty time. Fortunately, he said, the hiatus didn't seem to hurt his pole vaulting abilities too much; he snapped right back to being at the top of his game with some training. Serving in the Air Force, pole vaulting and being in the WCAP is like "living the dream," Simmons said, and he hopes that will last well beyond the Olympics. The only thing sweeter than that would be for "my brother to fly me to Rio in his C-17 and drop me into the stadium," he added. ARABIAN GULF Capt. Keith G. Moore was relieved by Capt. Patrick V. Foege as Commander, Amphibious Squadron One (PHIBRON 1) during a change of command ceremony held aboard amphibious assault ship USS Boxer (LHD 4), July 7. During Moore's time as commander he guided the Boxer Amphibious Ready Group (ARG) through numerous multinational exercises and operations including Exercise Dawn Blitz 2015 and the ARG's current deployment to the U.S. 3rd, 5th and 7th Fleet areas of operations in support of Exercise Ssang Yong 2016, Operation Inherent Resolve, maritime security operations and theater cooperation efforts. "I cannot imagine having a better group of warfighters to lead the Sailors and Marines of the ARG/MEU (Marine Expeditionary Unit) through that fast-paced work-up cycle and subsequent 5th and 7th Fleet deployment," said Moore. "When I reflect back on your brilliance in executing Ssang Yong, maritime security operations in Yemen and in the Arabian Gulf, I am humbled, amazed and very proud." The ceremony's keynote speaker, Col. Anthony M. Henderson, commanding officer of the 13th MEU, cited in a letter from Moore's family the impact his service has had on his hometown. "If you visit Winona (Mississippi) and run across someone who knew him, do not be surprised if they show you a picture of him in his commander's uniform and relay to you how proud they are of the fact that someone from their little town has gone on to serve the United States in such a capacity," said Henderson. In attendance was the ceremony's special guest, Marine Corps Brig. Gen. Francis Donovan, commander, Task Force 51. Donovan commended Moore on his accomplishments and his dedication to the Boxer ARG. "There is no better person to command an amphibious ready group than Capt. Keith Moore," said Donovan. "When we look at the commodore go over the brow for the last time as our leader of Amphibious Squadron 1, we're losing 34 years of experience, which is incredible." Moore's next command will be at the Office of the Chief of Naval Operations (OPNAV), Expeditionary Warfare (N95) in Washington, D.C. "Your sense of duty and mission excellence is beyond compare," said Moore to Foege. "The Navy gets a lot of stuff right, and they definitely got it right when they brought you in here to command this squadron. I know you are ready for what lays ahead." During the ceremony, Moore read his orders and transferred command to Foege, who read his orders, assumed command and addressed the audience as commander, PHIBRON 1. "This is a humbling opportunity to continue serving our Sailors and Marines as commodore of Amphibious Squadron 1, especially while deployed here in the dynamic Arabian Gulf region," said Foege. "While the ceremony marks the passing of command from one commander to the next, it also recognizes the awe-inspiring work of every Sailor and Marine in this fighting force." Foege assumed command after serving as the deputy commodore of PHIBRON 1. His previous assignments include serving as flight deck officer and assistant air officer aboard Boxer, commanding officer of Helicopter Anti-Submarine Squadron (HS) 10 flying the H-60F/H Seahawk, air boss aboard USS Bonhomme Richard (LHD 6) and a hull swap with USS Essex (LHD 2) in Sasebo, Japan. PHIBRON 1 is one of nine U.S. Navy amphibious squadrons and is currently responsible for Boxer, amphibious transport dock ship USS New Orleans (LPD 18), and amphibious dock landing ship USS Harpers Ferry (LSD 49). PHIBRON 1 was established on 1 October 1954 with the primary mission of commanding an amphibious ready group and coordinating with an embarked MEU to perform assigned naval, joint, or combined operations. HONOLULU Coast Guard and Navy aircrews conducted a medevac of a 58-year-old mariner from the 45-foot sailing vessel Second Chance 184 miles north of Oahu, Sunday. A Navy SH-60 helicopter crew from Kaneohe Bay hoisted the mariner aboard the helicopter and returned to Kaneohe Bay where he was transferred in stable condition to awaiting emergency medical personnel for further transport to Castle Medical Center. A Coast Guard HC-130 Hercules airplane crew flew cover for the Navy helicopter and managed communications with the sailing vessel crew for both aircraft and Coast Guard Sector Honolulu watchstanders. A Coast Guard hospital corpsman flew with the Navy helicopter crew, administered an IV to the mariner and monitored his condition en route to Oahu. At 5:55 a.m. Thursday the Coast Guard received a request for a medevac of the mariner who was suffering from extreme seasickness and is diabetic with a heart condition. The vessel departed Koolina, Oahu, with six people aboard five days earlier but turned around when the mariner became severely ill. They were three days from Oahu at the time of their call for assistance. A Coast Guard duty flight surgeon recommended the crew monitor the mariners condition and close the distance between them and Coast Guard crews. The Second Chance crew maintained a regular communications schedule with the Coast Guard. By 4:40 a.m. Saturday the mariners previously stable condition was deteriorating. The Coast Guard duty flight surgeon recommended a medevac to bring the mariner to higher level of medical care as soon as possible. The Second Chance was still outside the range of any hoist capable aircraft and there were no vessels in the area. The crew continued to make way toward Oahu. Watchstanders estimated the vessel would be within range of air assets by first light Sunday and coordinated with the Navys HSM-37 Easyrider Squadron to conduct the hoist. The Coast Guard Hercules crew launched prior to sunrise and the helicopter crew shortly after. The helicopter crew deployed their rescue swimmer and attempted to hoist the mariner from the deck of the Second Chance but 13 mph winds from the east and 7-foot seas made it impossible to do safely. The rescue swimmer was instead able to swim the mariner from the sailing vessel to the awaiting rescue basket a few hundred yards from the Second Chance, clear of the mast and rigging. Once the mariner was safely aboard the crew recovered their rescue swimmer and departed for Kaneohe Bay. This cases extreme distance and the mariners need underscores the importance of having hoist capable helicopters in the main Hawaiian Islands, said Petty Officer 1st Class Robert Scott, of Coast Guard Joint Rescue and Coordination Center Honolulu. Our thanks to the Navy for their continued support and partnership in this case, allowing us to get the mariner to vital medical care in the most expedient way possible. Despite Flipping in Surf 4 Times in a Year, Marines Say New ACV Is the Future of Amphibious Warfare Some Marine veterans familiar with the vehicle and its operations have worried about the reliability of the ACV. Baku, Azerbaijan, July 11 Trend: Armenian armed forces have violated the ceasefire with Azerbaijan on the line of contact over the past 24 hours, said Azerbaijan's Defense Ministry July 11. Azerbaijani positions underwent fire from the positions located near the Qarakhanbayli village of the Fizuli district. The conflict between the two South Caucasus countries began in 1988 when Armenia made territorial claims against Azerbaijan. As a result of the ensuing war, in 1992 Armenian armed forces occupied 20 percent of Azerbaijan, including the Nagorno-Karabakh region and seven surrounding districts. The 1994 ceasefire agreement was followed by peace negotiations. Armenia has not yet implemented four UN Security Council resolutions on withdrawal of its armed forces from the Nagorno-Karabakh and the surrounding districts. The presumptive Republican presidential nominee Donald Trump on Monday offered a plan for reforming the Department of Veterans Affairs that would seem to give veterans the option of getting medical care outside the VA -- including at private hospitals -- with the government paying the bills. "Every veteran will get timely access to top quality medical care every veteran," Trump said during a campaign event in Virginia Beach. "Veterans should be guaranteed the right to choose their doctor and clinics, whether at a VA facility or at a private medical center," he said. "We must extend this right to all veterans, not just those who can't get an appointment within 30 days or who live more than 40 miles from a VA hospital, which is, unfortunately, the current and wrong policy." Reiterating that promise later in his remarks, Trump said he will "make sure that every veteran in America has the choice to seek care at the veterans administration or private medical care paid for by our government." Trump's comments were enthusiastically received by his supporters at Virginia Beach, where he was introduced by Rep. Jeff Miller, a Republican from Florida and chairman of the House Veterans Affairs Committee. Miller helped draft the 10-point reform plan the Trump is pushing. Miller, who is retiring from Congress this year, took the opportunity to endorse Trump and also slam presumptive Democratic nominee Hillary Clinton as someone "painfully out of touch with the veterans' community" for believing the wait-time scandal that broke two years ago was overly exaggerated. "America needs a commander-in-chief who understands veterans of today, committed to solving the problems. You won't get that from a career bureaucrat like Hillary Clinton," the congressman said. Trump's apparent commitment to opening up more of private sector healthcare to veterans is one of the ideas advocated by the Commission on Care, which released its report on VA health last week. That recommendation is widely backed by Miller and other conservative lawmakers. VA officials led by Secretary Bob McDonald and many Democratic lawmakers are leery of allowing veterans to go into the community for their care. What they may gain in convenience could have consequences to their long-term treatment as well as the viability of a veteran-specific health care system. McDonald at numerous venues has said what is lost by broad and unrestricted use of private health care is the continuity of care that VA now provides, with every visit, diagnosis and treatment recorded and coordinated. It also risks losing the VA the ability to conduct the kinds of medical research that might be valuable to veterans but not be viewed as important or profitable enough to be pursued by outside researchers. Most of the 10 proposals Trump pitched on Monday deal with lowering the boom on VA officials. He promised to appoint a VA secretary whose job would be "to clean up" the department; use every authority to remove and discipline managers who fail veterans or breach the public trust; ask Congress for legislation to give a new secretary authority to remove any employee who risks the health, safety or well-being of any veteran; and appoint a commission to investigate wrongdoing at the VA and use the findings as the basis for legislative reform. He also pledged to protect "honest, dedicated" agency employees from retribution and see they are in line for promotion as a reward for good work; set up a "private White House hotline" for complaints, which he will personally address if the department leadership cannot; prevent bad employees and managers from receiving bonuses, reform the visa program for foreign workers and give veterans top priority in employment; and increase the number of mental health care facilities and care workers for veterans. -- Bryant Jordan can be reached at Bryant.jordan@military.com. Follow him on Twitter at@BryantJordan. DIEGO GARCIA (NNS) Submarine tender USS Frank Cable (AS 40) arrived at U.S. Navy Support Facility Diego Garcia for a port visit, July 8. The Frank Cable team, consisting of more than 500 Sailors and civilian mariners is currently on deployment to provide vital flexibility to the fleet commanders, extending the range and impact of U.S. naval forces. While in Diego Garcia, Frank Cable's Repair Department will provide support services to guided-missile submarine USS Georgia (SSGN 729). "This is what a submarine tender is supposed to do," said Capt. Drew St. John, Frank Cable's commanding officer. "We were in the Philippines only two weeks ago and as we arrive in Diego Garcia we are looking forward to showing off our repair capability supporting USS Georgia. Frank Cable is one of two forward-deployed submarine tenders and is on a scheduled deployment in the U.S. 7th Fleet area of operations to conduct maintenance and support deployed U.S. naval force submarines and surface vessels in the Indo-Asia-Pacific region. Politicians call them heroes and strangers thank them for their service. But when their enlistment comes to an end, veterans need more than a pat on the back as they return to civilian life. They need jobs. And increasingly, they seem to be getting them because the unemployment rate among veterans has been on the decline in recent years. In May, the veteran unemployment rate was 3.4 percent, down from 5 percent for the same month in 2015, according to the Bureau of Labor Statistics. That compares to a 4.7 percent overall unemployment rate. "I think in the last several years there has been a focus on the importance of hiring veterans and many businesses have taken that to heart," says Nick Baucom, a U.S. Marine veteran who makes hiring veterans a priority for his company, Two Marines Moving (www.TwoMarinesMoving.com). "But probably the biggest reason that the unemployment rate for veterans has trended downward is that, as a group, veterans bring with them experience and attitudes that make them great employees." Baucom's moving company employs more than 100 veterans between its two locations the Washington, D.C., area and Miami. He's wants to hire more because his company is booked three to four weeks in advance and he could use the extra help. "But with the unemployment rate for veterans dropping, it's becoming more challenging to hire them," says Baucom, who also is author of "On the Move: A Marine's Guide to Entrepreneurial Success." "I can't complain too much, though, because I'm glad so many other employers are seeing the benefits of having veterans in their workforce." Baucom says there are several reasons veterans make topnotch employees, including: Their tenacity. Veterans know what perseverance is all about, if for no other reason than they survived boot camp, an arduous challenge that puts a person's fortitude to to the test. Marines, for example, must prove they can hike 20 miles carrying a fully loaded pack. Their decisiveness. People in the military don't always have the luxury of taking all day to analyze a situation before making a decision. Yes, they must gather data and understand it thoroughly but they understand the need to do it expediently. "A 90 percent solution now is better than a 100 percent solution later," Baucom says. "Both in the Marines and in the business world, I've found that waiting for that 100 percent solution just leads to paralysis." Their initiative. Anyone in the military learns to follow orders. But they also understand that there are situations when they need to take action in the absence of orders. If something needs to be done, they don't have to wait to be told. "I know that Marines go through quite an ordeal in their training and in carrying out their missions," Baucom says. "When we ask them to move a piano, it probably doesn't seem all that difficult in comparison." About Nick Baucom Nick Baucom is the founder and owner of Two Marines Moving (www.twomarinesmoving.com), a moving company that has operations in the Washington, D.C., area and Miami. Baucom, who also is author of "On the Move: A Marine's Guide to Entrepreneurial Success," served in the U.S. Marines from 2002 to 2008, and was in Iraq in 2003. Veterans Writing Project in North Carolina The Dare County Arts Council in Dare County, NC, is accepting applications for their 2016 Outer Banks Veterans Writing Project. The workshop will be held for the fourth consecutive year on November 5 and 6 at the UNC Coastal Studies Institute on Roanoke Island. It is modeled after the Veterans Writing Project in Washington, D.C. The Dare County Arts Council is encouraging all former and current service men and woman in North Carolina, Virginia and surrounding areas to submit applications. For more information or to submit an online application for the Veterans Writing Project, visit the Outer Banks Veterans Writing Project website, the Dare County Arts Council website, or call (252) 473-5558. Wyoming Vet Tuition Program Saved from Budget Cuts A program that provides tuition assistance for veterans has been restored for now by Gov. Matt Mead after being targeted for elimination because of budget cuts. Mead announced Wednesday that he would continue funding the program through the coming fall semester. And he didn't rule out the possibility of continuing it after this year. The program, administered by the Wyoming Community College Commission, provided assistance to 162 veterans at the state's seven community colleges and the University of Wyoming last fall. Veterans who had been deployed to combat zones could receive 10 free semesters at any Wyoming community college and the university. Veterans' surviving spouses and dependents are also eligible. For more details, see this Military.com article. For guides on military education benefits, including the current GI Bill, finding schools, and tuition assistance, visit the Military.com Education section. Baku, Azerbaijan, July 11 Trend: Both Azerbaijan and Armenia are valuable partners, Jens Stoltenberg, NATO secretary general, tweeted July 11. We urge both sides to work for peaceful resolution, he added. The conflict between the two South Caucasus countries began in 1988 when Armenia made territorial claims against Azerbaijan. As a result of the ensuing war, in 1992 Armenian armed forces occupied 20 percent of Azerbaijan, including the Nagorno-Karabakh region and seven surrounding districts. The 1994 ceasefire agreement was followed by peace negotiations. Armenia has not yet implemented four UN Security Council resolutions on withdrawal of its armed forces from the Nagorno-Karabakh and the surrounding districts. Baku, Azerbaijan, July 11 By Seymur Aliyev Trend: Azerbaijans President Ilham Aliyev met July 11 with Russias Foreign Minister Sergey Lavrov in Baku. During the meeting, President Aliyev greeted FM Lavrov in the country. The president reminded about the meetings held throughout the year between Azerbaijan and Russia, noting that this shows how the relations are developing between the two countries. President Aliyev said Azerbaijan appreciates the efforts made by Russias President Vladimir Putin and Foreign Minister Sergey Lavrov for settlement of the Armenia-Azerbaijan Nagorno-Karabakh conflict, and expresses gratitude for those efforts. Azerbaijans president also pointed to the intensification of negotiations on the conflict. President Aliyev described the negotiations as the most dynamic ones. He also expressed hope that the positive dynamics in the talks on the Nagorno-Karabakh conflict will continue. President Aliyev noted that Russia is interested in the settlement of the Nagorno-Karabakh conflict. Touching upon the relations between the two countries in various spheres, the president said the main task is to strengthen them, and noted that Azerbaijan and Russia have friendly and partnership relations. Baku, Azerbaijan, July 11 Trend: Moscow hopes to more clearly define the further steps towards the settlement of the Armenia-Azerbaijan Nagorno-Karabakh conflict, Russias Foreign Minister Sergey Lavrov said during a meeting with Azerbaijans President Ilham Aliyev in Baku July 11. He said the conflicts settlement process continues and Russias President Vladimir Putin is satisfied with the negotiations. Lavrov also noted that he visited Yerevan and is now in Baku under Russian presidents instructions. We hope that todays meeting will make it possible to more clearly define the further steps towards the settlement of the Nagorno-Karabakh conflict, he added. The conflict between the two South Caucasus countries began in 1988 when Armenia made territorial claims against Azerbaijan. As a result of the ensuing war, in 1992 Armenian armed forces occupied 20 percent of Azerbaijan, including the Nagorno-Karabakh region and seven surrounding districts. The 1994 ceasefire agreement was followed by peace negotiations. Armenia has not yet implemented four UN Security Council resolutions on withdrawal of its armed forces from the Nagorno-Karabakh and the surrounding districts. During the meeting in Baku, Lavrov also noted that the Russia-Azerbaijan relations have a very rich bilateral agenda. He also pointed to the upcoming events, including the meeting of the intergovernmental commission and the humanitarian forum to be held in Baku in September. We are interested in developing the cooperation in all the spheres, added Lavrov. Touching upon the upcoming meeting of the Council of Foreign Ministers of Caspian Sea countries in Astana, the minister said the sides will continue the efforts and will try to achieve a result. Lavrov also conveyed greetings of Russian President Vladimir Putin to Azerbaijani President Ilham Aliyev. Baku, Azerbaijan, July 11 Trend: Ukraines President Petro Poroshenko will pay an official visit to Azerbaijan on July 13-14, said a message posted on the Ukrainian presidents website July 11. During the visit, Poroshenko is expected to take part in the fifth meeting of the Council of Presidents of Ukraine and Azerbaijan, as well as to hold meetings with the top leadership of the country. Moreover, during the Ukrainian presidents visit, the two countries are scheduled to sign several bilateral agreements aimed at improvement of relations. This Account has been suspended. A failing scheme to expand oil palm plantations in Myanmars southern Tanintharyi Region is under review, as the government hopes to concentrate on increasing yield at existing estates instead of assigning new land to the controversial crop, the regional agriculture minister said. A 30-year plan launched in 1999 to turn the region into Myanmars oil bowl has run into problems, Tanintharyi Regions Agriculture, Livestock and Irrigation Minister U Myint San told The Myanmar Times. The government had planned to plant 500,000 acres (200,000 hectares) of oil palm in the region, rising to 700,000 acres by 2030, he said. But yields have been lower than expected due to a failure to carry out proper research, while controversies over land use have led to disputes with local residents. The main aim of the project was to meet domestic oil needs, U Myint San said, but planned extensions to the plantation area have met with resistance. To reduce the impact on local residents and to prevent further environmental degradation, we will seek to increase yield instead of extending the plantation area, he said. The former government wanted to meet local consumption needs, raise living standards and attract foreign investment, said U Saw Min Aung, assistant supervisor at the agriculture departments Perennial Crops Division. But of the 500,000 acres they planned to allocate [to oil palm], only 36 percent has been planted, in Kawthoung, Myeik and Dawei townships, he said. The crop was supposed to be planted across 700,000 acres by 2030, when the population will have risen to about 80 million people. If we increase the yield, we can reduce the acreage. A recent report by conservation NGO Fauna and Flora International (FFI) said the previous government had handed more than 1 million acres to 44 oil palm companies in the three townships, but only five of the companies had grown plantations. The process should be more methodical, said U Myint San. An official should inspect the area before allocating land for palm plantation, rather than just looking at a map, and the companies should plant as quickly as they can after clearing the forest so as not to degrade the ecosystem. We cannot stop oil palm planting, but it has to be done systematically. Former governments have given little thought to selecting the best seeds to boost yield, while research has been limited, said U Htun Htun Htwe, a director at the agriculture department. To ensure sustainable development, we hope to produce quality seeds and build an oil plant in cooperation with local and foreign companies, he said. There were limitations in the [previous] governments policy due to a lack of funding for research, but the [current] governments policy favours studies to help both companies and local residents. FFI recently urged the government to halt oil palm development until the impact is better understood and stronger policies are in place to protect the countrys last remaining lowland rainforest in Tanintharyi Region. Most plantations are clearing high-conservation-value forests, and many companies are even clearing land outside their concession boundary, said FFI Myanmar program director Frank Momberg in a statement. That is why we are calling on the government to declare a complete moratorium on palm oil development that means no new forest clearing and no new licences ... until we can be sure that these plantations are sustainable. In the past, land was allocated for palm oil plantations by the central government, often without a local survey, said Zaw Win, Myanmar oil palm plantation expert and former forests department official. In my experience, the former government decided to allocate land within a very short time. Their only emphasis was on starting projects quickly, he said. They wanted to support local oil consumption to reduce oil imports, and local companies followed their directives. That is the source of the current controversies over land allocation. The construction ministry is planning to build an economic zone on 700 acres in Yangons Mingalardon township including residential and commercial buildings, an official has told The Myanmar Times. The land between Yangon-Pyay Road and No.3 Yangon-Mandalay Highway was transferred to the ministrys Department of Urban and Housing Development in January this year for development, but progress may be slow, as a number of legacy land disputes still need be solved, said the departments director general U Min Htein. The 709.14-acre (284-hectare) zone will be built with help from foreign investors, he said, though declined to provide cost estimates or a timetable at this stage. Weve drawn up a conceptual plan for a project that will include residences, commercial buildings, mixed-use complexes and bus terminals, he said. We will implement it in phases, so it will take some time. The site is near to Mingalardon industrial zone and Aung Mingalar Highway Bus Terminal. Its proximity to Yangon International Airport means that the building height will be restricted to avoid interference with aircraft flight paths, he added. We will also need to negotiate with farmers, and then we will discuss this project in detail with the Yangon Region government. The land was seized by the military under the previous regime, but returned to its original owners under the Thein Sein government before it was bought by the Department of Urban and Housing Development in January for development. Before any work can begin, the government will likely face tough negotiations, according to tycoon U Khin Shwe, chair of Zaykabar Group, who was given much of the surrounding land by the former regime. Some farmers divided their lands and sold them off. This could complicate negotiations. The last government invited me to join a project on this land but I refused because I was busy with other industrial zone projects at the time, he said. The problems of land ownership are too complicated there. Translation by Zar Zar Soe A recent landmine fatality and increased activity by hostile ethnic armed groups in Shan States Mine Ton township has led more than 320 villagers in the Mong Maw village tract to flee to Namtu township, seeking refuge in Shwe Myin Thar monastery, villagers told the presiding sayadaw. Taang armed groups came to the villages and blasted landmines here and there in that village tract, said Sai Htun of the Tai Youth Network, who is helping the displaced. The people decided to leave their villages because they are too scared. The Mong Maw village tract, which consists of four villages Mang Kalar, Mong Mai, Mang Narr and Mong Yu is part of the Palaung Self-Administrative Zone, but most of the population is ethnically Shan. Villagers fear the area could become a battlefield between the Taang National Liberation Army (TNLA) and the Restoration Council of Shan State (RCSS), two non-state armed groups that have clashed in northern Shan State in recent months. Mong Mai has it the worst among the four villages; the villagers didnt get time to collect their possessions before they ran, said the Shwe Myin Thar sayadaw. They got threatening messages from Taang soldiers that their village will be burned if theres fighting with the RCSS. They said they do not dare go back to their village anymore. One man and five cows were killed in the landmine blast that led the villagers to flee. Half of the refugees are school-aged children, added Sai Htun. They cannot study because they are refugees now. I would like to ask the president to solve this conflict in northern Shan State. The RCSS has been setting camps up in the mountains around the villages, while the TNLA has taken up positions in the villages themselves. On the morning of July 6, a landmine killed one villager and injured seven others at an old railway road called Nant Paing-Nar Kone, 6 miles (10 kilometres) from Namtu town. That evening, a landmine injured an RCSS soldier 4 miles outside of Namtu town, said Nang Moe, a spokesperson for the Shan armed group. The TNLA and RCSS have clashed sporadically, beginning in November. A month earlier, the latter signed the so-called nationwide ceasefire agreement with the previous government, while the former was not given the opportunity to do so. The fighting over territory has led some to accuse the military of using the ceasefire accord to divide and rule by splitting the countrys ethnic armed groups into signatory and non-signatory camps. The National League for Democracy-backed government defended its spotty womens rights record at a UN meeting in Geneva last week, amid international pressure to repeal discriminatory race and religion laws. Myanmars delegation, headed by U Maung Wai, told the UN Committee on the Elimination of Discrimination against Women (CEDAW) that women are guaranteed to enjoy equal rights as men under the constitution and that the voices of women are heard more than ever under the current government. Participation of women in parliament has now increased to 13.5 percent in 2016 from 4.42pc in 2012, U Maung Wai said on July 7 in his opening remarks at the 64th session of the CEDAW committee meeting. He credited the improvement to party leader and State Counsellor Daw Aung San Suu Kyi. Although U Maung Wai acknowledged that womens participation in decision-making levels is still low, his statement fell short of addressing the underlying entrenched social and political challenges. Due to non-discriminatory traditions, women do not face social barriers in education, jobs and career advancement, he said, contradicting a shadow report compiled by CEDAW Action Myanmar, a civil society coalition which noted that the first barrier is often rampant social stigma and a well-justified fear of further abuse when reporting sexual and domestic violence. The Myanmar delegation also said there was no such thing as targeting or marginalising minorities in Myanmar. The new government came under fire last week as international human rights watchdogs cited failure to address critical womens rights violations including lack of access to justice for survivors of sexual abuse and the controversial protection of race and religion laws that were signed into effect last year. Myanmar ratified CEDAW in 1997, making the country legally bound to put its provisions into practice and to submit national reports at least every four years. A final report with UN remarks will be released by the CEDAW committee on July 25 after the review process concludes. What's it like to have the worlds rarest gem named after you? Just ask mineralogist U Kyaw Thu, who late last year saw the International Mineralogical Association recognise a precious stone he purchased at a market in Mogok as a distinct, and for now singular, mineral specimen. So it was that kyawthuite was born. This is the first in the world. It is not found in other countries, U Kyaw Thu told The Myanmar Times last week. The precious stone was originally found by gems prospectors in Chaung Gyi village, Mogok township Myanmars famed Ruby Land part of Mandalay Region. Not realising its uniqueness, the finders set the raw stone out for sale at a market, where U Kyaw Thu saw it and discerned something special. From studying in the field and buying stones from the Khanae market, [I could tell that] this stone was a little strange and I bought it. Then, when I reached Yangon, I examined it [and determined that] this was not like any other gem weve ever found, U Kyaw Thu said. Although he purchased the stone in 2010, confirming its rarity was difficult in Myanmar, so he cooperated with experts from the United States and the International Mineralogical Association. In December 2015, the latter recognised it as the first ever discovered in the world, he said. U Kyaw Thu has largely kept quiet about the mineral named after him, pending confirmation from the International Mineralogical Association. The stone is currently being exhibited at the Natural History Museum of Los Angeles County in the United States. The museums bi-monthly magazine early this year boasted of having added the worlds rarest gem to its collection. The kyawthuite stone is reddish orange in colour and weighs in at 1.61 carat. Translation by Khine Thazin Han Workers staging a sit-in over a contract feud at a textile factory have been told to have patience as the dispute could take some time to settle, according to labour ministry officials. The protest outside the entrance to the Panda Textile Factory in Mandalays Singu township has been going on since June 3. Workers have said their sit-in will not stop until their demands are met. On July 9, ministry officials met with factory officials and protesters, who claim that rights promised under their previous contracts are not being honoured. The factory was previously run by the Ministry of Industry as No 2 Textile and Garment Factory. Panda took it over under a lease that required the factory owners to continue to employ the workers. But the lease articles in question, Articles 8 and 9, have been annulled and the labour ministry is still deliberating, so the process is expected to take time, said U Myo Aung, permanent secretary of labour, immigration and population. We came to meet them [the workers] mainly to stop the protest, he said. But we have discussed the agreement that was signed when the factory was privatised. The Ministry of Industry told them to submit the disputed articles and have promised to look into it. In the case of Articles 8 and 9, it deals with the rights of civil servants, so it cannot be decided only by the Ministry of Labour. It will have to be settled at the national level The important thing is that they need to have a desire to settle the problem. We will do our best to resolve it by controlling the situation. In June, the labour ministry filed a lawsuit against Panda for amending contracts without prior agreement from the employees, demanding workers come in for shifts on Saturdays and Sundays. The other disputed matter includes wage cuts. We cant come to an agreement over the two points that the workers are demanding, said Daw Tin Tin Shwe, manager of Panda textile and garment factory. It is difficult for us because it is related to the rights of civil servants. To reassign the workers who have been sacked according to a decision by the tribunal council, that is easy to say, but to do it we need to consider impacts, like getting the staff to comply with the rules of the company in the long term. Translation by Thiri Min Htun National League for Democracy spokesperson U Win Htein said the party will not take seriously or yield to hardline nationalists who are demanding a chief minister and party member be punished over controversial remarks. U Phyo Min Thein, Yangon Region chief minister, said during a trip to Singapore last week that the Committee to Protect Nationality and Religion or Ma Ba Tha is unnecessary and redundant. He reiterated the sentiment when he returned to Yangon on July 6 and then had a meeting the following day with the state Buddhist authority to see whether it would be possible to dissolve the nationalist group. After a five-hour emergency central committee meeting on July 7, Ma Ba Tha issued a demand for the NLD to take responsibility for the chief ministers comment and reprimand him by July 14. U Win Htein told The Myanmar Times that the party will not be heeding the request. Compared with the persecution and opposition we faced under the military government for 27 years, Ma Ba Tha means nothing. It is like they are throwing flowers at us, he said. According to the 2008 Constitution and the NLDs policy, religion and politics must be divided. We will not stand for using religion for political benefit, or mixing religion and politics in any way. So we will not follow whatever they demand. Ma Ba Tha has repeatedly proven a thorn in the NLDs side, with some nationalist monks assisting the former ruling partys campaign during last years election by distributing provocative leaflets against the NLD. U Win Htein, who is also the partys patron, told outspoken Ma Ba Tha member U Wirathu to go to hell last October after the monk publicly insulted the NLD and said the party was full of themselves. U Wirathu is among the Ma Ba Tha members vocally demanding that the NLD take action against U Phyo Min Thein. The chief ministers comment has garnered an outpouring of support on social media, however, including a petition called, U Phyo Min Thein we stand with you. Translation by Khant Lin Oo Baku, Azerbaijan, July 11 By Elchin Mehdiyev Trend: The state doesnt influence the pricing in hotels in Azerbaijan, said the countrys minister of culture and tourism, Abulfas Garayev. The prices are set by entrepreneurs. The state can only instruct them, hold trainings and give recommendations on pricing, Garayev said at a ceremony of awarding the winners of the "Tourism and Media: Joint Activities on the Way to Development" contest in Baku July 11. The minister said there is no monopoly in Azerbaijans tourism market and everyone can be engaged in this sector. The development of tourism is one of the priorities in Azerbaijan, according to the minister. Garayev also noted that international events held in Azerbaijan positively affect the development of tourism. Those expecting to see Myanmars new president attend the first Martyrs Day commemoration since he took office will find themselves disappointed this year, but State Counsellor Daw Aung San Suu Kyi does plan to again join the main ceremony in Yangon on July 19. U Zaw Htay, a spokesperson for his office, said President U Htin Kyaw will stay in Nay Pyi Taw, where he will donate food to monks in honour of the national heroes celebrated annually later this month. U Zaw Htay said Daw Aung San Suu Kyi the governments de facto leader will attend the ceremony in both her capacity as a government official and as the daughter of one of the martyrs, Bogyoke Aung San. Martyrs Day, this year the 69th annual iteration, marks the day in 1947 when Bogyoke Aung San and seven other leaders of Myanmars pre-independence interim government were assassinated by a political rival. U Htwe Win, the son of one of the men killed, U Ba Win, told The Myanmar Times that the presidents absence puzzled him. I had thought the president would be attending the ceremony, U Htwe Win said. I dont understand why he wont attend the ceremony, as [was the case under] the previous government. During the term of the NLDs predecessor, then-vice president Sai Mauk Kham attended the ceremony in Yangon, the highest-ranking government official to attend. Hopes had been raised this year that U Htin Kyaws presence might elevate the profile of the commemoration, which was downplayed by previous military regimes but was increasingly embraced by the quasi-civilian government that took power in 2011. U Zaw Htay said the government also did not plan to invite representatives of signatories to the nationwide ceasefire agreement. An invitation to the eight non-state armed groups would also have been a historic first. We will invite them only for Union Day [on February 12], not Martyrs Day, he said. The ceremony in Yangon will be held at the Martyrs Mausoleum, which has been undergoing renovations ahead of the event. Past visitors to the memorial will notice some changes this year, including a decision to move the lone white star from the upper left-hand corner of its facade to the ground in front of it, a nod to the martyrs fallen status. Displayed at the mausoleum for the first time this year will be biographical sketches of the martyrs and excerpts from some of Bogyoke Aung Sans speeches. Vice President U Myint Swe said last week that state broadcaster MRTV would sound a siren at 10:37am on July 19, the minute that the martyrs were gunned down in Yangons Secretariat. Nationalist marched through the rain yesterday demanding the government reverse a decision to refer to self-identifying Rohingyas as Muslims in Rakhine State. The protesters said Bengali officially used by the previous government must remain the condoned terminology. Marching from Shwedagon Pagoda at 12pm, the group accused the new government of failing to listen to the demands of the people who voted it into power. The 300-strong crowd took to Kabaraye Pagoda Road flashing Rakhine State flags and bright red vinyl posters saying, Government!!! Immediately cancel specifying Muslim Community in Rakhine State [sic], and Be careful Myanmar Ethnic, there is no place for illegal immigrant Bengalis. Shortly after the march began the latest in a series of similar protests held since April township police intervened, telling the protesters they had failed to obtain the necessary approval to stage the demonstration along that route. Police officers formed a barricade and initially would not allow anyone through. After some negotiation, the protesters were granted permission to continue marching. This voice is the will of the people so it will be heard by the government, said Ko Naung Taw Lay, also known as Nay Win Aung, the secretary of the Myanmar Nationalist Network. The government should listen and implement our demands if it is truly the peoples government which has been supported by the people in the election up to now. [Our demand] is in the best interest of all the nationalities of Myanmar. Yesterdays protest was organised by the Nationalist Network and Myanmar Patriotic Monk Association as well as nationalist monks from Yangon, Bagan and Ayeyarwady regions. The organisers said that a statement expressing the groups demands will soon be sent to President U Htin Kyaw. See more In Pictures: Nationalists rally in Yangon Nationalists have kicked up a frenzy over the terminology used to refer to mostly stateless Muslim residents of Rakhine State since the US embassy released a statement expressing condolences for Rohingya in April. Demonstrators gathered outside the gates of the embassy in Yangon accusing the US of violating Myanmars sovereignty for using an unofficial, politicised term. Bowing to nationalist pressure the Ministry of Foreign Affairs then asked the US to refrain from using controversial terminology, a request that has reportedly been repeated for many visiting dignitaries. Hoping to take a neutral route, the government adopted the term Muslims of Rakhine State which has angered both self-identifying Rohingya and those who wish them to be referred to as Bengalis. Daw Aung San Suu Kyi have come under increased pressure to address ongoing sectarian tensions in Rakhine State and the continued displacement of Muslims living in temporary camps. The European Parliament last week condemned practices that discriminate against Myanmars Muslim minority, in particular the Rohingya, and called for specific measures and policies to prevent direct and indirect discrimination against the Rohingya in the future. In May, the state counsellor pledged to resolve communal problems in Rakhine State through development initiatives, an announcement that was widely criticised for not going far enough. The recent blood-soaked attack by a Muslim militant force on a cafe popular with foreigners in Dhaka is yet another grim reminder that, for some people, political struggle can justify the cruellest violence. In Bangladesh and so many other places, extremists want to destroy multi-cultural and multi-religious societies. Most of us do not want to live under those terms; our response, therefore, requires a cool head. For many extremist groups, a preferred strategy is to sow panic, seeking to strangulate tolerant instincts and set different groups against each other. The violence seen across the border in Bangladesh is purposeful in its barbarity. When foreign investors get nervous, when development projects fail, when the middle class flees abroad and when tourists stay away, the extremists have secured their short-term victory. Their long game is to completely pollute the relationship between religious communities, in this case Muslims and non-Muslims, hoping that eventually there will be no alternative to all-out religious war. Curiously, those who are most adamant in their opposition to Muslims often prove the least savvy about the implications of this strategy. For extremists, part of the goal is to inspire extreme opposition. So, in Myanmar, every time a prayer hall is desecrated, or a Muslim community gets attacked, militant forces have extra ammunition for goading division and hate. For them, the anti-Rohingya activism of Myanmars Buddhist nationalists fits perfectly the story of downtrodden Muslims, who need muscled-up support from around the world. Every act of persecution, every double standard, every harsh word: It all adds up. Policies that curb the rights of Muslims or undermine our rhetoric of inclusion play, very effectively, into the hands of extremists. Of course, there are plenty of people who want to live in their own mono-cultural, mono-religious enclaves. You find this thinking among Christians, Hindus, Jews, Muslims and Buddhists. For certain people, there is simply not enough mental space to accept the differences that naturally come with living in a plural society. Take an Australian example. Some people in a country ranked number 2 on the United Nations Human Development Index just elected Pauline Hansons One Nation Party to the Australian Senate. In an earlier political incarnation, Ms Hanson gained notoriety for her outspoken disapproval of Asian migration. In her 2016 campaign, she called for a Royal Commission into Islam, among a long list of other discriminatory and divisive policies. It seems implausible that Hanson will ever claim the numbers to get the Australian government to do her racist bidding. However, her election success should remind us all that battles with extremists take many forms and that narrow-minded politics only lead to more strife. Vigilance against hateful views is a collective and ongoing task. Back in Myanmar, nobody pretends that it will be easy to manage the simmering disquiet between Muslims and Buddhists. Perhaps the country will eventually find ways of accommodating its many faith communities on a sustainable basis. For that to happen, Buddhist leaders will need to play a courageous role, disavowing the divisive plans of Ma Ba Tha and its fellow travellers. But, right now, the indications are not good. The new National League for Democracy governments hesitant efforts to depoliticise the terminology used for Muslims in Rakhine State has already generated howls of outrage. A fresh round of attacks on Muslim religious facilities indicates that spite still runs deep. So, what can be done? For those of us who want to live in peaceful, pluralist societies, there is a fundamental responsibility to identify the enemies of tolerance and diversity. They wear different masks and carry a range of weapons. In all cases, their hateful rhetoric is unacceptable. This means we should oppose, in the same breath, militant groups acting in the name of Islam, the Hansonites and Ma Ba Tha. Of course, they would each resent being grouped with the others. That is the entire point: To varying extents, what they share is intolerance of difference and lack of capacity to accept the grand tapestry of humankind. In Myanmar, as elsewhere, the horror scenario is one where Muslim extremists get seriously into the fight. If that happens, it will take immense resolve from the government and ordinary people to side-step a potentially catastrophic outcome. The fact that militant ideologues welcome such strife is the best possible reason for avoiding any further escalation along Myanmars Buddhist-Muslim faultline. And in finding a happy balance among different faith communities, we should all continue to come out hard against those who launch attacks, like the one we saw in Dhaka. Utter and unflinching condemnation is a good first step. Swift law enforcement action rightfully follows. In the next movement, it is worth considering how extremism begets extremism. We all have a role in ensuring that small-minded bigots, whichever banner they may carry, are not allowed to prevail. New Mandala Nicholas Farrelly is director of the Myanmar Research Centre at the Australian National University. His column appears each Monday. Last year, roughly one of every six fish sold around the world was caught illegally. That number is now poised to drop precipitously, thanks to the Port State Measures Agreement (PSMA), the worlds first international treaty designed specifically to tackle illegal, unreported and unregulated fishing. Under this new agreement, parties are obliged to ensure that any fishing vessel that comes to its port, even for refuelling, must announce that it is doing so and submit to an inspection of their log book, licences, fishing gear and, to be sure, their actual cargo. Port state authorities agree to share information on violations, thus making it harder for rogue fishers to shift their practices elsewhere. The treaty, which was adopted by the UN Food and Agricultural Organizations members in 2009, marks a big step beyond self-regulation of the seafood sector, from which illicit activity siphons off up to US$23 billion a year. More than 30 countries plus the European Union have formally deposited their instruments of adherence with the FAO, the treaty has now entered into force and a new era has begun. Those who fish illegally who not only profit but also jeopardise coordinated efforts to manage global marine resources in a sustainable manner so that fishing can prosper as a viable activity and people everywhere can enjoy its nutritional benefits face higher operating costs and the serious risk of being caught. To be sure, the treaty today applies only to those countries that gave the required consent. To give the treaty more traction, and accelerate both its effectiveness and impact, more countries must join. As they do, there will be ever-fewer port-hopping opportunities for rogue vessels determined to flaunt laws that regulate catch levels, usually to protect biodiversity and stock levels. But have no doubts. Historys net has been cast. Membership is destined to grow. I offer my congratulations to all the countries that are already parties to the treaty: Australia, Barbados, Cabo Verde, Chile, Costa Rica, Cuba, Dominica, the European Union (on behalf of its member states), Gabon, Grenada, Guinea, Guyana, Iceland, Indonesia, Mauritius, Mozambique, Myanmar, New Zealand, Norway, Oman, Palau, South Korea, Saint Kitts and Nevis, Saint Vincent and the Grenadines, Seychelles, Somalia, South Africa, Sri Lanka, Sudan, Thailand, Tonga, the United States, Uruguay and Vanuatu. The marine resources of Myanmar have been dramatically declining for the past decades under the pressure of overfishing. Research data from the Nansen Survey, led by the Norwegian Institute of Marine Research with the support of the FAO and released in February, reveals a decline in Myanmars coastal fish stocks of 80 percent in the past 30 to 40 years. The researchers also found a significant reduction in the most valuable commercial species and a significant increase in smaller, fast recruiting and less valuable species. The PSMA is an important step forward to restore fish stocks in Myanmar. The agreement makes it harder for improperly caught fish to enter the market, disrupting a critical step in seafoods complex ocean-to-table supply chain. Some ships may choose to travel further, already a costly decision and disincentive. Moreover, ports that offer services to such outlaws will not escape notice. Parties to the PSMA will fund capacity-building measures for countries that need it and the FAO is offering technical and legal assistance and tolerance of rogue behaviour will likely increase the burden of eventual compliance. And let there be no doubt: Compliance is eventually inevitable. Players in the global fish industry are increasingly exploiting their sustainable practices as a marketing asset and catch documentation and eco-labelling schemes gather steam. Adhering to the treaty may enhance a countrys trade opportunities. As a turning point in the struggle against illegality in the fisheries sector, the PSMA is a concrete step toward healthier oceans, as called for by Goal 14 of the new Agenda 2030 for Sustainable Development. At the FAO, we emphasise how sustainable development requires an integrated effort and relies on network effects which in turn can catalyse positive feedback loops. The requisite port state inspections, for example, may indirectly complement other global concerns, including the use of slave labour in the fishing-industry, illicit trade in endangered species and better management of marine protected areas. Jose Graziano da Silva is the director general of the Food and Agriculture Organization of the United Nations. [July 11, 2016] SIGFOX, ENGIE and UnaBiz Join Forces to Connect Singapore to Global IoT Network SIGFOX, the world's leading provider of dedicated communications service for the Internet of Things (IoT), ENGIE, the world's No. 1 independent power producer, and UnaBiz, an IoT network operator, today announced a strategic partnership and plan to deploy the SIGFOX global network in Singapore. This Smart News Release features multimedia. View the full release here: http://www.businesswire.com/news/home/20160710005026/en/ (Graphic: Business Wire) The partners expect SIGFOX's cost-effective, energy-efficient connectivity to find receptive markets as Singapore implements its Smart Nation Initiative. This "whole-of-nation" program will include infrastructure, policies, ecosystem and capabilities to bring Smart Nation benefits to all citizens across many sectors. Taking advantage of the simple and fast rollout of the network, which requires far lighter infrastructure than traditional wireless networks, the three partners expect to offer nationwide coverage by early 2017. UnaBiz, the exclusive SIGFOX Network Operator in Singapore, will lead the nationwide rollout and drive the overall growth of SIGFOX's IoT ecosystem in the republic. "Singapore is SIGFOX's first deployment in Asia and home to its regional headquarters. This is testament to Singapore's strategic positioning as a leading global connectivity hub and a gateway for high-growth businesses to address the oppotunities presented in this region," said Meng Fai Tung, Deputy Director (Infocomms & Media) for the Singapore Economic Development Board (EDB). "The rollout of SIGFOX's global network in Singapore with ENGIE and UnaBiz marks an important milestone in strengthening Singapore as a Smart Nation and global player in the Internet of Things. This partnership is a significant addition to Singapore's digital infrastructure, and a catalyst for greater innovation in Singapore's infocomm technology ecosystem." Henri Bong, CEO of UnaBiz, notes that the IoT will have a profound impact on the way citizens live, work and play: "The IoT will play a major role in building nations where citizens will live fulfilling lives that are richly supported by technology," Bong said. "As the exclusive SIGFOX Network Operator in Singapore, we are very excited to roll out nationwide connectivity. We will leverage SIGFOX's global ecosystem of world-leading component manufacturers, IT developers and integrators to help and establish Singapore as the 'living lab' of Asia." SIGFOX CEO Ludovic Le Moan said the company's simple deployment, seamless connectivity and flexibility to conform to standards assure a rapid rollout in Singapore, and pave the way for multiple new applications in all business and industry sectors and smart-city uses. "The IoT must have a global seamless network infrastructure to enable secure collection, transmission and sharing of that data and to address the total-cost-of-ownership barriers that delay its adoption," Le Moan said. "Based on our experience in 21 countries where our network is already present and registers more than 7 million objects, we are confident that our proven solution and partner ecosystem will help Singapore to implement its Smart Nation Initiative quickly and successfully." "We choose to invest in the development of IoT in Singapore, because digital technology is a powerful asset that will help our local businesses to improve energy efficiency, facility management and customer-centered solutions," said Isabelle Kocher, CEO of ENGIE. "In addition, Singapore's dynamic innovation ecosystem and regional connectivity provides the right environment for us to pioneer IoT solutions in the region." In 2015, ENGIE acquired a minority stake in SIGFOX and established ENGIE M2M to act as the exclusive national network operator of SIGFOX's connectivity solution in Belgium. In other countries, ENGIE is also a user of SIGFOX solution, for different use projects under development, such as remote monitoring of boilers, metering in heat distribution and smart lighting. In 2016, SIGFOX plans to have coverage in more than 30 countries with its network. About SIGFOX www.SIGFOX.com and follow us on Twitter (News - Alert) @SIGFOX. About UnaBiz www.UnaBiz.com About ENGIE www.engie.com View source version on businesswire.com: http://www.businesswire.com/news/home/20160710005026/en/ [ Back To TMCnet.com's Homepage ] Celebrated radio host Kwame Adinkra Monday kicked off Abusua 96.5 FMs soft programming with #Abusuankomo the new stations morning show. Officials of the EIB Network, owners of Abusua FM joined Adinkra for a brief prayer session before officially going live. In his introductory remarks, Adinkra spoke about the journey back to Kumasi radio, where he still commands a huge following. Abusua FM is operating on the frequency 96.5 in the Ashanti Region, and started test transmission on June 1, ahead of todays soft roll-out. Adinkra and a team of experienced heads are being recruited to lead EIBs official entry into the mass market in the Ashanti Region. EIB also operates Ultimate 106.9 FM in Kumasi. EIB is also set to roll out two more radio stations; Super FM (Tamale) and Cool FM (Cape Coast) in addition to existing properties. The media group also owns Starr FM, LIVE FM, GH One TV, Kasapa FM (all in Accra), Empire FM (Takoradi), and Agoo FM (Nkawkaw) as well as the Daily Heritage Newspaper. Adinkras decision to leave Accra a little over a year after joining Atinka FM was predicted. Before moving to Accra, he enjoyed an unmatched popularity in the Ashanti Region as the most listened to morning radio host on Angel FM. For years, he became Kumasis biggest name in radio and helped built a following that propelled him to the top of morning radio in the Northern sector. Abusua FM reintroduces Adinkra to a territory he knows all too well, and also offer the EIB Network-owned station a good head start. Previously, he worked for Otec FM, Fox FM and Kapital Radio. Sorry, we can't find the content you're looking for at this URL. Baku, Azerbaijan, July 11 By Elmira Tariverdiyeva Trend: Pope Francis will visit Baku on October 2, said the press service of the Holy See. The visit will start with a holy mass at the church of the Immaculate in the Salesian Centre in Baku. Pontiff is also scheduled to meet with the countrys leadership, the leadership of the Caucasian Muslims Office, representatives of the Russian Orthodox Church and the Jewish community in Azerbaijan. Before his trip to Azerbaijan, Pope Francis will visit Georgia, where he will also meet with the leadership and representatives of the countrys clergy. Tripoli (AFP) - Libya's UN-backed unity government on Monday moved into its official Tripoli offices, more than 100 days after working from a naval base in the capital. The move comes three months after Prime Minister Fayez al-Sarraj sailed into Tripoli under naval escort along with several members of his Government of National Accord (GNA). "Today we were handed the (formal) government headquarters, after spending more than 100 days in the naval base," Deputy Prime Minister Mussa al-Koni told reporters. He said this "is the real start" of the government's work. Sarraj chaired a cabinet session at the official seat of government after a ceremony attended by police officials and some ministers. The GNA was the result of a UN-brokered power-sharing deal struck in December, but it is still struggling to unite the divided North African country and fully assert its authority. A rival government in the eastern city of Tobruk is refusing to cede power until Libya's elected parliament passes a repeatedly delayed vote of confidence. However, the GNA has won the loyalty of the central bank and national oil corporation -- depositors of the country's wealth -- as well as cities and armed groups in western Libya. Since March 30, when Sarraj arrived in Tripoli, the GNA has also taken control of several key ministries. The GNA faces a fearsome set of military, economic and political challenges in a country that has faced chaos since the overthrow of veteran dictator Moamer Kadhafi in 2011. Libya's oil-dependent economy has also been hit hard by the sharp fall in world prices. 11.07.2016 LISTEN OFFICE OF THE CHIEF JUSTICE GHANA, ACCRA. Dear Madam, KILLINGS OF THE POLICE BY ARMED ROBBERS IS A THREAT TO THE SAFETY OF OUR JUDGES AND JUSTICE DELIVERY. Your worship, I write to you as a concerned police officer who is doing his possible best to ensure that law and order is maintained, crime is detected and prevented as well as offenders of the law are apprehended and prosecuted amidst all forms of threats and risks that are associated with law enforcements. Our society is fast changing so new forms of threats and risks keep emerging every day and that is making crime combat very difficult I must say. You will agree with me that the police and the judiciary are Siamese twins which cannot be separated by any surgeon in this our world because these two intuitions cannot do without each other. The constitutional functions of these two institutions are interwoven like the web of a spider, thus making them inseparable. I am too naive to tell you the role that the police plays in deepening democracy and rule of law by contributing tirelessly our quota towards smooth justice delivery by risking our lives in arresting the criminals just to ensure that society is free from crimes. As a result of police working hard to make the society safe, many young police officers have died in their numbers but the sad aspect is that all are looking on including our judges. No one cares. Your worship, I want to draw your attention to the recent killings of police officers by armed robbers which seem to concern no one in this country. It is a case of cause and effect situation that threatens justice delivery which can translate into dislocations in the rule of law and a slap on the face of democracy but nobody seems to care about it. Who cares if a police officer dies fighting robbery? That is a social injustice in the highest order in this our country called Ghana. By putting our lives on line for people who do not care whether we die or not is an injustice emanating from ungratefulness. Your worship, our lives even threatened more when the Supreme Court ruled that armed robbery is now a bailable offence after Counsel Marthin Kpebu filed a suit before it. How I wished all offences are bailable except robbery but who am I? A mere police lance corporal to question the wisdom of the big wigs in our legal system? In fact, the law lies in your bosom so I have no questions but rather worries. Worries that this ruling has tendencies to kill more police officers including me since armed robbers on bail can launch reprisal attacks on police officers who arrested them if they should be granted bail. This will endanger the safety of the police as well as the judges. We now have most of these police officers who take part of armed robbery operations staying in communities these days. They can easily be located and be killed in cold blood in their homes. This is really a big worry in crime combat I must confess. I have since been entertaining fear that if I arrest an armed robber, the court will grant him bail after which he may come after me or any of my family members. I am very sure this thinking is also running in the heads of most of my colleagues. If this thinking continue for long, the morale and the commitment levels of the police to combat crimes will fall below the ebb. When that happens, we will see its ripple effects on our justice delivery systems. One Inspector Asante who helped to arrest armed robbers somewhere Kumasi got killed by the same armed robbers after they were granted bail. We are already dying and nothing concrete seems to have been done about it but here comes a ruling from the Supreme Court even endangering our lives the more. I have been told one of our senior officers is currently seeking a review of that ruling. I pray you lend him ears so that we can have confident that if we are able to arrest armed robbers, they will not come back and attack us and our families Your worship, recent killing of police officers is a direct attack on the judiciary. It is a threat to the safety of our judges. The judge is only safe if the police is alive and if the police dies, the judge is prone to attacks not only from armed robbers but any other criminal which the judge is trying his or her case. The judge is never safe without the police but it appears that our judges care very little about the life of a police officers who serve as a shield for them. Many judges who are handling sensitive cases are alive today because they have police protection. May be the whole Ghana including our judges want to wake up one day and find the bodies of all the police officers lying on the streets before they realize that very little have been done to protect our police to protect all Ghanaians including the judges themselves. Your worship, I am very sure you may be wondering what you can do to ensure the safety of the police in order to protect our judges and to ensure that there is smooth justice delivery. Just express your worry about the killings of the police and I tell you that words of a person of your calibre will echo in all the corners of Ghana. Remember and always remember that the judge is only safe if the police is alive. Just say something, your worship and it will be enough. Yours faithfully L/Cpl Daniel Kwofie (Ahanta) Ghana Police Service/Peki The so-called Independent Electoral Commission (EC) and the Mahama-led government of the National Democratic Congress (NDC) are at it again. This time the Flagstaff House and its fawningly dedicated surrogates at the EC are attempting to legislate a carte-blanche system by which just about anybody claiming to be a Ghanaian citizen could simply walk into any polling station and be permitted to vote by the polling assistant, whether the status and/or identity of that prospective voter can be readily verified by the Biometric Voting machine (BVM) or not (See Voters Rejected By Verification Machine May Be Allowed To Cast Ballot Graphic.com.gh 7/9/16). This deliberate and devious attempt to legally corrupt the countrys electoral protocol must be rejected, in to-to, by Parliament and all peace- and democracy-loving Ghanaians. Instead, what needs to be done is to have a printed hardcopy of the names of all registered voters available at any particular polling station as a voter-verification backup documentation. In other words, any prospective voter whose name cannot be picked up or verified by the BVM will have to be cleared to vote only if his/her name appears on the printed list of all registered voters with addresses within that constituency and/or polling station. Permitting unidentifiable prospective voters to simply fill up a form and then proceed to cast their ballot would be an inexcusable recipe for chaos and disaster. It would also be unwisely tantamount to the same electoral blunder, or rather trap, that the Supreme Court advised against during the 2012 presidential election petitions proceedings. Indeed, if as Justice William Atuguba poignantly admonished the plaintiffs, led by the New Patriotic Partys presidential candidate, Nana Addo Dankwa Akufo-Addo, All elections must be won at the polling station, and not in the courtroom, then there can be no gainsaying that this new attempt to legitimize a patently and untenably corrupt practice would only plunge the country into deeper crisis, in the event of one of the major contenders justifiably concluding that it had been deliberately, and perhaps even criminally, railroaded by the Electoral Commission. I have argued out this point before and firmly believe that it bears repeating the same here once more; and it is that what is direly needed in the lead-up to Election 2016 are two sets of Voters Register one of which lists the names of all registered voters and their political party affiliations, and another which lists all non-party-affiliated or Independent Voters. Needless to say, going into Election 2016, Ghanaians need to know the breakdown of number of voters who claim affiliation with any of the legitimately registered political parties. This would enable the Electoral Commission to keep proper track of all voters in order to ensure the effective forestalling of the unacceptably high incidence of over-voting, as was brought to light during the 2012 presidential election petition. This does not necessarily mean that all party-affiliated voters are either bound or obligated to vote for only candidates of their party of affiliation. We know this not to be humanly possible, or even practicable, based on our experience with what has popularly come to be known as Skirt-and-Blouse voting. One advantage of the preceding method of the documentation of registered voters is that it would facilitate the scientific tracking of crossover voters, as well as offer us a better sense of voting patterns across the country and the issues which appear to motivate such voting trends. Allowing unverifiable or unidentifiable people to simply fill out forms to enable them to readily circumvent a system established to ensure fairness and a level playing field, as it were, is only bound to further stultify an already complicated electoral process. What we need, in the words of Justice Atuguba, is a polling outcome that does not produce court-prone litigants, as the Supreme Court will not be able to deliver justice to even the genuinely and/or justifiably aggrieved. Also crafting a Constitutional Instrument that seeks to indemnify polling assistants and Electoral Commission executives and staff who either deliberately or inadvertently prejudice the electoral process to suit their whims and caprices is simply unacceptable. The new bill before Parliament should be crafted or re-crafted in such a way as to place a high premium on professional accountability. Elections are about our destiny as a nation, not a mere game of chess or draughts. *Visit my blog at: kwameokoampaahoofe.wordpress.com Ghanaffairs Anti-Corruption: Nigeria Can Involve The People, Provoke Moral Outrage His Excellency, former President of Ghana, Flt Lt (rtd) Jerry John Rawlings, was twice head of state of Ghana. In this no-holds-barred interview with EMEKA ANUFORO, he speaks on a range of issues, including Nigeria under President Muhammadu Buhari, corruption in Africa, his country, Ghana, and the way forward for African countries. On things that destroy the moral fabric of societies In the first place, I think that things have gone astray since the collapse of the bipolar world. I keep talking about Pope John Paul II castigating the dominant Western economic philosophy when he called it the savagery of capitalism. The conduct of affairs internationally has pretty much gone out of hands. But some of us appear to be immune to it or appear to be getting used to it. All the things you dont expect to happen in a healthy government, in a democratic context, are happening: the greed, the impunity, the selfishness being displayed. And it is even worse with us in the so-called developing world in terms of the monetisation of values. The very moral fabric of integrity is broken. I am saying that there was a dramatic moment when this turning point could actually be felt. That was when George Bush Jnr moved into Iraq. That cynical moment turned a very important human value upside down in the sense that, from that moment, as they stepped in and decided to just move into the capital and literarily destroyed so much human life and natural habitat, I told the world in one sentence that, the right of might now supersedes the might of right. So, others began to take a cue. I do recall that when Bush and Blair made their presence felt, like this is going to be a new world order under our leadership, I think they attempted to crack a whip on Africa because things are not going well on this black continent either. President Mugabe was to be their first victim, if you remember. His name was the one poisoned the most. Two people were sent down there to go and ask him to get out of office: Obasanjo and Kufuor, who were then in office. The Southern Region leadership told them to get out. Fantastic, beautiful! They needed a culprit. If they couldnt have Mugabe, then someone else. That was how Charles Taylor was picked up. I am not saying that Charles Taylor to an extent didnt deserve what he got or completely ignorant of the Liberian brutalities. But Obasanjo had gone to the US at that time for whatever reason, but not even the State Department would receive him unless he produced Charles Taylor. Remember at that time, Charles Taylor was living in Nigeria and he set off, attempting to go to another country, when he was arrested at the border and handed over. Now, generally speaking, and you know, a whole lot of nasty things were going on following the Bin Ladins insurgency on September 11 with his allied Taliban forces. That moment brought another face in the history of humanity across the globe and has reshaped the Americas home security and international policy. All of a sudden, America decided to start chasing people up. They erred in some ways. Instead of chasing, arresting and bringing to justice, they used the words: We would hound and kill. The word justice was lost and it appeared every statesman or woman had to use the same language, otherwise they would lose their political influence. If a world like that is going to talk about pursuing people, so-called terrorists, and kill them, without justice, we also take the cue. I am saying so much went wrong, so much impunity. The world is still in search of true Justice. It is no surprise President Carter, many years down the line, should castigate the US and say America had lost its moral standing. That is why the world at that time, even the USA, was so excited that the world simply wanted, was craving for some international political morality with such a passion. If you remember, the world held on to people like Mandela. But that was earlier. When somebody like Obama emerged, didnt you see how the world was excited about him? He clearly represented the new spirit, the refreshing spirit that the world was looking for, and especially to be a leader in the US. He has been doing his bit. But the point is that the wrongdoings, the manner in which things have gone astray since the collapse of the bipolar world, much of that has taken a life of its own in various ways. On Ghana and corruption Not too long after I left office, I was giving a talk in Tanzanian and I said the world was going to see an exponential growth of terrorism. I had left office and the western media and western governments were desperately whitewashing the image of Ghana in spite of the atrocities and the corruption that was going on in my country after we had left office. Ghana had become a captive state, being whitewashed. You see how they orchestrate things? This is what I want you to wake up to. Now, it might interest you to know that, while they were whitewashing, making these claims and things were also falling apart, as late as just a few months ago, BBC now claims that terrorism had risen by 84%, creating a false impression at that time. This is the power of the Western media. They kept whitewashing the image of my country in order for it to serve as the role model for the rest of Africa. It is shocking and mindboggling to know that, some perceived celebrated companies in Ghana and Africa with so much media hype and political patronization, were just nothing but a package of mirage. It is no wonder Ghana is so corrupt today. Remember when I said the world would see a multiplier effect of terrorism, I knew what was going on in Ghana; I knew what was going on in Africa: the way the global situation was affecting us; our country was suffocating but leadership seemed immune to the realities. Meanwhile, I am repeating what the Pope says about the savagery of capitalism. Where is it going to lead to and what was going on for him to describe this kind of scenario? Corruption could get worse. Even as a party, we used to win elections with the force of conviction. The one who came after I left office just capitulated and decided to use the money factor. On Nigerias decision to change things Out of this growing darkness, Nigerians of all people seem to have decided that enough is enough and they voted for a man with the qualities to deal with the problems of Nigeria. For me, this is one of the greatest gifts that your country has offered us. Hold on to it and support it to restore the foundation of integrity in your national affairs. You can do things the corrupt way. But I believe it is better to do things the non-corrupt way. The non-corrupt way is healthier, more stable and takes away anxieties. It can achieve a lot more; even take you to the moon. So, a lot of these things just destroyed the moral fabric of our societies. For me, it is just a sweet sensation to think that Nigeria came out of that construct, showing us that that kind of corrupt environment that seemed to have solidified can be turned around, can be reversed. I am just hoping that it can inspire the rest of West Africa. Im not saying is a single effort of an individual but rather a sense of responsibility of every individual to take ownership of integrity and accountability but somebody must take the lead. We had a taste of that. On his relationship with Abacha It is unfortunate that Abacha should also be caught up in such practices like a few of his predecessors. But he was one hell of a nationalist and very patriotic. Abacha saved the country. Some of you may not know this. But I also had my fingers on things. Some may not want to hear it. But the departure of that gentleman called Abiola, the one who passed away, saved Nigeria from a probable explosion. Shonekan couldnt handle the situation and Abacha stepped in. There is also something else we shouldnt lose sight of. When I am talking about the possibility of an explosion, its about the circumstances and the personalities involved. Abiola plus Shonekan (who was a man of integrity) could not even be seen to hold it. Does it surprise you that Nigerians, I think either through some national instinct or wherever the perception came from, some think that they needed retired generals who cannot be intimidated by the military? That was how come characters like Obasanjo could come back or for that matter, this gentleman, President Buhari, who cannot be intimidated by the military.It has its value, but I think it is a transition that we are all going through or you are going through. On his anti-corruption and alleged $5million gift from Abacha The point I want to make here is around that period, we were preparing for constitutional rule. We needed funds for some activities and we got contributions from few places. The interesting thing is that I never went to, or asked Abacha for any contribution. And this is something that impressed me about the man. I didnt ask him for a penny. But he obviously understood certain situations, our situation, and graciously sent me a small suitcase of money. I think there were two cars or three that came to the Air Force station to look for me. I was going for fly at that afternoon. So, I was at the Air Force Station when a gentleman came over there and when he said he had a message from Abacha, I cancelled my flight and they set out to wait for me. I drove after them to the caste. As we got out of the car and we were about to move up, this elderly gentleman, Gwarzo he is called, had one of his assistants try to bring out a suitcase from the boot of a car. I had an idea of what it could be. So I said: Leave it, shut your boot and lets go upstairs first and talk. He said he had brought something from Abacha. I said two things: I hear you people dont provide assistance without the world hearing it with a twist. He just kept quiet looking at me. I said two: Dont think that when you bring this, whatever it is, that would shut me up from criticizing if I think you are wrong, or if I disagree. He then opened his mouth and said Sir, we need you more than you need us.I am quoting him. He didnt speak much. He was a very noble, quiet looking and elderly man. He was respectable looking. In fact, what he said simply disarmed me. So I said it was okay. He could send for the parcel. His assistant then went and brought in the parcel: 2million dollars: new notes packed in plastic bags, fairly heavy. We left it in the sitting room. We finished with some niceties and he left. I informed some of my close comrades of the parcel from Abacha. I gave away about 350,000 dollars to two people for urgent disbursements. One of our senior colleagues who was in charge of an exercise drew down certain amounts.We went on like this until the suitcase was exhausted. Later when Abacha died and your country instituted an investigation into his assets, I read in one of your newspapers that Mr. Gwarzo, this fine gentleman, allegedly said that he brought me 5million dollars. I am glad I still have that suitcase. It shocked me a bit that such a fine respectable man could make such a claim. The amount he mentioned shocked me. That he could tell such a lie. My colleagues knew about it. I didnt know why I should be keeping these things secret. Moreover, I didnt have any personal account to be putting these things into anyway. Besides we needed to use them for some national needs. But lo and behold! Many years down the line when I fell out with some of my colleagues and they decided to get poisonous, two of them wrote a book on district assembly elections and the decentralization programme, and inserted in somewhere, when it was absolutely not necessary, something about Gwarzos supposed $5million just to poison my name. I just feel sad that Gwarzo should do this. I am prepared to be subjected to polygraph test. May be thats what he needs also. When General Abubakar took over, I told him to watch out. That that report in the papers about $5million was $2million and not $5million. He didnt make any comment. He didnt say anything. Obasanjo took over I thought I should straighten it out with him. The comment he made was: Yes, that is how they behave. When they are given something to take somewhere, they will take the majority, the bigger share. That was the comment Obasanjo made. I wasnt used to those things. Otherwise, I could have called Abacha. I dont even think I even called him over that. Maybe I should have called him to say thank you for the $2million and then he would have called his people to order. You see what I mean? I wasnt used to money being thrown up and down. Money was not my thing. Yes, we were poor enough as a country, but we were working day and night to making sure we put the country on a solid foundation for economic explosion. We had our pride and our dignity was not out for sale. I recall that in the early days I didnt have any money in the account and somebody gave me a million dollar cheque. I just threw it on the workshop table. Eight years later, one of our comrades reminded me about the cheque and said we would need the money for something and I asked him to go look for it. The mischief that our people get involved in hurt me. When I was a kid my grandmother used to say: A liar is more dangerous than a thief, and we could never understand it. It didnt make sense to us, because as kids we were always denying something or telling fibs about something, and nobody gets hurt or anything. But you get whipped for stealing and more. When you grow up to my situation today then you wake up to what my Granny was saying, how liars are the most vicious and cowardly creatures. They can destroy a whole image and reputation. That was why Chinua Achebes counterpart, Ayi Kwei Armah, wrote The Beautiful Ones are Not Yet Born. When I grew up, I realized he was wrong. The beautiful ones are born. They are there, but the platform is so dirty they dare not step on it to campaign to be leaders, because they would be slammed down with some of the nastiest fabrications. Nobody wants to have their name spoilt, so politics becomes a monopoly. No wonder he said the beautiful ones were not yet born. But no, when I got in, I said no way. I brought the beautiful ones all on board when I came back the second time. That was how come we succeeded so beautifully. We provided a leadership of credibility and integrity. These are the hallmarks I stand for. How to strengthen institutions to keep beautiful ones alive A lot depends on the leader, his sensitivity, his will, his strength and determination, because the vast majority of the people are suffering from the decay. They want to see a change for the better. I think that the number one should be bold enough and identify the right people to take over and move to those institutions in an effective and efficient way. Put your foot down, because those institutions are manned by human beings; and if those human beings lack the character, the strength and the spirit to be able to defy that which is wrong, then we cannot defend freedom and justice. The leader has to give a clear signal, sense of direction and the passion to drive the change. When I was in office, I spent 30-40 per cent of my time watching out for corrupt or corruptible indications or behaviour or lifestyles. I wouldnt tolerate it. It is so easy. It brings the best out of your people. They would do 70 per cent of the work for you. I can understand Buharis style. It is okay. Let it be. My style was to provoke the needed consciousness and invite the involvement of our people so that you had moral outrage from the general populace. So, even in your villages and workplaces, you cant misbehave and get away with it. I dont have to have a policeman there. We dont have enough policemen. But the moral outrage of the society is dynamite. It is a weapon waiting to be used and utilized. The people were policing themselves for the just course. Do you get me? Do you get me, my brother? Thats what I meant by positive defiance. Some may end up being intimidated by it, sadly. But no, it is there to help you. After all, that is what you have in those countries, those developed countries. They have not destroyed their moral outrage. Halting Ghanas slide on the corruption table All I can do is speak up. I find myself in a situation where I have moral responsibility and no executive authority. I would have wished that my moral authority could have the same influence. But that is not the case, corruption has infiltrated our institutions and their leaders so badly today that they use some kind of lens to demonstrate that you can keep your moral authority, but this is executive power at work. So all I can do is to critique and advocate for my people. I cant hide it. And I believe that was how I won the respect from the larger populace, from both sides of the political divide. I have remained principled. My wife is still standing where she is, cut off from our party that she was very responsible in building up because it became corrupted from Mills time. We now fight on the terms of the opposition or the main opposition party. Fortunately for them, they have a leader who is not known for messing around with material things. The situation is so bad. So unfortunate! This is why Buhari has to alter things. You have got to make it. He doesnt have much time and cant afford for inertia to set in or for the momentum to go down. On remarks by Kofi Annan that some African leaders were tempting the military The point is that in waging a campaign in the international community, bringing pressure to bear to keep the military in the barracks, our hope was that the quality of democracy would also have improved. I like to think that you can use good governance to prevent military intervention. Quite frankly, our parliaments do not appear to be strong enough to impeach Presidents who are getting out of hand. That is one way of preventing a coup. That is a legitimate way of doing it, an institutional way of doing it. But too many of us appear to have been compromised and our principles also compromised. So we seem unable to make a move against an erring government and a government that is becoming very corrupt and endangering situations. So I am not surprised he said what he said. I am not surprised at all. We left behind an exemplary institution or institutions the Armed Forces. But they made sure they corrupted it in order to survive because they could not measure up to the standards that we left behind. That is as far as that is concerned.I could have said this, but if I had done so it would seem that I was calling for it. People would misinterpret it. Now that Kofi Annan himself has said it, I hope people will see through the situation. Corruption has warped our development process and programme. I am not just taking about the materialistic or monetary corruption, but the extent to which your very culture, the moral standing of a society can be so.A few months ago I said in one of our universities that it appears you cannot get ahead by doing the right thing anymore. When you have to do it the right way, you wont succeed. Instead, you should be prepared to cut corners, offer bribes or do some foolish things before you get along. Im glad some of our local companies got blacklisted by the UN and other donor agencies for such unhealthy moral practices. When you have reached that stage, that level, then you know that things are bad. I dont want to create the impression of no hope because things are bad.That is why, for me, I am holding on to you people, Nigeria, to turn things around. Buhari is God-sent. 11.07.2016 LISTEN An eruption of witchcraft related violence has claimed the lives of two men, Yohanna Kaburat and Kaburat Adang, in Kaduna in Northern Nigeria. This is according to a report by the Leadership Newspaper, July 10, 2016 . The report says that the two men were beaten to death after being accused of killing through witchcraft a university student at the Ahmadu Bello University in Zaria. The two men were relatives (uncle and grandfather) of the supposedly bewitched person. According to the report, the undergraduate student suddenly took ill and died after a week. The sudden death angered the youths in the community and they pointed accusing fingers on the two family relatives. The report does not say whether a pastor or a traditional healer was involved in identifying the accused as those responsible for the sickness and eventual death of the university student. However, the angry youths went and apprehended the two family relatives and locked them up in the room with the corpse. They urged them to bring the dead back to life but the accused could not. The practice of compelling accused person to heal the sick or raise the dead is common in communities in West Africa. The logic behind it is that the witch is believed to have the power to harm and the power to heal. If the accused person is unable to heal the sick or raise the dead it is often seen a sign of wickedness and as in this case, the accused person is treated without mercy. The alleged witch is killed out of vengeance. So as in this case, the raging mob usually beat accused persons to death. According to the report, both of them and the undergraduate who died were buried the same day that was on July7. It is unfortunate that cases like this are becoming a frequent occurrence in communities across the country. The police in Calabar are still investigating a similar incident in the region. As in this case, youths in the community avenged the death of their colleagues by accusing two other men who were subsequently lynched. However, the Calabar incident happened with the help of a native doctor who served as the witch pointer. Due to poverty and the high cost of medical treatment, many youths do not go to the hospital whenever they are sick. Instead, they either take herbal treatment which they can prepare locally or seek out a native doctor who would provide them with some therapies. Some would be praying and wishing that the illness would suddenly disappear. Due to lack of effective treatment, the sickness worsens and the sick person or the family and friends begin to suspect witchcraft. They begin to point accusing fingers on neighbors whom they claim are responsible and if there is no intervention from local authorities the accused persons are attacked or as in this case they are kidnapped and subjected to trial by ordeal or lynched. Very often no arrests are made as this case and the matter dies a natural death till another. Now let us look at the main issues at stake. First of all, there is the issue of poor health facilities in the communities. There is an urgent need for primary health care services in the communities. These programs already exist in some communities but they have not been able to tackle misconception about the cause of diseases in the communities. Part of this facility should be a program that informs people and let me know that people cannot make other sick or kill them through witchcraft. There is a need to emphasize and let people understand that there is no causal link between illness and witchcraft and charlatans , whether they are native doctors, pastors and mallams who peddle such narratives should be arrested, prosecuted and penalized. This is because these faith healers and quacks are often those who identify witches and induce local mobs to tackle the alleged witches. We need an effective health care response to the problem of witch killing. The youths in the communities are mainly illiterates and have virtually no professional knowledge of medicine and disease. They need guidance by health experts, not native doctors or pastors and prophets, but trained health personnel to address health related issues. In the absence of competent leadership in health matters, witchcraft becomes the order and disorder of the society. In addition, health care should be available and affordable. Lack of effective and affordable health care often drive sick people to patronize charlatans who diagnose illness using the idiom of witchcraft. Lastly, the police should rise up to their duty of enforcing the law and protecting lives and property. As in this case, the police often do not make an arrest whenever alleged witches are killed; they do not prosecute witchcraft accusers and witch killers. At best they threaten to make arrests or they go to the community, and arrest some people and release them after extorting money from them. Witchcraft accusation is a crime under the Nigerian law and trial by ordeal is a crime that is punishable by law. But the police is reluctant to enforcing these provisions in our law. Unfortunately, the political will is lacking. State actors shy away from their responsibility to protect and provide for the people. For instance, the governor of Kaduna state, Mallam Nasir Ahmad el-Rufai, was elected on the platform of change. However, his program of change seems not to apply to issues related to witchcraft accusation. I am appealing to the governor to put in place urgent measures to address witchcraft accusation and witch killing. Witchcraft accusation will not stop unless we stop it. Yes, witch killers must be stopped. Hate. It has caused a lot of problems in this world, but it has not solved one yetMaya Angelou I've had the occasion to discuss the role of jesters during the medieval times. Perhaps you've forgotten so I take this opportunity to refresh your mind. A 'jester' is a professional who entertains using varied skills. These may include music, storytelling, juggling, acrobatics, jokes and other similar skills. Historically, jesters gained prominence during the medieval period. Jesters were employed by various royal courts to entertain a ruler or other members of the royal family. They often wore brightly coloured clothes and eccentric hats with bells as they lampoon others to the delight of the king and his royal household. Since their words were mostly considered as jokes, the court jesters were allowed to be freer with their opinions. They therefore used their privileged position to tell the king what others wouldn't dare. But they were careful about overstepping their boundaries, for fear of being punished by the monarch. We now live in an era where court jesters are rarely seen. What we see nowadays are career comedians such as KSM, DKB and Oscar. Sorry, did I say Oscar? That bloke is an apology of a comedian. The comedians in this country aren't as funny as their Nigerian counterparts, but are gradually catching up. Many politicians and public officials may not be career comedians. They may even reject the comedian tag. But the undeniable fact is that some of them are funnier than career comedians in this country. Was President Ogwanfunu not the one who included Akua Donkor in his entourage on a visit to Europe last year? No one knew what her role was but she was included in the entourage, anyway. She flew business class, received per diem and slept in first class hotels at the expense of the poor tax-payer. Tell me it was not a joke and I would tell you Obama is a woman. The same Akua Donkor was invited to the Senchi Economic Forum in 2014. She ate and slept at the expense of the tax-payer; but practically contributed nothing in return. Perhaps, the only positive her presence provided was its comic value! You do know the educated fisherman, don't you? This is a man who knows nothing about corporate management and the energy industry. Yet he was made a board member of Electricity Company of Ghana (ECG) and subsequently represented the country at an energy conference in the USA. Is it any wonder that the country is still reeling under dumsor? I'm sure the story of Alistair Nelson and Ako Gunn in the Muntie debacle is no longer news to you. The brazen threat on the lives of our judges sent shivers down many spines, yours truly inclusive. I did predict last week that I expected our security agencies to turn a blind eye since the hoodlums were coming from the stables of the ruling party. I said so because I knew our security agencies were nothing but a bunch of jokers. And have I not been vindicated? The Bureau of National Investigation (BNI) that had arrested Ernest Owusu Bempah and Ato Kwamena Dadzie for lesser crimes are now saying we should not worry about the threat on the lives of our judges. Their reason is that the pronouncements were simply a show of needless bravado. What a great joke coming from jokers parading as security personnel! Many of my compatriots are very livid at the joke being played on us by the BNI. They are livid because they cannot understand why a body mandated to protect our security would allow itself to become a tool in the hands of its political masters. They also cannot understand why a body that used to send shivers down many spines would allow itself to become a laughing stock. I'm, however, not surprised. This is because the bureau had lost credibility and significance long before now. It is now a body used by its political masters not only to protect party sympathizers, but also to punish political opponents. The 2009 pictures of recruits wielding G3s and AK47s in the North are still fresh in our minds. The Chereponi shooting saga where a so-called BNI operative was caught on camera shooting at a crowd of Osono sympathizers is also fresh in our minds. All our jaundiced security agencies keep on telling us is that they are still investigating. Another joke, isnt it? Abusuapanin, I had a problem with the Supreme Court (SC) ruling on the Election Petition. I wondered how one judge could vote for over-voting and still vote against it at the same time. But I know it is one place that jokes are rarely entertained. Refreshingly, Alistair Nelson and his 'partners-in-crime' would be facing the dreaded SC tomorrow. I pray that the judges do not tamper justice with mercy this time. I suggest they use President Ogwanfunu's bulldozer-kill-ant-theory to drum home their message. A threat on the lives of judges is not, and cannot be, a joking matter! See you next week for another interesting konkonsa, Deo volente! The Bureau of National Investigations (BNI) is without doubt Ghana's security fault-line under the current political dispensation: its negative headlines unmatched and despised. The name evokes memories of Hitler's Gestapo and all that constitute arbitrariness and illogicalities, with its recent verdict on the young men who have promised to unleash a reign of terror on Supreme Court judges standing as a classic case in point. The story of how its operatives, acting upon orders from above in opposition to a court order, whisked the three South Africans away shows its disregard for the rule of law. A security outfit, one-time Special Branch of the Ghana Police Service, unable to act within a democratic and civilized setting is most disappointing and appalling. When critical state institutions are treated as though they are appendages of the ruling party, the outcome is what we are witnessing in the BNI with professionalism giving way to amateurism. The verdict passed by the agency on the garrulous and irresponsible political operatives who threatened brimstone and hellfire on the Supreme Court judges, has earned for it a national opprobrium. In any case it is not for the BNI to tell Ghanaians whether or not the persons who would appear before the Supreme Court tomorrow are capable of carrying out their threats of rape, mass murder and what have you. Such bogus reports of so-called investigations spurred by political considerations do not inure to the confidence the people of this country have in this security agency. We have no doubt in the caliber of personalities manning the BNI but whatever quality they possess, they have been dispossessed of this attribute by the interference of politicians at the helm more so when the leadership of the agency is ready to do the bidding of the politicians. The image of the agency is not an issue to them. No country worth its salt would turn a blind eye to this nonsense on the airwaves. In Ghana under the current political dispensation, it is not an issue when the origin of an inappropriate language belongs to the NDC, a similarity shared by the BNI. The verdict of the BNI is tendentious, seeking to pour cold water over what, by all standards, is a very serious breach against the Republic of Ghana and its constitution. Blimey! The membership of a political party is incited on radio to take the law into its hands and the Chief Justice is threatened with an action which is not only immorally and inappropriate but an affront to her dignity as a person and the BNI wants us to brush it under the carpet? The young men neither have the capacity to carry out the threats nor are they anywhere near doing it, are reasons behind the verdict of the BNI report: what an effort by an agency which is now being used against the people of Ghana but not to protect them and the state. Kojo Bonsu 11.07.2016 LISTEN Simply put, one of my finest authors Ama Ata Aidoo could not have been more right in her book the Dilemma of a Ghost where she metaphorically postulates as in If nothing touches the palm front, it will not crack! It is a fact UK and the US directly or indirectly trains future world leaders through their educational systems. Seemingly, most politicians in Less Developed Countries across the globe have received some level of education in the developed world at a point in time and perhaps experienced the democratic principles and good system of governance with responsibility, transparency and accountability but deliberately do tend a blind eye and stubbornly ignore huge public outcry when it is time to bow out due to lapses or found wanting, above all in corruption scandals. Ghana is not immune from this canker. Sincerely, we applaud the ex Road and Transport sector minister, Ms Dzifa Attivor for her rare bold decision when her boss President Mahama doggedly and scandalously spent over GHs 3bn to uselessly rebrand buses for undue electoral advantages. Typical, doesnt he? This explains why NPP Ireland, without any hyperbole calls on Kumasi Metropolitan Authority [KMA] Chief that his position is highly untenable by all accounts and it is time to go, for the world is a stage. Kojo, you are falling apart; the gods and as such Nananom are highly charged and extremely provoked and they are no longer at ease with you as a person and your position! See https://www.modernghana.com/.../remove-kojo-bonsu-manhyia-tells- mahama.html; www.graphic.com.gh; http://www.ghanaweb.com/GhanaHomePage/NewsArchive/Manhyia-Palace-rejects-disrespectful-Kojo-Bonsu-452824 Date: 2016-07-05. The list is countless as almost all media outlet is abashed. The KMA boss must go without undue delays henceforth. Mr Kojo Bonsu, the embattled KMA Chief, in figurative speech of Simile can at best be described as the then British Colonial Governor-General of the Gold Coast John Frederick Hodgson. Why? This Colonial Governor had the guts and wits and the cheek of him to demand the Sika Dwa Kofi [The Golden Stool]. Literally put, the Golden Stool symbolises the Overall Embodiment of the Soul of Asante Union Courtesy the Chief Priest Okomfo Anokye. This request was made to Nananom after the deportation and exiled of their King Prempeh I and other key chiefs/members of the Asante Kingdom to Seychelles in 1896. Notwithstanding, the above despicable request and rudeness by the Governor warranted a secret meeting of the Asante rulers and government as to how to go about in securing the return of their then Overlord King Prempeh I. QUEEN YAA ASANTEWAAs INVOCATION ACTIVATED In reminiscence of history, NPP IRELAND wishes to place on record by drawing Asante Nananom and as such the Overlord, Otumfours attention to the words and bravery of our once ever powerful Warrior Heroine Queen of Ejisu, Yaa Asantewaa. During the early stage of Ashanti Empire, She bravely led Asante Rebellion against the British Colonial Administration during the war of the Golden Stool also known as the YAA ASANTEWAA WAR. She said thus therein at the aforesaid secret meeting when she sensed that some of the men were timid and could not be trusted as they were planning to secure the exiled King Prempehs return. She said and I quote herewith as her memory would last forever! Now, I see that some of you fear to go forward to fight for our king. If it was in the brave days of Osei Tutu, Okomfo Anokye [Kwame Agyei], and Opoku Ware 1, chiefs would not sit down to see their king to be taken away without firing a shot. No European could have dared spoken to chiefs of Asante in the way the governor spoke to you this morning. Is it true that the bravery of Asante is no more? I cannot believe it. It cannot be! I must say this: if you, the men of Asante, will not go forward, then we will. We, the women, will. I shall call upon my fellow women. We will fight! We will fight till the last of us falls in the battlefields. With this, she took on leadership of the Asante Uprising of 1900, gaining the support of some of the other Asante nobility. Credit Mr A. Amaniampong, My History Tutor at Osei Tutu Senior High School. The sheer strength and unity of the Great Ashanti Kingdom is under threat and even then, our dearest Heroine Yaa Asantewaa might be shaking in her grave in the other world as I write! Therefore, since Nananom in their wisdom have killed a ram at the Manhyia Palace to signify the end of rancour and difficult relationship with the Kumasi Mayor should he remain in his position, may we politely implore upon them to stick to their Guts and Guns firmly. And in doing so the elders claim this is not in isolation as disrespectful Kojo Bonsu continues to take them for granted a major act of insubordination and blatant gross disrespect towards His Majesty Otumfuo Osei Tutu II The present overlord of Asanteman and as such the occupant of Sika Dwa Kofi. Nananoms avowed revenge with oaths and rituals of hyperboles should not and cannot be same as the normal rhetoric and sound bites. This must be with full of venom and vigour hereafter. There should be a BIG NO to behind the scenes deals with the President [currently in Kumasi] or any of his emissaries whatsoever. Your subjects would not forgive you all and history will not be kind to Nananom should they be persuaded to compromise their stance. On a more different note, we may require a whole book to chronicle the Kumasi Mayors Acts and Commissions of insubordinations and extreme stubbornness of his autocratic one-man-administration at the KMA. For an instance, just some months back it was alleged that Kojo Bonsu was fighting tirelessly to block the comeback of Nana Kofi Senya as KMAs Presiding Member. Some Assembly Members claim the Mayor is being haunted by alleged shady deals, Inflated Contractual Figures and Non-Disclosure of project details. And according to Mr Abraham Boadi, Assembly man for Nhyiaeso Electoral Area, he says and I quote him inter alia All on-going market projects, particularly those at Kejetia, Tafo, Asawaso require a thorough investigations to get to the bottom of huge rots at KMA- see thechronicle.com.gh, May 19, 2016. The woes and untold hardship inflicted on the poor market women and traders at the Kumasi Central and Kejetia Terminal are difficult to give of hand. They have been taking for a ransom often and often. Without much ado, they just cannot wait to see the back of the Mayor this week. It is in the light of the above that NPP IRELAND herewith again, wishes to implore upon Nananom to stand firm on their stance without giving in to any political pressure or plea on behalf of wayward Kojo Bonsu. We believe his cup is full to the brim and there is no shred of a chance for him to continue as the first gentleman of Kumasi Metropolis. The mayor more often than not is always a headline bulletin for all wrong reasons. The Putinism and Mugabeism style of administration leaves much to be desired. A complete disrespect for and divergent and dissenting views from the Assembly members on the other side of the political discourse are nothing but thrash before him. We trust and believe, the Ashanti Kingdom Overlord, Otumfour King Osei Tutu II, and some senior Chiefs and Royals of Asanteman such as Nana Osei Bonsu, Mamponghene, Chiefs of Bantama, Ejisu etc are doing their bits to ensure that the Avowed Oath is not a rhetoric and the earlier Kojo Bonsu was gone the better. Though, it is envisaged therefore, at least one telephone call between Manhyia Palace and the Presidency should have sealed the fate of arrogant Mayor and prevented the messy public show offs and spats. WANTED? LEADERSHIP IT is disheartening and unbelievable to see that the good old days bold leadership attributes exhibited and exercised by the two great founders of the Ashanti Empire, the Great Africa Prophet and Chief Priest Okomfo Anokye and King Osei Tutu have all but disappeared these days at the high command of Asanteman Council. The Amoamanhene has spoken about the appalling treatment at the hands of the self-styled overlord of KMA. It is alleged that Mr Bonsu unilaterally, decided to remove Nana Agyeinim Boateng who was supposed to represent King Osei Tutu II on the board overseeing the on-going Kejetia/Central Market Redevelopment Project. And Nananom have spoken thereafter enough is enough! Finally and however, we must also take cognisance of Mr Kojo Bonsus late apology on Friday, July 8, this year, which is in part as shown here: I shall therefore continue to cherish my relationship with Nananom and take all the necessary traditional steps to repair and protect same. Hello Mayor KB, this is too little too late. None are as empty as those who are full of themselves and whatever we do today; we must try to do it better tomorrow. [Emphasis mine] There you are Chief KB, TIME OUT, PLEASE JUMP BEFORE YOU ARE PUSHED. Long Live Asanteman Long Live Ghana ALF MARSHALL AGYAPONG [00353 8717 09505] CHAIRMAN NPP IRELAND For & On Behalf of Communication Directorate Baku, Azerbaijan, July 11 By Maksim Tsurkov Trend: The European Bank for Reconstruction and Development (EBRD) approved a senior loan of up to $20.4 million to Caspian Marine Services Limited (CMS), read a message posted on the EBRD website July 11. CMS is an Azerbaijani oil and gas services company, which operates a fleet of offshore supply vessels in the Caspian Sea, mainly in Azerbaijani territorial waters. The loan will be used as part of Fast Crew Boat Financing project to finance part of the acquisition costs of a new fast crew boat to be operated in territorial waters of the Caspian Sea, the message said. The total project cost is $34 million, some $20.4 million of which account for the EBRD loan. A loan agreement is expected to be signed, the message said. An alliance of the CMS and Azerbaijans state oil company SOCAR was established based on a contract signed by the sides in May 2006. According to the contract, the CMS-SOCAR alliance uses vessels of SOCARs Caspian Sea Oil Fleet to render services to foreign companies as well as modernizes vessels. The main customers of the CMS-SOCAR alliance are SOCAR, BP-Azerbaijan and Lukoil. Azerbaijan is an EBRD member since 1992. The EBRD has allocated 2.46 billion euros for 161 projects in Azerbaijan since the start of cooperation, some 55 percent of which were spent for the development of the private sector. The EBRD's current portfolio on Azerbaijan reaches almost 1.02 billion euros. Al Jazeera interviews president Salva Kiir and first vice president Riek Machar just before escalation of fighting in Juba Both commit to work together, but tensions apparent Kiir says peace agreement not made to be implemented Kiir implies Machar delayed his return to delay the international community intervening so the government will fall I'm just like a child being ordered by everybody - Kiir The fifth anniversary of South Sudan's independence from Sudan on Saturday coincided with renewed fighting in Juba between forces loyal to the president and vice-president. This led to fears and claims of a renewal of the civil war that started in late 2013 and has left tens of thousands dead, created over 850 000 refugees and asylum-seekers, and crippled the fledgling economy. Just before the renewal of violence, Talk To Al Jazeera interviewed both president Salva Kiir and first vice president Riek Machar. Both said they were working together, with Kiir even calling them friends, and both expressed remorse over the civil war, but areas of tension remained apparent. Kiir criticised last August's peace deal that paved the way for Machar's much publicised return to the capital in April this year. The problem, that we are not moving smoothly on the implementation of the agreement, are the issues with the way the agreement was designed, Kiir told Al Jazeera's Peter Dobbie. And when I signed this agreement in August last year, I said this in front of the president of Uganda. I told him that this agreement was not made to be implemented. So you signed up to an agreement that you believe was essentially wrong? It was wrong. It was wrong because even the - to sign it was the problem. They said that if there is a wrong sentence in English, don't correct it. Don't put a comma or a full stop. When Dobbie reported the president's statements to Machar in a separate interview later that day, the first vice president said, Well, he hasn't told me that. Because we have been discussing the implementation of it. So I expect that he will respect this bargain in the agreement. Kiir also implied that Machar's delayed return in April 2016, when the peace agreement had been signed in August 2015 was an attempt to delay funding from the international community so that the government will fall. When Dobbie asked Kiir how he planned to deal with the hundreds of thousands of refugees and asylum seekers, the president admitted, Our problem is money. We have no money. Dobbie replied that the donors say, We will not give you money until you get genuine security and stability. That's the starting point. Kiir questioned the logic of this. "When you deal with a hungry person, don't expect that hungry person to be listening to whatever you are saying. No matter the nice words that you will tell him or tell her, they will be thinking of their stomachs." He added, The international community have been shifting their goal posts from the beginning. They said they cannot help the government, unless you sign the agreement. We signed the agreement in August last year. They said, again that we will not assist your government until you form the transitional government of national unity. And that has been our problem. Dr. Riik Machar refused to come to South Sudan. He stayed outside. He might have been assuming that if he doesn't come, there will be no support to the government from the international community, and the government will fall. Because the saying was that, there is no money. Kiir also expressed his frustration with being at the mercy of international community. I'm just like a child being ordered by everybody. Watch both interviews The Islam that reacts violently to real or imagined offence has gone on rampage again. It has bloodied its hand. This time, the casualty was a woman. The last time, not too long ago, it was a man. It was a Christian man in Kaduna who refused to fast during the Ramadan, or who dared to eat in public during the fasting hours. There is still no clarity as to what the crime was. Is it that the Muslims who attacked him were not happy that he was not fasting in solidarity with them? Or they were angry that he was eating while they were fasting? One characteristic of this vicious expression of Islam is that it thrives in obscurity and ambiguity. It finds expression in vagueness, vagueness regarding the exact nature of offence, vagueness regarding the exact number of cases of death that is visited on the religious or philosophical other in northern Nigeria. In the case of the Kaduna man, he was fortunate. He narrowly survived. Though by all estimation he sustained serious injuries that might eventually prove fatal. The latest victim is a woman whose offence is still clouded in mystery, in same inscrutability that has muddled the minds and consciences of fanatics and turned them into roving merchants of hate and horrific crimes. It is still a Christian woman who was attacked and inflicted with fatal injuries. She was murdered for being different, for professing a different faith in a manner that angered those whose understanding of Islam has no place for a female preacher, and worse of all a female preaching the other faith that is not and should not be. This raging form of Islam thrives by annihilating the other. We have seen this campaign of annihilation take different horrific forms that often put into question the claim to common humanity and equal citizenship by Nigerians. This time it did not happen in Gombe, where muslims pupils lynched their Christian teacher, who was supervising a class, for defiling the Quran. Neither did it happen in Kano, a city and a state still haunted by the beheading of a Christian trader, Gideon Akaluka in the 90s. This time it happened in the capital city, Abuja. Even the fact that Abuja is a city for all others has not kept these bloodthirsty annihilators at bay. Once again, the Islam that is intolerant to different preaching was let loose and it devoured the preacher and her preaching. The mother of seven evangelizing on the streets of Abuja was attacked, killed and left her in the pool of her own blood. What was her offence? What did this woman do to merit this vicious attack and murder in the hands of suspected Muslim fanatics? Has preaching Christianity become a crime? Some people would say that the attackers were not Muslims. Really? If they were not Muslims, who were they? Hindus? Were those who attacked the Christian man in Kaduna also not Muslims? What about those who killed the Christian woman in Kano? Were they Bori worshippers? Others would say this is not Islam and what Islam preaches. Well, there are many verses in the Quran that sanctify violent reactions and treatment of unbelievers and other believers. Surely there are Muslims out there who do not subscribe to this vicious and murderous expression of Islam. We must equally admit that there are Muslims who are in agreement with this form of Islam. And these Muslims are not an insignificant marginal few. They are not. Muslims who identify with the version of Islam that sanctions violent reactions to real or imaginary offence may not be in the majority but they constitute a powerful violent and intolerant minority that often overrule the peaceful tolerant majority. Think about the recent attacks and killings in Muslim majority states in Northern Nigeria, and one would agree that the extremists are calling the shots with impunity. Within four months, Muslim militants have attacked or killed Christians in Niger, Kano, Kaduna and Abuja. This excludes the confirmed killings by Boko Haram militants. The religious situation is so charged, tensed and volatile. The relationship between muslims and their non muslim counterparts in Northern Nigeria has become that of fear, suspicion and mistrust. There are no indications that we have actually seen the last of these instances of religious bloodletting and carnage. This is because the so-called peaceful majority seems to be helpless or has been subdued by fanatics. If this is not the case, how does one explain the fact that peddlers of violent Islam continue to get away with their crimes? For years, Boko Haram killed, maimed and beheaded with impunity. At a point, the group succeeded in taking control of many parts of the North and executing vicious attacks and beheadings in several communities including the nations capital. Why is Boko Haram still launching vicious attacks after the military campaign has led to the death, arrests and imprisonment of many militants? If the Boko Haram members were few, the group would have stopped operating by now. However this is not the case, fanatical Islam is not a marginal segment. Look at how the Kano state government handled the blasphemy case last year. The sharia court sentenced to death some Muslims who allegedly committed blasphemy but did nothing to arrest or penalize militants who attacked and burnt down a sharia court. This twisted sense of Islamic justice is ubiquitous in the North due to the overwhelming influence of fundamentalist Islam. Take a look at other cases of Islamic violence. What happened to the Muslims who killed a Christian woman for defiling the Quran in Gombe some years ago? Nothing. Those who attacked and killed her went scot-free. When will the killers of the Christian man in Niger be brought to justice? When will the killers of the Christian woman in Kano be made to answer for their crimes? When will the attackers of the Christian man in Kaduna be brought to book? When will the killers of the Christian female preacher in Abuja be made to face the wrath of the state law, not sharia law? These are critical questions yearning for answers. These are cogent concerns that must be addressed. I must add that this vicious, virulent, warped and only-Allah-knows-what deadly form of Islam does not only target the religious or belief other, it is also at war with Islamic others and seek to annihilate within and without Islam. That is why the Islamic establishment needs to wake up and sit up, and stop living in denial and begin to call out this elephant in the room of Islam in Nigeria. Many Muslims complain about Islamophobia but they say very little about the death, destruction and devastation that merchants of Islam based phobia unleash on innocent citizens. They do very little to confront and stamp out the vicious and violent form of Islam that is ravaging northern Nigeria. 11.07.2016 LISTEN Not to be unnecessarily regionally biased or ethnocentric, but if President John Dramani Mahama, while accounting to the people in any of the regions outside of his northern territorial strongholds, had made the same grossly untutored remarks that he is widely reported to have made about the political opponents of Ghanas first postcolonial premier, he would have been promptly and pointedly set aright and put to shame. And if he were a very responsible and cultured leader he, rather, would have had to apologize and ask for the forgiveness of Nkrumahs political opponents (See Shame on Nkrumah Critics Mahama Classfmonline.com / Ghanaweb.com 7/9/16). Let me put this on record, once again, for the umpteenth time, that absolutely no major Ghanaian opposition leader opposed the construction of the Akosombo Dam. If Mr. Mahama really cares to know exactly what transpired in the Legislative Assembly debates on the issue of the Volta River Project or Scheme, he would do himself and his minions great good by reading Dr. David Apters book titled Ghana In Transition. The author, who died not very long ago, was a professor at Princeton University, right here in the United States, who was visiting Ghana and present in Parliament House, collecting research material for his book, at the time that these debates took place. Indeed, about the only objections raised regarding the construction of the Akosombo Dam was the prohibitive cost involved, over which quite a remarkable number of academic papers and dissertations have already been written and published and continue to be written and published on the same subject. You see, Kwame Nkrumah and his politically cynical followers and supporters had a way of making any political opponent who was opposed to some of their extravagant and profligate ways seem like a nation-wrecker. I have personally written quite extensively about the controversy surrounding the construction of the Akosombo Dam. And so if he or any of his supporters and followers care, they could readily Google the subject of the Akosombo Dam and my name up. I have also generously referenced my sources, and so they need not worry about the reliability of my account. We need to also highlight the fact that a lot of far-reaching progressive projects were being undertaken in the country, with the studious and intimate involvement of many Ghanaian leaders as far back as the colonial era before the future Prime Minister Nkrumah appeared on our national political landscape, including the construction, development and administration of the countrys flagship academy, the University of Ghana. We must also underscore the fact that both contemporary and latter-day economists have assessed the cost involved in the construction of the Akosombo Dam by the standards of the time and still believe that the country had been slavishly exploited in the process. On the latter count, Dr. J. B. Danquah, the putative Doyen of Gold Coast and Modern Ghanaian Politics is on record as having argued for some of Ghanas foreign exchange reserves stashed in British banks to be tapped to undertake the construction of the dam, thereby drastically reducing the cutthroat rate of interest demanded by the creditors of the Convention Peoples Partys poorly negotiated contract with the Kaiser family (See L. H. Ofosu-Appiahs The Life and Times of Dr. J. B. Danquah). To add insult to injury, the Kaiser family, owners of the Volta Aluminum Plant/Company (VALCO), located in the countrys industrial hub of Tema, would be allotted about 80-percent of the energy generated at Akosombo at the cheapest of the globally going rates for some three decades, at the expense of Ghanas rapid industrial development. In other words, the Akosombo Dam ended up enriching the members of the Kaiser family of California by causing the virtual enslavement of Ghanaian workers for some thirty years, after which the decidedly exhausted Volta Aluminum Plant was handed over to the Ghana government. On the construction of the Accra-Tema Motorway, what we need to be presently discussing regards the fact of why after 30 years of wielding the reins of governance, the National Democratic Congress (NDC) and its antecedent, the Rawlings-led Provisional National Defense Council (PNDC), has done a diddly little to upgrade the general quality of roads in the country to the level of the Accra-Tema Motorway, and not the frivolous question of who raised Cain in parliament at the time of the construction of the ATM, or who among the members of the opposition vehemently objected to the construction of the project. It is very obvious that the President and his NDC Abongo Boys and Girls have achieved a piddling little that is worth accounting to the people about, which is why Mr. Mahama would so lamely and scandalously resort to rehashing dead news to justify his patently wasteful self-tickling electioneering campaign tour of the country. *Visit my blog at: kwameokoampaahoofe.wordpress.com Ghanaffairs Fidelity Bank, the largest privately indigenous bank, has empowered the lives of over 100 lucky customers through its successful Save for Gold promotion, creating a golden experience for its deserving customers. After five months of actively promoting savings, Fidelity ended its Save for Gold promotion at a plush gold themed event at the Labadi Beach Hotel in Accra on 8th July, 2016. The Bank rewarded a total of 80 customers with gold prizes across the country as promised. The official grand draw, held Friday night, was officiated by the National Lottery Authority (NLA). The ultimate winner, Festus Armoh Nweamah, from the Market Circle branch in Takoradi was honoured with a 1 kilogram of a 24-carat gold bar. The 2nd runner-up, Mary Tumpi of the Nungua branch, earned half a kilogram of a 24-carat gold bar while the 3rd runner-up, Sezan Houenou Elisee of Ridge Towers branch, won a 250 gram of 24-carat gold bar. Seventeen other lucky customers received a gold coin each. Overall, the bank has rewarded 105 lucky customers with a total of over GHS500,000 worth of gold prizes. Speaking at the draw, Divisional Director for Retail Banking at Fidelity Bank, Julian Kingsley Opuni expressed appreciation to the customers. "Our customers are the lifeblood of our success. We are delighted to have come to a successful and exciting completion of the Save for Gold promotion with the ultimate draw," she said. She added that, "As a dynamic indigenous bank, giving value to Ghanaians and all customers of the bank is something we deeply care about." This promotion was created to acknowledge and celebrate the excellent relationship the Bank has with their customers, reward them, and also help them save for the unforeseen future. Mr. Opuni thanked the NLA and congratulated all winners of the Save for Gold promotion. He also encouraged customers to continue banking with Fidelity as the bank continues to work tirelessly to adequately meet their banking needs. The Fidelity Save for Gold promotion was a six-month saving mobilization Promotion aimed at instilling positive saving habits, through financial literacy among customers and rewarding them with gold prizes. The promotion was successfully organized in partnership with the National Lottery Authority (NLA) on the Caritas Lotteries Platform. Story by Ghana | Myjoyonline.com 11.07.2016 LISTEN From Samuel Agbewode, Volta Region Philip Adzokatse, a teacher at the Podoe Junior High School (JHS) in the North Tongu District of the Volta Region, has allegedly defrauded over 600 individuals under the pretext of keeping their monies safe in his credit facility Norvi Nedude Fan Club. The affected persons mostly businessmen and women, as well as farmers are, therefore, appealing to the police, the Economic and Organised Crime Office (EOCO), and the Bureau of National Investigations (BNI) to arrest the teacher, so they could recover their savings. According to them, the fraudulent behaviour of the teacher-turned-credit manager had inflicted untold hardship on them, because their economic activities had come to a halt due to lack of funds. The victims, who were hopeful of making some returns on their investments with which they could re-invest into their businesses, told The Chronicle that they realised they had made a wrong decision when Mr Adzokatse refused to pay benefits that had accrued out of their contributions after every six months. Explaining how they got into contact with the alleged fraudster, the victims said Mr. Adzokatse approached them individually in 2014, and introduced them to the Norvi Nedude Fan Club, which he made them believe was a profitable organisation that would benefit them immensely. Convinced that that it would help improve their standard of living, they registered and made financial commitments in various sums to the JHS teacher. In his narration, one of the aggrieved members of the Fan Club, Mr. Felix Clintson, said the manager of the club, after he had successfully mobilised a few members of in 2014, clearly outlined the rules and regulations, which included the amount each member would contribute GH2 weekly and GH40 monthly. This was announced at a general meeting held in all the communities, including Ho, Anyirawase, Tadzewu, Aflao and Adaklu, where they were also told the payment would be made for six months, to enable them to receive GH5,000 worth of building materials, television sets, refrigerators and radio cassette recorders. Mr. Clintson continued that he contributed his dues up to six months, hoping to enjoy some benefits, but to his surprise, Adzokatse, at a point, only called a meeting at Juapong to graduate those who had successfully completed their contributions. Instead of handing the physical of GH5,000 him, Mr. Adzokatse told him that he would rather put the money in his account to avoid the situation where criminals would attack him and collect the money on his way back home. Mr. Clintson said he called Mr. Adzokatse on his cell phone at one time to find out whether he had credited his account. Even though he responded in the affirmative, checks at the bank showed only GH1,300 had been credited. He said Mr. Adzokatse refused to pay the rest of the money, until recently when he made part payment, and was still left with GH1,500 to be paid to him, noting that it was clear to him and other members of the Fan Club that the Manager had defrauded them. Another victim, Mr. Horve Bedzrah at Anyirawase, said Mr. Adzokatse only paid him GH3,500 instead of GH5,000, and had refused to pay the outstanding GH1,500 for the past seven months, adding that the manager would no longer pick his calls a clear indication that Mr. Adzokatse had deliberately refused to pay the rest of the money. Mr. Bedzrah alleged that information available to him indicated that Mr. Adzokatse was rather investing their monies in a susu group he belonged to, as well as estate development and a transport business, all for his personal gain. Madam Comfort Kpogo, a resident of Ho, who is also another victim, told this reporter that she contributed the weekly and monthly dues for the six months to qualify for the graduation and collection of the package, but was given half of the materials and promised to be given the rest at a later date. Madam Kpogo stated that she became suspicious of the conduct of Mr. Adzokatse when he asked her to deceive her colleagues that she had collected the items whenever she was asked. That was his way of encouraging them to continue with the contribution of their dues. When contacted, Mr. Adzokatse refuted the claim, but agreed to paying and giving building materials and other benefits to qualified members. However, he was not able to sustain the payment and other benefits, as initially planned, because there was no money in the accounts of the Club for the purpose. Mr. Adzokatse told The Chronicle that he formed the Norvi Nedude Fan Club, as a teacher, to support people to live more decent lives. The fan club, he mentioned, operates like susu, and that there was no profit in running the club. He explained that his inability to comply with the rules and regulations, as stipulated to members, should not be seen as fraud. He regarded his business as a genuine one, because he had registered the Norvi Nedude Fan Club with the Registrar General, and had been renewing his registration, as demanded by law. But, he said it was unfortunate that for some time now he had been unable to deliver on his promise, according to the regulations under which he ran the Fan Club, even though the members had completed their contributions within the six months period. 11.07.2016 LISTEN From Michael Boateng, Bechem The Flag bearer of the New Patriotic Party (NPP), Nana Addo Dankwa Akufo-Addo, says he respects Ghanaians so much that he cannot deceive them with unrealistic campaign promises. Speaking at a mammoth rally at Bechem, capital of the Tano South District in the Brong-Ahafo Region to commence his five-day campaign tour, Nana Akufo-Addo assured Ghanaians that all his campaign promises are achievable, and that he has the competent men to deliver when given the nod in November. According to Nana Akufo-Addo the One District one Factory promise made was not out of desperation for power, but a well-thought through policy that would help create more jobs in the country, to bring money into the economy. He said the claim by the national Democratic Congress (NDC) that the One District one Factory promise is out of desperation to win power has exposed them over their incompetence and visionless. I am not desperate for power, neither can I stand before Ghanaians and lie to them; we have done it before, so give us the support to win power to save the country with job creation, Nana Addo emphasised. Former President Kufuor promised [the] National Health Insurance Scheme, the NDC said it was impossible to deliver, in 2012 I I said Senior High School will be free if I get the nod, but then candidate Mahama said it is impossible, but after the elections, he said he will do it; so between the NDC and the NPP, who are liars and desperate for power? He queried. The NPP flagbearer indicated that the devastating state of the economy, and lack of jobs, particularly for the youth, coupled with high utility tariffs and corruption, has been te major concerns of Ghanaians, which the NPP would be ready to address when voted into power. He noted that the NDC claims to have built hospitals, schools and roads, but they seem to forget that building schools and hospitals, while doctors, nurses and teachers are continuously on strike, is not proper. According to Nana Addo, doctors and health workers have mostly been on strike under the current NDC government, due to issues concerning their salaries and welfare, however, the NDC claim to have built hospitals, so if you build a hospital without doctors and nurses, what does it mean? Nana also indicated that the NDC cannot compare their much publicised, but yet-to-be fulfilled Community Day Senior High Schools, to the 31 Models Senior High Schools President Kufuor built across the country. He said corruption and incompetence have been the hallmark of the current NDC government, which does not deserve any chance to be in power for another term, therefore, Ghanaians must support the NPP to win power, to stop corruption and implement effective policies that would bring the economy back on track. I must say it again, I did not enter into politics to steal or to enrich myself, but I came into politics to serve Ghana and lead Ghanaians into a desired economic destination, Nana Addo stressed. He said the country's agriculture is performing badly, adding, The worst performance of our agriculture in the history of Ghana is under the Mahama administration, last year the country's agriculture growth was 0.04 per cent. 11.07.2016 LISTEN Two inmates of the Kenyasi Prisons Camp in the Asutifi North District of the Brong-Ahafo Region reportedly died on the spot, whilst two others sustained severe injuries, in a road accident. One of the injured, according to a story we have carried on our centre pages today, is in a critical condition and referred to the Okomfo Anokye Teaching Hospital in Kumasi. The other one also lost his ear, but was treated and discharged from the Saint Elizabeth Hospital. Information available to The Chronicle indicates that the prisoners were returning from a farm near Ntotroso, where they had gone to work. According to the story, the prisoners were asked to pack timber logs into a Kia truck, which they did. Whilst returning to the camp after the day's work, the inmates were surprisingly asked to sit on top of the logs they had packed into the Kia truck, which has the registration number AS 291-10. The truck's steering wheel for unexplained reasons disengaged, resulting in the driver losing control of the vehicle, which subsequently somersaulted and emptied its cargo on the inmates. This resulted in the death of the two, and injuries the two others sustained. Working outside Prison Camp is a normal practice in Ghana, especially, when the convict had been sentenced into hard labour. This fact does not, however, mean that prisoners are slaves and must be treated as such. Yes, certain rights have been taken away from them, but their human dignity remains intact. The Chronicle does not think the prison officers who accompanied the inmates, and the owner of a timber firm who contracted them, would have allowed their children or relatives to sit on top of the logs in the Kia truck and travel on it. The fact that one has been given a custodial sentence, with the accompanying hard labour in our view, does not amount to maltreatment. Indeed, we can easily extrapolate that even if the inmates had protested against sitting on the logs, the prison officers would not have listened to them. Our information is that the prison officers who accompanied the inmates did not sit on the logs, yet did they force the prisoners to do so, because they are slaves? The Chronicle does not know what outside work for prisoners entails, but if loading logs into trucks, as machines do, is part of the punishment for being a prisoner in Ghana, then the authorities must revive their notes, because it throws human dignity to the dogs. From the story, the steering wheel of the truck disengaged from its compartment, resulting in the accident. This again raises questions about the roadworthiness of the truck. The Chronicle is, therefore, calling on the Ghana Prisons Service, and the Ministry for the Interior, to conduct full scale investigations into the tragic incident to find out if the prison officers erred in allowing the inmates to sit on top of the logs. If they are found culpable, they must be made to face the full rigorous of the law. However, if the accident is as a result of 'system operations,' then the Police Service must institute reforms, using the above case as the referral point. The Chronicle is aware that the various prisons do not have the needed logistics, especially, vehicles to take prisoners for outside jobs. This reform must incorporate this, because prisons are meant to reform and not necessarily punish inmates. 11.07.2016 LISTEN The United States Embassy in Ghana has become notorious for refusing visas for Ghanaians in spite of the huge money they collect from the ordinary people they describe as Visa Applicants. In Ghana, the US Embassy is nicknamed Babylon Annex, due to the penchant for refusing Visas no matter the circumstance. In a day the United States embassy interviews more than one hundred applicants; allotting their interviews into sessions. The first one is held in the morning at 7:30 am and the second session in the afternoon at 1:30 pm. All the applicants per day are mandated to pay a sum of US$170 (one hundred and seventy Dollars ) or more depending on the type of Visa. Ghanaians pay this money before they can be able to apply electronically for the interview date. That is about a huge GHC640.00 (Six hundred and fourty Ghana cedis). In January 2016, I received an invitation from the Funds for Investigative Journalism to participate in the Society of Environmental Journalists annual Conference in Sacramento California in the United States of America. The aim was to offer me the opportunity to attend the conference to upgrade my knowledge and meet other experience journalists to learn from their experience and also share my experience with other young American and world Journalists with regard to environmental journalism. I tried getting advise from colleagues and friends about their experience at the US embassy with regard to Visa Acquisition. Almost the 15 people I spoke to told me that the US Embassy is a no go zone . That they will not give me the Visa if I dont know any big time politician, government official who will call a top official there and speak to them to facilitate the process. As a Journalists I felt Its against the principles and the ethics of my profession to engage in these acts since it amounts to corruption. So I decided to gather my own documents and apply for the Visa in a genuine way. However what I experienced at the embassy made me believe my colleagues were right ; and that Indeed If I had called a top politician to speak to a top Embassy Official, I would have been given the Visa without any difficulty. On the 30th of June 2016 which was my interview appointment date I got to the US Embassy in Accra at 1:30 pm, the scheduled time and was made to go through some security checks and was allowed into the embassy , the Visa section. When I got there I saw a lot of people, about 55 in number . almost all of them were Ghanaians , I observed .I saw one member of Parliament with his family and some two Military officers from Ghana in the Hall. They eventually had their Visa because of their diplomatic status. In the hall I was made to join a long queue . We went through a process of thumbprint and photograph verification and were given some codes to wait for the next interview time with the Americans . Then I realized that there was one black American and one white American staff of the embassy taking care of the second queue that had been formed. Then I realized that the black American staff would hurriedly call the applicants and in two or three minutes tell them I am sorry you are not qualified for an American Visa try again next time almost the eight applicants who went to see this man before me were refused Visa . However I was so confident that I would get mine because all my documents were available and intact . When it got to my turn I walked to him confidently and gave him all my documents. He went through them one after the other . He checked and re checked. For almost four minutes this man was checking my documents . Then he asked me, Are you a Journalists? I answered yes, and pointed to the introductory letter from my office which he looked at. I then realized that the embassy had even bothered to call my office to even verify my status as a journalists. He did not even cross check my information. Then he asked me for how long have you been practicing? I said eleven years , since 2005. Where are you going? He asked, Sacramento California. For What? I said to attend the conference and training of the Society of Environmental Journalists conference on the environment . For How long will you be staying. I said five days . Depart on 18th September and return on 26th September. Then he asked me are you married? I said Yes, With Children Yes. Have you travelled before? Yes where , Then I mentioned. This continued for almost 12 minutes and then he finally asked me Who is funding your trip and I mentioned Funds For Investigative Journalism,(FIJ) and I pointed to the invitation letters . then he to told me I am sorry you are not qualified to enter into the United states of America. He did not even give me any reason why I was not qualified to enter the United states of America. I then asked pardon? Then I saw him signal one security officer who came and stood behind me in an attempt to whisk me away. So I walked away gently. I was shocked this was coming from a so called most civilized country in the world that claims glory in everything related to human right and dignity. Or is it an act of racism against me for being a blackman? Meanwhile they have taken my US$170 dollars which would have paid for the school fees of my four year old son for this academic term. And they are going to use this money to develop their civilized country and feed their America people. In fact I had and went to the Embassy with two invitation letters, One from FIJ and the other one from the society of Environmental Journalists; two big Journalism institutions in the United States. Interestingly these people from the embassy did not even mind to cross check from these institutions about the letters before the interview. I was Flabbergasted that an embassy belonging to a nation that is more concerned about security will not even attempt to cross check information given to them by an applicant . When he denied me the VISA I went back and sat down for a while and observed what was going on. I realized that out of the 50 applicants that came to the embassy that afternoon less than five were given Visa to travel . Meanwhile, their application fee amounting to US$ 170 dollars per applicants were not refunded to them. So that day alone the embassy made a gain of US$17,000 DOLLARS from the one hundred applicants that visited the embassy for the interview. When you multiply this amount by 30 days , it implies that the US Embassy generates close to US$170,000 dollars alone from Visa Applicants from Ghana in one month. Wow! Such a huge money . When you multiply this amount by one year you get such a huge sum ofabout US$ 5.1 million dollars only from Ghana . And 80% of those who apply for Visa are refused. These barbaric acts in this era of human civilization must stop because we are all one people with blood flowing through our veins. The Member of Parliament is not bigger than the Journalist. As a journalist I dont have to call a top politician or government official to call the ambassador or the head of the VISA section to talk to before I am given a Visa if my documents are right and accurate. I cant believe these things are practiced by a civilized country like America, described as the epitome of knowledge and civilization. Even the banana Republics dont engage in such practices. This must stop immediately and I urge Ghanaians to rise up and fight this canker in our society. We must demonstrate against it and I am ready to organize interest groups to demonstrate against it. If you refuse me visa give me back my money. If you refuse me my money it amounts to extortion. Which is illegal and amounts to corruption? It must stop. The United States of America must change and desist from such practices since they are immoral and unethical. For about eleven years I have been working as a journalist writing articles and feature stories to seek the wellbeing of the poor and the abused in society and those whos human rights have been trampled upon. So why dont I do same for myself if my rights have been trampled upon. I will fight till I get justice. I know that this time around they will call my employers to either call for my suspension or whatever but I dont care . I will speak my mind because I feel cheated. I f you refuse me the Visa give me back my money .After my ordeal , I realized that many journalists in Ghana have gone through similar ordeal but are afraid to talk about it but not me. I will say it as it is . America, a nation that claims to be fighting for press freedom is now abusing the right of press men in Ghana. The Writer is an investigative Journalist working with the New Crusading Guide Newspaper He is also specialized in economic and environmental reporting He is a member of the Institute of the institute of Financial and Economic Journalists in Ghana. [email protected] +233-0208456817 11.07.2016 LISTEN Are good Middle Class jobs an endangered species? If so is the solution to send almost everyone $10,000/year tax free? The formerly most powerful union leader in our lifetime, Andy Stern, in Raising The Floor: How A Universal Basic Income Can Renew Our Economy and Rebuild The American Dream , has written (to paraphrase Dickens) the best of books, the worst of books, a book of wisdom, a book of foolishness, containing epic belief, containing epic incredulity. Herein Stern tackles the intriguing topic of a Universal Basic Income. This is a policy proposal with theoretical support both from the left and the right. What could possibly go wrong? Andy Stern, during his career, was the most interesting, creative, and, arguably, effective labor union leader in an epoch of the decline and fall of private sector labor unions. A master strategist, he helped build the SEIU (Justice For Janitors!) to over 2 million members, seceding from the AFL-CIO to create CHANGE TO WIN which did not prove a great success. He was beloved by millions of rank-and-file workers. He was hated by many union boss rivals, corporate managers and anti-unionists. Stern is never boring. Stern, who I met personally twice, did not seem to mind that I myself am a card-carrying AFL-CIO member. He and SEIU's secretary-treasurer Eliseo Medina arguably are the greatest labor leaders since John L. Lewis, head of the United Mine Workers, creator of the CIO, left the scene. Stern is an iconoclast. He may be the most endlessly inquisitive character since the Kiplings Elephants Child and he is a seeker of unconventional wisdom. So it was with keen interest that I read, and with a mixture of marvel and incredulity reread, his and Lee Kravitzs Raising The Floor. Among the marvels herein Stern directly addresses a paradoxical phenomenon. We as a society get richer through productivity. In practice this means automation and fewer jobs, fewer ways to participate in those riches. Think robots. Technology allows one person to produce what it used to take two, ten, or even hundreds, to do. Think software. This unequivocally makes us, collectively, much richer. It is free-market doctrine that this will create new, better, jobs. And I am nothing if not doctrinaire. That said, it is not obvious that the economy is creating new, better jobs. To further confuse matters productivity growth has badly declined in the past five to ten years . Americas greatest philosopher, Yogi Berra, once observed: In theory there is no difference between theory and practice but in practice there is. So, what gives? The empirical data arent reassuring. Job creation has been terrible for the past 16 years through two terms of a Republican and two terms of a Democratic administration (neither Supply Siders). My own belief is that this stagnation has been caused, above all, by bad monetary policy compounded by bad regulatory policy. The Fed, in part, inadvertently torpedoed two presidents. If we transform monetary and regulatory policies we are likely to reignite the kind of sizzling job growth we saw under Reagan and Clinton. Increased demand for workers is the only organic, sustainable, way to raise the floor. Anything else is, at best, a patch and likely to make matters worse. My theory is as far from trickle down as it gets. Yet its insufficient to be theoretically right when peoples livelihoods, and lives, are on the line. Work has to work. When will the better jobs arise for those such as the Marias? The "Marias" are what Stern in another context affectionately called the hotel chambermaids. They in due course likely will be replaced by SuperRoombas. Handing them a copy of John Tamnys excellent Who Needs The Fed? with their pink slips represents cold comfort indeed. Where are the new, better, jobs? Where will they come from in the future? These are legitimate questions and Andy Stern raises them unflinchingly. This is where Raising the Floor represents the best of books. And Stern, in his hallmark style, makes his quest for the answers personal, vivid, and moving. Stern shocked the world by resigning the SEIU presidency at the height of his power. He, in concert with SEIUs then executive vice president Eliseo Medina, had devoted his life to building SEIU from a tiny entity into the dominant labor union in America. Why did he so unexpectedly and abruptly hit the ejection button? In 2010, I seemed to be at the top of my game. Despite this, I stepped down that year as president of the Service Employees International Union (SEIU). From the mainstream Washington Post to the conservative Wall Street Journal, the media speculated as to why I had decided to leave SEIU at the height of my power and influence.Redstate, a conservative journal, claimed that I was resigning because I was bored with having to spend time on the day-to-day contract battles that are a unions bread and butter. Youd probably be bored, too, if you had taken a relatively obscure union of janitors and doormen and turned it into the largest and most powerful private-sector union in Americaput a president of the United States into the oval officeand fulfilled one of the union movements main objectives: nationalized healthcare. Redstate couldnt have been more wrong. Those janitors and window cleaners, those doormen and security guards, and those nurses aides and home- and child-care workers were the people I care about most: nothing motivates them more than the American Dream the promise, to anyone who works hard and plays by the rules, of a good and secure livelihood and a better future for their children. I didnt resign from SEIU because I was bored. Rather, after nearly fifteen years at the helm of SEIU, I had lost my ability to predict labors future. y 2010, the economy was changing and fragmenting at such warp speed that I couldnt see where itor laborwas headed. Without a clearer vision of the futureof the world in 2025 or 2040I couldnt develop the inner compass needed by a leader who seeks to bring about major social change, and I was out of good ideas. Stern then takes us along with him on a multi-year odyssey in quest of a better understanding of what is going on, how and why, and good ideas. Stern, insatiably curious, shares with us his interactions with Intel co-founder Andy Grove; seminars with celebrity left wing economists such as Thomas Picketty, Joseph Stiglitz, Paul Krugman; a virtual tour of some of the thinking of futurist Ray Kurzweil; to MIT to explore the implications for job creation of Big (960,000 petabytes; noting that 200 petabytes would store all the printed information in the world) Data. Sterns most consistently incisive character playing Cheshire Cat to his Alice is investment banker Steven Berkenfeld. Berkenfeld helps Stern -- and us -- grasp the inexorably dwindling importance of, and value attributed to, workers in the grand scheme of business. In addition, Stern has an ear for the telling detail. Consider his quote from Terry Gou, the founder of Foxconn Technology Group: Hon Hai has a workforce of over one million worldwide and as human beings are also animals, to manage one million animals gives me a headache. Stern proves himself no Luddite. "In 1972," he writes, when I graduated from college, the price of the fastest supercomputers on earth was between $5 and $8.8 million (the equivalent of almost $30 and $43 million today). Forty years later, I could buy an iPhone 4 with equal performance for less than $400. What a thrill to be alive in the wonder-filled world of Moores Law. And yet, there is a dark side to all this change that will improve our lives: the loss of millions of middle-class jobs, perhaps forever, but definitely for the foreseeable future. He takes us into his conversation with Carl Camden, the CEO of Kelly Services, $6 billion/year 550,000 temps in 80 countries - the voice of disorganized labor. He shows us the realities of contract employees. Stern takes us into Upwork, which he himself uses for transcription services, far more affordable than American labor. He vicariously walks us through the Dantesque aftermath of Hurricane Katrina, where Uncle Sam was paying $2980 to $3500 to tarp a roof that ordinarily would have cost $300. The Big Government money got absorbed by about six layers of contractors, not the workers who actually tarped the roof. Most of the actual workers got the shaft. Stern also gives us a glimpse of Amazons Mechanical Turk, people doing cognitive piecework on demand for peanuts. Its a fascinating, eclectic, odyssey with visits to some authentic Big Thinkers. Most heartrending of all of these conversations may be those he had with Geoff Canada. Canada, the man immortalized in Waiting For Superman, turned the performance of Harlems schools around, one block at a time, through 97 blocks: Not one of my kids is in jail. We have 881 kids in college and not single kid in jail. The Divine Tragedy of this? College no longer is the reliable ticket to a good job. It is, too often, a ticket to penury. Stern's Raising The Floor gives us a thoughtful, multi-perspective, look at The Big Problem: no jobs. He does so in a vivid and compelling way. Therein he has written the best of books. Then Stern presents his Big Reveal, a universal basic income: $10,000/year, tax free, to everyone between the age of 18 and 64. Thereafter, without seeming to recognize it, he inadvertently makes an airtight case for why the Universal Basic Income, at least as here configured, is a recipe for disaster. This is a real heart breaker. An agonizing disconnect turns Raising The Floor into the worst of books while moreover overlooking what could be the real solution hiding in plain sight. To be continued. Originating at Forbes.com Baku, Azerbaijan, July 11 By Azad Hasanli Trend: Ueli Maurer, Swiss federal councilor and head of Federal Department of Finance, will visit Baku July 15-16, Swiss embassy in Azerbaijan said July 11. The embassy added that Maurer will have several meetings with the Azerbaijani government to discuss the bilateral and multilateral cooperation issues, including the collaboration within the Bretton Woods Institutions constituency. Switzerland and Azerbaijan are in the same constituency group of Bretton Woods Institutions (World Bank, IMF). According to the Azerbaijani State Customs Committee, the Azerbaijan-Switzerland trade turnover was $84 million in January-May 2016, $53.3 million of which accounted for the exports to Switzerland. The Azerbaijan-Switzerland trade turnover increased by 12.75 percent compared to January-May 2015. 11.07.2016 LISTEN By Adu Gyamfi Odopa, Kenyasi Two inmates of the Kenyasi Prisons Camp, in the Asutifi North District of the Brong Ahafo Region, died on the spot, whilst two others sustained severe injuries. One of the injured is in critical condition and has been referred to the Okomfo Anokye Teaching Hospital in Kumasi. The other one also lost his ear, but has been treated and discharged from the Saint Elizabeth Hospital. Information available to The Chronicle indicates that the prisoners were returning from a farm near Ntotroso, where they had gone to work. The Chronicle was further told that the prisoners were asked to pack timber logs into a truck. According to an eyewitness, on their return, the inmates were asked to sit on the logs packed in a Kia truck, with registration number AS 291-10. The truck's steering wheel allegedly disengaged thus preventing the driver from having firm control. The truck subsequently somersaulted, and emptied its cargo on the inmates. When this reporter visited the prison camp, some of the inmates were weeping over the development. Meanwhile, the driver of the truck is still at the hospital receiving treatment, while the bodies of those who lost their lives have been deposited at the Saint Elizabeth Hospital morgue. Is it not said, When a long rope falls into the hands of an unwitty person, it does not take long for him to make a noose to hang himself? This adage is truthfully manifesting in the life of one Salifu Maase, alias Mugabe of Montie FM, in Accra. This yobbo thought he could be a law unto himself; does what he wants when he wants, because the political party he is affiliated to is in government. He had been committing crimes with impunity in the false knowledge that President Mahama is his friend so nothing unpleasant can ever happen to him. Was he not the one who sat on his radio to predict with glee that within days an MP would die for a by-election to be held and lo and behold, NPP MP J. B. Danquah was assassinated less than a week following his malicious prediction? He had forgotten that it takes a creature as little as the crab to kill the mighty elephant. As he kept on with his nonsensical rabble-rousing bravado without anyone able to control him because his alleged friend President Mahama relishes in his stupidity, little did he know that there is time and season for everything on earth. Ecclesiastes 3:1-8 A Time for Everything 1 There is a time for everything, and a season for every activity under the heavens: 2 a time to be born and a time to die, a time to plant and a time to uproot, 3 a time to kill and a time to heal, a time to tear down and a time to build, 4 a time to weep and a time to laugh, a time to mourn and a time to dance, 5 a time to scatter stones and a time to gather them, a time to embrace and a time to refrain from embracing, 6 a time to search and a time to give up, a time to keep and a time to throw away, 7 a time to tear and a time to mend, a time to be silent and a time to speak, 8 a time to love and a time to hate, a time for war and a time for peace. Now that he has fallen into the big and strong trap of the Supreme Court, having incited two panellists on his radio programme to threaten to kill the judges in the country, his tone of utterances is changing, although not for the better. As the reality of life has now come to stare him in the face scarily and realising he will likely be jailed to prove that although he is loud-mouthed, he is Mr Nobody, he has started talking pure balderdash. Now that the noose is tightening upon his neck, having fallen into a mighty trap, he is inventing all sorts of nonsensical excuses and making all foolish claims that cross his mind in attempts to extricate himself from his likely imprisonment. He wants to incite the northerners and the NDC against not only the judges but also, the other tribes in Ghana. Whatever he does, he will suffer the consequences of his absolutely outrageous utterances all alone. Not even President Mahama or Asiedu Nketiah will come to his aid at this critical period of his life. Who in his right frame of mind would want to socialise or identify themselves with a criminal who wants to bring complete mayhem in Ghana by killing the nations judges? I invite the public to read the latest utterances by Mugabe as published on Ghanaweb under their General News of Monday, 11 July 2016 titled, Montie FM's Mugabe spits more fire. The underlying web link takes readers to the full publication. http://www.ghanaweb.com/GhanaHomePage/NewsArchive/Montie-FM-s-Mugabe-spits-more-fire-454346 I call on the Supreme Court not to be cowed by Salifu Maases threats and desperate incitements to set him free but to muster courage to jail him for years. If he wants to be dangerous to the public and the judiciary, he has to be taken to prison to guarantee the public safety and security. This will also serve as a lesson to would-be fools talking crap in the belief that they are untouchables who can get away with blue and real murder. Rockson Adofo Written on Monday, 1 July 2016 11.07.2016 LISTEN Ghana has started a visa-on-arrival scheme at the Kotoka International Airport (KIA) for all African Union Nationals whose countries do not have a visa-free agreement with the country, the Ghana Immigration Service (GIS) has said in Accra. All AU nationals affected by the policy who arrive in the country must have a passport, valid for at least three months from the date of entry; they must have a return ticket, or evidence of onward travel; they must show evidence of sufficient funds and proof of accommodation, before they are granted visas. All such visas would be issued for validity of 30 days; they would be subject to the provisions of Section 4 of the Immigration Act, 2000 (Act 573), which applies to all travellers entering Ghana, a statement, signed by Mr Francis Palmdeti, Head of Public Affairs of GIS, and copied to the Ghana News Agency, said. The statement said the programme was in line with a directive in President John Dramani Mahama's State-of-the-Nation's address in Parliament this year. This current arrangement, it said, would be piloted at the KIA for three months, and, thereafter, extended to all other entry points of the country. President Mahama, in this year's State-of-the-Nation address delivered on February 26, 2016, informed Parliament that all AU nationals would, from July 2016, be issued with visas on arrival, following a decision taken at the Executive Council Meeting of the African Union, the statement said. It said: The GIS, being the government agency mandated to regulate migrant flows into the country, intends to ensure the smooth implementation of the new policy, and, therefore, has initiated the modalities to give effect to the policy. The statement, therefore, entreated the public, as well as foreign missions and embassies, to take note of the modalities. The Ghana Immigration Service would continue to execute its mandate professionally and effectively, having in mind the nation's policy of making Ghana an attractive destination to investors and tourists, it said. Source: GNA Justice must be rooted in confidence and confidence is destroyed where right minded people go away thinking the judge was biased. ~Lord Denning In view of the recent case and misunderstanding regarding the issue of contempt and whether it is appropriate for same judges to adjudicate upon it, I would be glad if we all reason together on this issue. But before we do, we must understand that it is imperative that we speak in legal context and our reasoning must be in conformity with the Law. We must also be aware that the matter is sub-judice and so we cannot talk about it as candidly as we would have desired. Not long ago, Ghana was faced with an election dispute where some persons were cited for contempt of court. If our memories will serve us right, these persons were brought before the courts and sanctioned. These sanctions could not be faulted because the 1992 constitution of Ghana, specifically in Articles 19(2) and 126(2) gives power to the courts to punish for contempt of themselves. So clearly, lets understand that the courts are given power to do so. Now, the concerns that may arise are whether judges upon whom contempt is committed should be allowed to preside over the same case, and whether or not the courts is in breach on the second rule or principle of Natural Justice, which is no man should be a judge in his own case. The question is, would the judges be fair to the Law in terms of administering Justice? Or they will employ the personal egos in dispensing justice? These are concerns I believe must be taken seriously and also in upholding the Constitution and the Law as a whole. Nemo judex in causa sua, which literally translates, no man should be a judge in his own case. This principle of Natural Justice on many occasions has been breached by the Supreme Court of Ghana, which undermines the administration of Justice. The possibility of bias is very clear when a judge presides over a case he has an interest. The question we now ask is, do these judges have an interest in the current case of contempt (involving Montie fm and other three persons)? The answer is YES. In fact, we must be fair in our ways by admitting that the case is Quasi-Criminal (Lawsuit or equity proceeding that has some, but not all, of the qualities of a criminal prosecution). In this case of contempt, the two panelists were heard abusing and threatening the said judges, and as human as our judges are, there is likelihood that they will employ their personal ego in administering Justice. For instance, one may be scandalized by the words of the contemnors and so his Judgment may not represent the Law. In the case of Tsatsu Tsikata v Chief justice and Attorney General, this second principle of natural justice was faulted. The essence of the need for impartially was observed by Lord Denning, the master of Rolls, in Metropolitan Properties Co. (F.G.C) Ltd V Lennon (1969): Justice must be rooted in confidence and confidence is destroyed where right minded people go away thinking the judge was biased. In this case, can one challenge or raise an objection to the courts jurisdiction, in that, the same judges upon whom the contempt was made against, be the same people to administer justice? Well, we leave that to our esteemed justices to answer. They are the custodians of the Law. As Daniel Korang Esq will put it: Experience and available legal literature must teach us that when a court extends invitations to persons to be trialed for contempt of itself, there is a real likelihood of substantial prejudice and bigotry. The court always has a prior inclination that the person appearing before it has already committed contempt. Where then lay the constitutional principle that says, A person charged with criminal offence shall be presumed to be innocent until he is proved or has pleaded guilty? In recent, within the media, many learned lawyers at one point or the other, gave prescriptions of punishments that should be meted on our recent contemnors. That is extremely prejudicial and unethical of the profession. These contemnors cannot be said to be guilty unless proven beyond reasonable doubt. Our legal system sometimes suffers when issues of these kinds arise. Occasionally, we are told that, the administrative works of our judges are undermined when some principles of the Law is adhered to. And so, they tend to adopt the doctrine of necessity which clearly does not play in this regard. The doctrine of necessity is meant to bring sanity and justice and not to create a situation where many will lose hope in the rule of Law. I wish to end with the words of Daniel Korang Esq It must be suggested that judges should not deal with contempt committed of itself but should refer the contemnors to another judge or to the Attorney general. This would also have the advantage of meeting the requirements in the 1992 constitution whereby anyone accused of a criminal offence should have adequate time and facilities for the preparations of his offence (see Article 19(2)(e) thereof). I stand corrected. Mahama Socrates Samuel [email protected] 0269007574/ 0247695148 11.07.2016 LISTEN Sometimes in 2006, the Ife University management, then led by Professor Michael Faborode, rusticated some Students Union leaders: Akinola Saburi (Malcom X), Ogunma Segun Adrew (Karl Marx), Taiwo Hassan (Soweto), Tunde Dairo(Barry Blacky) and others for politically-motivated reasons and had the vibrant Great Ife Students Union proscribed. The students, particularly we the then freshmen, were left at the mercy of the University management and its notorious security men known as crackers (a group that fallout of the earlier Modakeke-Ife crises) whose mandates includes but not limited to harassing, intimidating and suppressing students voice particularly students with progressive orientations and ideological groups on the campus. While on his way from Osogbo (the Osun state capital) to submit a petition concerning election fraud (during the 2007 Gubernatorial election on OAU campus), Akinola Saburi, then President of the Students Union, was arrested on the orders of the then Governor Olagunsoye Oyinlola of Osun state. The Union leaders fate from that point was now out of reach of the university management. Malcom X, as Saburi was popularly called, had to spend over 7 months at Ilesha prison with Soweto and Barry Blacky to spend 4 months in detention. Somehow, Ogunma Segun (Karl Marx), then the Unions Speaker, managed to escape been caught. The ban on the Union will later be lifted in 2008 and I joined its ranks. Against all admonitions, and at great personal discomforts, I joined the movement to campaign for the reinstatement of the victimized activists. The battle to reinstate these lads soon took titanic turn as the political bigwigs in the state became interested in their case. For those who do not know, the Great Ife Students Union in its entire history, as I recall, has little or nothing to do with partisan, let alone in local, politics. The question Akinola Saburi simply asked the Tribunal to decide(before his arrest) was how a political party can amass about 30,000 votes on OAU campus while students were not in school in the April, 2007 election. At this point, no one needs to be told that the fate of these lads lie in the outcome of the Election Tribunals (there were many of them!). So, while we did our bests to negotiate their release and subsequent reinstatement, we kept a weather eye on developments at the Tribunals. This was how some of us became involved in Osun politics ever since! I need to add that Rauf Aregbesola won back his mandate at the Court of Appeal, sitting in Ibadan, in 2010 and within few days of this victory, Saburi and Karl Marx will be allowed to resume their studies at Ife. Those following events in Osun state will probably notice the patterns have since changed since 2010. I wouldnt like to bother my readers with the intrigues involving the forces trying to stop Aregbesola from becoming Governor, but I will say here that there is nothing really new with the notion that he is an Islamic fundamentalist. He was once alleged to have links to al-Qaeda, a dangerous Islamic sect in Africa and the Middle East. No one should really be surprised that all these started years before he even became governor! One would have thought that since becoming Governor, his detractors would have at least surrendered after losing the tough duel, but they regrouped under different names. The same elements using some compromised staff of the Department of State Security (DSS) came up with another narrative in 2012 that the Governor is under security watch over a fictitious plan to Islamise Osun. A curious observer will see the dangerous politics adopted by the age-long rivals in desperate bid to unseat him in the 2014 election. The only difference then was the DSS became the cats paw in the well-acted script! No one recalls Aregbesola Christianising Osun or coming up with Christianisation Agenda when he appointed 18 Commissioners out of which 10 are Christians in a state that boast of majority Muslims. No one told us that Aregbes immediate family members contain a sizable number of Christians (including pastors in two well-known Pentecostal Churches in Nigeria). They deliberately forgot his generous donations to several Churches in the state. Traditional religious worshippers were short-changed in this scheme of things, but those who love us more did their best to lampoon the Opon Imo (Tablet of Knowledge) and the new states logo (which included cowry shells) as having something to do with idolatry or idol worship. If you think that is inconsistent, I must confess to you that it took me a long time to recover from these confusing arguments! Lest we forget, the opposition went to town with new sets of propaganda that Osun wanted to secede from the federation with the introduction of the states anthem. On getting to know about this allegation, I had to painfully agree with Bola Ilori, who recently told us of a satanic agenda at work in Osun state. It is understandable for emotions to take over reasons when it comes to religious issues especially in this part of the world, but for anyone to see a state having its own flag, symbols or anthem as the basis for secession defiles every known reason. I had to agree with him again, because, what is happening in Osun is not any religious agenda as some people might have innocently thought, but a satanic political agenda! Olalekan Waheed Adigun is a political risk analyst and independent political strategist. Email: [email protected], [email protected] Follow me on twitter @adgorwell. He blogs for http://olalekanadigun.com/ and can be reached on: +2348136502040, +2347081901080. The Writer 11.07.2016 LISTEN Salaamu Alaikum, I begin with Salaam(Peace) in the Islamic way. We have successfully brought to an end a month of fasting and intensified worship. The Zongo communities comes to a standstill to celebrate Eid. Celebration are done in various forms considering the geographical location of the celebrants. Now let me shift the discussion to the main issue which is centered on Asawase. One of the popular communities which gained it's popularity on notoriousity. Eid in Asawase is something you can't afford to miss. During our days, Kumasi Zoological Park and Cultural Centre was the center of attraction. We flooded these two places in our new shirt, jeans, footwear and caps to get a glimpse of dangerous animals seen on TV. We then climax the day with a peaceful and joyful jams at the Cultural Centre. That is where I get the courage equivalent to that of David when he accepted the challenge to face Golliath to dance with Ayisha and Memuna. For a long time, Zoo and Culture has been the Two best locations for the Zongo youths in Kumasi to display their new "09 shirts" and new shoes until these two places lost it's relevance. The attention now shifted to Asawase Community Centre where a week jam is organised to climax the month long fasting. The program which sails on a smooth start, will climax with unpleasant scenes of fights and other youthful delinquent behaviours. Breaking of bottles to scare opponents was a normal phenomenon and the brave ones use them on their opponents. The Community Centre was quite better because it checked the intake of forbidden foods and drinks by the youth. It was also close to home where one doesn't need transportation before going, you only need to walk. I recall my last day at the Community Centre. I was in my nice Blue jeans shots, a green Lacoste Shirt and a tourist sandals I borrowed from a brother called Izdeen without his knowledge. An act which was quite usual growing up. The program went on well. We usual stand outside until the place "takes shape" before we enter. What seem to be a successful program ended prematurely when a fight erupted between a late friend nicknamed Jesus and another youth group. All what we saw was bottles flying, myself, Baba Maliki, Taha and Manaf Boat of Naira house took to our heels. We used the Yusifiya route which was an unusual route home. This insecurity and terrorism had been the norm of the day. The place gradually lost it's relevance but that never stopped the Sallah Jam from coming on. The place became an arena for kids which reduced the negative news that usually comes from Sallah Jams. Today we are being told nine lives have been lost where we usually Jam. nine good lives. Potential chiefs, Teachers, Doctors, Politicians, Farmers have all lost their precious lives in a stampede during the Sallah Jam. This is indeed sad. These individuals started their normal days, stepped out to have their fun, little did they know Alhaji death was waiting for them. This young ones met their untimely death due to our own recklessness, unpatriotic and selfishness we find ourselves in. This sad news I believe should be the last and a turning point of the Zongo youth. The Zongo of yesterday must change today. We the youth must ensure the Zongo community we find ourselves transforms for the better. A psychological, sociological and political transformation is needed to ensure our beloved Zongo becomes a better place for all. This transformation must start now and you are the one to lead it. We must change the negative mentality of our community being a hub of violence, criminals, ballot snatchers to a hub of Scholars, Doctors, Teachers, Ministers and many more. It's about time we spend our precious time on productivity rather than engaging in needless stuffs. The time for change is now or never. Zongo must change and it must change with you and I. Jamaludeen A. Abdullah Founder of Zongo Youth For Progressive Change. Email: [email protected] Johannesburg (AFP) - Two South African brothers appeared in court Monday accused of plotting to blow up the US embassy in Pretoria and Jewish institutions, and planning to join the so-called Islamic State, police said. The 23-year-old twins, Brandon-Lee and Tony-Lee Thulsie, were arrested over the weekend in a first for the country involving allegations of IS membership. "It is alleged that they wanted to bomb the US embassy and Jewish facilities in the country," Hangwani Mulaudzi, spokesman for South Africa's elite police, the Hawks, told AFP. "Although we have had people stopped from travelling abroad, this is the first time we have arrests linked to Islamic State," he said, after the pair appeared briefly in a Johannesburg magistrate court. The United States and Britain warned last month of possible imminent attacks by Islamic extremists in South Africa's major cities. The US warning said attacks could target sites frequented by US citizens, including high-end shopping malls in the economic hub of Johannesburg and Cape Town, which is popular with tourists. The two men, who are accused of planning to join the Islamic State (IS) group in Syria, were remanded in custody and will return to court on July 19 to allow for further investigation. "They had been on our radar since 2015. They tried to leave the country twice, through OR Tambo airport and through Mozambique (to join IS)," Mulaudzi said. - Radicalisation in S.Africa? - According to court papers, the brothers "conspired to commit the crime of terrorism by planning to cause explosions at a mission of the United States of America and Jewish institutions." The suspects were also accused of inciting others to "aid and abet them", according to the provisional charge sheet. "The incitement was to further the political, religious or ideological motives and objectives of... the Islamic State in Iraq and the Levant (ISIL)," it said. Two other suspects also arrested on Saturday were reported to be linked to the brothers. But the prosecution said they faced unrelated charges and were due to appear in a separate court later Monday. Muslims account for only 1.5 percent of South Africa's 53 million people and the country has so far escaped the jihadist attacks staged in several countries on the continent. "We have got fairly verifiable evidence of South Africans going to the geographical Islamic State (in Syria)," Nick Piper of Signal Risk, a Cape Town-based security consultancy, told a press briefing recently. "There is radicalisation happening within South Africa. There is a strong possibility that if an incident does happen, it will be a South African." The US embassy declined to comment. Then president-candidate Barack Obama drew the ire of conservative commentators when, addressing a 2007 NAACP forum , said: "We have more work to do when more young black men languish in prison than attend colleges and universities across America." Obama was severely criticized for peddling false statistics. However, what the critics did not bothered to add was that although the presidents figure were incorrect, they remain terribly abysmal, especially for a country that tout its justice system as the best in the world. Ironically, the country that likes to hawk itself as the bastion of freedom and democracy, and is all over the world waging wars and toppling governments, in the name of freedom and human rights, is number one when it comes to the incarceration of citizens. American politicians appear to derive some morbid joy in enacting laws and policies that sent more citizens to prison with the stiffest terms possible, than any other nation on earth. The figures are not good: A US Department of Justice report published in 2006 said that American justice system at some point held over 7.2 million people. The figures include those in prison , on probation , or on parole (people released from prison with restrictions). It is the highest in the world. No other country even comes close. The United States has less than 4.4% of the world's population, but has 22% of the world's prison population. The prisoners are held in some 102 federal prisons, 1,719 state prisons, 3,283 local jails, 2,259 juvenile correctional facilities, and 79 Indian Country jails. Some are held in military prisons, immigration detention facilities, civil commitment centers, and prisons in the U.S. territories like Guam. Both Saudi Arabia at 178 per 100,000 and China at 218 prisoners per 100,000 fared better than the US. Even Russia at 577 per 100,000 fare a lot better than Uncle Sam. The figures for other economically-developed nations gives even a starker overview: Japan is 59 per 100,000; Sweden is 78 per 100,000; Canada is 117 and Singapore is 273 per 100,000. India has four times the population of the US, but its prisoners number all of 380,000. Another report says that about 12 million people care cycled through American justice systems each year. This include figures for people serving terms for misdemeanors, those that are being held before trial or those that are too poor to make bail. They also include those that have just been arrested and are yet to make bail. The United States also beats the record in the proportion of women in jail. In most countries, the proportion of female inmates to the larger population is closer to one in twenty women, but women make up more than one tenth of the whole US prison population. It is however in the racial dimension of incarcerated inmates that the United States truly stand in a league of its own. The United States has a higher percent of imprisoned minorities than any country in the world. According to Michelle Alexander, the United States "imprisons a larger percentage of its black population than South Africa did at the height of apartheid." Although African-American constitute about 14 percent of the US population, they made up 60 percent of American prison population. The number of African-Americans in US jails are more than the total combined prisoners of Argentina, Britain, Canada, France, Germany and India. 2013 U. S. Census estimates puts the number of black males in the United States at 18,508,926. In the same year, figures from the Bureau of Justice National Prisoner Statistics Program in 2013, 745,000 black male , the elderly and children included, are incarcerated in either local or federal prisons. The number of Black men attending colleges is 1.4 million, so the 745,000 being locked up in jail is not small potatoes. Little wonder some talk of an epidemic of incarceration of black male. THREE-STRIKES LAW One of the factors that contributed to the swelling of prison figures was the introduction in the 1990s of the THREE-STRIKES LAW. The law provided for mandatory sentencing of offenders, thereby curtailing judges from using their discretion. The law also forces judges to impose longer sentences on non-violent offenses than they normally would do. For example, in many countries, the mandatory sentence for a first-time drug offender is 6 months jail term; in the US, it is five or ten years. Another example, while a burglar in England will get about 5 months jail term, he looks up to 16 months in the United States. To deter hardened criminals, many countries impose longer sentences on repeat offenders, but the US took this to new heights with a mandatory 25 year imprisonment. What is equally depressing is that American jails continue to resemble Soviet Gulag where petty criminals to political prisoners were housed in labour camps, to provide cheap labour for industries. Studies found that whereas some western countries deliberately decided to hold down on imprisoning infringers and criminals, American politicians made conscious to maximize lengthy jail terms and increase the number of incarcerated citizens. This was also a deliberate and conscious effort undertaken to maximize the profits of the companies that run the prisons. THE PRIVATISATION OF PRISON It is difficult to imagine that prior to the 1980s, there were no private prison in the US. The Reagan administration introduced the system whereby private companies were allowed to run what hitherto had been states facilities. Of course, the impetus to make more money underlines private enterprise. The abdication by the state of its responsibility to correct and reform errant citizens, led to the urge to sentence more and more citizens, to lengthier jail terms in what has now become a for-profit industry. A 2011 report by the American Civil Liberty Union (ACLU) claimed that: the rise of the for-profit prison industry is a major contributor to mass incarceration, along with bloated state budgets. Louisiana, for example, has the highest rate of incarceration in the world with the majority of its prisoners being housed in privatized, for-profit facilities. Such institutions could face bankruptcy without a steady influx of prisoners. A 2013 Bloomberg report states that in the past decade the number of inmates in for-profit prisons throughout the U.S. rose 44 percent. The two largest corporations in the for-profit prison business, Corrections Corporation of America (CCA) and the GEO Group admitted that they spend substantial amounts of money to lobby federal and state governments. The relationship between some state and prison companies are very cozy indeed - some of them have contracts that guarantee at least 90 percent of prison beds be filled. The contracts stipulated that the state must reimburse the prison company for the unused beds should these "lockup quotas" not met. Not bad at all. The new cliquey arrangement, hugely beneficial to the companies, has been referred to as the Prisonindustrial complex The prison industry did not even bother to hide the fact that they are in comfy relationship with state officials. CCA in report to the SEC in 2010 admitted: "Our growth depends on a number of factors we cannot control, including crime rates [R]eductions in crime rates could lead to reductions in arrests, convictions and sentences requiring incarceration at correctional facilities." DRUG SENTENCING LAWS Another contributing factor to the abnormally high prison figures was the decision by the Reagan administration to vigorously pursue a War on Drugs policy after the passage of the Anti-Drug Abuse Act in 1986. The law criminalizes drug abuse, and turned what was hitherto a social cum mental-health issue into a law-and-order problem. After its passage, imprisonment for non-violent offenses rose dramatically. The provisions of the Act are truly punishing. Users of crack cocaine, majority of them poor blacks, receive a mandatory five-year mandatory prison sentence. The result was far more devastating than the benign cry of Nancy Reagan, Just Say No to Drug, exhortation. Figures shows that it has had a devastating effect on the lives of millions of Americans, and was especially ruthless on African-American lives. In 1985 about 41,000 people were in jail for drug offenses, by 2010, the figure shot up to 500,000. One estimate said that 31 million (about 1 in 10) Americans have been arrested and processed through the system on drug related charges. The figures for women, most of them poor, were also shattering. A 2003 report shows that 58% of all women in federal prisons were convicted of drug offenses. Again, there were racial bias as African-American and Latino women were disproportionately affected. According to the American Civil Liberties Union , "Even when women have minimal or no involvement in the drug trade, they are increasingly caught in the ever-widening net cast by current drug laws, through provisions of the criminal law such as those involving conspiracy , accomplice liability , and constructive possession that expand criminal liability to reach partners, relatives and bystanders." BLACKS AS TARGET FOR OUT OF CONTROL, TRIGGER-HAPPY COPS It would be bad enough were lopsided incarceration in prisons to be the only problem that face black people in the US, but they face a far more lethal peril from the guns of trigger-happy cops. Again, the figures are truly appalling. No other police force in the world kill as many minorities as US police officers. Sample horror stories: In 2010 police officers stormed a house in Detroit looking for a fugitive, they did not find their man, but managed to kill 7-year old Aiyana Stanley-Jones, who was asleep at her grandmothers house. 16-year old Jessica Hernandez , from Denver, allegedly struck a police officer with a stolen car, the officer and his colleague shot her dead. Jessica was shot 18 times . Amadou Diallo was a 22-year-old immigrant from Guinea when, on February 4, 1999, four New York City Police Department plain-clothed officers fired a combined total of 41 shots, 19 of which struck Diallo, and killed him outside his apartment in New York. On August 9, 2014, in Ferguson, Missouri , a suburb of St. Louis . Michael Brown, an 18-year-old black man, was killed by 28-year old white police officer, Darren Wilson, who claimed the youngster tried to grab his gun. Browns killing resulted in massive nationwide protest. In November 2014 in New York City, Officer Daniel Pantaleo choked the life out of Eric Garner. On March 2 this year, a video went viral on the internet. It recorded the graphic film of a violent struggle between a homeless man and several officers in the Skid Row area of Los Angeles. In broad daylight and in the full view of several people, the officers fired five shots and killed the man. It later emerged that the man, whose nickname was Africa, had been living on the street for months. He wound up on the streets after he had spent 10 years in a mental health institution. As usual, the Police came up with the same excuse they have used in so many similar incidents: the officers said they opened fire after the man tried to grab a gun from one of them. It begs the question why black male are always so suicidal that all they do was try to wrest guns from arresting police officers. Some statistics show that in the US, Black males are 21 times more likely to be shot by police than white men in the same circumstances. The startling figures show a wayward police force that act as judges, juries, and executioners. And they do so execution-style 41 bullets in the case of Amadou Diallo and 18 for Jessica Hernandez . According to recent accounts of justifiable homicide reported to the FBI, during a seven-year period ending in 2012, a white police officer killed a black person nearly two times a week in the United States. The report shows that 18% of the blacks killed during those seven years were under age 21 as compared to 8.7% of whites. The saddest part is that a large percentage of the people gunned down by the police are mentally ill. A social/sociological problem was turned into a law and order issue, with the police acting as the ultimate decider. The killing spree of US cops is unmatched among industrialized countries. While on average, US cops killed at least one person every day, British police did not record a single fatality last year, although they fired their guns three times. In 2011, when the FBI reported 404 justifiable law enforcement homicides in the U.S., the figure for Germany and Australia was six apiece. FEDERAL AUTHORITIES STEPPED IN The killing of Michael Brown and the nation-wide protest it generated prompted the US Department of Justice to launch an investigation. The report released in early March revealed a startling racial bias in the justice system in Ferguson. The Federal investigators conducted hundreds of interviews, reviewed 35,000 pages of police records and analyzed race data compiled for every police stop. A third of the citizens of Ferguson are white, but the crime statistics compiled in the city over the past two years suggested that only black folks broke the law. We read from the report that Blacks accounted for 85 percent of traffic stops, 90 percent of tickets, and 93 percent of arrests. Blacks accounted for 95 percent of all arrests for jaywalking, a nebulously-defined crime, that is left to the discretion of the arresting office. The Report also show that from April to September last year, 95 per cent of people kept at the city jail for more than two days were black and that 88 per cent of people against whom police used excessive force were African-Americans The Justice Department concluded that with such awful disparity, the Ferguson Police Department was routinely violating the constitutional rights of its black residents. The report also revealed crude racism by city officials. In a November 2008 email, an official said Barack Obama would not be president long because what black man holds a steady job for four years? One email included a cartoon that depicted African-Americans as monkeys and another that described black women having abortions as a way to curb crime. For African-Americans, the report did not say anything new. They know from experience that the criminal justice system in the land of the free works differently for blacks and whites. Back in 1903, W.E.B DuBois wrote that "the problem of the Twentieth Century is the problem of the color line." Sadly, the color line continues to be the problem of the 21st century. Africa Union (AU) has deviated from the set objectives of the founding fathers, retired diplomat, Mr. KB Asante, has lamented. In an article in the Daily Graphic, Mr. Asante explained that the AU had aspirations of enhancing unity politically, socially and economically but these aspirations have not been met. The old are often nostalgic about the past and apprehensive of the future, he said, adding the current AU does not inspire confidence. The AU was established in 2002 to take over from the OAU set up in the 1960s. Leaders of that time, including Dr Kwame Nkrumah believed that African unity is the only way newly independent African countries can become a political and economic force. At a 1958 conference of eight newly independent African countries, Dr. Nkrumah stated that unity will help us to know ourselves and to encourage views on matters of common interest to explore ways and means of consolidating our hard-won independence to strengthen economic and cultural ties between our countries. Mr. Asante observed that Africans do not seem to see the purpose of the AU as they are not beneficiaries of any of the set goals. There is no socio-economic integration and solidarity as the AU aimed at for Africa, he observed. He indicates in his article published Monday, July 11, that socio-economic stability sought for by African states has not been brought to light. According to him, the AU has failed to make the dreams of the founding members a reality. The African Union has been criticised widely for not doing much to promote unity and economic empowerment of member states. Commentators have also blamed the failure of intra-regional trade on the continent on the interference of non-African countries with trade in Africa, a problem the AU has done nothing about. The statesman said in spite of these challenges, AU leaders must strive for the betterment of the lives of Africans. He recommends that funds should be raised from more wealthy African countries to support and to invest in monetary and human resources of the union. Africans deserve more than just an annual purposeless meeting of its leaders, he said. He stated self-respect of Africans demands progress of Africans and not foreign assistance to survive. It is only by the effort of Africans that Africa can be truly free and hold its own in the comity of nations, Mr Asante said. Story by Esther Sampong | Intern | Myjoyonline.com Baku, Azerbaijan, July 11 By Maksim Tsurkov Trend: Power plants of the Azerenergy JSC, Azerbaijan's main electricity producer, generated 10.8 billion kilowatt hours of electricity in January-June 2016 as compared to 11.5 billion kilowatt hours generated in the same period of 2015, Azerenergy told Trend July 11. Azerenergy's power plants produced about 1.6 billion kilowatt hours of electricity in June 2016 compared to 1.7 billion kilowatt hours of electricity in June 2015. Azerenergy produced more than 22.5 billion kilowatt hours of electricity in 2015 as compared to about 22.7 billion kilowatt hours generated in 2014. Meanwhile, generation capacity of Azerbaijan's power system grew by over third over the past six years. At present, the generation capacity of Azerbaijan's power system is 7,200 megawatts. As a result, it is possible to generate around 24 billion kilowatt hours of electricity annually. This enables Azerbaijan to export 2.1 billion kilowatt hours of electricity annually. --- Follow the author on Twitter: @MaksimTsurkov We attempted to send a notification to your email address but we were unable to verify that you provided a valid email address. Please click here to update your email address if you wish to receive notifications. Otherwise, you may click here to disable notifications and hide this message. you are here: business Long road for new US H-1B visa bill to become law: Experts Two US lawmakers have introduced a new visa bill in the House of Representatives. The bill proposes that companies with over 50 employees and 50 percent employees on H1-B, L1 can't hire more H1-B employees. business NGT renews call to impound NCR cars more than 15 years old The National Green Tribunal (NGT) has re-iterated its call for impounding old vehicles in the National Capital Region (NCR) and has pulled up the Delhi government for not taking action on its previous orders. Tehran, Iran, July 11 By Mehdi Sepahvand Trend: There are great opportunities for cooperation between Iran and Azerbaijan in oil industry maintenance that if tapped, both countries would enjoy great benefits, Deputy Iranian Oil Minister in Engineering Affairs Ali Reza Asl-Arabi believes. Asl-Arabi told Trend July 11 that Azerbaijan will reap a lot of benefits if it uses Iranian expertise for maintaining its oil facilities. Both in small daily maintenance tasks and periodical repair and maintenance projects, Azerbaijan has been mostly using the services of European and American companies, which entails spending large sums of money due to geographical distance, the use of Western currencies, and other factors, he noted. BP has plans for two maintenance programs on Azeri-Chirag-Guneshli (ACG) oilfields in 2016. BP had two sets of planned maintenance for Azerbaijan last year as well - in May and November. This is while there are 30 to 35 large companies for maintenance services in Iran. The Iranian oil industry enjoys a history of 110 years. There are many veteran experts who can act as easy-to-access elite leaders and there is also the young cheap workforce that can be mobilized swiftly, the official went on to say. Asl-Arabi said there is also benefit in cooperation for Iranian companies. This [cooperation] could act as a starting point for Iranian companies to enter international markets and since Azerbaijani companies are mostly using Western advisors the Iranian companies could get a chance to add to their expertise and prestige, he stated. Elsewhere in his remarks, the official told Trend that he would also like to see Azerbaijani oil companies offer services to Iran. It has always been the other way, that is, Iran has been offering engineering services to Azerbaijan, he said, adding, I would love to see Azerbaijani companies coming to Iran. The Brexit situation blew over in a matter of weeks. And now commentators have latched back on to property, spreading fear and pessimism. But its not just commentators and analysts. Property is one of the most talked about subjects in Australia. Punters have made millions from either flipping houses, or using buy and hold strategies. Not everyone is quite so lucky, however. Long gone are the days of property prices doubling every seven years. You can no longer just buy, indiscriminately, and let time do the heavy lifting. When I say heavy lifting, Im referring to capital appreciation. 10 years ago, Aussie house prices went on a tear. Some investments ended up doubling in less than two years. But housing prices can only climb so high. There is obviously a limit to their growth ceiling. Have we hit it? I would argue no. However, it really depends upon what type of property we are referring to. All property is not created equal Location is one of the most important factors of property prices. This is why inner city flats cost more than a two-storey, three-bedroom house 30 minutes out of the city. People care about where they live more than what they live in. Living close to the CBD offers so many advantages. You are closer to the central hub of employment. Many prestigious schools are located closer to the CBD. And infrastructure is constantly improving in more populous areas. Essentially, people are not buying tiny one-bedroom flats. They are buying a lifestyle. And this is how you arrive at one-bedroom apartments, with Sydney harbour views, costing $2.42 million. Supply surpassing demand I want to make it clear: I dont subscribe to the idea that the Australian property market is in a bubble. Stating such a thing would mean believing the whole of Australia is just one market. But even within cities, there are sub markets of real estate. For simplicity, think of it like our own equities market. If the S&P/ASX 200 starts to drop, and continues to fall for an extended period of time, you might believe Aussie stocks are overvalued. However, within the ASX 200 are a couple of tech stocks, which post impressive returns over the same time period. So if youre unwilling to look closely at the opportunities available, you simply lose out on the opportunity of making money. Therefore, even if the Melbourne median housing price falls over the next five years, it doesnt mean you cant profit from it. Various suburbs within Melbourne could very well grow by 8% or more annually. I can see this as a reality in the next couple of years. While I dont think there will be a crash, a correction might happen within capital cities simply because of oversupply. Australias population continues to grow, and is expected to double in the next two generations. However, both foreign and domestic investors have been pushed out of the market. Regulators are still cracking down on banks to ensure they are not over-lending. During this financial year, construction will start on a record 220,000 new dwellings. And a record 49% of these new dwellings are expected to be multi-level units. A new report by BIS Shrapnel is predicting property prices to drop next year all because of the oversupply problem. Excluded from the expected drop were Brisbane, Canberra and Hobart. Report author Angie Zigomanis said, As these dwellings reach completion, all states with the exception of NSW will have moved into over-supply, or be experiencing an increasing over-supply. Banks are also doing their part to eliminate a significant drop in high rise apartment prices. Bankwest, owned by Commonwealth Bank of Australia [ASX:CBA], has pulled many discounted mortgage products from its range. The bank has withdrawn its Complete Variable Home Loan investor special rates. However it is investors who really need competitive rates. But now as more banks tighten up on lending their actions could also increase speculation surrounding Aussie property. Fears fuelling the fire Recently, we have been bombarded with the fear of uncertainty. The Brexit situation blew over in a matter of weeks. And now commentators have latched back on to property, spreading fear and pessimism. But its not just commentators and analysts. Regulators are constantly adding fuel to the fire. Banks would love to give out more loans to investors. However, regulators are the ones telling banks to ease up on lending. They are in fear of prices suddenly dropping, much like they did in the US. Of course, this is a legitimate fear to have. But by creating pessimism and uncertainty, their efforts might end up backfiring. Sentiment plays a bigger role in markets than wed like to admit. If youre a value investor, you dont pay attention to what the opinion of the market is. Markets might think we are in for another crash. But this will not deter value investors. They will continue to collect premium stocks at great values. But a huge difference between stocks and homes is their liquidity the ease in which you can buy and sell. It obviously takes more time to sell a home than it does to sell stocks. Youd be extremely lucky to put your house up for sale and have someone buy it on the same day. Yet this is routinely what happens with stocks. From a purely capital gains perspective, if the market turns against you, shares are much better than property. You can limit your losses with shares by simply selling out. Yet your property might end up sitting there with no buyers in sight, becoming cheaper each week. So if regulators and banks continue to spread fears, they might end up coming true, affecting many Australians net worth negatively. However, in the grand scheme of things, this is just a minor irritation. Property investors who are in it for the long run arent looking to sell. They want to accumulate their rental incomes and retire on a passive income. So while some fears may be legitimate, others are just used to sell headlines. Harje Ronngard, Junior Analyst, Money Morning PS: Most people think great deals in Aussie property are already all gone. This is the worst possible attitude to have. Why would you take financial advice from some self-proclaimed guru? Instead, why not do your own research? Take control of your financial future. But where do you start? If youre interested in investing in property, check out Australian Real Estate Game Plan, a report written by Moneys Mornings property expert Callum Newman. In the report, Callum reveals the eight-letter word that really drives property values. Its the ultimate guide to help you start your future property plan, and its free ! To get your copy of Callums report, click here. While the credit rating directly affects the cost of borrowing, its important to remember that its not something that happens very often. If you read or hear the same words over and over, it wouldnt be long before they ceased to have any meaning. After surviving one of the longest election campaigns ever and still going I know thats probably not going to come as news to you. Repeat anything enough and it easily becomes a meaningless slogan. But as empty as these slogans can sound, the unfortunate thing is that it doesnt make some of them any less real. Yes, some slogans are pure drivel. But others underline an issue that affects everybody. One phrase that has become another slogan is debt and deficit. Even typing those words is enough to cringe, as though theyre part of just another election soundbite. The problem is, though, that whoever is able to form government, its the one issue thats not going to go away. Debt and deficit are inextricably linked the only way to fund a budget deficit is by borrowing money. While a lot of economic rhetoric gets lost in theory and jargon, the money raised, and paid out, by governments is very much real. Without sufficient receipts, the unfunded spending can only be financed by debt. And if you add up the string of forecast deficits ahead regardless of whether you look at figures provided by any of the major parties you can quickly see that we have a lot more borrowing ahead. Thats pretty clear. But much less clear is how any government is going to stem the flow, particularly with the make-up of the new Senate. Credit ratings matter As one of only 10 countries in the world with the coveted AAA rating, we are no doubt the envy of many. However, in light of the stalled election result, the three main ratings agencies Standard & Poors (S&P), Moodys and Fitch have all come out to warn us about the impact of uncertainty, including that of prolonged deficits. While the credit rating directly affects the cost of borrowing, its important to remember that its not something that happens very often. If you take S&P, theyve downgraded our rating twice since 1975. First, in 1986, and then again in 1989. Similarly, Moodys downgraded it in 1986 (a few months earlier than S&P), and again in 1989 also a few months prior to S&Ps change. While the increased borrowing costs that come with a downgrade are tangible, there is also something less tangible about a downgrade. Its proof that the economy isnt working as wed like. The lack of confidence can find its way into the rest of the financial markets, as other institutions eventually pay more to fund their operations. Although Australian banks enjoy a record high deposit base 64% of the Commonwealth Banks [ASX:CBA] funding comes from its customers the rest needs to be sourced elsewhere. That is predominantly offshore, where a rating downgrade on government paper can flow into the cost of other institutions borrowings. A rating downgrade is not a knockout blow. What it is, though, is another layer of cost on top of an already struggling economy. How to get it back After those downgrades in the 80s, it was the time it took to get the higher rating reinstated that became the real issue. From when S&P downgraded Australias rating to AA in October 1989, it took until May 1999 to get the AA+ back around nine-and-a-half years. It then took until February 2003 to get back to AAA, the highest rating available. In other words, from losing the AAA rating, it took 17 years to get it back. If you take a look at the following graph, it shows the balance of the budget as a percentage of GDP. As you can see, above the horizontal zero line means a budget surplus; below is a deficit. Source: RBA and Australian Treasury Click to open new window What is immediately apparent is that surpluses arent easy to achieve. There is a lot more pain below the line than the joy of the surpluses above it. Also apparent is the years when these two upgrades took place, which Ive circled in red. The budget was well in surplus before the ratings agencies reinstated our AAA rating. If you look to the right side of the graph, youll see a vertical line that represents the 2016/17 budget position. This is where the kick-off really begins. And it brings me back to the earlier point about the Senate. The budget needs to get through both Houses of Parliament before the numbers can become real. And of course, the bars to the right of that line are projections. Its going to take a lot of political manoeuvring and clout to get the budget anywhere near these. But for the real drivers of the economy employment and wages growth its the lack of certainty that will impact business confidence. Businesses will try and maintain profits by cost-cutting (not revenue growth), which will do nothing to promote employment growth. If one of the agencies does put Australia on negative watch, its not a forgone conclusion that a downgrade will follow. It might take up to 18 months for this to happen. But without a meaningful cut in spending, a downgrade could become inevitable. Regards, Matt Hibbard, Editor, Total Income From the Port Phillip Publishing Library Special Report: The greatest mind of the modern era is about to embark on his greatest and most ambitious project yet A revolutionary, digital network designed to beam superfast internet to every inch of the planetfrom space! And for you as an investor it could mark the start of an epic 14-fold profit runif youre willing to take a calculated risk with a small portion of your capital(more) Ashgabat, Turkmenistan, July 11 By Huseyn Hasanov Trend: Chinese business circles have expressed readiness to expand their presence in the promising market of Turkmenistan, read a message from Turkmen government. The issue was discussed by representatives of China National Petroleum Corporation (CNPC) and Turkmenistans President Gurbanguly Berdimuhamedov. The CNPC representatives reaffirmed their interest in strengthening the fruitful cooperation with Turkmenistan. Berdimuhamedov emphasized the progressive dynamics of relations with CNPC, which is an important partner of Turkmenistan in the fuel and energy sector. The project for construction of the Turkmenistan-China gas pipeline can serve as a striking example of this. This transnational energy bridge, which is meant to become an effective factor of the sustainable geopolitical and economic development in Asia, can be considered as the real contribution to ensuring the regional and global energy security, said Turkmen state-run news agency Dovlet Khabarlary. CNPC participates in the development of the Bagtyyarlyk contract area and the Galkynysh field in Turkmenistan. Tehran, Iran, July 11 By Mehdi Sepahvand Trend: Irans Minister of Industry, Mine and Trade Mohammad Reza Nematzadeh says that there is little hope that a change will happen in the burdensome taxes that Iranian industrialists pay to the Social Security Organization. The issue is a thing of law and changing it is not so easy, he told Trend in Tehran on the sidelines of a conference marking Irans Industry Day. According to the law, an amount equal to 30 percent of the wages paid by Iranian enterprises has to be paid to the Social Security Organization for insurance. Many doubt that the insurance should cost so much. Nematzadeh said a systemic change is needed to address the issue. His comments come as industrialists are anticipating a meeting with the Social Security Organization and its parent Ministry of Labor. The meeting was promised by officials of the Ministry of Industry, Mine and Trade, as well as the Parliament due to the repeated objections of the industrialists over the burden that the taxation has imposed on them. Recently, Chairman of Razavi Khorasan Textile Association Ebrahim Dehqan has said there are other insurance companies that would offer the same insurance for half of what the Social Security Organization charges. Meanwhile, one of the industrialists has recently said the heavy taxation deprived him and his likes in the industrial sector of the chance to allocate any budget to research and development programs and run their industries. In 2014, the Iranian parliament said in a report that corruption was widespread in the Social Security Organization in 2011-2013, when the organization was headed by Saeed Mortazavi. The 84-page report by the Special Parliamentary Probe Committee included 37 cases of bribery and illegal handouts. One such case entailed 1.335 billion rials ($43,266) taken from the internal budget of the organization after being authorized by the head of the organization, and was given to 37 MPs [report included code numbers but no actual names] as gift cards. The UK government has announced more than 3 billion partnership deals with the US aircraft manufacturer Boeing (BA) as the week-long Farnborough International Airshow began today. The long-term partnership with Boeing will create 2,000 new jobs in the UK and increase global supply chain opportunities for UK aerospace companies, according to a statement published today on the Ministry of Defence website. It is reported that Boeing plans to make the UK its European base for training, maintenance, repair and overhaul across its defence fixed-wing and rotary platforms, and its UK base for defence exports to Europe and the Middle East. Whatever uncertainties our country faces, I want the message to go out loud and clear: the UK will continue to lead the world in both civil and defence aerospace, David Cameron, the UK Prime Minister said. Part of the deal involves purchases of nine new marine patrol planes from Boeing. Boeing will also build a new 100 million facility for the planes at Royal Air Force Lossiemouth in Scotland and will deliver 50 attack helicopters to the British Army. Cameron said the partnership showed that "the UK is open for business, and attractive for investment. Boeings Shares Price Rise Shares of Boeing were up 1.2% to 131.66p at 4pm in London time on Monday. The stock is down 11.2% year to date. It is currently rated as a three-star stock by Morningstar analysts, meaning the stock is traded at fair value. It is reported that Boeing said today at the Farnborough Airshow that the world's airlines will need to build 39,620 new aircraft worth a staggering $5.9 trillion over the next 20 years. Boeing recently revealed more details about its midmarket plane, which we think may be launched at Farnborough. The new jet would respond to threats from the Airbus A321neo and sit between the 737-9 MAX and the 787-8. Were sceptical of the market for this jet, though. An all-new midmarket program would weigh on Boeings shares and prompt us to revisit our fair value estimate, warned Morningstar analyst Chris Higgins. Higgins also said that a backlog of more than 5,700 commercial aircraft provides growth visibility in Boeing, as these aircraft should be successfully delivered to customers, thanks to strong air travel demand at or above the historical level of 5% annual growth. Deals for Rolls-Royce, Virgin Atlantic and Airbus More aerospace deals are expected to come this week at the Farnborough Air Show, one of the worlds most important commercial air shows. Morningstar equity analysts are attending the Farnborough International Airshow this week. They anticipate lots of discussion of the production ramp-up challenge for aircraft and engine manufacturers, as well as their suppliers. Automation and efficiency improvements remain a hot topic. Moreover, all these new aircraft may lead to opportunities around the connected aircraft, and analysts expect companies to highlight the growth potential in this market. Besides Boeings partnership with the UK government, Rolls-Royce (RR.), Airbus (AIR) and Virgin Atlantic today also announced major orders at Farnborough Airshow. Rolls-Royce, the UK aerospace engines suppliers, said today it would acquire the outstanding 53.1% shareholdings in Spanish aerospace components company, Industria de Turbo Propulsores (ITP), owned by SENER Grupo de Ingenieria for 720 million. Rolls-Royce said the deal will strengthen its position on large engine programmes in its civil aerospace operations by growing aftermarket revenue and will boost the groups defence aerospace business. Rolls-Royce has secured a market-leading position in wide-body aircraft, as its market share has increased from 8% to 30% the past 20 years, and it is the sole engine provider for the A350-XWB platform, Morningstar analyst Jeffrey Vonk said. Aerospace and defence company Airbus announced it had secured a $4.4billion deal with airline Virgin Atlantic. The airline is buying 12 of Airbuss A350-1000 aircrafts, of which those aircrafts wings are made in the UK and the plane will be powered by Rolls-Royce engines. Airbus commercial aircraft represents nearly 70% of sales and has increased market share over the years, Morningstar analyst Keith Schoonmaker said. During the next five years we expect annual revenue growth to average roughly 6.5%. Driving this forecast is continued strong commercial deliveries at Airbus, as strength in single-aisle aircraft orders leads Airbus to raise production rates. Rolls-Royce share was down 1.6% to 723p while Airbus Groups share price rose 2.8% to 50.38p at 4pm London time on Monday. Rolls-Royce is rated as a three-star fair-valued stock by Morningstar analysts. Airbus Group is rated by Morningstar analysts with a four-star rating, indicating it is currently an undervalued stock. Tehran, Iran, July 11 By Mehdi Sepahvand Trend: Today there are very good conditions for improving economic and trade relations between Iran and Azerbaijan, Iranian Ambassador to Baku Mohsen Pakaeen said. Addressing a trilateral business meeting between Iran, Azerbaijan, and Turkmenistan in Tehran on July 10, Pakaeen said the present Iranian administrations policy of improving international relations, Tehrans pursuit of win-win situations, and a political will shared by Iran and Azerbaijan have improved the chances of growing economic ties between the two neighboring countries, Trend correspondent reported. After the Ukraine crisis, tensions grew between Russia and Europe, which increased Irans chances for finding good agricultural and food markets in Azerbaijan as well as Russia, he noted. The Ambassador went on to say that Iran and Azerbaijan can cooperate in three areas of transit, trade, and energy. Both governments are following a policy to fortify their economies. Here bilateral trade can be a useful tool. He added that thanks to efforts by Tehran as well as Azerbaijans Ambassador to Iran Bunyad Huseynov, now visa issuance has become much easier so much so that Iranian and Azeri businessmen can receive their visas in one day. There is also a bilateral agreement to remove tolls for commercial trucks, according to which 5,000 drivers on each side receive special coupons to bypass tolls, he noted. He also pointed to tourism as another lucrative industry to be developed between Iran and Azerbaijan. Each year about one million Azerbaijanians visit Iran. Each of them spends between $500 and $1,000 here, he said. Commenting on transit, Pakaeen said as Iran and Azerbaijan are working on the North-South Corridor, the two countries can transfer goods that have been dispatched from India to Georgia, Russia, and even Finland. "Participating in this list isn't for every broker but the two or three deals I earn as a result of it makes it worth it," Brennan Wood of Mortgage Alliance Commercial Canada -- and perennial contender on CMP's Top Ten Commercial Brokers told MortgageBrokerNews.ca following his first inclusion in the list. Click here to be considered for the exclusive list of Canadas top commercial brokers. It only takes five minutes to submit and keep in mind that all of the fields need to be completed to be considered.CMPs list is an opportunity for commercial brokers to brand themselves as one of the mortgage industrys top-performing brokers, with the magazine once again compiling a list according to individual broker volume for 2015 (January to December).Please break down your sales figures by the relevant network or lender. Also include their contact names and telephone numbers. CMP will then contact the above to confirm your figures.The names of all CMP Top Commercial Brokers will be published in the August issue of CMP magazine, profiling a number of those who made the list.Know a broker who should be recognized? Nominate them today Restrictions to residential development at the municipal level have led to supply shortages that have aggravated the blistering pace of price growth in Canadas real estate markets, according to the results of a report released on Thursday (July 7).The study by the Fraser Institutetitled The Impact of Land-Use Regulation on Housing Supply in Canadaanalyzed 68 municipalities in the Vancouver, Toronto, and Calgary markets, as reported by the Financial Posts Garry Marr.We looked at the amount of reduction in supply and any reduction leads to an increase in costs, study co-author and Fraser Institute senior director Kenneth Green said.Average prices of detached homes in Vancouver increased by nearly 39 per cent on a year-over-year basis last month, while Toronto experienced a 20 per cent year-over-year growth in prices in this segment. Calgary prices, on the other hand, continued to decline amid a struggling economy.The study found that in almost all cases, developers tend to pass by an area or region if the existing regulations make it too difficult or costly to build, which has supposedly already happened to Vancouver.If the city of Vancouver were regulated similarly to its suburbs, it might have seen additional growth in its highly desirable downtown and west-side neighbourhoods, according to the report.The regulations and permits have actually deterred building where they are most desirable and where governments actually want to see most developed, the dense urban neighbourhoods, Green added.In particular, the study noted that a 6-month approval timeline for residential development will have the same supply effects as cutting average neighbourhood growth by 50 per cent.Effective solutions to supply-side issues have so far not materialized in the countrys hottest housing markets, with popular proposals such as implementing added taxes and limiting foreign ownership solely focusing on the demand aspect. The Toronto Real Estate Board is appealing the decision by the Competition Tribunal that would force the board to release its sold and pending sold data, citing privacy concerns. "This order provides little protection for the consumer and opens the door to misuse and abuse of their sensitive personal financial information and the content of an Agreement of Purchase and Sale contract that has not closed. The consumer has privacy rights and only the consumer should be the one to determine, with clear understanding, when and where their personal financial information is disclosed," said John DiMichele, TREB's chief executive officer. The Competition Tribunal ordered TREB to release its data to the public in late June. However, according to TREB, privacy laws stipulate consent has to be given prior to the release of personal financial information, including sold prices for homes. "The issue for TREB is about duty of care and professional responsibility. TREB has grown to more than 45,000 Members across the GTA and does not discriminate between business models used by its Members. In fact, we welcome and support all innovation and business models, DiMichele said. Make no mistake, this decision should raise concern for all consumers about informed consumer consent and disclosure of confidential content within an Agreement of Purchase and Sale contract that has not closed. TREB believes the case law is clear and the Digital Privacy Act and Personal Information Protection and Electronic Documents Act (PIPEDA) are very specific on consents. TREB believes it's the consumer's information and they have a right to choose. Choice and consent with respect to personal financial information does not impede innovation." Baku, Azerbaijan, July 12 By Farhad Daneshvar Trend: An Iranian trade delegation has arrived in Azerbaijan's Nakhchivan Autonomous Republic on a two-day visit to discuss expansion of bilateral ties. Mahmoud Reza Sharifikhah, head of the Chamber of Cooperatives of Irans West Azerbaijan Province, is heading the provincial delegation, the Consulate General of the Islamic Republic of Iran to Nakhchivan announced July 11. During the visit, the representatives of several companies from Irans West Azerbaijan Province will study business opportunities in various fields, including construction, road safety, petrochemicals, food and packaging industries in Nakhchivan. At a meeting on the first day of the visit, Irans Consul General to Nakhchivan Mansour Airom briefed the delegation about existing infrastructure and grounds for cooperation in Nakhchivan Autonomous Republic. The members of the delegation are also expected to meet with their counterparts in Nakhchivan. The delegation members will also visit business and industrial centers in Nakhcivan Autonomous Republic. The oil industry is fighting a generation gap. Already contending with a global price slump, U.S. explorers are also grappling with the demographic hangover of the last great industry downturn in the 1980s, when scores of drillers went out of business. That rout drove a generation away from the business, leaving a shortage of workers in their late 30s to 50s today just as companies try to replace the Baby Boomers who make up much of senior management. What the industry calls the Great Crew Change -- the looming retirement of thousands of older workers -- has companies trying to plug the gap by training younger employees, recruiting outside the industry and enticing veterans to hang on longer. Its also forced drillers into a delicate balancing act amid the current downturn, as they lay off thousands but try to hold on to hard-to-replace scientists and engineers. Everybody thats going through the process of downsizing their business right now is faced with this extra complication, said Robert Sullivan, a management consultant for New York-based AlixPartners. Decisions that get made right now on how you right-size the company are going to have a huge impact when the market turns. Employers have spent years trying to prepare. Baker Hughes Inc., the oilfield services company, runs a mentoring program for young engineers. Exxon Mobil Corp. has spent about $2.6 million on workforce training initiatives in the Gulf Coast over the last decade, Bill Holbrook, a company spokesman, said. Its also sponsored ad campaigns to entice more Americans into engineering careers. Houston-based Apache Corp. has been bracing for the Great Crew Change for 15 years, Chief Executive Officer John Christmann said by phone. The driller has asked some senior staff to extend their careers past retirement age. It also runs a three-year professional development program for new hires designed to cement their ties to the business. About half the companys technical staff are 36 or younger; another third are over 50. Theres a big gap from 1985 to 2000 when not very many people entered this business, said Christmann, 50. While Apache is prepared for the transition, the industry as a whole is reeling a little bit because we dont have a lot of those managers, he said. The wave of retirements comes as the oil sector is already bleeding talent. Worldwide, oil and natural gas companies have cut more than 350,000 jobs since crude prices started to fall in 2014, according to a May report by Houston-based consultant Graves & Co. The oil, natural gas and petrochemical industries employed 1.4 million people last year, according to the American Petroleum Institute. Those companies will need to hire almost 30,000 workers annually over the next two decades to replace departing and retiring employees, the trade group said in March. The challenge is partly the residue of the industry meltdown in the 1980s, when a glut of oil sent prices tumbling below $20, about where they stayed on average for the next 15 years. More than 6,000 U.S. companies disappeared. By the early 90s, oil looked like a lousy career path for new graduates in the cohort known as Generation X -- roughly, those born between the mid-1960s and mid-1980s. There was a fairly significant amount of time when there wasnt nearly as much recruiting as there should have been, said Robert Gruman, a partner at PricewaterhouseCoopers who advises companies on hiring. Now, there is a gap in leadership and management ranks across the industry as people retire, he said. Companies today are trying to be more judicious with layoffs, Gruman said, keeping on seasoned technical employees who may be hard to replace even if their paychecks are among the biggest. Newfield Exploration Co., a driller based in The Woodlands, is down about 450 positions since 2012. Still, weve been very careful at trying not to cut too much of our technical talent, said Cindy Hassler, a spokeswoman. We still have significant operations and you are going to have to have talent to operate those when things turn around. Millennial-age workers have also benefited. Companies have expanded benefits thought to attract younger employees, offering more flexible work schedules, on-site gyms or stripped-down medical plans with lower premiums. At the other end of the spectrum are staff like Dave Monk, Apaches 61-year-old director of geophysics. Over a 36-year career, Monk has traveled the world helping companies vet petroleum reserves from Suriname to the North Sea. Now, training the next generation is a big part of his job as well. Until last year, Monk oversaw a team of more than a dozen scientists. He relinquished day-to-day leadership in August but still travels the globe working particularly knotty geological challenges along with younger colleagues. Im trying to pass along some of the knowledge that Ive gained over the years, said Monk, who joined Apache in 2000. Right now, there just arent enough people in that demographic who are ready to step in. Exxon Mobil Corp. is considering buying stakes in natural gas discoveries off Mozambique made by Anadarko Petroleum Corp. and Eni SpA, potentially giving a tax windfall to the African nation grappling with a deepening debt crisis, according to two people with knowledge of the matter. Acquiring a share of Anadarkos Area 1 in the Rovuma Basin off Mozambiques north coast could generate capital gains tax of about $1.3 billion for the government, one of the people said, asking not to be identified because the matter isnt public. Exxon, the worlds largest oil and gas company, is also interested in Enis Area 4, the people said. Three years ago, China National Petroleum Corp. purchased 20 percent of Area 4 for $4.2 billion. Should Exxon decide to invest in the fields, it would potentially accelerate development in what could be one of the worlds largest liquefied natural gas projects. The tax revenue generated by the transaction could also ease the southern African countrys looming credit crunch. Mozambique is struggling to balance its books after $1.4 billion of hidden debt was disclosed in April, prompting the World Bank and other donors to suspend aid. It would make a lot of sense to bring Exxon into the project, Anish Kapadia, a London-based analyst at Tudor Pickering Holt & Co., said by e-mail. The company is a world class LNG operator that has experience in developing similar scale LNG in Qatar. Growth Boost We dont comment on rumors or speculation, Lauren Kerr, a spokeswoman for Exxon, said by e-mail. Anadarko and Eni declined to comment. Eni CEO Claudio Descalzi said last month that the company is in talks on selling a stake in its Mozambique discovery and expects to reach a final investment decision on an LNG project this year. Exxon is already focused on Mozambique after winning three exploration licenses in October for offshore blocks to the south of the Anadarko and Eni discoveries. The company also has a working interest in Statoils Block 2 in Tanzania, north of the Rovuma Basin. Right Price Mozambiques Minister of Natural Resources and Energy Pedro Couto declined to comment on whether Exxon was interested in taking a stake in the Anadarko and Eni discoveries. A supermajor coming in to the blocks with experience developing and operating projects of the scale of Mozambique LNG has been expected for a while now, said Martin Kelly, director for sub-Saharan Africa research at Wood Mackenzie. But any deal will have to be at the right price for the right equity to go ahead. While the vast gas discoveries have the potential to more than triple Mozambiques economic growth by 2021, in the short term the nations debt is at a high risk of distress, according to the International Monetary Fund. Government bond yields jumped to a record 18.94 percent last week and the IMF wants an international and independent audit of state-owned entities whose debt Mozambique failed to disclose to investors when arranging to convert another corporate loan into sovereign credit. Anadarko and Eni in December agreed on a plan to develop adjoining areas in the Rovuma basin, targeting a combined 24 trillion cubic feet of gas. Anadarko has yet to make a final investment decision on its $15 billion LNG project in Mozambique. The company appointed John Bretz as interim country manager this month after his predecessor retired. New superintendent must stress discipline Ryder Warrens resignation has given Midland ISD the opportunity for evaluation and change for a district that is struggling with performance. Many Midland citizens are quick to blame Dr. Warren for the issues now facing our schools. Dr. Warren is a respected educator who led MISD through difficult times and cannot shoulder the responsibility for the schools poor performances. Saying all of that, it is time for MISD to make some drastic changes to meet the needs of our students. Hiring a new superintendent gives us our first opportunity for change. The old saying doing the same thing expecting different results has to be applied. Our new superintendent has to be someone who has experience leading low-performing schools toward a new path. We do not need a superintendent that was successful in a small district looking for advancement, or an older superintendent hoping to increase their three-year average before retirement. Experience, knowledge and success must be used when hiring our new superintendent so that we can move the district on another path. Our new superintendent must stress that discipline is the first priority for our district. Right now our teachers are struggling with instruction because when students are removed from class for misbehaving there may not be a consequence for the interruption of learning that occurs. We must take the philosophy that no child has the right to disrupt other students learning. Without strong discipline, learning cannot occur. Principals and teachers need to know that Central Office is on their side and will be supportive and not looking to place blame when -- not if -- a parent complains. Our new superintendent has to be a strong disciplinarian that understands the role discipline plays in successful schools. My prayer is that Midlanders will demand a different route for our administration so that our schools can once again be successful. The job will not be easy, but if our community takes the philosophy of all hands on deck, our schools will once again soar. Diane Upchurch Law enforcement needs public support The shootings in Dallas, especially the way the media and the politicians have followed them up, point out the increasing need for local law enforcement to have the support of the citizens it protects. Even including the 1960s, the police have not been under this degree of unfavorable coverage in the press and mistreatment by politicians. Consider what the difference would be if higher levels of government -- oh, like the federal -- came in and took control of local police and sheriffs departments. Our local law enforcement consists of men and women who live in the same towns we do, go to the same churches we do, shop where we do and often live right next to us. Our law enforcement personnel know us, and take that into consideration as much as they can when performing their duties. In places like Baltimore and Ferguson, Missouri, the U.S. Justice Department has gone in and completely redone local procedures to the point that the police couldnt do their job if they wanted to. Having to fill out a multi-page report for the simplest stop has led to the end of police activity everywhere the feds have stuck their noses. Here in Midland, we can be unfailingly proud of our police and sheriffs deputies. I base that on personal experience. Our people are professional, polite and careful. Not every interaction with law enforcement is going to have a pleasant outcome, but thats the nature of the job. Our police knowingly live on the edge of losing life and/or limb continually. We have a chapter of the Support Your Local Police Committee here in Midland. When you see a sign or notice concerning that, please consider looking into it further. There is a concerted national effort to get rid of local control of law enforcement just like theyve done with education, health care and a vast number of other areas that used to be known to be outside the delegated limits of government. This is a serious issue, and every one of us needs to be aware that our local law enforcement people, who spend their lives trying to protect us, their neighbors, are in grave danger and need our support more than ever before in our history. Dr. Mark Cox Comey decision is example of double standard With regard to Dana Milbanks column on Thursday, I read Milbanks column almost every day, and as a white male, Protestant that clings to my guns (Second Amendment), religion (First Amendment), I rather enjoyed this mornings, for change. As you might imagine, given my conservative leanings, I am very disappointed by FBI Director Comeys decision, regarding Ms. Clintons emails. I am, however, not surprised. I really felt all along that Ms. Clinton would beat the rap. It is the Clinton way -- one set of rules for them, and another for the little people. It was confirmed for me, when AG Lynch said she expected to follow the FBIs decision regarding the matter. She probably already knew when she made that statement. I would imagine that Director Comey filled her in, she is his boss, or did Bill let her know when he visited with her on the tarmac in Phoenix? Director Comey did a good job of refuting nearly every single one of Hillarys assertions that she did nothing wrong, and then handed us the idea that no reasonable prosecutor would bring charges. Of course I think there are any number of prosecutors that would bring a case like this, but now if they did, they would be unreasonable. One of Mr. Milbanks colleagues, Jennifer Rubin at the Washington Post, also wrote a very good article this morning relating to Ms. Clintons email scandal. Ms. Rubin even cited a statute, that for all intents and purposes, any reasonable person would have thought, should have secured an indictment for Hillary, Section 793(f) of title 18. As far as intent, hitting the delete button is intent enough, in my view. I am not an attorney, but this is a blatant -- no, a glaring -- example of the double standard, and corruption that is rampant this administration. And, shortly after Director Comey announced his decision, Hillary stepped on to Air Force One with Obama and headed off to North Carolina to a campaign rally, where she spoke from a lectern that displayed the presidential seal, and I might add, at taxpayer expense. Gary Moore Letters policy -- There is a 350-word limit; candidate letters have a 200-word limit. -- Letters must be submitted to letters@mrt.com. -- Deadline is noon Thursdays for the following Sunday. -- Reporter-Telegram policy limits individuals to one letter in a 30-day period. -- Letter-writers should include phone number and address. Failure to do so could delay letters publication. People will handle in different ways the killing of five police officers and attempted murder of numerous others in Dallas. Most of us will be shocked, angry, sad and in disbelief. Some will call for revenge. A very small minority will feel gratified, we are sorry to say. The shootings in downtown Dallas are the worst of America. In retrospect it wasnt surprising that in this age of information overload and demand for immediate gratification someone would commit this type of heinous, hate crime. Agenda-driven media, specifically social media, fed the beast, and the result was sick people who spread their brand of racially driven terror on a community during what was reported as a mostly peaceful demonstration. We weep for our fellow Texans who were slain on Thursday evening. We mourn for a Dallas community that will need all of our prayers to bounce back from the actions of mad people. We say a prayer for our law enforcement personnel, who work tirelessly to protect us. The misguided and most divisive in America want to put a bulls eye on their backs for the alleged actions of a few. We prefer to offer these officers a pat on the back for the service we experience every day. On Tuesday, the Midland Police Department is hosting Coffee with a Cop at the Starbucks coffee shop inside the Barnes and Noble on Loop 250. We hope the residents of Midland flood the store to offer a thank you for their service. We hope those who may have questions about the department use the opportunity to have a civil discussion about what police and the community can do better. Our desire is that Midlanders use opportunities like Coffee with a Cop to have a conversation. Constructive dialogue, in our view, can go a long way to mending fences or making strong fences even stronger. Lastly, we know there are some who are talking about the shootings in Dallas as a step toward civil war in our country. They say events -- such as the execution of five police officers -- will make the rest of us less safe. They call for people to arm themselves because police wont be so quick to react when our residents are in need. In our view, the type of fear they spread is no more productive than that being spread by those who believe police are the problem. We pray for all those who have surrendered to their fears. If we are to be better in the aftermath of the Dallas shootings, we need everyone to be united. Dallas, our heart goes out to you. To the law enforcement community, we are sorry for your loss. To those who are still hopeful for a better America, we stand by your side and pray that tomorrow will be better. Baku, Azerbaijan, July 11 By Khalid Kazimov Trend: Irans Foreign Ministry has dismissed a recent communique issued by the North Atlantic Treaty Organization (NATO) that voiced concerns over Tehrans missile program. Bahram Qasemi, spokesman of the foreign ministry, has described the statement as the repetition of the past baseless claims, IRNA news agency reported. The heads of state and government of the member countries of the North Atlantic Council stated in Warsaw July 9 that NATO still remains seriously concerned by the development of Irans ballistic missile program and continuing missile tests that are inconsistent with UN Security Council Resolution (UNSCR) 2231. Dismissing the concerns, Qasemi said Irans missile program does not breach UNSCR 2231. Further denying the allegations that suggest Irans missiles have been designed with the purpose of carrying nuclear warheads, he added the countrys missile capabilities are based on legitimate defense programs. The spokesperson also rejected that Irans missile program violates the nuclear deal reached between the Islamic Republic and the worlds major powers last year. While on a tour around Europe, members of the Red Hot Chili Peppers were stopped by the custom officials at an airport in Belarus. According to the band's bassist, Flea, the officials thought they were Metallica. Rolling Stone reported that the Red Hot Chili Peppers were traveling from Kiev, Ukraine to Moscow, Russia for the last leg of their tour in Europe when they passed through Belarus on Saturday. Shortly after arriving at the Belarusian airport, they were invited inside the facility's customs' office. Through his Instagram account, Flea noted that he and his bandmates were instantly greeted by the officials who also happen to be big music fans. However, instead of recognizing them as the artists behind the hits "Give it Away," "Under the Bridge" and "Californication," the customs officials actually thought that they were members of Metallica. Flea and his bandmates, Anthony Kiedis, Josh Klinghoffer and Chad Smith, tried to explain that they are actually the Red Hot Chili Peppers but the officials still treated them as the members of the iconic metal band. They even handed out various Metallica memorabilia such as photos, album inserts and DVD covers for the band to sign. We were called into customs officials office at an airport in Belarus and they asked us to sign a bunch of Metallica cd's and photos. We tried to explain to them that we weren't Metallica but they insisted that we sign anyway. They had the power. Well I did play fight fire with with with Metallica once. I love Metallica anyways but I'm no Robert Trujillo. A photo posted by @sllollaryee on Jul 9, 2016 at 2:20pm PDT Despite their explanation of who they are, the Red Hot Chili Peppers still agreed to give their autographs to the customs officials. "We tried to explain to them that we weren't Metallica but they insisted that we sign anyway," Flea posted. "They had the power. Well I did play "Fight Fire with Fire" with Metallica once. I love Metallica anyways but I'm no Robert Trujillo." The European leg of the Red Hot Chili Peppers' tour is part of the band's promotion for their latest album, The Getaway, Diffuser reported. They are also expected to perform live in Canada, Japan and South Korea this week. As for fans in the U.S., they will be able to see the band live on July 30 as a headliner at Lollapalooza. The event, which will be held from July 28 to 31 at the Grant Park in Chicago, Illinois, will also feature various bands and artists from different genres such as Radiohead, Jane's Addiction, Martin Garrix, Lana Del Rey and Ellie Goulding. 2015 MusicTimes.com All rights reserved. Do not reproduce without permission. Baku, Azerbaijan, July 11 By Khalid Kazimov Trend: Irans Foreign Minister Mohammad Javad Zarif will leave Tehran for Astana, Kazakhstan, to attend a meeting of the foreign ministers of the Caspian littoral states July 13, IRNA news agency reported. The foreign ministers of Caspian littoral states - Iran, Azerbaijan, Kazakhstan, Turkmenistan and Russia will discuss the legal status of the Caspian Sea in Astana. Earlier, this week an Iranian working group arrived in Astana to participate in the expert-level meetings held before the foreign ministers convene. The five Caspian states signed a Framework Convention for Protection of Marine Environment of the Caspian Sea in November 2003. Russia and Kazakhstan signed an agreement on delimitation of the northern part of the Caspian seabed in order to exercise sovereign rights for subsoil use in July 1998. The two countries signed a protocol to the agreement in May 2002. Kazakhstan and Azerbaijan signed an agreement on delimitation of the Caspian seabed and a protocol to it on Nov. 29, 2001 and Feb. 27, 2003, respectively. Additionally, Kazakhstan, Azerbaijan and Russia signed an agreement on the delimitation of adjacent sections of the Caspian Sea on May 14, 2003. Some government officials and politicians are ... Israel's attorney-general has ordered an inquiry into "matters" related to Prime Minister Benjamin Netanyahu, the justice ministry said on Sunday, without saying what they were, Reuters reported. The terse statement followed days of Israeli media speculation about possible official suspicions of misconduct by Netanyahu or by people close to him. Through his lawyer, Netanyahu - now serving his fourth term as prime minister - has denied any wrongdoing. Attorney-General Avichai Mandelblit's decision followed "the receipt of information about matters that relate, inter alia, to the prime minister" and which he has discussed with senior Israeli police and prosecutors, the statement said. "It should be emphasised that this is an inquiry and that no criminal investigation has been launched regarding the prime minister," it said. An inquiry can potentially be a preliminary stage to a criminal investigation. The statement described the media reports on the case as "inaccurate, to say the least", but said Mandelblit could not comment further at this stage. First elected to Israel's top office two decades ago, Netanyahu has weathered several scandals, including a police investigation and state audits into his family's spending. China is set to make good on a promise to invest two billion euros in the European Union's new infrastructure fund at a summit in Beijing on Wednesday, officials say, a gesture aimed partly at easing tensions over other issues, Reuters reported. From massive Chinese steel exports to Beijing's militarization of islands in the South China Sea, the EU is nervous about the activities of its second-largest trading partner. But Brussels can claim one small victory in persuading China to sink money into an EU-controlled fund over which Beijing has no direct say. "China has a lot of liquidity and needs to invest it somewhere," one senior EU official said, asking not to be named. "We've made it quite clear this is a European fund over which China has no sway, but Chinese banks can expect to see returns on their loans." At the EU's annual summit with China, China's premier Li Keqiang will make an initial investment of about two billion euros ($2.21 billion) in a financing vehicle linked to the European Union's 315-billion-euro European Fund for Strategic Investments, officials familiar with the talks told Reuters. The deal that was first discussed a year ago should be a success for European Commission President Jean-Claude Juncker, who will travel to Beijing with European Council President Donald Tusk. Juncker faced scepticism in 2014 when he proposed the fund because EU governments are putting in only seed money. While China already invests billions of euros in Europe, Beijing hopes that by putting money into a European Union-controlled infrastructure fund, it can avoid past pitfalls of operating alone in Europe and still generate strong returns as China seeks to reduce its reliance on massive exports. The investment will also mark a deepening of Sino-EU economic ties, after European governments signed up to the Chinese-led Asian Infrastructure Investment Bank (AIIB), despite Washington's displeasure, part of China's so-called chequebook diplomacy to win greater influence. EU officials hope the sum will quickly grow toward the 10 billion-euro mark, a prospect that relies on European support for China's westward infrastructure drive -- the "One Belt, One Road" initiative that involves building major energy and communications links across Central, West and South Asia to as far as Greece. Two other EU officials said they expect the investment pledge to go ahead because Europe's fund is backed by the EU budget and the European Investment Bank (EIB). The fund has promised to pick up the bill of any projects that go bust in the early stages, acting as a so-called first-loss guarantor. With that backing, the bloc is relying mainly on private investors and development banks to fund selected projects that might otherwise be considered too risky for funding by commercial lenders. Baku, Azerbaijan, July 11 By Anakhanum Idayatova - Trend: American journalist Greg Burke, who is currently vice director of the Vatican press office, was appointed the new director of Vatican press office, Radio Vatican reported July 11. Burke will replace Fr. Federico Lombardi who served in this position for 10 years. Spanish journalist from Madrid, Paloma Garcia Ovejero will be the first woman to hold the position of the press offices vice director when she takes over from Burke. Prior to his appointment to the press offices number two position in December 2015, Burke was Fox News Rome correspondent for 15 years before he was called to the Holy See Secretariat of State in 2012 as communications advisor. --- Follow the author on Twitter: @Anahanum President Joe Biden View Photos Vice President Joe Biden filled in for President Obama to deliver the weekly address. Biden was Mondays KVML Newsmaker of the Day. Here are his words: Although I didnt know the five police officers who were killed, or the seven who were wounded in Dallas this week I knew them. They were the folks I grew up with: The boy with the most courage and the most compassion; the man with a brave heart and a generous soul, whose words were always encouraging; the son who made his mother proud every time he turned and smiled at her; and the friend who you could always count on. Being a cop wasnt just what they did. It was who they werelike every officer who joined for essentially the same reason. There was something about them that made them think they could help, that they should serve, that they had a duty. So when an assassins bullet targeted the police force in Dallas, it touched the soul of the nation. Those killed and wounded were protecting the safety of those who were peacefully protesting against racial injustices in the criminal justice system. Those who were marching against the kind of shocking images we saw in St. Paul and Baton Rougeand have seen too often elsewhereof too many black lives lost. I believe the Dallas Police Department is one of the finest in the nationand this incredibly diverse city can bridge any divide. To paraphrase Dallas Mayor Mike Rawlings, let us use our words carefully. Let us act with unity, not division. As Dallas Police Chief David Brownone of the leading chiefs in Americasaid, There are no words to describe the atrocity that occurred to our city, all I know is that this must stop, this divisiveness between our police and our citizens. As Americans, we are wounded by all of these deaths. Its on all of us to stand up, to speak out about disparities in our criminal justice systemjust as its on all of us to stand up for the police who protect us in our communities every day. In the days and weeks ahead, well continue offering our thoughts and prayers to provide comfort to the broken-hearted families. But they will only be redeemed by the courage of our actions that honor their memories. So while were being tested, we cant be pulled apart. We are America, with bonds that hold us together. We endure, we persevere, we overcome, we stand together. The Newsmaker of the Day is heard every weekday morning on AM 1450 and FM 102.7 KVML at 6:45, 7:45 and 8:45 AM. Jamestown, CA An inmate at the Sierra Conservation Center Baseline Camp, outside of Jamestown, walked away last night, and state prison officials are asking for help in locating the man. 34-year-old Daniel Perez was last seen in his dorm at 10:30pm Sunday during a routine security check. According to SCC Spokesperson Christopher Acosta, camp staff searched the inmate dormitory area, surrounding buildings and the camp perimeter after he was discovered missing. Several local law enforcement agencies and the California Highway Patrol have been notified and are assisting in the search for Perez. Apprehension efforts are continuing. Perez is considered a minimum security inmate. He was serving a 12 year term for burglary and was scheduled to be released in November of 2019. Anyone with information on his whereabouts should call the Baseline Conservation Camp Commander at 209-984-4464. The Baseline Conservation Camp is located approximately five miles from the Sierra Conservation Center. Chinese travelers are drawn to taking cruises because of gambling. (Photo : Getty Images) The chief executive officer of Royal Caribbean, Michael Bayley, said that it is highly possible that China will hold the largest share in the market of international luxury cruise ships. He explained that currently, China is already occupying second place in terms of number of cruise patrons. The top grosser is the United States. There are 1.5 million Chinese taking cruises every year. Advertisement The CEO explained that the rise in China's middle class will push China to dominate the luxury cruise market. He said, "When you think about the different population, the size of the growing middle class, at some point in the future, we think there will be as many Chinese taking cruises, and we would like to be the major one." Royal Caribbean is serving about a million passengers annually. The luxury cruise line is poised to make the most of the increasing demand of cruise trips in China. Recently, Royal Caribbean launched The Ovation of the Seas in Tianjin. The newly launched ship has a capacity of 4,500 passengers and 1,500 crew. Tours to Japan and South Korea are now available, and are set to depart from Tianjin. Tickets cost from 700 yuan to 1,300 yuan per night. According to the Union Bank of Switzerland, a global financial and investment group, many Chinese are drawn to cruise vacations because of the onboard casinos. Gambling is illegal in China but not when gambling takes place in a ship. Other cruise amenities such as spas and bars are not so popular with Chinese vacationers. Major players like Genting Hong Kong and Carnival plan to expand operations in China. Walt Disney is also planning to dock a ship when Shanghai Disneyland opens in summer. GET OUR APP Our Spectrum News app is the most convenient way to get the stories that matter to you. Download it here. WACO -- Agricultural advocacy is accomplished in many ways, and its a passion of McCalley Cunningham, this years Texas Farm Bureau S.M. True Jr. Agricultural Scholar Award winner. The $20,000 scholarship is presented annually to honor the former TFB president from Plainview and his commitment and dedication to Texas agriculture. The late S.M. True was devoted to agriculture, TFB President Russell Boening said. Its the kind of passion McCalley Cunningham displays. She has already excelled in numerous consumer and agricultural-related activities and has a bright future ahead. Cunningham is a junior at Texas A&M University in College Station, pursuing a bachelors degree in Animal Science. She's from Iola in Grimes County. While in college, Cunningham has participated in TAGUS, a business venture that helps farmers and ranchers increase their economic efficiency using unmanned aerial vehicles. She has also participated in the University of Texas Food Lab Challenge for the past two years. The event is an international food competition that requires each team to create a product or business idea to address the challenge of how to feed the growing population. Cunningham was one of 20 representatives selected, and she presented the business plan of her company Go Fresh! Products that prolongs the shelf life of fruits and vegetables and helps restaurants and families waste less produce. As a freshman, Cunningham was selected to be part of the Startup Aggieland Living Learning Community. She now serves as a peer mentor for 40 freshmen for the globally-recognized job creation program, which strives to grow innovation and entrepreneurship. Cunningham was also named Outstanding Freshman in the College of Agriculture and Life Sciences. Upon graduation, Cunningham plans to pursue a Doctorate of Veterinary Medicine or further her education in another field. Her lifetime goals are to create a business that will positively impact society and agriculture. Im able to go out and advocate for agriculture, Cunningham said. Farm Bureau is investing in me to be an advocate for farmers and ranchers and all those who have a part in agriculture. To be eligible for the scholarship, a student must have at least 60 hours of college credit and be enrolled in a four-year college or university. To receive the full amount, the recipient must continue to major in agriculture and maintain a satisfactory grade point average. Sleep experts say that a good night's sleep is a vital part of living healthfully, and creating the right associations can aid in enabling people to sleep well. (Photo : Facebook/Sleep Disorder Awareness Philippines Official Page) The death of 34-year-old Jin Bo, deputy chief editor of the Tianya forum, has renewed the long-standing discourse on the potential hazards of staying up too late, the Global Times reported. On June 29, Jin lost consciousness on the platform of Beijing's Subway Line 6. He was rushed to the hospital but was later pronounced dead. According to the Beijing Youth Daily, his cause of death was cerebral hemorrhage. Advertisement A colleague speculated that Jin's case was the result of "his staying up late and working overtime a lot over the past few years," the Beijing Youth Daily reported via the Global Times. The incident revived China's issues over sleep deprivation among employees. In 2013, the death of a Chinese advertising employee sparked outrage, questioning some of the work practices in agencies. The man was 24-year-old Li Yuan, an employee at Ogilvy & Mather China. According to the Daily Mail, Li "[worked] overtime until 11 p.m. every night at the Ogilvy & Mather China offices in Beijing in the month before he died." "At around 5 p.m. on Monday he stood up, cried out in pain before collapsing onto the ground," the Daily Mail wrote. Also in 2013, China Youth Daily said that almost 600,000 employees in China die from work exhaustion. This has pushed China to overtake Japan as having the most number of deaths associated with overworking. However, Wu Xuesi, a doctor at Beijing Anzhen Hospital specializing in cardiology, said that staying up late is only one of the factors that could trigger a sudden death, and not the direct cause of it. "Staying up late for a long time can lead to cardiovascular or cerebrovascular disease, which could then trigger sudden death," Wu told the Global Times. Wu also warned that people who often stay up late need not panic, saying that those who die under such circumstance are already suffering from cerebrovascular or cardiovascular disease. This is a carousel. Use Next and Previous buttons to navigate An Oakland company is facing $114,400 in state and city fines for laundering campaign contributions to several former mayoral and City Council candidates, including Councilwomen Rebecca Kaplan and Desley Brooks, officials said Monday. AB&I Foundry, a manufacturer of plumbing products in East Oakland, illegally donated nearly $24,000 to candidates in Oaklands 2012 and 2014 City Council races and the 2014 mayoral contest, the state Fair Political Practices Commission said Monday. The company circumvented Oaklands $700 campaign contribution limit by reimbursing its employees, officers and their spouses for writing checks, the commission said. The commissions staff recommended that AB&I be fined $100,000, which the company has already agreed to pay, according to an order that the state agency released Monday. The five-member commission is scheduled to vote on the fine at its July 21 meeting. Separately, Oaklands Public Ethics Commission levied a $14,400 penalty at its July 5 meeting, at which it ruled that AB&I had exceeded the citys donation limits for two 2014 mayoral candidates, Kaplan and Bryan Parker. State commission spokesman Jay Wierenga called the combined six-figure penalty one of the highest and most significant in the agencys 41-year history. The biggest beneficiaries of AB&Is financing scheme were 2012 council at-large seat contender Ignacio De La Fuente and 2014 mayoral hopeful Joe Tuman, who received $6,300 apiece. AB&I also chipped in $4,600 for Kaplans 2014 mayoral bid, $2,500 for Parkers campaign and $2,100 to incumbent Mayor Jean Quan. Brooks received $2,100 for her City Council re-election bid in 2014. The state commission said none of the candidates was a target of the investigation. Brooks was the only candidate receiving AB&I money who won election. In a statement, the company said the donations went against AB&Is policies, which prohibit such contributions. When it learned AB&I had reimbursed its employees for campaign contributions, AB&Is parent company quickly ended any further contributions and reported previous donations to state and local officials. The company said it does not have any contracts with Oakland or sell products to the city. AB&I takes full responsibility for this mistake, Kurt Winter, the firms executive vice president, said in the statement. Although we did not realize that these reimbursements were a violation, we should have. Parker, a former Port of Oakland commissioner, said he had no knowledge of the laundered campaign funds and would not have taken the money had I known. The other recipients of AB&Is donations could not be reached for comment. AB&I is a division of McWane Inc., a waterworks and plumbing products company in Birmingham, Ala. Three years ago, the U.S. Environmental Protection Agency identified the Oakland company as being among the nations worst emitters of toxic chemicals, releasing almost 90,000 pounds of pollutants into landfills in 2011. Rachel Swan is a San Francisco Chronicle staff writer. Email: rswan@sfchronicle.com Twitter: @rachelswan Bias is a systemic problem for the San Francisco Police Department, which should overhaul the way it trains, tracks and disciplines officers to make sure they dont target people of color and to rebuild community trust frayed by scandals and shootings, according to a report by an ad-hoc panel of retired judges created by District Attorney George Gascon. The 239-page report, which noted racial disparities in police stops and searches, was released Monday after a yearlong review prompted by the emergence of a trove of racist and homophobic text messages exchanged among several officers. It comes as police forces around the nation grapple with accusations that they discriminate against African Americans. The blue-ribbon panel found that the departments policies banning biased policing were sound, but recommended dozens of ways to tighten oversight, measure conduct and be more transparent with the public. The report found anecdotal evidence that some city officers engaged in controversial stop and frisk tactics which police officials have denied. The Police Department must pay greater attention to the potential of bias toward people of color, said Anand Subramanian, executive director of the panel composed of judges LaDoris Cordell, Cruz Reynoso and Dickran Tevrizian Jr. The panel found indications of institutionalized bias and institutional weaknesses in the San Francisco Police Department, and its oversight apparatus, that if left unaddressed, could let bias go undetected and unmitigated. The report, which includes 81 recommendations, was condemned in stark terms by the union representing rank-and-file officers, which called the panel a kangaroo court with no authority and suggested the report could inflame antipolice sentiment after the slaying of five officers in Dallas last week. Police officials, meanwhile, said they would analyze the report in coming weeks and forward it to the U.S. Department of Justice, which is conducting its own top-to-bottom review of the San Francisco force. The report drew heavily from interviews with community members, recounting that residents in predominantly black and Latino neighborhoods like the Bayview and the Mission told the panel that they believed they experienced more scrutiny from officers, with some making assumptions that men in the neighborhoods were gang members. Unjustified searches alleged Several attorneys with the federal and city public defenders offices told the panel that their black and Latino clients report that they are often subject to unjustified searches. One attorney described a practice referred to as hop outs when three undercover officers jump out of a car and surround and search a person for no apparent reason. The panel which worked without pay, as did eight law firms that did research found weaknesses in both internal and external oversight. For instance, it said police dont collect enough data on use-of-force incidents to allow scrutiny of whether people of color are treated differently. The regulatory Police Commission and the civilian Office of Citizen Complaints need more resources and better practices, according to the report. Divided officers Some officers who spoke to the panel said they believed individual but not systemic bias existed. However, black and female officers in particular experienced a divide in the force between them and a good old boys club of white male officers who make up the majority of the force, the report states. The unnamed officers said black officers received harsher discipline than white colleagues, and that there is still a small percentage of male officers who believe that women do not belong in police work. The report offered a unique window into the bitter relationship between Gascon, a former city police chief, and the Police Officers Association, which the panel determined had too much influence on departmental decisions and discipline. The SFPD blurs the line between it and the POA, and allows the POA to take on an outsized role inside and outside the department, the report reads. The POA has historically taken positions resistant to reform and insisted that there is no widespread or inherent bias in the department. The union hit back Monday, calling Gascon a publicity-seeker who handpicked the judges and censored testimony of officers who disagreed with him. The report is divisive at a time when San Francisco sorely needs unity between police officers and the community we serve, said union President Martin Halloran in a statement. On Thursday, a sniper in Dallas took aim at police officers and murdered five in cold blood. Today, George Gascon is taking aim at police officers in San Francisco with half-truths and distortions. Were sitting on a tinderbox and Gascon is lighting a match. Halloran said police bias is much more limited in scope. At a news conference Monday, the judges on the panel reacted strongly to the unions response. The recommendations that we made in this report are not rocket science, said Tevrizian, a former federal judge. Its just good police practices. I get offended when the Police Officers Association buries its head in the sand and wont even pay any attention to the recommendations. Gascon said in a statement, The fair administration of justice is the premier civil liberties issue of our era, but unlike the rest of the nation San Francisco now has a thoughtful and comprehensive blueprint that shows us the way forward. The panel had difficulty obtaining some documents from the Police Department, and at times had to resort to filing requests under the states public-records law. Meanwhile, the report states, the union facilitated interviews only with officers who said they did not believe systemic bias existed within the department, and responded harshly when a black sergeant, Yulanda Williams, testified otherwise. Halloran criticized her in an open letter. Changes under way Several recommendations made by the panel have already been put in place by the department and the Police Commission. For example, before his recent resignation, Chief Greg Suhr said all officers will have undergone training to avoid subconscious bias by the end of the year. After the Dec. 2 fatal shooting of Mario Woods by five officers, the Police Commission reopened the departments use-of-force policy, which the panel said had been outdated. Efforts are in place to boost data collection, as well. This city needs positive and healing leadership, said Cordell, a former Santa Clara County judge. All of these 81 recommendations can be implemented, and they can be implemented in our lifetimes. All it will require is the moral and political will to do so. Vivian Ho is a San Francisco Chronicle staff writer. Email: vho@sfchronicle.com Twitter: @VivianHo Moshe's Golden Falafel will soon add a few new menu items along with new beers and wines to its menu, and add Saturday hours, owner Andrew Weissman said. The changes are coming because the restaurant will no longer operate under kosher supervision. This is a carousel. Use Next and Previous buttons to navigate The sprawling landscape of a state as big as Texas piqued the curiosities of a New Jersey native and prompted him to explore elusive whereabouts frame by frame. Walter Las, who now lives in Round Rock, said his hobby of traveling through Texas ghost towns started about four years ago, but was fed by a life-long curiosities conceived during road trips to visit family. RELATED: Then and now views of downtown prove San Antonio's everlasting history "There were times when we would drive from spot A to spot B, but a lot of spaces were empty," he told mySA.com on Friday. "I wondered what they were like when they were busy, they were important to someone at some point. The 47-year-old decided he would feature these spots people usually pass through as his destinations in a photos series. Las said he scouts his next project by searching through maps and towns with peculiar names usually catch his attention. He then uses the Texas State Historical Association website to research each town, then spends his weekends as a shutterbug, capturing dilapidated structures and imagining what they may have been like in their heydays. RELATED: 12 eerie Texas ghost towns to visit this summer So far, Las has captured seven cities. "Some of them are pretty far out, in the middle of nowhere, like Noack," he said of the town about 36 miles north of Austin. He explained the theme of his photography series as taking pictures, of "old buildings" in forgotten places. "Some of them where towns at one time, but were absorbed into the closest city," he explained. "Some aren't really on their own anymore, but still manage to hold their own identity." RELATED: A part of Texas pop culture in Big Bend Ranch State Park could be demolished soon Next on his schedule: Panna Maria, about an hour south of San Antonio. "Not much" Las said of what he expects to see when he gets there, but said he wants to go because of its Polish history. According to Las, and the Texas State Historical Association, the town is distinguished as the oldest Polish settlement in the U.S. Click through the gallery above to see some of Las' discoveries. mmendoza@mysa.com Twitter: @MaddySkye 1 Chicago violence: Weekend gun violence in Chicago pushed the number of people shot in the city this year to around 2,100 about 700 more than at this time last year, according to data kept by the Chicago Tribune. A total of 43 people were shot in the city over the weekend, four of them fatally. So far this year, there have been at least 344 homicides in Chicago. Thats 102 more than this time last year. 2 Firefighters killed: A vehicle carrying federal firefighters returning from a patrol in northwestern Nevada for lightning-sparked wildfires crashed, killing two of them and injuring another, authorities said Monday. U.S. Bureau of Land Management spokesman Stephen Clutter said the crash happened around 5 p.m. Sunday on U.S. 95. near the Oregon state line while the three were headed back from duty. Their names were not immediately released. The injured firefighter was flown by helicopter to a hospital in Reno. Red wine generally finds a place on the dining table during parties and other forms of celebrations, even on a regular dinnertime. (Photo : Getty Images) Chinese millennials recently developed an interest on French and Chilean wine, based on the observation of Yin Yanling, an instructor of wine appreciation in Shenzen and Hong Kong. Advertisement He observed that there is an increase of enrollees in his classes. These 20-to-30-something individuals are mostly affluent bank analysts and engineers. Yin runs a 16-hour wine appreciation class and charges 4,800 yuan per student. Yin said, "We launched this program in 2014 for our friends, and new faces kept turning up." There is a new "untapped market" among Chinese wine enthusiasts composed of people from 20s to late 30s, also called millennials. This is an emerging market that is different from the rich, middle-aged connoisseurs who mostly consume wines like Bordeaux and Burgundy. These millennials are also called "developing drinkers." These are consumers who buy wine more frequently and led a surge in wine sales. According to British-based International Wine and Spirit Research (IWSR), China consumed 162 million cases yearly from 2009 to 2013. This made China fifth in the global wine market. However, Chinese President Xi Jinping banned extravagance in 2013, causing wine sales to drop. The price of Bordeaux dropped by as low as 41 percent. This was based on the survey conducted by Liv-Ex, a wine swapping and market analyst. Despite government's order, many young professionals are getting more interested in wine education, said Bruno Baudry, CEO of ASC Wines. Millennials' deepening interest in wine helps in their careers. According to Jo Purcell, managing director of Farr Vinters Asia, "for some young working professionals, enrolling in a wine course is also an investment in their career and a way of mixing with people with money." Aaldering, a Dutch wine company, projected that wine drinkers will rise to 40 million in China alone. U.S. 96 from north of Jasper for 60 miles to Shelby County could become four lanes, but the timetable for its completion could be "generational," said Jasper County Judge Mark Allen, a leader in a coalition of East Texas counties trying to pave the way for it. On Monday, Jefferson County Commissioners Court endorsed a resolution in favor of the U.S 96 expansion, as did commissioners in Jasper and Sabine counties. For the First Time Ever, Water Clouds are Detected Beyond the Solar System Artist's conception of how WISE 0855 might appear if viewed close-up in infrared light. (Photo : Joy Pollard, Gemini Observatory/AURA) For the first time ever, astronomers detected clouds of water outside our solar system, inside a large cosmic object known as WISE 0855 which is located some 7.2 light years away from our planet. This object is similar to Jupiter or Saturn, however it is considered by scientists as a brown dwarf or a collapsed star. These types of stars often begin like most stars in the universe do, however their gravity sucked in clouds of gas and dust that it never triggered enough stellar fusion to form mass and become a complete star. Advertisement Today, WISE 0855 appears as a galactic ball with swirling stellar material of freezing gas and cosmic dust, which is also considered as the coldest object beyond the solar system at negative 10 degrees Fahrenheit, almost as cold as our own gas giant, Jupiter. New findings were obtained from observations of the Gemini-North telescope located in Hawaii, that revealed the object's chemical composition leading to evidence of cloud formations made from water and ice. According to Andrew Skemer from the University of California, Santa Cruz, we expected that this object with this cold enough temperature would host water clouds, making this the best evidence. Researchers also added how WISE 0855 is also a faint cosmic object that traditional spectroscopy methods would not yield any significant findings, instead, the team observed a specific spectral signature of thermal emissions within the deepest parts of its atmosphere with the Gemini Near Infrared Spectrograph. Skemer explains that WISE 0855 is also five times fainter that any object that was ever detected using ground based spectroscopy in this specific wavelength. Since the team has already obtained this spectrum, further analysis and observations will be carried out to study this object. Spectrum analysis shows that WISE 0855 is mostly covered by water vapor and clouds, making the appearance of this brown dwarf similar to Jupiter with thick, swirling clouds. Using a model based on this spectrograph data, researchers developed simulations to determine the different atmospheric conditions of this brown dwarf, suggesting it would be rich in water vapor. Skemer adds that this spectrum can now allow to scientists to investigate dynamic processes and chemical properties present in Jupiter's atmosphere and also in extrasolar worlds. This new study is published in the Astrophysical Journal Letters. CIUDAD VICTORIA, Mexico (AP) A series of attacks by gunmen in the capital of the Mexican state of Tamaulipas left 15 people dead, including 11 members of one family who were shot while still in their beds, officials said Saturday. Six minors were among those killed in the attacks, which took place late Friday and early Saturday in Ciudad Victoria. While officials didn't specify who was behind the violence, factions of the splintered Zetas Cartel are fighting for control of the city. Herminio Garza Palacios, secretary of government in Tamaulipas, which borders Texas, said in a videoconference that the most serious attack took place early Saturday morning when gunmen entered a home and killed 11 members of the same family while they were sleeping. Shortly afterward, three people were killed in a nearby neighborhood in Ciudad Victoria. A statement from the Tamaulipas Coordination Group, which is in charge of security in the state, said that four girls died in the first attack and another minor was killed in the second. Also, reports from the Police Ministry to which The Associated Press had access said that more attacks took place around the city overnight, including one on a bus station that left a 16-year-old dead. Assailants also attacked a home with a grenade and burned it down. The violent Zetas Cartel has splintered into factions following the capture or killing of many of its leaders. Authorities say various factions are fighting for control of Ciudad Victoria, including a group called "Zetas Old School" and the so-called "Northeast Cartel," a grouping of factions led by the family of captured Zeta leader Miguel Angel Trevino Morales, or Z40. Authorities say the battle for Ciudad Victoria began in late 2015 and has claimed at least 100 lives. In another traditional Zetas bastion the city of Nuevo Laredo on the Texas border a series of cartel threats and internet warnings that people should stay off the streets created a climate of collective fear on Saturday. The messages warned of attacks on bars and businesses controlled by rival factions. This is a carousel. Use Next and Previous buttons to navigate In the midst of building a barn and mowing grass in Roundtop, Texas, where the population is a quaint 93, former Gov. Rick Perry has decided Donald Trump's infamous wall is not going to happen. Perry, who is Texas' longest-serving governor and two-time failed candidate for president, spoke with Snapchat's Peter Hamby on "Good Luck America" about the wall the man he endorsed for president, the same person he once called "a cancer on conservative politics," wants to build along the U.S.-Mexico border. RELATED: Rick Perry's official portrait makes its way onto the Texas Capitol wall, without glasses "I'm for Donald Trump, and he says we're going to build a wall," Perry told Hamby. "It's not going to happen," Hamby quipped. "Well, it's not," Perry said. "It's a wall, but it's a technological wall. It's a digital wall." RELATED: Up to 500 demonstrators gathered outside Donald Trump fundraiser in San Antonio Perry said "there are some that hear this is going to be 1,200 miles from Brownsville to El Paso, 30-foot high, and listen, I know you can't do that." The former governor has been criticized for his flip-flopping on Trump: one minute he's calling him "cancer" and the next he's endorsing him for president and offering to campaign for the real estate mogul and even be his running mate. But Perry insists it's what comes with the territory of being a person running for president. RELATED: 'Good luck': Obama mocks Trump's 'half-baked' plan to make Mexico pay for a massive US border wall Perry told the Houston Chronicle in May that, "We are competitors, so the rhetoric is the heat of battle. It's in the chaos of the presidential bid. ... If one doesn't understand that, then they don't understand how our process of elections works. We compete and then we let bygones be bygones." Trump has yet to announce his vice presidential pick heading into the Republican National Convention this week. kbradshaw@express-news.net Twitter: @kbrad5 This is a carousel. Use Next and Previous buttons to navigate Photos have surfaced online that claim to show the body of Micah Johnson, the shooter who killed five police officers and injured nine others and two civilians in downtown Dallas last Thursday night, after he was killed by police. RELATED: Images from the aftermath of the killing of 5 Dallas police officers The two photos were posted to LiveLeak anonymously Sunday with the headline "Micah Johnson dead" one of a bloodied body and another of a semi-automatic rifle. The body is in tact, with no missing limbs, and sits in the middle of what appears to be broken pieces of cement. The gun also sits on a pile of rubble, much like the body. The Dallas Police Department and FBI will not confirm that photographs being circulated are from the crime scene in downtown Dallas, according to an email from the department sent to MySA.com Monday. Dallas Police Chief David Brown told reporters Monday that 11 officers used their firearms against Johnson and that two of them used the robot that killed him with explosives inside a downtown parking garage . The Daily Mail spoke to Bryan Woolston, a former senior explosive ordinance disposal technician with U.S. Army, who said the photos are consistent with the device that was used to kill Johnson. RELATED: Surviving Dallas officer recounts trying to save colleagues He said that the Remotec ANDROS Mark V-A1 robot that was used, which had a claw and arm extension with an explosive device attached, would result in Johnson dying from "catastrophic" internal injuries. In the photo, Johnson, if it is in fact him, does not have any limbs missing, and Woolston said the "most significant injuries would have resulted from the blast over pressure generated by the detonation." He said that "This would have created a blast wave of pressure far higher than normal atmospheric pressure, causing catastrophic injuries to the cardiac, respiratory, gastrointestinal organs and other soft tissue. These injuries alone could cause death." RELATED: Front pages from newspapers covering the Dallas police shooting that left 5 dead Woolston said he saw no obvious reasons to believe the photos are fake and that "additional injuries would have resulted from the secondary fragmentation created by the blast." The Dallas Police Department is sifting through 170 of body camera footage from Thursday night and are conducting more than 300 witness and officer interviews. kbradshaw@express-news.net Twitter: @kbrad5 Samsung Galaxy Note 7 to release blue coral color variant, to unveil on August 2 (Photo : YouTube) Samsung's Galaxy Unpacked event is nearly here and more Galaxy Note 7 leaks about the specs and features are still surfacing including new variants spotted on Geekbench and the USB-C replacement for the microUSB connector. The Galaxy Note 7 was hyped to be one of the most powerful phablets that Samsung will release but the recent reports, leaks and rumors point that it would probably be just as powerful as the Galaxy S7 and the Galaxy S7 Edge. Instead of the expected Qualcomm Snapdragon 823, the phablet has been leaked to sport a Snapdragon 820 chipset instead in the recent AnTuTu benchmark results. Advertisement Now, another variant of the phablet has been spotted on Geekbench. The new Galaxy Note 7 variant has a code name of SM -N930R6 and it was shown to have a Snapdragon 820 SoC and Adreno 530 GPU, Android Headlines has learned. The quad-core processor was clocked at 1.6GHz and it was running Android 6.0.1 Marshmallow instead of the new Android 7.0 Nougat version that the other variant was spotted running. Samsung has not yet confirmed whether their new phablet will be shipping with Android 7.0 Nougat on all variants. It could be another disappointment if it would only ship with Android 6.0 which is still being rolled out gradually to other Android devices. Another recent leak about the Galaxy Note 7 is Samsung reportedly deciding to opt for USB-C instead of the popular microUSB option, Forbes reported. There are also speculations that the South Korean tech giant will be releasing an updated Samsung Gear VR headset with USB-C connectivity. Samsung's VR venture proved to be successful as their VR headset, while expensive, is considered to be significantly better than the Google Cardboard variants out in the market. The Gear VR headset has traditionally been powered by a microUSB connector and the obvious solution for the new Galaxy Note 7 with USB-C would be to launch a new version with the updated connector. The Samsung Galaxy Note 7 is expected to be unveiled at the company's Galaxy Unpacked event in August as what the leaked press invites have shown. Hopefully, Samsung will confirm whether the new variants will be running Android 7.0 too and if it will indeed have the USB-C connector instead of the microUSB. SAN ANTONIO A San Antonio Fire Department crew found a 16-year-old girl dead on the property of a North Side fire station in an apparent suicide on Sunday night. Preliminary details release by the San Antonio Police Department on Monday are that two pedestrians discovered the teens body around 11:20 p.m. and immediately alerted emergency medical responders inside the station, 14331 OConnor Road. This is a carousel. Use Next and Previous buttons to navigate SAN ANTONIO The Bexar County Sheriffs Office is urging its civilian employees to avoid wearing clothing that identifies them as members of the Sheriffs Office following violent incidents targeting local police over the past few days, including gunshots being fired at Public Safety Headquarters downtown. BCSO spokesman James Keith said a BCSO dispatcher on her lunch break last week was followed by a man who cussed her out, made anti-police statements and used racially charged language. Keith said that the exact time and location of the incident was not immediately available, but a San Antonio Police Department officer who witnessed what was going on intervened. RELATED: Three stabbings occur overnight across the Alamo City In a separate incident on Saturday, someone threw a brick at a marked BCSO Tahoe on patrol on the West Side. He said deputies were responding to a call for a disturbance around 6 p.m. when the brick hit one of their vehicles at Marbach Road and Ellison Drive. Investigators were unable to determine who threw the brick, but no deputies were injured. Later that night, authorities received reports of gunfire at Public Safety Headquarters, which houses SAPD and the San Antonio Fire Department. SAPD Chief William McManus said authorities found bullet marks on the building along with shell casings at Graham Alley and South Santa Rosa. RELATED: Police still seek suspect a day after multiple shots fired at SAPD headquarters SAPDs bomb squad was also called out to investigate vehicle that was parked near the crime scene. Police initially detained one man for questioning, but authorities confirmed on Monday that the individual was not involved in the shooting. Investigators are still searching for suspects. Keith said BCSO dispatchers, who work about a block away, heard the gunfire. Deputies also responded to that scene to ensure their personnel were not being targeted. "We are not going to be targets," McManus said shortly after the shooting. "We are not going to allow officers to be targets. This is not open season on police officers here in San Antonio or anywhere else. We're not going to allow that to happen." mdwilson@express-news.net Twitter: @MDWilsonSA San Antonio Police Department officers arrested three men on Monday morning suspected of robbing at least five businesses during an early morning crime spree. SAPD spokesman Officer Douglas Greene said officers received reports of the first robbery at 5:10 a.m. in the 500 block of Demya. Police are continuing a search for a man last seen wearing an orange shirts and blue pants in connection to a Saturday night shooting at the San Antonio Public Safety Headquarters. SAPD Chief William McManus said gun shots were allegedly fired just before 10 p.m. at SAPD headquarters, 315 S. Santa Rosa St. Bullet marks were found on the wall of the headquarters, McManus said. Bearing a striking resemblance to the presumptive Democratic presidential nominee and presumably owning enough "pantsuits" to outfit an army of Hillary Clinton wannabes, Teresa Barnwell has successfully made a living off of her Clinton impersonation skills. Traveling all parts of the globe including Mexico, Australia, Sweden, England, The Netherlands and Italy as a Clinton impersonator, Barnwell, 61, has also appeared on MAD TV, the Tonight Show with Jay Leno and on Jimmy Kimmel Live. But, it wasn't always that way for Barnwell, who said she wrestled internal doubt she could make it as a full-time Clinton impersonator. Putting her doubt aside, Barnwell made the gradual transition from an advertising sales representative for The Daily Pilot newspaper in Costa Mesa, California, according to a Desert Sun profile, to a full time impersonator in 2000. RELATED: Former San Antonio Mayor Julian Castro being vetted as Hillary Clinton's potential running mate Barnwell said her transition to a full-time impersonator was "a little bit of a risk," but had the benefit of slowly easing into the role, first working for a neighbor's small company who afforded her a flexible schedule to do impersonation appearances at a short notice and allowing her to build her resume and a reputation for herself. "I kind of lucked out, I got connected with the Tonight show with Jay Leno and (my career) took off like a rocket form there," Barnwell said via phone Monday. "I was a business woman. I worked in the newspaper industry. I had a regular job just like everyone else." Now known as "America's best known and most versatile Hillary Clinton impersonator," according to her website, Barnwell admitted she's met Clinton once in her excess of 20 years of impersonation. Barnwell had seen the late first lady at various events but wanted a more "dignified opportunity" to meet Clinton versus yelling, waving and screaming at Clinton in a sea of people. Barnwell got that opportunity 20 years ago at a promotional event for Clinton's book in Beverly Hills. RELATED: Hillary Clinton to speak at NAACP convention in Cincinnati "I said 'I'm so happy to finally meet you. Has everyone told you look like Teresa Barnwell?," Barnwell said. "The people behind me who I had been chatting with also noticed the resemblance and told her 'Hillary, it's your evil twin,' and she said 'you're the one who can stand in for me to do the bad stuff I don't want to do,' and I was like 'oh sure whatever my country needs.'" Through studying Clinton, Barnwell said she has found herself to possess more in common with the presidential candidate than looks, including their horoscope, both Scorpios, and the namesake of beloved family for Barnwell, her puppy, and for Clinton, her daughter. "The reason I named (the dog) Chelsea was because when I was a senior in college we took a trip and one of the areas we went through was an area called Chelsea," Barnwell said. "It was such a pretty part of London and we just liked the name. I told myself if I ever had a daughter or a dog and I was going to name her Chelsea." Making between $0 and thousands of dollars on a monthly basis for her appearances impersonating the Democratic nominee, Barnwell said she foresees herself being busier should Clinton be elected president in November, but as of late, Barnwell said Clinton isn't the focus of the political scene. "I think the political joke right now is with Trump," Barnwell said "Trump impersonators have been pretty busy. It's starting to get busier for me. I have a job in early August but it's top secret and it's going to be a doozy." RELATED: Bernie Sanders is set to endorse Hillary Clinton at their first campaign event together Still, she understands the responsibility associated with poking fun at the former first lady, turning down jobs she feels may go beyond the boundaries of humor including one political commercial protesting the Iranian arms deal, a national political commercial for the NRA and one requesting she act out a situation portraying Hillary as a stripper the stripper skit was later revised to feature the impersonator doing a silly dance. "I was asked to do a national political commercial for the NRA," Barnwell said. "They wouldn't tell me who the client was, but when I finally talked to the people involved they were very up front about it. I told them 'my husband and I are gun owners, I believe in the second amendment but I'm not a supporter of the NRA because of the tactics they use are what I consider a lie... They said 'it's going to be real faint snippets of you, no one will be able to tell it's you,' and I said 'then why don't you get some actress?'" Despite making a career of poking fun at the former first lady, Barnwell said she truly respects the presidential candidate and will be voting for her in November. See photos of Barnwell in her element in the slideshow above. MMedina@mySA.com Twitter: @MariahMedinaaa Christopher Lee Taylor was nicknamed Redbeard by his daughters cheerleading team. He sometimes volunteered to haul the teams gear to and from games. Almost everyone noticed right away his fluffy red beard, wife Mandy Taylor said. Taylors beard may have been the first thing people saw, but his quiet acts of service defined him. With everything he did he wanted to give back somehow. It was a lot of little things that added to his character over the years, wife Mandy Taylor said. Taylor died July 2, of complications from cancer. He was 38. Taylor met his wife at Brylane Company; both were teenagers working their first job. After he left, the couple did not connect again until nearly seven years later at a friends retirement party. More Information Christopher Lee Taylor Born: Dec. 7, 1977, Fort Campbell, Kentucky Died: July 2, 2016, San Antonio Survived by: Wife Mandy Taylor; daughters Katy Taylor, Megan Taylor; parents Eric and Irmtraud Taylor; sister Doris Schug and numerous nieces, nephews, family and friends. Services: Celebration of life 10 a.m. today at Community Bible Church, 2477 North Loop 1604 East See More Collapse For our first date, we went to Saltgrass Steak House and took in a movie, Napoleon Dynamite, Mandy Taylor said. He was worried I wouldnt go out with him after that. It was a terrible movie, she said. But there was something about Taylor that drew them together. Taylor proposed to Mandy on a Corpus Christi beach. She remembered him kneeling on the sand and saying, You are the love of my life and I want to spend the rest of my life with you. The couple married in Las Vegas almost a year after their first date. They both found work at the Community Bible Church. Taylor did facility maintenance, fixing things and painting. They enjoyed helping people in their community. Every year the couple adopted a family to help over the Christmas holiday. Mandy Taylor remembers her husband helping in a variety of ways like buying sneakers for a young man who need them and helping a single mom move from her apartment. Taylor used his talents to touch everyone around him, his wife said. He enjoyed planning family vacations and playing popular 90s rock songs on his guitar. He loved to fish, his wife said. He caught reds, black drum, and trout and even designed a few of his fishing poles, she said. There were family vacations in Colorado, Florida and Texas state parks. He loved New Orleans. He loved the food, especially the Crawfish Etoufee, Mandy Taylor said. For their 10th wedding anniversary, the couple planned to go to Las Vegas, but Taylors cancer diagnosis changed the destination to Houston where they researched hospitals. He was one of those people that led by example, invited people to church, and never felt sorry for himself because he thought his story would help someone, Mandy Taylor said. He believed that God was going to work through his illness somehow. iwilgen@express-news.net It has been nearly a year since the Pew Research Center reported that Asian immigrants are elbowing Latin Americans aside and are projected to become the largest immigrant group by 2055. Policy analysis on the implications of this shift is beginning to trickle out. Asian-Americans have been calling attention to two important issues for years: The harm of the model minority myth and the invisibility of Asian subgroups and their unique needs when all are grouped as an Asian community. Gerard Robinson, an American Enterprise Institute fellow, recently noted how the stereotype of Asian students as academic superstars is not only a myth. It also hides achievement issues for at-risk populations. This isnt to say that many Asian students arent high achievers. As Robinson wrote in A Tale of Two Disparity Gaps on the Brookings Institution blog, White students math and writing SAT scores were 64 and 18 points lower than Asians in 2015. In fact, the combined SAT score of 1654 for Asians in 2015 saw a 54-point increase since 2006. Whites and others saw a decline during the same time. The problem is that not all Asian students are alike. It seems preposterous to have to articulate this, but the U.S. Asian population is wildly diverse in terms of country of origin, immigration status, education level, English-language proficiency and socioeconomic status. Despite the folklore of the Tiger Mom overscheduling her cubs into success, Robinson notes that many Asian students struggle in [English-language learning], reading and math classes, including some from higher-income households. In regard to an intra-Asian dynamic, Hmong, Tongan and Vietnamese populations, for example, are among the most poorly educated communities in the United States not just within the Asian community. In fact, some black and Hispanic students outperform these populations as well as some higher-achieving Asian students. Erroneously assuming certain groups are high achievers results in other communities of need getting the short shrift on interventions and supports. When policymakers look at the white-minority achievement gap, poor whites in the same type of poverty that stunts the academic growth of poor black and Hispanic students get lost in the shuffle. The blind spot is huge. According to Census figures, there is a 10 percent poverty rate among white non-Hispanics. In raw numbers of people, impoverished whites are approximately twice the size of African-Americans in poverty, the group with the highest rate of economic hardship (25.8 percent). Similarly, when Asians are seen only as a homogenous group of academic high achievers and not as a diverse population that includes immigrants from impoverished countries and refugees from war-torn regions those who need support are overlooked. For Asians of all ages, the remedy lies in demographic data disaggregation, a clunky term that has not captured the general populations imagination. In California, where the Asian-American population grew by 34 percent between 2000 and 2010, Asian-American, Native Hawaiian and Pacific Islander civil rights organizations are advancing a bill requiring Californias public institutions of higher education and public health to collect, analyze and report data for up to 42 subgroups. Civil rights groups there know disparities among national-origin groups are stark. Correspondingly, adding more racial subcategories on nationwide standardized tests and breaking out performance data by subgroup could bring to light the diversity of the young Asian populations academic successes and struggles. Ultimately, fully understanding the fastest-growing racial population in the country isnt some insurmountable dream. Its not rocket science, but it does require a societal will to choose to see this collective of Asian ethnic groups as diverse and multifaceted, rather than as homogenous and monolithic. estherjcepeda@washpost.com 1 Japan election: Japans ruling coalition scored a stronger-than-expected victory in parliamentary elections, results showed Monday. Half of the seats of the less powerful upper house, or 121 seats, were up for grabs in Sundays vote. Prime Minister Shinzo Abes Liberal Democratic Party, which already controls the more powerful lower house, won 56 of the seats. The partys coalition partner Komeito won 14 seats. Combined with other conservative politicians, the coalition has a two-thirds majority in the upper house, which would be needed if Abe seeks to change the nations postwar pacifist constitution. The charter was written by the U.S. after Japans defeat in World War II. The Constitution limits Japans well-equipped army, navy and air force to self-defense. 2 Syria aid: The United Nations began airlifting humanitarian aid to families cut off from supplies in northeastern Syria, bringing 40 tons of food on a flight that landed in Qamishli, an area controlled by the Syrian government, the World Food Program said Sunday. The agency estimates that 275,000 people living in Hassakeh province have been cut off from food and other supplies for more than six months. Road access into Hassakeh has been blocked, in part, by the Islamic State group, which controls the neighboring provinces of Raqqa and Deir el-Zour. Chixulub asteroid strike off the Yucatan 66 million years ago. The massive asteroid strike off Mexico's Yucatan Peninsula 66 million years ago wasn't the only reason why the dinosaurs became extinct. It was a combination of this explosion plus horrendous climate change that did in the dinosaurs and triggered the Cretaceous-Paleogene (K-Pg) extinction event. Advertisement This finding by a research team from the University of Florida and the University of Michigan argues there were two "kill mechanisms," and not one, which ignited the K-Pg extinction event. The first was the asteroid strike while the other was climate change that caused ocean temperatures to rise some 14 degrees Fahrenheit before the asteroid strike. This warming was related to volcanic eruptions of the Deccan Traps in India. A "one-two punch" and not a single blow killed the dinosaurs. Researchers utilized a new technique of analysis to reconstruct Antarctic Ocean temperatures. The results support the idea the combined impacts of volcanic eruptions and an asteroid strike triggered the K-Pg extinction event, one of the Earth's biggest mass extinctions in which three-quarters of the plant and animal species on Earth were obliterated. The researchers used a recently developed technique called the "carbonate clumped isotope paleothermometer" to analyze the chemical composition of fossil shells in the Antarctic Ocean. Their analysis shows that ocean temperatures at the time had risen by some 14 degrees Fahrenheit. To create their new temperature record spanning 3.5 million years at the end of the Cretaceous and the start of the Paleogene Period, researchers analyzed the isotopic composition of 29 quite well-preserved shells of clam-like bivalves collected on Seymour Island in the Antarctic. The Cretaceous-Paleogene boundary is a physical boundary usually marked by a thin band of rock found in geological structures around the world. It's widely associated with the mass extinction that occurred 66 million years ago. The K-Pg boundary contains iridium, also found in asteroids, meteorites and comets, bolstering the theory an asteroid killed most of the creatures of the Cretaceous Period. "Now, years later, everyone is using this new tool called clumped isotope paleothermometery, which is a bit different than the traditional method," said University of Florida geochemist Andrea Dutton. "This technique is only a function of temperature. Salinity has nothing to do with it. We're looking at the clumping of oxygen isotopes rather than the relative amount of oxygen isotopes in the shell, and this is helping us re-interpret the data." The data show two significant temperature spikes. The first corresponds to the eruption of the Deccan Traps, one of the largest volcanic features on Earth. The other lines up exactly with the asteroid impact, which, in turn, may have sparked a renewed phase of volcanism in India. Surprisingly, both events are associated with extinction events of nearly equal magnitude on Seymour Island. Dutton said they have evidence on this site on Seymour Island in Antarctica that climate change is linked to both of these extinction events, right before the boundary and right at the boundary. "If you look at what types of species that went extinct during the first extinction pulse, they're different than the types that went extinct during the second pulse. That indicates that it may have been a different kill mechanism for those two different extinction pulses. "It's quite likely both the volcanism and the asteroid were to blame for the ultimate mass extinction. The Deccan Traps weakened the ecosystems before the asteroid slammed into the Earth-- it's consistent with an idea called the press-pulse hypothesis: a 'one-two punch' that proved devastating for life on Earth," said Dutton. A goat at Buttercups Sanctuary for Goats in Kent, UK. (Photo : Christian Nawroth/QMUL) A surprising new study reveals how goats are similar to man's best friend: dogs. Scientists suggest that goats can apparently become domesticated like dogs. Researchers from the Queen Mary University Of London carried out this study where it involves experiments for goats to take off the lid of a box and then receive a reward for completing this task. When researchers made the tasks more difficult or nearly impossible to carry out, goats approached this problem by gazing to nearby humans, the same way dogs stare at humans when they are in trouble. Advertisement This new finding would also suggest that our idea of co-evolution with domesticated animals can be changed. According to Jenna Kiddie from the Anglia Ruskin University, dogs learned to follow the human gaze for hunting, however, there was never a human social need for goats apart from being a food source for meat and milk, which can teach new insights about animal domestication. Past studies on dogs and wolves have shown how one species is domesticated than the other, however, barnyard species can yield surprises. Kiddie adds, animal cognition can be seen especially in crows, which are considered as very intelligent creatures. However, the social aspects of these animals with humans are still being explored. She adds how sheep can show less "human" traits than goats as they are neophobic or being afraid of everything and cluster together in herds, never making eye contact. However, goats have the natural desire to explore beyond their own species, and were also the first species that humans began to domesticate, some 10,000 years ago. Kiddie concludes that all animals should be given some type of respect, however, there will be implications on handlers and their interactions with their goats, since now we know what goats like and do not like. This new study is published in the journal Biology Letters. By Thom Hartmann, author and nationally syndicated daily talk show host. Originally published at Alternet. On July 1, Vermont implemented a law requiring disclosure labels on all food products that contain genetically engineered ingredients, also known as genetically modified organisms or GMOs. Wenonah Hauter, executive director of Food and Water Watch, hailed the law as the first law enacted in the US that would provide clear labels identifying food made with genetically engineered ingredients. Indeed, stores across the country are already stocking food with clear on-package labels thanks to the Vermont law, because its much easier for a company to provide GMO labels on all of the products in its supply chain than just the ones going to one state. What that means is that the Vermont labeling law is changing the landscape of our grocery stores, and making it easier than ever to know which products contain GMOs. And less than a week later after that law went into effect, it is under attack. Monsanto and its bought-and-paid-for toadies in Congress are pushing legislation to override Vermonts law. Democrats who oppose this effort call the Stabenow/Roberts legislation the Deny Americans the Right to Know Act, or DARK Act. This isnt the first time that a DARK Act has been brought forward in the Senate, and one version of the bill was already shot down earlier this year. The most recent version of the bill was brought forward by Michigan Democratic Sen. Debbie Stabenow and Kansas Republican Sen. Pat Roberts, both recipients of substantial contributions from Big Agriculture. Stabenow has received more than $600,000 in campaign contributions since 2011 from the Crop Production and Basic Processing Industry, and Pat Roberts has received more than $600,000 from the Agricultural Services and Products industry. When Senator Stabenow unveiled the industry-friendly legislation, she boasted that, For the first time ever, consumers will have a national, mandatory label for food products that contain genetically modified ingredients. Which sounds great, and it would be great, if it were true. But the fact is, the DARK Act would set up a system of voluntary labeling that would overturn Vermonts labeling law and replace it with a law thats riddled with so many loopholes and exemptions that it would only apply to very few products, and theres no enforcement mechanism and no penalties or consequences of any kind for defying the bill. It also allows for labeling GMO-containing foods to be labeled with a QR code, those black squares that can only be read by your smartphone or computer. That lets manufacturers say, We labeled it! but prevents all but the most tech-savvy consumers from figuring out what the code means. The Vermont labeling law, by the way, isnt a law that just somehow managed to slip through Vermonts legislature; the state legislature spent two years debating it, held more than 50 committee hearings and heard testimony from more 130 representatives before passing the bill in 2010. Monsanto is pushing its puppets to pass the DARK Act quickly this week, effectively killing Vermonts labeling law without a single hearing on the issue of labeling foods or seeds. Despite the fact that nine of out of 10 Americans support laws requiring clear GMO labeling, members on both sides of the aisle in Congress would rather pass legislation to help agricultural giants like Monsanto pad their bottom lines instead of passing a law that a majority of Americans actually support. And while conservatives normally profess to hate federal overreach and profess to love states rights, there are bought-off politicians in both political parties pushing to pass the DARK Act and overturn Vermonts labeling law. Opponents of GMO labeling have, in the past, said that the costs to clearly label products would require expensive new packaging, but the DARK Act gives lie to that; this labeling fight is clearly about Monsanto and other agricultural giants making sure that consumers dont know whats in their food. This law that Monsantos puppets in Congress are pushing would cost companies roughly the same as the Vermont labeling law, because it would also require new labeling. But instead of having a clear label, the new packaging would allow a QR code to scan or a toll-free number to call to find out whether a certain product contains GMOs. It wont save the companies any packaging money at all, but it would make it really, really hard for shoppers to find out whether or not a product contains GMOs. If our democracy actually worked, this bill never would have seen the light of day, because people overwhelmingly want to know whats in their food and support GMO labeling. But our democracy doesnt work, because our lawmakers are bought and paid for by special interests like Monsanto. If we want our lawmakers to pass popular laws that actually work, we need to get money out of politics, we need to overturn Citizens United and we need to amend the Constitution to make it clear that political bribes arent free speech and corporations arent persons. Call the offices of Senators Roberts and Stabenow to let them know what you think about actual, clear GMO labeling and then check out MoveToAmend.org for more about the campaign to get money out of politics. Dania Maxwell/Staff Agricultural workers tend to the Gargiulo Inc farm off Oil Wells Road in unincorporated eastern Collier County on on Wednesday, October 9, 2013. Agriculture in the area has suffered from the heavy rains this season. SHARE By Laura Layden The father of the "beefsteak" tomato, Dewey Gargiulo pioneered the business of growing the fruit in Florida. The longtime Naples resident died May 9 after a long illness. He was 84. Gargiulo came to Florida in 1952 to farm tomatoes after serving as a captain in the Marine Corps. and working for his uncle in the Bronx produce market in New York. He started out farming in Immokalee, then later expanded to Naples, where there were vast stretches of undeveloped land closer to the coast. "Back in 1952, Immokalee was the wild west. It was pretty much cows, not much else," said Gene McAvoy, a multicounty vegetable agent for the University of Florida/IFAS in Southwest Florida. In those days, Naples was a tiny village, where Gargiulo saw an opportunity to grow his business, with a focus on creating and marketing premium tomatoes that set a new industry standard. "He was always quality driven and he always tried to market to the top accounts whether they were retail or wholesale," recalled Jeff Gargiulo, one of Dewey's two sons, who joined him in the family business. "He was very well-liked," the son said. "He was very well-respected in the industry. In many ways, he was an icon or legend in the tomato business. The competitors looked up to him." The elder Gargiulo helped create the Florida Tomato Committee and the Florida Growers Exchange, which both still exist today. The Tomato Committee is a marketer and regulator of the state's fruit, and the Growers Exchange is a lobbying group that represents and defends Florida's tomato farmers. Reggie Brown, the Tomato Committee's manager and executive vice president for the Growers Exchange, said he first met Dewey Gargiulo while working as Collier County's agricultural extension director in the early 1980s. He was a fairly quiet personality, but others knew to listen when he spoke because he always had good ideas, Brown said. "Dewey was one of those forward-thinking pioneers in the tomato industry," he said. In the late 1950s, he marketed and sold tomatoes from Cuba, brought into Florida by boat until Fidel Castro took control of his country by force, putting an end to that opportunity. He was innovative in many other ways, developing new methods to palletize, ripen, grow and market tomatoes. While he operated several businesses under different names, often with partners, they eventually evolved into Gargiulo Inc. Dewey Gargiulo retired from the company in the early 1980s, giving up the day-to-day management, but continued to be involved long after that as chairman. "He had to learn a lot on the fly, which is classic of a lot of entrepreneurs. They take risks, they learn, they make mistakes and they fix them. That was my dad," Jeff Gargiulo said. Although the Gargiulo family no longer is involved, the business they created lives on under different owners, with a headquarters off Old 41 Road near the Lee-Collier county line that includes a packing plant. The company grows tomatoes locally, with 10,000 acres in Immokalee, and in other parts of Florida, as well as out of state, in such places as California and Puerto Rico, where there are more packing plants and thousands of other acres in production. In the late 1990s, when Gargiulo Inc. was sold to Monsanto Inc., the local company handled about 10 percent of U.S. fresh tomato production. The company is now owned by Joseph Procacci, owner of Procacci Bros. Sales Corp. in Philadelphia. Procacci, 85, said he was one of Dewey Gargiulo's early partners in a company called Naples Tomato Growers, which became Gargiulo Inc. "He was an excellent person, with high morals, honest as he could be and very respectable and respectful," Procacci said. Collier County Commissioner Tim Nance, who worked at Gargiulo Inc. for nearly 30 years, described the business patriarch as an old-school produce guy, saying he was "well ahead of his time" in building a diversified company. His children and grandchildren fondly called him "Captain Dewey," and he often took them on adventures in his multiple yachts and fishing boats. He's survived by his wife of 63 years, Janet Gargiulo, and their three children. Dewey had yet another big interest in life ? some of the land he once owned has been developed into big master-planned communities, such as Bonita Bay in Bonita Springs and Quail West in North Naples. "He loved land," Jeff Gargiulo said. "He loved to buy land and sell land, and make a deal." June Fletcher Executive Suite June Fletcher is a business reporter and editor with a focus on the economy, finance, banking, wealth management and residential real estate. She produces the Minding Your Money web video series that appears in the Business section. Her Executive Suite column, which profiles business leaders in the community, appears Mondays. SHARE Stephen Hagenbuckle, managing partner at TerraCap, in his office in Bonita Springs on Thursday, July 7, 2016. Hagenbuckle and his partners started the real estate investment management company in 2009. (Dorothy Edwards/Naples Daily News) Steve Hagenbuckle started work at age 11, delivering newspapers. By the time he was in his early 20s, he'd had jobs in a pet store, a department store and a computer data center. He'd also worked as a bar bouncer, concert security guard, hotel lobby cleaner and towel deliverer, and grocery stock boy. He did it because his father who once had done real estate investing for the Rockefeller family informed him early on that he would only pay for one semester of college at the University of Florida. The rest his son would have to pay for himself. "I learned early that work is part of life," Hagenbuckle said. "It was ingrained in me." These days, Hagenbuckle, 52, works for himself as founder and managing principal of TerraCap Management in Bonita Springs. The firm is a deep-value commercial real estate private equity multifund manager and investment adviser that over the past seven years has raised more than $250 million in investor capital, and with added debt has invested more than $550 million, Hagenbuckle said. It manages more than 4 million square feet of commercial space from North Carolina to Florida. An investing contrarian, Hagenbuckle says intensive research on demographics, local economics and other factors help him target troubled properties ripe for turnaround during downturns in local business cycles. He looks for properties that have deferred maintenance or are financially distressed, buys them at a discount, then upgrades them to boost rents and occupancy. But ultimately, his strategy is guided by a very simple philosophy that he learned from his dad. "Be an accommodating player. That means, when people need to sell, you buy," he said. "When they want to buy, you sell." Hagenbuckle was around real estate deals all of his life. His parents met when the elder Hagenbuckle was on a real estate scouting trip for the Rockefellers in South America. The family lived for a time in his mother's native Colombia, as well as Florida and Illinois, before finally settling down on Marco Island when Hagenbuckle was 16. His father, Walter York Hagenbuckle, was a real estate broker on the island when it was just beginning to boom. "There was nothing there at the time, but Dad took his commissions and bought more and more land," Hagenbuckle recalled. "I watched and learned." Hagenbuckle initially dreamed of entering the real estate field as an architect. But as he watched more architectural functions being taken over by computers, he decided to go into technology instead, graduating from the University of Florida with a degree in computer science and engineering. For several years he worked in the health care industry developing software applications, then discovered he had a knack for sales. Soon he found himself in the executive suite of several different health care-related companies. But after nine years, he became disillusioned. "I saw executives being incentivized by stock performance into not doing the right thing by investors and clients," he said. So in 1995, backed by angel investors, he started his own technical consulting firm called Techware Consulting. Twenty-one months later, he sold it for $16.5 million. With his proceeds from the sale, he began investing in real estate. He also became one of the founding shareholders in Landmark Bank NA in Fort Lauderdale and was a board member for a decade. Unlike many other small banks, it survived the recession because it made no developer or residential loans, he said. During his time in Fort Lauderdale, Hagenbuckle also became president and chief executive officer of the management consulting firm ORUS Information Services, which grew to a company with $23 million in revenues with 245 employees. And he met his wife, Maria. The couple have twin 8-year-old daughters Hannah and Karina and live in Naples. But Hagenbuckle saw irresistible buying opportunities in Southwest Florida's distressed real estate market during the recession. So, with mortgage broker Michael Davis, he launched TerraCap in January 2009 with nearly $26 million raised from high net worth investors. In 2011, Wall Street veteran Robert Gray joined the firm as comanaging partner. The partners mostly bought discounted land and commercial buildings on high-traffic corners. It was a bold move, considering that many investors at the time where retreating to the safety of cash and government bonds. Although TerraCap does not disclose revenues, the success of their first fund, which closed in 2010, attracted institutional investors like pension funds. TerraCap's second fund had a final close of $102 million in equity commitments, and their third, $125 million. During the depth of the recession, TerraCap was able to deliver internal rates of return in the mid-20s. That's no longer the case now that the market has rebounded, but returns in the low- to midteens are still achievable, he said. Hagenbuckle thinks much of the opportunity to buy discounted residential real estate has come and gone, but he does still see a chance to make money in office, flex industrial space and hotels. That's because he often can renovate these structures for less than it would cost to build them. While it's getting harder for companies like TerraCap to find good deals, Hagenbuckle has noticed that some of his competitors have recently moved to the sidelines, making it easier for him to acquire the properties he wants. So he'll still be buying, as long as he can find the right properties that he can buy for below replacement cost and stabilize and until he gets a sense that the local market has started to turn. "When you have good properties in an up cycle, people will call you up with unsolicited offers," he said. "When the phone stops ringing, that's when you sell." SHARE WASHINGTON The tragedies that keep befalling us are best described in one word: excess. There are simply too many guns on the street, too much economic deprivation of African-Americans, too many poorly-trained police officers who seem to regard every person of color an immediate threat, too much anger and fear in the black and white cultures and too much disregard for each other. Blacks can't seem to get over the fact they were once chattel and whites can't get over treating them that way. All this leads one to wonder if the nation isn't facing some type of volatile, prolonged replay of aspects of the Civil War that divided us a century and a half ago, whether the residual hatred between the races left by slavery can ever be overcome and a level playing field firmly established for our benefit. Despite strides, we clearly haven't achieved as much in that direction as we thought we had. The horrors (if that word is strong enough) appear to be coming much quicker now. At least it seems that way in the wake of a four-day period in which there were two seemingly unprovoked white police shootings of black males and almost immediate retaliation with the targeted slaying of five white police officers in Dallas by a black sniper who was a trained Army veteran. In addition, seven officers and two civilians were wounded by gunfire. Exacerbating the tragedy was the fact the Dallas killings took place during a multi-ethnic, peaceful demonstration for the two latest police shootings one in Baton Rouge, Louisiana, and the other in Minnesota near St. Paul. There is no doubt that social media has played a heavy role in waking us up to the sometimes almost unbelievable nature of these affairs. Over and over disturbing images appear on our cellphones and tablets depicting law officers' callous disregard for human rights. This is demonstrated in the Minnesota and Louisiana cases by horrible graphic videos of sudden death without warning for minor offenses. The most moving of these is the Minnesota event where a child was a witness. The victim's girlfriend calmly records the entire horrific process and sends it off on the network before breaking down. Her 4-year-old in the back seat tells her not to worry: "I'm here with you, mommy." Before those who are willing to forgive police for any action begin yelling, I must note here that the victim had explained to the officer that he had gun and that he had a permit for it, a proper way to try to assure that no one acts precipitously. That obviously didn't work because when the man reached into his back pocket for his license and registration as he was ordered to do, the officer screamed and shot him through the window. How can repeats of these events that rent our souls be lessened? No one seems to have an answer. Every effort to reduce the firepower on the streets has failed and probably will again despite the intensifying efforts of some lawmakers. There just aren't enough willing to take on the gun lobby. The fear that every routine traffic stop is a threat to their lives when a black person is driving has led white cops to act first too often, even without provocation. "They all have guns and are ready to shoot you," a police officer told me years ago, identifying "they" as our black citizens. The motivation behind that attitude comes from the horrendous statistics produced by gun violence in our major urban cities like Chicago, where young African-Americans have been slain in gang wars. The real victims are those good people who have seen their communities ravaged by street crime. Both presidential candidates have spoken out against the barbarism with promises to stop it. But once again, until we can find a way to put aside the days when we were two races and work as much as possible to achieve the reality of equality that the Constitution promises, we are facing more of the same. When two people ride the same horse, one of them always has to be on the ass end, not a comfortable place. Get rid of the guns. Get rid of the anger. Get over past injustices and demands for restitution for what happened two centuries ago. Get rid of workplace prejudices and take all lives as precious, and there may be a chance for us all. Good luck. SHARE 1. Tricia tops in For Love of Cats photo contest After an intense 72 day online photo contest with over 100 entries and over 6,600 votes from avid supporters, Tricia emerged as the judge's favorite for this year's Glamour Puss calendar cover. Tricia was rescued by For the Love of Cats and adopted by Nancy Nash in August 2007. The photo contest was open to all owned cats and was promoted by email and social media campaigns. The 2017 Glamour Puss Fundraising Calendar contains 37 photos of adorable cats and kittens chosen through the online photo contest by a panel of judges and in consideration of vote totals. Other winners include Pearl with 1,520 votes, Mali with 975 votes, Shirley and Solo with 505 votes, Rambo with 290 votes, Brio with 240 votes, Peaches Moody with 226 votes and Lauren Bacall Perlman with 200 votes. Each calendar is 11"x 10" folded or 11" x 20" open and printed in full color on glossy paper. The calendars will be available for sale for $15 by the beginning of September at the adoption center in PetSmart, 2255 Pine Ridge Road in Naples, on most Saturdays from 10 a.m. until 2 p.m., and at the Farmers Market in Veterans Park on Marco Island most Wednesdays 8 a.m. to noon starting Nov. 16. The calendars may also be purchased online atfortheloveofcatsfl.com with an additional $5 charge per order for shipping and handling. 2. School Board forum is Tuesday The Coalition for Quality Public Education will conduct the Marco Island C4QPE School Board candidate forum at 6 p.m., Tuesday, July 12, at the Jewish Congregation of Marco Island. This forum will provide Marco residents with the opportunity to hear directly from the candidates in a question and answer format. WGUF radio host Dave Elliott will moderate. The Coalition for Quality Public Education, known as C4QPE, is a not for profit based in Naples. 3. Decals do great things for manatees, sea turtles What looks awesome and does great things for manatees and sea turtles? The latest editions of colorful waterproof manatee and sea turtle decals from the Florida Fish and Wildlife Conservation Commission that are available now. With each donation of $5 or more, individuals will receive their choice of one of this year's decals and contribute to the conservation of these endangered and threatened species. Funds generated from the decals are used for research as well as rescue and management efforts to maintain healthy populations of manatees and sea turtles in Florida waters. "Your kayak, canoe, paddleboard, motorboat or personal watercraft will look even better with a manatee or sea turtle decal. Or get both decals and put them on vehicles, laptops or wherever else you decide to display them," said Carol Knox, FWC leader of imperiled species management. "Decals are a fun way for you to help conserve manatees and sea turtles so they will be here for future generations," Knox added. Tracking wild manatees is the theme of this year's manatee decal. For over 20 years, FWC research staff have been detectives, tracking the travels of manatees along Florida's coasts and rivers. Do not disturb sea turtles is the theme of the new sea turtle decal. The decals are available at local tax collectors' offices throughout the state by checking the box on the form when you renew a vehicle or boat registration by mail, or directly from the FWC. Go to MyFWC.com/Manatee and MyFWC.com/SeaTurtle for more information about these species and how to get decals. To report injured, sick, entangled or dead manatees or sea turtles, contact the FWC's Wildlife Alert Hotline by calling 1-888-404-3922 (FWCC), #FWC or *FWC on a cellphone, or by texting Tip@MyFWC.com. SHARE By Maryann Batlle of the Naples Daily News 4938071 Beside Alligator Alley in Golden Gate, there is a canal that locals have named "the Crystal." Tracy Cusick, 39, and Chris Kight, 49, parked their van and set their white plastic chairs underneath a shade tree beside the Crystal, their favorite swimming hole, to enjoy some "tranquility." Cars repeatedly swoosh by on the interstate, but the trees act as a buffer between the couple and civilization. "Normally when they drive past they, blow their horn at us," Kight said. In some places of the canal, the clear water makes it easy to see the bottom. Fish dash by and the surface of the water sometimes ripples as they go. In other parts, which Kight thinks can be as deep as 40 feet, the water is mysterious and dark. Cusick and Kight have visited the Crystal to swim, fish and meet with friends for about 20 years, but they are worried they may have to fight to keep the swimming hole open after a gruesome alligator attack on Sunday left a young man without a hand. Tim Delano, 18, was attacked by a 10-foot alligator while swimming in the canal with friends Sunday evening. The gator clamped its mouth around Delano's left hand and then severed it when the teen got away. Friends drove Delano a couple of miles to get help. Delano was airlifted to Lee Memorial Hospital, where he is recovering. A tracker, sent by the Florida Fish and Wildlife Commission, later trapped and killed the gator. Delano wants people to stop swimming at the Crystal. "I hope they shut it down, so no accidents like this will happen again," he said. Kight said what happened to Delano was a first. "It was a freak accident," Kight said. Although Cusick and Kight were the only mid-afternoon swimmers at the Crystal Monday, Kight said there were about 75 people there Sunday. People were listening to music, barbecuing and swimming in the canal, according to the couple. "It's just a hangout," Kight said. Parents bring their children to swim and fish. "The kids are never unattended," Cusick said. The day of the accident, Kight and Cusick left before it got dark, around 7 p.m., because they won't swim in the Crystal past sunset. "A gator feeds at night like a shark does," Kight said. Unlike Delano, they have seen gators in the waters before. "Any canal you go in there's a chance," Kight said. Kight learned what happened to Delano from a television news report later that night. He knew it was the Crystal right away. "I recognized a tree," Kight said. There's still a dried pool of blood where Delano stood after he got out of the water. Kight pointed it out on the dirt road. He said they are glad Delano survived, but they're worried their favorite swimming hole won't. "It would really bother me if they shut it down. There aren't places to swim," Cusick said. She thinks it might be a good idea to post signs warning people about the potential dangers or to let them know what to do to stay safe. "Everyone knows anyway, but to refresh their memories," Cusick said. But if there is a push to ban people from swimming in the Crystal, Kight said he'd start a petition to fight it. "There will be a lot of people to sign it," he said. "It's the last swimming hole we have in Naples." iStock SHARE By Andrew Krietz, WZZM-TV, Grand Rapids, Mich. (USA TODAY) ST. JOSEPH, MICH. Two bailiffs were killed in a county courthouse in southwestern Michigan following a shooting by an inmate, the county sheriff said Monday. The unnamed suspect in the shooting at the Berrien County Courthouse was killed by other officers, County Sheriff Paul Bailey said. A deputy was also wounded. A Berrien County Commissioner told WBND that a man who was on his way to jail took a gun off a deputy and started opening fire. The employee told the Detroit Free Press that the courthouse was under lockdown and employees were being kept in their offices. Gov. Rick Snyder tweeted that state police had secured the scene and started its investigation. Sen. Marco Rubio, R-Fla., addresses the media at his Doral Headquarters, Friday afternoon, July 8, 2016, in Doral, Fla. Rubio spoke about the Dallas police shootings and the recent shootings of civilians, Philando Castile and Alton Sterling. (Emily Michot/Miami Herald via AP) SHARE By Ledyard King, USA TODAY WASHINGTON Most analysts predicted GOP Sen. Marco Rubio would not have much trouble marshaling resources and support in his re-election bid. And they were right. Rubio, who is trying to rebuff a primary challenge from Manatee County businessman Carlos Beruff and win election to a second term this fall, scored endorsements this week from an important cadre of key conservative players: the U.S. Chamber of Commerce, the Tea Party Patriots and the National Rifle Association. "Marco Rubio is a strong advocate for the rights of law-abiding gun owners and sportsmen," NRA Executive Director Chris Cox said. "He has fought to preserve our Second Amendment freedoms and hunting heritage, and stood strong against President Obama, Hillary Clinton and former New York City billionaire Mayor Michael Bloomberg's extreme gun-control agenda." The NRA endorsement brought immediate condemnation from Allison Tant, who chairs the Florida Democratic Party. "It's hardly surprising that the NRA would back Marco Rubio, who, after claiming the shooting in Orlando changed his mind on public service, voted against commonsense gun safety measures backed by more than eight in 10 Floridians" Tant said in a statement. "The NRA knows Rubio can be counted on to look out for the gun lobby no matter what his constituents think. Unfortunately for Rubio, the people of Florida have had enough with the NRA's insistence that nothing can be done to prevent gun violence." On Friday, Rubio had more good news: His campaign raised $2.1 million between June 22 when he announced he would reverse course and run for re-election and June 30, the end of the latest campaign finance reporting period. That's a large amount to raise in less than 1 weeks. Polls already show Rubio well ahead of Beruff among GOP voters for the Aug. 30 primary nomination. Beruff, a real estate developer who has hinted he might spend up to $20 million in the race, has criticized Rubio for breaking his pledge to not run for re-election, for being a "no-show" in Congress when he was on the campaign trail, and for not willing to promise he would serve a full six-year term if elected to a second term. Rubio to skip convention During the presidential campaign, Marco Rubio called Donald Trump "a con man" who couldn't be trusted. Then after Trump became the presumptive GOP nominee, the Florida senator said he planned to support him and would even be willing to speak at the convention on his behalf. Now Rubio is saying he won't even go to Cleveland to participate in Trump's official nomination. "Florida has always been a competitive state, and it will be this fall," Rubio campaign spokeswoman Olivia Perez-Cubas said this week. "Marco had planned to go to the convention before he decided to seek re-election. Since Marco got into the race late, he will be in Florida campaigning and meeting with voters instead of going to Ohio." Rubio has been doing a zigzag on Trump not unlike many other prominent Republicans who say they want to back the brash billionaire but are put off by some of his statements and positions. Rubio has been unequivocal that given a choice between presumptive Democratic nominee Hillary Clinton and Trump in November, he would pick the Republican. Prospects for Zika deal look bleak Congress has one more week to reach a deal to fund the fight against Zika before they leave for a seven-week recess. It may already be too late. Democrats and Republicans in the House and Senate keep pointing fingers, predictably blaming each other for failing to pass a spending bill that would provide millions for vaccine research, insect control and testing kits to combat a disease that's mainly spread by mosquitoes and can cause severe birth defects or paralysis. "Funding our nation's Zika response is something that simply cannot wait any longer and it cannot be used as a vehicle to advance partisan, ideological positions," Sen. Bill Nelson, D-Fla., wrote in a letter Thursday to Senate Majority Leader Mitch McConnell, R-Ky. Nelson was referring to a $1.1 billion Zika bill with a number of provisions unpalatable to Democrats, including $750 million in budget cuts to other health care programs. He wants McConnell to take up a clean version of the funding bill. "It's disgraceful that Zika funds have been stonewalled again by dysfunctional Washington politics," countered GOP Rep. Vern Buchanan, who represents Sarasota. "The Senate Democrats have yet another opportunity to show they are serious about getting much-needed resources to our communities before more Americans are infected." Florida is ground zero in the effort to combat Zika. Since July 1 the state Department of Health has reported 27 cases of the disease. The state already has more than 260 reported cases. To date, all the cases in the mainland United States involve someone who has been infected elsewhere but was diagnosed after they arrived in the continental U.S. Health officials say it's probable that there's already a case of local transmission along the Gulf Coast that just hasn't been discovered. In addition, they say that even if lawmakers were able to agree to a Zika compromise by next week, it's probably too late to do much for this mosquito season given the arrival of summer. On Friday a Utah woman became the first person on the U.S. mainland to die after becoming infected with the Zika virus, the Salt Lake County Health Department announced. lawmakers want better-performing workers Two Florida GOP lawmakers want to make it tougher for federal workers to misbehave. Rep. Bill Posey thinks Hillary Clinton's mishandling of classified information on a private email server sends a bad message to government employees. So the Space Coast Republican this week successfully inserted an amendment to a spending bill that would bar federal workers from using private, unsecure email servers to conduct official government business. "This would ensure that the time and taxpayer money invested in the security of sensitive information will not be undermined by carelessness or misunderstandings," Posey said on the floor before it passed by voice vote. "By passing this amendment, we will significantly improve the security of our government IT." The measure came a day after FBI Director James Comey announced his recommendation that neither Clinton nor her aides be prosecuted criminally even though they were "extremely careless" in their handling of classified information. Attorney General Loretta Lynch formally closed the inquiry Wednesday. Republican Jeff Miller, who represents the western Panhandle, introduced a bill this week that would strengthen whistleblower protections "and help fix the Department of Veterans Affairs' biggest problem its pervasive lack of accountability for misbehaving employees." Miller is the chairman of the House Committee on Veterans Affairs and has been a leading congressional critic of the VA's treatment of veterans. His bill would shorten the time disciplined rank-and-file employees can appeal their punishment from more than a year on average to no more than 77 days; remove certain protections for VA senior executives appealing their discipline, and authorize the VA secretary to recoup bonuses and relocation expenses from misbehaving employees, among other provisions. "The biggest obstacle standing in the way of VA reform is the department's pervasive lack of accountability among employees at all levels," Miller said. "Until this problem is fixed once and for all, long-term efforts to reform VA are doomed to fail." The whale was last seen on Friday in the North Coast's Marabella The current location of the fin whale spotted off of Egypt's North Coast is currently unknown after it was last seen on Friday at 9am at the Marabella compound, according to an environment ministry statement issued Sunday. It was seen at 800 meters from the shore, in a deep area connected to open sea. "Since then, [the monitoring team] neither spotted it nor received any reports about it from citizens," the statement read. The ministry also denied that the whale was hunted and said videos shared on social media of a dead whale are old incidents, including one from May in which a sperm whale washed ashore in Marsa Matrouh. "The environment ministry assures that it does not aim at hunting the whale, as it violates international agreements," the statement read. "The team aims at helping the whale towards open sea." Fin whales are an endangered species that is usually harmless to humans if unprovoked, according to an earlier environment ministry statement. Environment minister Khaled Fahmy went to Maraqya and Marina in the North Coast where the fin whale was spotted last Tuesday and Wednesday, but found no sign of the whale. The ministry's monitoring team will remain in the North Coast to dive near the whale, if spotted again, in order to check on its health status and make sure it is neither injured nor ill. Search Keywords: Short link: The flight duration is estimated to be 50 hours 30 minutes The solar-powered airplane Solar Impulse 2, operated by pilot Andre Borscherg, took off from Seville, Spain, early Monday at 6:20 CET (7:20 AM Cairo time) for a 50 hour and 30 minute flight to Cairo. "This is Solar Impulses penultimate flight before reaching their long-awaited finish line and starting point, Abu Dhabi," a travel update statement said. The Swiss-made, solar-powered plane travels at an average speed of 75 km/h and travels at a maximum altitude of 28,000 feet (about 8500 meters), according to Solar Impulse's website. The plane utilizes 17,248 solar cells that render fuel consumption unnecessary. The aircraft weighs 2.3 tons (about 2080 kilograms) and has a wingspan of 72 meters. "Although this is our second to last flight, the challenges of finishing this round-the-world tour remain as true as always," the statement said. Search Keywords: Short link: Egypt has foiled a third attempt of illegal immigration in less than 24 hours, the military spokesman announced Monday. Brig. Gen. Mohamed Samir said in an official statement that the Egyptian navy inspected a fishing boat sailing off the coast of Alexandria, where it discovered 179 people of different nationalities including Egypt, Sudan, Comoros, Eretria, Guinea, and Ghana - on board. According to Samir, the boat was destined for southern Europe. He did not specify which country the board was expected to land. Those aboard of the boat where transferred to Abu Qir port where they were provided medical care and then handed over to other investigating authorities to undergo legal procedures. On Sunday, the naval forces intercepted a boat near Alexandria and found it was carrying 143 people of different nationalities - including Egyptians, Sudanese, Syrians, Palestinians and Ethiopians - heading to Europe. The forces also managed to stop another boat carrying five people from Egypt in addition to four crew members near Alexandria. In recent years, thousands of refugees and migrants have attempted to cross the Mediterranean in search of better jobs and opportunities. Hundreds have been arrested in Egypt for attempting to migrate illegally. Search Keywords: Short link: Four Egyptian policemen were killed and six others were injured in two blasts in North Sinai, Al-Ahram Arabic news website reported. According to security sources and eyewitnesses, unidentified assailants planted an improvised explosive device (IED) on a north Al-Arish road. The IED then detonated while a police vehicle was passing, killing a first lieutenant and a first sergeant and injuring three other police members. In another blast, a brigadier general and a conscript were killed, and three other conscripts were injured after an IED exploded in Al-Montabh in central Sinai when an IED targeted a patrolling police vehicle. No group has yet claimed responsibility for the attacks. The two attacks come only one day after Egypt's army announced both the army and police forces were able to successfully thwart an attack that was set to target a security checkpoint in the North Sinai city of Sheikh Zuweid. The latest announced operations against militants come in continuation of the security forces' Operation Martyrs' Right, a comprehensive joint military and police operation that started in September 2015. Search Keywords: Short link: (NaturalNews) In a highly controversial, unprecedented motion, members of the Allegheny County Health Department in Pittsburgh, PA, have publicly revealed that they're considering administering a countywide mandate to require all 7th grade girls and boys to receive the HPV vaccine before receiving admittance into school for the 201718 school year. Since the news broke last week, natural health advocates and anti-vaccine activists have been in an outrage, condemning the corporate Big Pharma-funded mandate.The HPV vaccine is readily available to the public; that, coupled with the fact that the Human papillomavirus is transmitted exclusively through sexual activity, makes the necessity of administering a vaccine with such controversial side effects to grade school children absurd.If passed, the mandate would make Allegheny County the only county in the state to have different vaccine regulations to the rest of Pennsylvania. While the mandate would only affect school children attending schools within Allegheny County, many fear that if the mandate is a success, other U.S. counties and states could easily follow suit.The plot thickens, as Health Impact News reported that medical professionals who spoke in favor of administering the HPV vaccine at a recent meeting organized by the Allegheny County Health Department, had "conflicts of interest related to vaccine manufacturers that they failed to disclose."The problem doesn't only lie in the fact that county officials are attempting to forcibly administer Big Pharma vaccines to the public, but that they're attempting to administer arguably theof all vaccines to children.In January 2016, the American College of Pediatricians (ACP), one of the world's foremost organizations dedicated to the health and well-being of children, issued a press release warning the public of the dangers of administering the HPV vaccine to children.In their report, the ACP referenced numerous cases of sterilization experienced by young women who had received Gardasil (a brand of HPV vaccine), as well as the research conducted by Dr. Sin Hang Lee, exposing fraudulent studies conducted by pharmaceutical companies in attempts to falsely alter their products' safety marketability.Scott Field, M.D., and current member of the American College of Pediatricians board of directors, warned: "It has recently come to the attention of the College that one of the recommended vaccines [HPV] could possibly be associated with the very rare but serious condition of premature ovarian failure (POF), also known as premature menopause."Field also outlined in the ACP's report that many cases of adverse reactions to HPV vaccinations often go unreported, because healthcare professionals have failed to receive the proper training in order to recognize an association between HPV vaccinations and cases of POF.Additionally, Vaccine Impact reported that an "overwhelming majority (76%) of VAERS reports [Vaccine Adverse Event Reporting System] since 2006 with ovarian failure, premature menopause, and/or amenorrhea are associated solely with Gardasil."Why Allegheny County officials would want to significantly increase the chances of their schools' 7th graders contracting life-altering diseases such as premature ovulation failure by administering mandatory HPV vaccines to their students is anyone's guess. But, the facts are there.If you are a resident of Allegheny County, and are inclined to take up arms against Big Pharma putting the health of your county's children at risk, you can take action now, and write your senator . The Allegheny County Health Department asked for public comments on the proposed mandate by July 7, with a promise to issue an official report by July 13, including "a recommendation on whether or not to proceed with mandating the HPV vaccine through the regulatory process for the 2017-18 school year. Organic standards for honey don't even exist (NaturalNews) If you're anything like me, the sweet, sticky goodness of a dollop of fresh honey over a slice of buttered bread is something of an American pastime. But if organic honey, free of pesticides the way it always was back in the old days is what you prefer, you'll have to start looking for sources outside the United States.That's because bees in the U.S. now have almost no pure land on which to forage, thanks to widespread chemical overuse in agriculture. With the exception of a handful of remaining areas where the environment is still considered pristine, most of the places where bees would otherwise seek out nectar, are located in or close to crop fields where chemicals like Roundup (glyphosate) are sprayed regularly.Even in "wild" areas untouched by these synthetic inputs, bees are coming into contact with tainted nectar in nearby fields, where pesticides, herbicides and insecticides are being used. Bees, after all, are willing and able to travel up to five miles, or even more in some cases, if it means finding good nectar, and there's no telling what they encounter along the way.North Dakota is considered to be one of the last good places in North America to raise honeybees, and even here foraging areas are becoming scarce. According to Civil Eats , less than 10 percent of North Dakota's native grassland prairies remain, and in just the last 10 years, the state has lost at least 100,000 acres of grasslands to agricultural crops primarily genetically-modified (GMO) corn and soybeans."It takes two million individual blossoms to produce a pound of honey ," Zac Browning, owner of Browning's Honey Company in Jamestown, toldThis is an exceptional amount of flora needed to produce enough honey for Americans, especially considering demand for honey has increased more than twofold since the 1990s. At the same time, our nation produces only about two-thirds of the honey we did during that same time period, explaining why U.S. imports of foreign honey have more than tripled over the past 30 years.Much of the problem is due to unprecedented declines in bee populations, which scientists believe is caused by the very same culprit that's creating the impossibility of producing truly organic honey : chemicals. Year after year, beekeepers lose upwards of 45 percent of their hives, which entomologists say is caused by the three Ps: parasites, pathogens and pesticides.With the exception of a few areas in Hawaii, most of the mainland U.S. is unfit for organic honey production, experts say, which is why most organic honey comes from places like Mexico, India and Brazil. The U.S. Department of Agriculture (USDA) has also failed in actually establishing organic standards for honey production that legally set it apart from the conventional stuff.The USDA claims it's been working on developing finalized organic standards for honey production since 2001. But the only guidance the agency has issued since that time was a minimum 2-mile radius recommendation for organic bee foraging in 2010 , which many beekeepers say is far too small based on the distances most bees travel. The USDA has yet to modify the recommendation or solidify any actual standards.Then there's the question of whether or not the average organic honey is even legitimate, considering the fact that many imported varieties are heat-treated or otherwise processed in some way before being sold. Processing denatures the quality of honey, damaging enzymes and other nutrients that comprise its healing potential.For a clean, non-denatured, truly organic honey product, check out The Health Ranger's Organic Bee Pollen . This product from Spain is laboratory tested to be free of chemicals, and is packed with protein, carbohydrates and an array of vitamins and minerals that support vibrant health. Hartwell, L. H., Culotti, J., Pringle, J. R. & Reid, B. J. Genetic control of the cell division cycle in yeast. Science 183, 4651 (1974). Kastan, M. B. & Bartek, J. Cell-cycle checkpoints and cancer. Nature 432, 316323 (2004). Malumbres, M. & Barbacid, M. Cell cycle, CDKs and cancer: a changing paradigm. Nat. Rev. Cancer 9, 153166 (2009). Lapenna, S. & Giordano, A. Cell cycle kinases as therapeutic targets for cancer. Nat. Rev. Drug Discov. 8, 547566 (2009). Asghar, U., Witkiewicz, A. K., Turner, N. C. & Knudsen, E. S. The history and future of targeting cyclin-dependent kinases in cancer therapy. Nat. Rev. Drug Discov. 14, 130146 (2015). Finn, R. S. et al. The cyclin-dependent kinase 4/6 inhibitor palbociclib in combination with letrozole versus letrozole alone as first-line treatment of oestrogen receptor-positive, HER2-negative, advanced breast cancer (PALOMA-1/TRIO-18): a randomised phase 2 study. Lancet Oncol. 16, 2535 (2015). Shapiro, G. et al. A first-in-human phase I study of the CDK4/6 inhibitor, LY2835219, for patients with advanced cancer [abstract]. J. Clin. Oncol. 31 (Suppl.), a2500 (2013). Goldman, J. W. et al. Clinical activity of LY2835219, a novel cell cycle inhibitor selective for CDK4 and CDK6, in patients with non-small cell lung cancer [abstract]. J. Clin. Oncol. 32 (Suppl.), 8026 (2014). Patnaik, A. et al. Clinical activity of LY2835219, a novel cell cycle inhibitor selective for CDK4 and CDK6, in patients with metastatic breast cancer [abstract]. Cancer Res. CT232 (2014). Flaherty, K. T. et al. Phase I, dose-escalation trial of the oral cyclin-dependent kinase 4/6 inhibitor PD 0332991, administered using a 21-day schedule in patients with advanced cancer. Clin. Cancer Res. 18, 568576 (2012). Schwartz, G. K. et al. Phase I study of PD 0332991, a cyclin-dependent kinase inhibitor, administered in 3-week cycles (schedule 2/1). Br. J. Cancer 104, 18621868 (2011). Leonard, J. P. et al. Selective CDK4/6 inhibition with tumor responses by PD0332991 in patients with mantle cell lymphoma. Blood 119, 45974607 (2012). DeMichele, A. et al. CDK 4/6 inhibitor palbociclib (PD0332991) in Rb+ advanced breast cancer: phase II activity, safety, and predictive biomarker assessment. Clin. Cancer Res. 21, 9951001 (2015). Infante, J. R. et al. A phase I study of the single-agent CDK4/6 inhibitor LEE011 in pts with advanced solid tumors and lymphomas [abstract]. J. Clin. Oncol. 32 (Suppl.), 2528 (2014). Sosman, J. A. et al. A phase 1b/2 study of LEE011 in combination with binimetinib (MEK162) in patients with NRAS-mutant melanoma: early encouraging clinical activity [abstract]. J. Clin. Oncol. 32 (Suppl.), 9009 (2014). Munster, P. N. et al. Phase lb study of LEE011 and BYL719 in combination with letrozole in estrogen receptor-positive, HER2-negative breast cancer (ER+, HER2 BC) [abstract]. J. Clin. Oncol. 32 (Suppl.), 533 (2014). Juric, D. et al. Abstract P5-19-24: phase Ib/II study of LEE011 and BYL719 and letrozole in ER+, HER2 breast cancer: safety, preliminary efficacy and molecular analysis. Cancer Res. 75, P5-19-24 (2015). Turner, N. C. et al. Palbociclib in hormone-receptor-positive advanced breast cancer. N. Engl. J. Med. 373, 209219 (2015). Cristofanilli, M. et al. Fulvestrant plus palbociclib versus fulvestrant plus placebo for treatment of hormone-receptor-positive, HER2-negative metastatic breast cancer that progressed on previous endocrine therapy (PALOMA-3): final analysis of the multicentre, double-blind, phase 3 randomised controlled trial. Lancet Oncol. http://dx.doi.org/10.1016/S1470-2045(15)00613-0 Hartwell, L. H. Saccharomyces cerevisiae cell cycle. Bacteriol. Rev. 38, 164 (1974). Nurse, P. M. Cyclin dependent kinases and cell cycle control. Biosci. Rep. 22, 487499 (2002). Doree, M. & Hunt, T. From Cdc2 to Cdk1: when did the cell cycle kinase join its cyclin partner? J. Cell Sci. 115, 24612464 (2002). Evans, T., Rosenthal, E. T., Youngblom, J., Distel, D. & Hunt, T. Cyclin: a protein specified by maternal mRNA in sea urchin eggs that is destroyed at each cleavage division. Cell 33, 389396 (1983). Pines, J. & Hunter, T. Human cyclin A is adenovirus E1A-associated protein p60 and behaves differently from cyclin B. Nature 346, 760763 (1990). Tsai, L.-H., Harlow, E. & Meyerson, M. Isolation of the human cdk2 gene that encodes the cyclin A and adenovirus E1A-associated p33 kinase. Nature 353, 174177 (1991). Blagosklonny, M. V. & Pardee, A. B. The restriction point of the cell cycle. Cell Cycle 1, 102109 (2002). Lew, D. J., Dulic, V. & Reed, S. I. Isolation of three novel human cyclins by rescue of G1 cyclin (cln) function in yeast. Cell 66, 11971206 (1991). Matsushime, H., Roussel, M. F., Ashmun, R. A. & Sherr, C. J. Colony-stimulating factor 1 regulates novel cyclins during the G1 phase of the cell cycle. Cell 65, 701713 (1991). Xiong, Y., Connolly, T., Futcher, B. & Beach, D. Human D-type cyclin. Cell 65, 691699 (1991). Baldin, V., Lukas, J., Marcote, M. J., Pagano, M. & Draetta, G. Cyclin D1 is a nuclear protein required for cell cycle progression in G1. Genes Dev. 7, 812821 (1993). Sherr, C. J. & Roberts, J. M. CDK inhibitors: positive and negative regulators of G1-phase progression. Genes Dev. 13, 15011512 (1999). Aktas, H., Cai, H. & Cooper, G. M. Ras links growth factor signaling to the cell cycle machinery via regulation of cyclin D1 and the Cdk inhibitor p27KIP1. Mol. Cell. Biol. 17, 38503857 (1997). Peeper, D. S. et al. Ras signalling linked to the cell-cycle machinery by the retinoblastoma protein. Nature 386, 177181 (1997). Matsushime, H. et al. Identification and properties of an atypical catalytic subunit (p34PSK-J3/cdk4) for mammalian D type G1 cyclins. Cell 71, 323334 (1992). Kato, J., Matsushime, H., Hiebert, S. W., Ewen, M. E. & Sherr, C. J. Direct binding of cyclin D to the retinoblastoma gene product (pRb) and pRb phosphorylation by the cyclin D-dependent kinase CDK4. Genes Dev. 7, 331331 (1993). Meyerson, M. & Harlow, E. Identification of G1 kinase activity for cdk6, a novel cyclin D partner. Mol. Cell. Biol. 14, 20772086 (1994). Weintraub, S. J., Prater, C. A. & Dean, D. C. Retinoblastoma protein switches the E2F site from positive to negative element. Nature 358, 259261 (1992). Hiebert, S. W., Chellappan, S. P., Horowitz, J. M. & Nevins, J. R. The interaction of RB with E2F coincides with an inhibition of the transcriptional activity of E2F. Genes Dev. 6, 177185 (1992). Sellers, W. R., Rodgers, J. W. & Kaelin, W. G. Jr. A potent transrepression domain in the retinoblastoma protein induces a cell cycle arrest when bound to E2F sites. Proc. Natl Acad. Sci. USA 92, 1154411548 (1995). Weintraub, S. J. et al. Mechanism of active transcriptional repression by the retinoblastoma protein. Nature 375, 812816 (1995). Goodrich, D. W., Wang, N. P., Qian, Y.-W., Lee, E. Y.-H. P. & Lee, W.-H. The retinoblastoma gene product regulates progression through the G1 phase of the cell cycle. Cell 67, 293302 (1991). Harbour, J. W., Luo, R. X., Santi, A. D., Postigo, A. A. & Dean, D. C. Cdk phosphorylation triggers sequential intramolecular interactions that progressively block Rb functions as cells move through G1. Cell 98, 859869 (1999). Pagano, M., Draetta, G. & Jansen-Durr, P. Association of cdk2 kinase with the transcription factor E2F during S phase. Science 255, 11441147 (1992). Devoto, S. H., Mudryj, M., Pines, J., Hunter, T. & Nevins, J. R. A cyclin Aprotein kinase complex possesses sequence-specific DNA binding activity: 33cdk2 is a component of the E2Fcyclin A complex. Cell 68, 167176 (1992). Lees, E., Faha, B., Dulic, V., Reed, S. & Harlow, E. Cyclin E/cdk2 and cyclin A/cdk2 kinases associate with p107 and E2F in a temporally distinct manner. Genes Dev. 6, 18741885 (1992). DeCaprio, J. A. et al. The product of the retinoblastoma susceptibility gene has properties of a cell cycle regulatory element. Cell 58, 10851095 (1989). Chen, P.-L., Scully, P., Shew, J.-Y., Wang, J. Y. J. & Lee, W.-H. Phosphorylation of the retinoblastoma gene product is modulated during the cell cycle and cellular differentiation. Cell 58, 11931198 (1989). Buchkovich, K., Duffy, L. A. & Harlow, E. The retinoblastoma protein is phosphorylated during specific phases of the cell cycle. Cell 58, 10971105 (1989). Classon, M. & Harlow, E. The retinoblastoma tumour suppressor in development and cancer. Nat. Rev. Cancer 2, 910917 (2002). Zhang, H. S. et al. Exit from G1 and S phase of the cell cycle is regulated by repressor complexes containing HDAC-Rb-hSWI/SNF and Rb-hSWI/SNF. Cell 101, 7989 (2000). Luo, R. X., Postigo, A. A. & Dean, D. C. Rb interacts with histone deacetylase to repress transcription. Cell 92, 463473 (1998). Serrano, M., Hannon, G. J. & Beach, D. A new regulatory motif in cell-cycle control causing specific inhibition of cyclin D/CDK4. Nature 366, 704707 (1993). Hannon, G. J. & Beach, D. p15INK4B is a potential effector of TGF--induced cell cycle arrest. Nature 371, 257261 (1994). Hirai, H., Roussel, M. F., Kato, J., Ashmun, R. A. & Sherr, C. J. Novel INK4 proteins, 19 and p18, are specific inhibitors of the cyclin D-dependent kinases CDK4 and CDK6. Mol. Cell. Biol. 15, 26722681 (1995). Chan, F., Zhang, J., Cheng, L., Shapiro, D. N. & Winoto, A. Identification of human and mouse p19, a novel CDK4 and CDK6 inhibitor with homology to p16ink4. Mol. Cell. Biol. 15, 26822688 (1995). Serrano, M., Lin, A. W., McCurrach, M. E., Beach, D. & Lowe, S. W. Oncogenic ras provokes premature cell senescence associated with accumulation of p53 and p16INK4a. Cell 88, 593602 (1997). Zhang, H. S., Postigo, A. A. & Dean, D. C. Active transcriptional repression by the RbE2F complex mediates G1 arrest triggered by p16INK4a, TGF, and contact inhibition. Cell 97, 5361 (1999). Wieser, R. J., Faust, D., Dietrich, C. & Oesch, F. p16INK4 mediates contact-inhibition of growth. Oncogene 18, 277281 (1999). Okamoto, A. et al. Mutations and altered expression of p16INK4 in human cancer. Proc. Natl Acad. Sci. USA 91, 1104511049 (1994). Shapiro, G. I. et al. Reciprocal Rb inactivation and p16INK4 expression in primary lung cancers and cell Lines. Cancer Res. 55, 505509 (1995). Kratzke, R. A. et al. Rb and p16INK4a expression in resected non-small cell lung tumors. Cancer Res. 56, 34153420 (1996). Benedict, W. F. et al. Level of retinoblastoma protein expression correlates with p16 (MTS-1/INK4A/CDKN2) status in bladder cancer. Oncogene 18, 11971203 (1999). Zerfass-Thome, K. et al. p27KIP1 blocks cyclin E-dependent transactivation of cyclin A gene expression. Mol. Cell. Biol. 17, 407415 (1997). Wade Harper, J., Adami, G. R., Wei, N., Keyomarsi, K. & Elledge, S. J. The p21 Cdk-interacting protein Cip1 is a potent inhibitor of G1 cyclin-dependent kinases. Cell 75, 805816 (1993). Toyoshima, H. & Hunter, T. p27, a novel inhibitor of G1 cyclin-Cdk protein kinase activity, is related to p21. Cell 78, 6774 (1994). Polyak, K. et al. Cloning of p27KIP1, a cyclin-dependent kinase inhibitor and a potential mediator of extracellular antimitogenic signals. Cell 78, 5966 (1994). Lee, M. H., Reynisdottir, I. & Massague, J. Cloning of p57KIP2, a cyclin-dependent kinase inhibitor with unique domain structure and tissue distribution. Genes Dev. 9, 639649 (1995). Matsuoka, S. et al. p57KIP2, a structurally distinct member of the p21CIP1 Cdk inhibitor family, is a candidate tumor suppressor gene. Genes Dev. 9, 650662 (1995). Lamphere, L. et al. Interaction between Cdc37 and Cdk4 in human cells. Oncogene 14, 19992004 (1997). Zhao, Q., Boschelli, F., Caplan, A. J. & Arndt, K. T. Identification of a conserved sequence motif that promotes Cdc37 and cyclin D1 binding to Cdk4. J. Biol. Chem. 279, 1256012564 (2004). Stepanova, L., Leng, X., Parker, S. B. & Harper, J. W. Mammalian p50Cdc37 is a protein kinase-targeting subunit of Hsp90 that binds and stabilizes Cdk4. Genes Dev. 10, 14911502 (1996). Medema, R. H., Herrera, R. E., Lam, F. & Weinberg, R. A. Growth suppression by p16ink4 requires functional retinoblastoma protein. Proc. Natl Acad. Sci. USA 92, 62896293 (1995). Harper, J. W. et al. Inhibition of cyclin-dependent kinases by p21. Mol. Biol. Cell 6, 387400 (1995). Blain, S. W., Montalvo, E. & Massague, J. Differential interaction of the cyclin-dependent kinase (Cdk) inhibitor p27Kip1 with cyclin ACdk2 and cyclin D2Cdk4. J. Biol. Chem. 272, 2586325872 (1997). McConnell, B. B., Gregory, F. J., Stott, F. J., Hara, E. & Peters, G. Induced expression of p16INK4a inhibits both CDK4- and CDK2-associated kinase activity by reassortment of cyclinCDKinhibitor complexes. Mol. Cell. Biol. 19, 19811989 (1999). Parry, D., Mahony, D., Wills, K. & Lees, E. Cyclin DCDK subunit arrangement is dependent on the availability of competing INK4 and p21 class inhibitors. Mol. Cell. Biol. 19, 17751783 (1999). LaBaer, J. et al. New functional activities for the p21 family of CDK inhibitors. Genes Dev. 11, 8478862 (1997). Rane, S. G. et al. Loss of Cdk4 expression causes insulin-deficient diabetes and Cdk4 activation results in -islet cell hyperplasia. Nat. Genet. 22, 4452 (1999). Tsutsui, T. et al. Targeted disruption of CDK4 delays cell cycle entry with enhanced p27Kip1 activity. Mol. Cell. Biol. 19, 70117019 (1999). Martin, J. et al. Genetic rescue of Cdk4 null mice restores pancreatic -cell proliferation but not homeostatic cell number. Oncogene 22, 52615269 (2003). Malumbres, M. et al. Mammalian cells cycle without the D-type cyclin-dependent kinases Cdk4 and Cdk6. Cell 118, 493504 (2004). Spencer, S. L. et al. The proliferation-quiescence decision is controlled by a bifurcation in CDK2 activity at mitotic exit. Cell 155, 369383 (2013). Tetsu, O. & McCormick, F. Proliferation of cancer cells despite CDK2 inhibition. Cancer Cell 3, 233245 (2003). Santamaria, D. et al. Cdk1 is sufficient to drive the mammalian cell cycle. Nature 448, 811815 (2007). Xiong, Y., Zhang, H. & Beach, D. D type cyclins associate with multiple protein kinases and the DNA replication and repair factor PCNA. Cell 71, 505514 (1992). Ren, S. & Rollins, B. J. Cyclin C/cdk3 promotes Rb-dependent G0 exit. Cell 117, 239251 (2004). Rochette-Egly, C., Adam, S., Rossignol, M., Egly, J.-M. & Chambon, P. Stimulation of RAR activation function AF-1 through binding to the general transcription factor TFIIH and phosphorylation by CDK7. Cell 90, 97107 (1997). Tirode, F., Busso, D., Coin, F. & Egly, J.-M. Reconstitution of the transcription factor TFIIH: assignment of functions for the three enzymatic subunits, XPB, XPD, and cdk7. Mol. Cell 3, 8795 (1999). Wallenfang, M. R. & Seydoux, G. cdk-7 is required for mRNA transcription and cell cycle progression in Caenorhabditis elegans embryos. Proc. Natl Acad. Sci. USA 99, 55275532 (2002). Firestein, R. et al. CDK8 is a colorectal cancer oncogene that regulates -catenin activity. Nature 455, 547551 (2008). Nguyen, V. T., Kiss, T., Michels, A. A. & Bensaude, O. 7SK small nuclear RNA binds to and inhibits the activity of CDK9/cyclin T complexes. Nature 414, 322325 (2001). Yang, Z., Zhu, Q., Luo, K. & Zhou, Q. The 7SK small nuclear RNA inhibits the CDK9/cyclin T1 kinase to control transcription. Nature 414, 317322 (2001). Rathkopf, D. et al. Phase I study of flavopiridol with oxaliplatin and fluorouracil/leucovorin in advanced solid tumors. Clin. Cancer Res. 15, 74057411 (2009). Byrd, J. C. et al. Treatment of relapsed chronic lymphocytic leukemia by 72-hour continuous infusion or 1-hour bolus infusion of flavopiridol: results from Cancer and Leukemia Group B Study 19805. Clin. Cancer Res. 11, 41764181 (2005). Byrd, J. C. et al. Flavopiridol administered using a pharmacologically derived schedule is associated with marked clinical efficacy in refractory, genetically high-risk chronic lymphocytic leukemia. Blood 109, 399404 (2006). Schwartz, G. K. et al. Phase I study of the cyclin-dependent kinase inhibitor flavopiridol in combination with paclitaxel in patients with advanced solid tumors. J. Clin. Oncol. 20, 21572170 (2002). Luke, J. J. et al. The cyclin-dependent kinase inhibitor flavopiridol potentiates doxorubicin efficacy in advanced sarcomas: preclinical investigations and results of a phase I dose-escalation clinical trial. Clin. Cancer Res. 18, 26382647 (2012). Shah, M. A. et al. A phase I clinical trial of the sequential combination of irinotecan followed by flavopiridol. Clin. Cancer Res. 11, 38363845 (2005). Benson, C. et al. A phase I trial of the selective oral cyclin-dependent kinase inhibitor seliciclib (CYC202; R-Roscovitine), administered twice daily for 7 days every 21 days. Br. J. Cancer 96, 2937 (2007). Le Tourneau, C. et al. Phase I evaluation of seliciclib (R-roscovitine), a novel oral cyclin-dependent kinase inhibitor, in patients with advanced malignancies. Eur. J. Cancer 46, 32433250 (2010). Choi, Y. J. et al. The requirement for cyclin D function in tumor maintenance. Cancer Cell 22, 438451 (2012). Sawai, C. M. et al. Therapeutic targeting of the cyclin D3:CDK4/6 complex in T cell leukemia. Cancer Cell 22, 452465 (2012). Erikson, J., Finan, J., Tsujimoto, Y., Nowell, P. C. & Croce, C. M. The chromosome 14 breakpoint in neoplastic B cells with the t(11;14) translocation involves the immunoglobulin heavy chain locus. Proc. Natl Acad. Sci. USA 81, 41444148 (1984). Bosch, F. et al. PRAD-1/cyclin D1 gene overexpression in chronic lymphoproliferative disorders: a highly specific marker of mantle cell lymphoma. Blood 84, 27262732 (1994). Rosenberg, C. L. et al. PRAD1, a candidate BCL1 oncogene: mapping and expression in centrocytic lymphoma. Proc. Natl Acad. Sci. USA 88, 96389542 (1991). Tsujimoto, Y. et al. Molecular cloning of the chromosomal breakpoint of B-cell lymphomas and leukemias with the t(11;14) chromosome translocation. Science 224, 14031406 (1984). Akervall, J. A. et al. Amplification of cyclin D1 in squamous cell carcinoma of the head and neck and the prognostic value of chromosomal abnormalities and cyclin D1 overexpression. Cancer 79, 380389 (1997). Michalides, R. et al. Overexpression of cyclin D1 correlates with recurrence in a group of forty-seven operable squamous cell carcinomas of the head and neck. Cancer Res. 55, 975978 (1995). Jares, P. et al. PRAD-1/cyclin D1 gene amplification correlates with messenger RNA overexpression and tumor progression in human laryngeal carcinomas. Cancer Res. 54, 48134817 (1994). Bova, R. J. et al. Cyclin D1 and p16INK4A expression predict reduced survival in carcinoma of the anterior tongue. Clin. Cancer Res. 5, 28102819 (1999). Gillett, C. et al. Amplification and overexpression of cyclin D1 in breast cancer detected by immunohistochemical staining. Cancer Res. 54, 18121817 (1994). Weinstat-Saslow, D. et al. Overexpression of cyclin D mRNA distinguishes invasive and in situ breast carcinomas from non-malignant lesions. Nat. Med. 1, 12571260 (1995). Kenny, F. S. et al. Overexpression of cyclin D1 messenger RNA predicts for poor prognosis in estrogen receptor-positive breast cancer. Clin. Cancer Res. 5, 20692076 (1999). McIntosh, G. G. et al. Determination of the prognostic value of cyclin D1 overexpression in breast cancer. Oncogene 11, 885891 (1995). Yu, Q. et al. Requirement for CDK4 kinase function in breast cancer. Cancer Cell 9, 2332 (2006). Betticher, D. C. et al. Prognostic significance of CCND1 (cyclin D1) overexpression in primary resected non-small-cell lung cancer. Br. J. Cancer 73, 294 (1996). Gautschi, O., Ratschiller, D., Gugger, M., Betticher, D. C. & Heighway, J. Cyclin D1 in non-small cell lung cancer: a key driver of malignant transformation. Lung Cancer 55, 114 (2007). Jiang, W. et al. Altered expression of the cyclin D1 and retinoblastoma genes in human esophageal cancer. Proc. Natl Acad. Sci. USA 90, 90269030 (1993). Jiang, W. et al. Amplification and expression of the human cyclin D gene in esophageal cancer. Cancer Res. 52, 29802983 (1992). Smalley, K. S. et al. Increased cyclin D1 expression can mediate BRAF inhibitor resistance in BRAF V600E-mutated melanomas. Mol. Cancer Ther. 7, 28762883 (2008). Curtin, J. A. et al. Distinct sets of genetic alterations in melanoma. N. Engl. J. Med. 353, 21352147 (2005). Chraybi, M. et al. Oncogene abnormalities in a series of primary melanomas of the sinonasal tract: NRAS mutations and cyclin D1 amplification are more frequent than KIT or BRAF mutations. Hum. Pathol. 44, 19021911 (2013). Brennan, Cameron, W. et al. The somatic genomic landscape of glioblastoma. Cell 155, 462477 (2013). Sottoriva, A. et al. Intratumor heterogeneity in human glioblastoma reflects cancer evolutionary dynamics. Proc. Natl Acad. Sci. USA 110, 40094014 (2013). Barretina, J. et al. Subtype-specific genomic alterations define new targets for soft-tissue sarcoma therapy. Nat. Genet. 42, 715721 (2010). Italiano, A. et al. HMGA2 is the partner of MDM2 in well-differentiated and dedifferentiated liposarcomas whereas CDK4 belongs to a distinct inconsistent amplicon. Int. J. Cancer 122, 22332241 (2008). Italiano, A. et al. Clinical and biological significance of CDK4 amplification in well-differentiated and dedifferentiated liposarcomas. Clin. Cancer Res. 15, 56965703 (2009). Cen, L. et al. p16Cdk4Rb axis controls sensitivity to a cyclin-dependent kinase inhibitor PD0332991 in glioblastoma xenograft cells. Neuro Oncol. 14, 870881 (2012). Young, R. J. et al. Loss of CDKN2A expression is a frequent event in primary invasive melanoma and correlates with sensitivity to the CDK4/6 inhibitor PD0332991 in melanoma cell lines. Pigment Cell Melanoma Res. 27, 590600 (2014). Baba, Y. et al. LINE-1 hypomethylation, DNA copy number alterations, and CDK6 amplification in esophageal squamous cell carcinoma. Clin. Cancer Res. 20, 11141124 (2014). Parker, E. P. K. et al. Sequencing of t(2;7) translocations reveals a consistent breakpoint linking CDK6 to the IGK locus in indolent B-cell neoplasia. J. Mol. Diagn. 15, 101109 (2013). Parker, E., MacDonald, J. R. & Wang, C. Molecular characterization of a t(2;7) translocation linking CDK6 to the IGK locus in CD5 monoclonal B-cell lymphocytosis. Cancer Genet. 204, 260264 (2011). Douet-Guilbert, N. et al. Translocation t(2;7)(p11;q21) associated with the CDK6/IGK rearrangement is a rare but recurrent abnormality in B-cell lymphoproliferative malignancies. Cancer Genet. 207, 8386 (2014). Olanich, M. E. et al. CDK4 amplification reduces sensitivity to CDK4/6 inhibition in fusion-positive rhabdomyosarcoma. Clin. Cancer Res. 21, 49474959 (2015). Zuo, L. et al. Germline mutations in the p16INK4a binding domain of CDK4 in familial melanoma. Nat. Genet. 12, 9799 (1996). FitzGerald, M. G. et al. Prevalence of germ-line mutations in p16, 19ARF, and CDK4 in familial melanoma: analysis of a clinic-based population. Proc. Natl Acad. Sci. USA 93, 85418545 (1996). Soufir, N. et al. Individuals with presumably hereditary uveal melanoma do not harbour germline mutations in the coding regions of either the P16INK4A, 14ARF or cdk4 genes. Br. J. Cancer 82, 818822 (2000). Cairns, P. et al. Frequency of homozygous deletion at p16/CDKN2 in primary human tumours. Nat. Genet. 11, 210212 (1995). Parsons, D. W. et al. An integrated genomic analysis of human glioblastoma multiforme. Science 321, 18071812 (2008). Caldas, C. et al. Frequent somatic mutations and homozygous deletions of the p16 (MTS1) gene in pancreatic adenocarcinoma. Nat. Genet. 8, 2732 (1994). Hussussian, C. J. et al. Germline p16 mutations in familial melanoma. Nat. Genet. 8, 1521 (1994). Finn, R. et al. PD 0332991, a selective cyclin D kinase 4/6 inhibitor, preferentially inhibits proliferation of luminal estrogen receptor-positive human breast cancer cell lines in vitro. Breast Cancer Res. 11, R77 (2009). Konecny, G. E. et al. Expression of p16 and retinoblastoma determines response to CDK4/6 inhibition in ovarian cancer. Clin. Cancer Res. 17, 15911602 (2011). Musgrove, E. A. & Caldon, C. E. Barraclough, J., Stone, A. & Sutherland, R. L. Cyclin D as a therapeutic target in cancer. Nat. Rev. Cancer 11, 558572 (2011). Srlie, T. et al. Repeated observation of breast tumor subtypes in independent gene expression data sets. Proc. Natl Acad. Sci. USA 100, 84188423 (2003). The Cancer Genome Atlas Network. Comprehensive molecular portraits of human breast tumours. Nature 490, 6170 (2012). Miller, T. W. et al. ER-dependent E2F transcription can mediate resistance to estrogen deprivation in human breast cancer. Cancer Discov. 1, 338351 (2011). Bosco, E. E. & Knudsen, E. S. RB in breast cancer: the crossroads of tumorigenesis and treatment. Cell Cycle 6, 667671 (2007). Ertel, A. et al. RB-pathway disruption in breast cancer: differential association with disease subtypes, disease-specific prognosis and therapeutic response. Cell Cycle 9, 41534163 (2010). Herschkowitz, J. I., He, X., Fan, C. & Perou, C. M. The functional loss of the retinoblastoma tumour suppressor is a common event in basal-like and luminal B breast carcinomas. Breast Cancer Res. 10, R75 (2008). Caldon, C. E. et al. Cyclin E2 overexpression is associated with endocrine resistance but not insensitivity to CDK2 inhibition in human breast cancer cells. Mol. Cancer Ther. 11, 148811499 (2012). Mariaule, G. & Belmont, P. Cyclin-dependent kinase inhibitors as marketed anticancer drugs: where are we now? A short survey. Molecules 19, 1436614382 (2014). Tate, S. C. et al. Semi-mechanistic pharmacokinetic/pharmacodynamic modeling of the antitumor activity of LY2835219, a new cyclin-dependent kinase 4/6 inhibitor, in mice bearing human tumor xenografts. Clin. Cancer Res. 20, 37633774 (2014). Gelbert, L. et al. Preclinical characterization of the CDK4/6 inhibitor LY2835219: in-vivo cell cycle-dependent/independent anti-tumor activities alone/in combination with gemcitabine. Invest. New Drugs 32, 825837 (2014). Fry, D. W. et al. Specific inhibition of cyclin-dependent kinase 4/6 by PD 0332991 and associated antitumor activity in human tumor xenografts. Mol. Cancer Ther. 3, 14271438 (2004). Marzec, M. et al. Mantle cell lymphoma cells express predominantly cyclin D1a isoform and are highly sensitive to selective inhibition of CDK4 kinase activity. Blood 108, 17441750 (2006). Wiedemeyer, W. R. et al. Pattern of retinoblastoma pathway inactivation dictates response to CDK4/6 inhibition in GBM. Proc. Natl Acad. Sci. USA 107, 1150111506 (2010). Michaud, K. et al. Pharmacologic inhibition of cyclin-dependent kinases 4 and 6 arrests the growth of glioblastoma multiforme intracranial xenografts. Cancer Res. 70, 32283238 (2010). Logan, J. E. et al. PD-0332991, a potent and selective inhibitor of cyclin-dependent kinase 4/6, demonstrates inhibition of proliferation in renal cell carcinoma at nanomolar concentrations and molecular markers predict for sensitivity. Anticancer Res. 33, 29973004 (2013). Rader, J. et al. Dual CDK4/CDK6 inhibition induces cell-cycle arrest and senescence in neuroblastoma. Clin. Cancer Res. 19, 61736182 (2013). Zhang, Y. X. et al. Antiproliferative effects of CDK4/6 inhibition in CDK4-amplified human liposarcoma in vitro and in vivo. Mol. Cancer Ther. 13, 21842193 (2014). Yadav, V. et al. The CDK4/6 inhibitor LY2835219 overcomes vemurafenib resistance resulting from MAPK reactivation and cyclin D1 upregulation. Mol. Cancer Ther. 13, 22532263 (2014). Toogood, P. L. et al. Discovery of a potent and selective inhibitor of cyclin-dependent kinase 4/6. J. Med. Chem. 48, 23882406 (2005). Baughn, L. B. et al. A novel orally active small molecule potently induces G1 arrest in primary myeloma cells and prevents tumor growth by specific inhibition of cyclin-dependent kinase 4/6. Cancer Res. 66, 76617667 (2006). Menu, E. et al. A novel therapeutic combination using PD 0332991 and bortezomib: study in the 5T33MM myeloma model. Cancer Res. 68, 55195523 (2008). Wang, L. et al. Pharmacologic inhibition of CDK4/6: mechanistic evidence for selective activity or acquired resistance in acute myeloid leukemia. Blood 110, 20752083 (2007). Comstock, C. E. et al. Targeting cell cycle and hormone receptor pathways in cancer. Oncogene 32, 54815491 (2013). Rivadeneira, D. B. et al. Proliferative suppression by CDK4/6 inhibition: complex function of the retinoblastoma pathway in liver tissue and hepatoma cells. Gastroenterology 138, 192011930 (2010). Lee, R. J. et al. Cyclin D1 is required for transformation by activated Neu and is induced through an E2F-dependent signaling pathway. Mol. Cell. Biol. 20, 672683 (2000). Yu, Q., Geng, Y. & Sicinski, P. Specific protection against breast cancers by cyclin D1 ablation. Nature 411, 10171021 (2001). Herrera-Abreu, M. T. et al. PI3 kinase/mTOR inhibition increases sensitivity of ER positive breast cancers to CDK4/6 inhibition by blocking cell cycle re-entry driven by cyclinD1 and inducing apoptosis. Ann. Oncol. 26 (Suppl. 3), iii29iii30 (2015). Thangavel, C. et al. Therapeutically activating RB: reestablishing cell cycle control in endocrine therapy-resistant breast cancer. Endocr. Relat. Cancer 18, 333345 (2011). Kim, S. et al. Abstract PR02: LEE011: an orally bioavailable, selective small molecule inhibitor of CDK4/6 reactivating Rb in cancer. Mol. Cancer Ther. 12, R02 (2013). Vaughn, D. J. et al. Treatment of growing teratoma syndrome. N. Engl. J. Med. 360, 423424 (2009). Schultz, K. A. P., Petronio, J., Bendel, A., Patterson, R. & Vaughn, D. J. PD0332991 (palbociclib) for treatment of pediatric intracranial growing teratoma syndrome. Pediatr. Blood Cancer 62, 10721074 (2015). Vaughn, D. J. et al. Phase 2 trial of the cyclin-dependent kinase 4/6 inhibitor palbociclib in patients with retinoblastoma protein-expressing germ cell tumors. Cancer 121, 14631468 (2015). Dickson, M. A. et al. Phase II trial of the CDK4 inhibitor PD0332991 in patients with advanced CDK4-amplified well-differentiated or dedifferentiated liposarcoma. J. Clin. Oncol. 31, 20242048 (2013). Tolaney, S. M. et al. Clinical activity of abemaciclib, an oral cell cycle inhibitor, in metastatic breast cancer [abstract]. Cancer Res. P5-19-13 (2015). Parrish, K. E. et al. Abstract C81: BBB efflux pump activity limits brain penetration of palbociclib (PD0332991) in glioblastoma. Mol. Cancer Ther. 12, C81 (2013). Sanchez-Martinez, C. et al. Abstract B234: LY2835219, a potent oral inhibitor of the cyclin-dependent kinases 4 and 6 (CDK4/6) that crosses the bloodbrain barrier and demonstrates in vivo activity against intracranial human brain tumor xenografts. Mol. Cancer Ther. 10, B234B234 (2011). Tripathy, D. et al. Phase III, randomized, double-blind, placebo-controlled study of ribociclib (LEE011) in combination with either tamoxifen and goserelin or a non-steroidal aromatase inhibitor (NSAI) and goserelin for the treatment of premenopausal women with HR+, HER2 advanced breast cancer (aBC): MONALEESA-7 [abstract]. J. Clin. Oncol. 33 (Suppl.), TPS625 (2015). Goldman, J. W. et al. Treatment rationale and study design for the JUNIPER study: a randomized phase III study of abemaciclib with best supportive care versus erlotinib with best supportive care in patients with stage IV non-small-cell lung cancer with a detectable KRAS mutation whose disease has progressed after platinum-based chemotherapy. Clin. Lung Cancer 17, 8084 (2016). Llombart, A. et al. A phase III study of abemaciclib (LY2835219) combined with fulvestrant in women with hormone receptor positive (HR+), human epidermal growth factor receptor 2 negative (HER2-) breast cancer (MONARCH 2) [abstract]. Cancer Res. 75, OT1-1-07 (2015). US National Library of Science. ClinicalTrials.gov[online], (2015). US National Library of Science. ClinicalTrials.gov[online], (2015). US National Library of Science. ClinicalTrials.gov[online], (2015). U.S. Food and Drug administration. Palbociclib. [online], (2015). Leo, A. D. et al. Final overall survival: fulvestrant 500mg versus 250mg in the randomized CONFIRM trial. J. Natl Cancer Inst. 106, 17 (2014). Abukhdeir, A. M. et al. Tamoxifen-stimulated growth of breast cancer due to p21 loss. Proc. Natl Acad. Sci. USA 105, 288293 (2008). Vora, Sadhna, R. et al. CDK 4/6 inhibitors sensitize PIK3CA mutant breast cancer to PI3K inhibitors. Cancer Cell 26, 136149 (2014). Toy, W. et al. ESR1 ligand-binding domain mutations in hormone-resistant breast cancer. Nat. Genet. 45, 14391445 (2013). Robinson, D. R. et al. Activating ESR1 mutations in hormone-resistant metastatic breast cancer. Nat. Genet. 45, 14461451 (2013). Wardell, S. E. et al. Efficacy of SERD/SERM hybrid-CDK4/6 inhibitor combinations in models of endocrine therapy resistant breast cancer. Clin. Cancer Res. 21, 51215130 (2015). Yu, Q. et al. Requirement for CDK4 kinase function in breast cancer. Cancer Cell 9, 2332 (2006). Niesvizky, R. et al. Phase 1/2 study of cyclin-dependent kinase (CDK)4/6 inhibitor palbociclib (PD-0332991) with bortezomib and dexamethasone in relapsed/refractory multiple myeloma. Leuk. Lymphoma 56, 33203328 (2015). Chiron, D. et al. Cell-cycle reprogramming for PI3K inhibition overrides a relapse-specific C481S BTK mutation revealed by longitudinal functional genomics in mantle cell lymphoma. Cancer Discov. 4, 10221035 (2014). Chiron, D. et al. Induction of prolonged early G1 arrest by CDK4/CDK6 inhibition reprograms lymphoma cells for durable PI3K inhibition through PIK3IP1. Cell Cycle 12, 18921900 (2013). Kwong, L. N. et al. Oncogenic NRAS signaling differentially regulates survival and proliferation in melanoma. Nat. Med. 18, 15031510 (2012). Ziemke, E. K. et al. Sensitivity of KRAS-mutant colorectal cancers to combination therapy that co-targets MEK and CDK4/6. Clin. Cancer Res. 22, 405414 (2015). Olson, M. F., Paterson, H. F. & Marshall, C. J. Signals from Ras and Rho GTPases interact to regulate expression of p21Waf1/Cip1. Nature 394, 295299 (1998). Mao, C. Q. et al. Synthetic lethal therapy for KRAS mutant non-small-cell lung carcinoma with nanoparticle-mediated CDK4 siRNA delivery. Mol. Ther. 22, 964973 (2014). Puyol, M. et al. A synthetic lethal interaction between K-Ras oncogenes and Cdk4 unveils a therapeutic strategy for non-small cell lung carcinoma. Cancer Cell 18, 6373 (2010). Bardia, A. et al. Phase Ib/II study of LEE011, everolimus, and exemestane in postmenopausal women with ER+/HER2-metastatic breast cancer [abstract]. J. Clin. Oncol. 32 (Suppl.), 535 (2014). Li, C. et al. AMG 925 is a dual FLT3/CDK4 inhibitor with the potential to overcome FLT3 inhibitor resistance in acute myeloid leukemia. Mol. Cancer Ther. 14, 375383 (2015). Barton, K. L. et al. PD-0332991, a CDK4/6 inhibitor, significantly prolongs survival in a genetically engineered mouse model of brainstem glioma. PLoS ONE 8, e77639 (2013). Ismail, A. et al. Early G1 cyclin-dependent kinases as prognostic markers and potential therapeutic targets in esophageal adenocarcinoma. Clin. Cancer Res. 17, 45134522 (2011). Liu, F. & Korc, M. Cdk4/6 inhibition induces epithelialmesenchymal transition and enhances invasiveness in pancreatic cancer cells. Mol. Cancer Ther. 11, 21382148 (2012). Two shark species, namely the whale shark and the winghead shark, now inch closer to extinction, after the International Union for the Conservation of Nature (IUCN) reclassified the two species as "endangered" from a "vulnerable" status on the group's Red List. Aside from the two shark species, Bornean orangutans have also been reassessed from "endangered" to "critically endangered," one step from going completely extinct. The new assessment revealed that the "growing human pressures" on these species have put them under great risk of being completely killed off, the group said in a news release. "These new IUCN Red List assessments emphasize how urgent it is for the conservation community to act strategically to protect or planet's incredible diversity of life," said Jane Smart, director of the IUCN's Global Species Programmme. Whale sharks (Rhincodon typu) are the world's largest fish. Their population dwindled by half over the last 75 years. Despite conservation efforts in various countries, such as the Philippines and India, and regulation of the whale shark trade, they are continuously being fished out of waters, usually as by-catch of tuna fishers. These slow-moving marine giants also get killed by ship propellers. Unregulated fishing is also to be blamed for the population decline of the winghead shark (Eusphyra blochii), a species of hammerhead shark. They also get entangled in fishing nets due to their distinctive shape. Bornean orangutans (Pongo pygmaeus), meanwhile, face the threat of habitat loss, as forests are turned into rubber, oil palm or paper plantations. They also face the risk of being killed off by humans. The World Wildlife Fund for Nature also reported illegal pet trade as a threat to the orangutans' number. The IUCN reported that the number of Bornean orangutans dropped to 100,000 from 288,500 in 1970s, as per The Independent. This number is expected to further shrink to 47,000 in the next nine years. The IUCN Red List is recognized as the most comprehensive and objective evaluation and listing of global conservation status of biological species. The new full list will be revealed in September. A new study from the University of Manchester revealed that mental health patients were less likely to commit suicide on weekends. The new study, published in the British Journal of Psychiatry, has found no evidence connecting the weekend effect on mental health and suicide. Furthermore, the study also showed that, contrary to previous studies, mental health patients were 12 to 15 percent less likely to take their own lives on weekend. "Although the causes of suicide are varied and complex, we do know from our previous work that the way services are organized and staffed can have an effect. In this case however, our results did not suggest a weekend effect on suicide," explained Professor Nav Kapur, from The University of Manchester and the Manchester Mental Health and Social Care Trust and lead author of the study, in a statement. For the study, researchers analyzed 5,613 suicide deaths in England between 2001 and 2013. The researchers examined the suicide deaths in inpatients, including those who had been discharged from psychiatric hospital within the previous three months and those under the care of crisis resolution home treatment teams. The researchers then found out that suicide was less likely to occur on weekends in all groups. Additionally, the researchers found that the weekend suicide rates of mental health patients were not influenced by the August effect. August effect is the time when final-year medical students become doctors and junior doctors become a grade more higher. Previous research suggests that the switching of doctors during this month could affect patient care. However, this new study found no evidence supporting the claim. Researchers noted that the study does not offer any causal reasons why suicide rates of inpatients were lower on weekends. However, they believe that the multi-disciplinary and community-based mental health services make mental health patients less affected from the weekend effect. Additionally, increase social contact between patients and their families and friends might also explain the lower weekend suicide rates. After reconciliation with Russia and Israel, can Turkey and Egypt see an end to the three years of diplomatic tension? "Turkey has not yet taken any steps on normalising relations with Egypt, but would like to restore ties," Turkish Deputy Prime Minister Numan Kurtulmus said in a press conference on Monday. The relationship between the two countries deteriorated after the 2013 ouster of Egypts Islamist president Mohamed Morsi, a close ally of Turkish President Recep Tayyip Erdogan's Justice and Development Party (AKP). Erdogan has repeatedly slammed Morsi's removal, which followed mass street protests, as a military coup. Following Turkey's successful reconciliation with both Russia and Israel, talks of a respite from the three years of tensions between Egypt and Turkey have dominated both countries' media, with opponents and supporters commenting on the move. Possibility of reconciliation Last week, the AKP's Deputy Chairman for Economic Affairs Saban Disli said that Turkey is planning on sending a group of delegates to Egypt in an attempt to patch up relations between the two countries. However, later in the same week, the AKP's Human Rights Deputy Chairman Yasin Aktay was quoted by Turkish media as saying, "it is not possible for Turkey to have a normal relationship with Egypt as long as grave human rights violations keep happening there. Egypt's foreign affairs ministry remains tight-lipped regarding any possible visit from a Turkish delegation. However, Egyptian MP Mostafa Bakry told Mehwar TV channel last week that three conditions have to be met before a Turkish delegation can visit Cairo and talks of reconciliation are opened. The conditions are for Turkey to "acknowledge and apologise for all of its crimes against Egypt and its security," to "handover to Egypt the wanted terrorist criminals and inciters," and to shut down TV channels that criticise Egypt's government. Following Morsi's ouster, Turkey opened its doors to fleeing Muslim Brotherhood members after a crackdown on the group by Egyptian authorities. Many Brotherhood figures in Turkey are wanted by Egypt on criminal charges, while others have already been sentenced in absentia to jail terms and death sentences. Turkey has also hosted and broadcasted several TV channels critical of Egypt's regime, turning a deaf ear to Egypt's repeated requests to shut them down. "The ball is in Turkey's court [for reconciliation]," Bakry concluded. Political analyst Ahmed Youssef Ahmed told Ahram Online that even though Turkey took steps to improve its foreign relations, he is not sure this direction "crystallised in regards to Egypt specifically." He says there is no "apparent strong initiative from the Turkish side for normalising relations with Egypt." He argues that Turkey sustained "heavy losses" after cutting ties with Russia, and the "consequences of severing its ties with Israel [in 2010] could also be felt," but not so much with cutting off Egypt. "Turkey has to completely stop its policy of embracing the Muslim Brotherhood and providing them with a political and media platform," Ahmed stated. Tit for tat Since July 2013, Erdogan has repeatedly criticised Egypt's leadership and domestic policies, while pro-government media in Egypt has heavily criticised Erdogan's policy towards the country. However, Egypt's President Abdel-Fattah El-Sisi, who has been known to warn of foreign schemes against the country from "evil people," has remained diplomatic in not directly naming or criticising Turkey. The pattern of back and forth statements between the two countries mainly revolves around Turkey criticising Egyptian policies and domestic affairs, and Egypt firing back that Turkey should mind its own internal issues. On 5 July, Erdogan answered a media question on whether Ankara would pursue reconciliation with Egypt by vaguely saying that "steps taken [towards reconciliation] with Russia and Israel are different." Erdogan stressed that Turkey "does not have any problem with the Egyptian people; the problem in Egypt is with its administration, in particular a problem with its leader," Anadolu news agency reported in Arabic. In a matter of hours, the Egyptian foreign ministry said it already has "reservations on dealing with the Turkish leadership, which is adamant on adopting blundering regional polices." The ministry stressed that Egypt's leadership was selected in a "free, democratic poll," adding that the "starting point of establishing a normal relationship between countries is respecting the will of the people." Egypt has, however, reacted amicably to friendly statements coming from Turkey. On 28 June, Egypt's foreign ministry welcomed a statement by Turkish Prime Minister Binali Yldrm that there "is no obstacle in the way of better commercial and economic ties with Egypt and Turkey is ready to enter a new phase." However, the ministry also noted the "contradicting statements from inside Turkey" that reflect a desire to improve relationships while at the same time not acknowledging Egypt's current regime. Whether or not Egypt and Turkey are truly moving towards reconciliation, the upcoming weeks will be the indicator. Meanwhile, political analyst Ahmed suggests that "Egypt should not do anything until it is clear that Turkey is taking genuine steps towards changing its policy towards Egypt." "The ball is in Turkey's court, really," he concluded. Search Keywords: Short link: This native Caribbean fish can change sex faster than your daily mood swings. But despite that, it remains faithful to one mate. Hermaphroditism is a trait found in species that posses both male and female reproductive organs. Although monogamy and hermaphroditism are common in fishes, both traits in one organism make the chalk bass quite uncommon. A new research published in the journal Behavioral Ecology suggests that chalk bass (Serranus tortugarum) may only be three inches small, but it can change sex up to 20 times a day. "I found it fascinating that fish with a rather unconventional reproductive strategy would end up being the ones who have these long-lasting relationships," Andrew Kratter, an ornithologist with the Florida Museum of Natural History told United Press International. "They live in large social groups with plenty of opportunities to change partners, so you wouldn't necessarily expect this level of partner fidelity," he added. An article by Leonard Ho, published in the journal Reef Scapes, notes that there are two types of hermaphroditism--the synchronous or simultaneous hermaphrodite, wherein an organism possesses both active male and active female reproductive organs at the same time, and sequential hermaphrodites, wherein an organism possesses both male and female reproductive organs, but only one is active at a given time. The chalk bass is a simultaneous hermaphrodite. Mary Hart, the lead author of the study, told National Geographic that they have not figured out why the chalk bass changes sex so many times, but hypothesizes that the act may result in a reproductive advantage for the chalk bass. Since these fish do not self fertilize, the sex switching offers each fish a return on their investment on eggs and cooperative partnership by allowing them to fertilize about as many eggs as they both produce. The technique is called "egg parceling," which the study defined as "trading sex roles with the same mating partners in repeated spawning bouts". In addition, the study revealed that chalk bass pays attention to the amount of eggs being exchanged. For example, if one partner lacks eggs, the other will simply match whatever it produces. Hart and Kratter, who are actually a couple, spent six months studying the chalk bass behavior at the Smithsonian Tropical Research Institute in Panama. They were surprised how devoted chalk bass are to their partners. "We did not witness any 'break-ups' in our long-term pairs; during each monthly census, all identified pairs were found spawning and courting with their "primary" mating partner until one or both of them disappeared," the study said. With only at most five percent of animals known to live monogamously, this is a rare find. The study may prove that sexual cooperation exists among fish. The International Union for Conservation of Nature lists the status of the chalk bass as "least concern. This fish has a depth range of two to 400 meters, but is usually recorded in less than 90 m. They are often found in aggregation on rubble, silty or sandy bottoms around the immediate periphery of reefs. Our ancestors may have been cannibals. Neanderthals are believed to burry their dead but a recent study suggests that they too, ate and broke the bones of their fellowmen mainly for food. There are evidence of cannibalism scattered in dug sites in France and the Iberian Peninsula. Some evidence of burial treatment was also discovered in Belgium and Germany. In Europe, four adolescents and one child were found; the largest group of Neanderthal human remains excavated in "Troisieme caverne" site in Goyet, Belgium. What's surprising is that the bones show signs of cut and percussion marks that can mean the bones were crushed to extract the marrow. This study expands the knowledge of researchers when it comes to Neanderthals and their dead. Undeniable signs were discovered on the Neanderthal bones excavated in Belgium. There were signs of "butchery", according to Washington Post. The pieces of bones were also scattered in the sites mixed together with bones of other species like horses and reindeers that were treated the same way. "Goyet not only provides the first unambiguous evidence of Neandertal cannibalism in Northern Europe, but also highlights considerable diversity in mortuary behaviour among the region's late Neandertal population in the period immediately preceding their disappearance," according to the study published in Nature. Aside from cannibalism, some excavated bones exhibit signs that they were used to sharpen and shape stones, according to Eurekalert. There's evidence to show that Neanderthals use the bones of their fellow in Krapina in Croatia and Les Predelles and in La Quina in France. Dr. Helene Rougier joined by Asier Gomez-Olivencia, an Ikerbasque researcher at the UPV/EHU led the study. Further analysis also showed links to the DNA of Neanderthals in Goyet, Germany and Spain that proves despite distances reached, there was a very small population of Neanderthals that inhabited Europe. Skeletal remains of Neanderthals were first discovered 150 years ago, but more than one hundred years later, the behavior and cognitive capabilities of the race is still highly debatable. A woman in Utah who was infected with Zika virus has died in late June, health officials confirmed. According to officials, the unidentified elderly woman, who was a resident of Salt Lake County in Utah, was said to have an underlying health condition and had traveled to a country where the Zika virus was spreading. "While this individual did test positive for Zika virus, the exact cause of death has not been determined, and it may not be possible to determine how the Zika infection contributed to the death," the Salt Lake City Health Department (SLCoHD) said in a report in Time.com. "Due to health privacy laws, health officials will not release further details about the individual or the individual's travel history." Health officials also confirmed that this is the first case of Zika-related death in the continental U.S. But while there is no threat of the virus infection in the area, the SLCoHD strongly urges residents to be aware of the threats before traveling to infected areas. "This unfortunate situation is a tragic reminder of how important it is to receive proper pre-travel education and to protect yourself from mosquitoes when traveling abroad," Dr. Dagmat Vitek, SLCoHD director, told Time.com. "In addition to Zika, travelers need to be mindful of other diseases found around the world, including mosquito-borne illnesses like Dengue fever, malaria, and chikungunya," Vitek added. Health officials also warn that Zika virus can spread through sexual activity, and that pregnant women or women who are trying to get pregnant should not engage in unprotected sex with partners who have recently traveled to Zika-affected areas. Moreover, the SLCoHD encourages people who are experiencing any illness after traveling to contact their health care provider, officials said in a statement reported by FoxNews.com. There are 1,132 travel-associated Zika cases in the U.S., the U.S. Centers for Disease Control and Prevention (CDC) said. Just recently, another Zika-related death was recorded in U.S. territory Puerto Rico, where the person suffered severe internal bleeding. Tattoos during prehistoric times were done using volcanic glass tools, researchers found. According to the researchers, the 3,000-year-old tools were used for doing tattoos in the South Pacific, and these prehistoric skin-piercing tools could offer insight into ancient tattooing practices. "Tattooing is a very important cultural practice in the Pacific even today," Robin Torrence, archaeologist at the Australian Museum in Sydney and co-author of the study, said in an interview with Live Science. "In fact, the English word 'tattoo' comes from a Pacific Polynesian word: tatau," Torrence added. According to the archaeologists, it is difficult to study the tattooing history as it is rare to find exceptionally preserved human remains that could be used in studying tattooing practices. The scientists, therefore, focused instead on unearthing the tools that had been used in making the skin markings in the South Pacific, although such tools are still difficult to find as they are often made of perishable materials, the researchers said. For the study, which was published in the Journal of Archaeological Science, the research team analyzed 15 obsidian artifacts from the site in Nanggu in the Solomon Islands. According to the researchers, these artifacts were reshaped from obsidian flakes - which are dark-colored glass that form naturally when lava cools - in such a way that each has a short, sharp point on the edge. The tools were believed to have been used in making cloth and other items from animal skin and hide. In 2015, the researchers performed tattoo experiments on pigskin for four months, using obsidian tools that copied the size and shape of the original artifacts found in Nanggu and charcoal and red ochre pigments. The researchers compared the tools used in the experiment and with the Nanggu artifacts and found that both sets had similar signs of wear and tear, such as blunting, chipping and thin scratches. They also found residues of blood as well as charcoal and ochre pigments on the tools. "The research demonstrates the antiquity and significance of human body decoration by tattooing as a cultural tradition amongst the earliest settlers of Oceania," Torrence said in a statement. According to the researchers, the findings may help shed light on how ancient obsidian tools were being used in other places around the world. Mayor Libby Schaaf was among dozens in attendance Sunday night at a prayer vigil in Oakland aimed at helping people deal with the grief, anger and fear over rising racial tensions gripping the country. "It's been a horrible week because weve seen our fellow man do things he should not do," Schaaf told the gathering at Beebe Memorial Cathedral. "We will be a strong voice in this country in making the changes that need to come." The service comes after fatal police shootings in Baton Rouge, Louisiana, and St. Paul, Minnesota, as well as the apprent retaliatory fatal shootings of five police officers in Dallas. The anger spilled into the streets and onto highways during peaceful and heated protests in those places and others across the nation -- including Oakland -- over the past week. In St. Paul, a protest turned violent Saturday as 21 law enforcement officers were injured by thrown rocks, bottles, firecrackers and other objects. At the Oakland vigil, there were prayers, songs and a moment of silence, and for some a sense of resolve at the end. After being shelved for years, the peace talks between Israel and the Palestinians are expected to start again, this time with unprecedented Egyptian and Arab support, with developments in the region bringing the Arab initiative back into the light and indicating that Israel is bolstering relations in the Middle East and Africa. After almost two weeks since his visit to Ramallah, Egypts foreign minister Sameh Shoukry headed to Israel where he met Israeli PM Benjamin Netanyahu to hold preliminary talks for a new peace process. Amid regional and international interest in the visit, which was not previously announced by either side, Israel clearly expressed its welcoming of Egypts first visit in nine years and the first since President Abdel-Fattah El-Sisi came to power in 2014. Our current foreign policy is successful, but to be more successful [Egypt] needs to move [quickly] with the Israeli-Palestinian peace process, and now it is time to move, the head of the Israeli Studies Department at the Egyptian Council for Foreign Affairs at Ahram, Mohamed Ibrahim, said to Ahram Online. There is a need for Egypt to move quickly towards the peace process now that Egypts relations with Israel are being revived and El-Sisis vision on the issue is being materialised, Ibrahim said, pointing out that Israel has become a main player in regional issues. Israel is developing strong diplomatic ties once more in both the Middle East and Africa, with Tel Aviv re-establishing its ties with Turkey after six years of tense relations. For the past three years Egypt's relations with Turkey have also been tense, with both diplomatic and economic relations widely affected. While Turkey tries to find itself a new role in the Palestinian Israeli negotiations, Egypt, according to observers, needs to maintain its historical role as the main, if not only, mediator between Israel and Palestinian factions, including Hamas, which currently controls Gaza. Israeli media announced that there are ongoing efforts for a meeting between El-Sisi and Netanyahu to take place. Sources in Cairo have not confirmed or denied that this was the prime reason for the current visit, though some are speculating that meeting might happen before the end of the year. Sources also said that there are currently arrangements for a visit to Egypt by both the Palestinians and the Israelis to reopen the peace talks. Netanyahu reportedly welcomed Shoukry with a strong show of hospitality, reminiscent of what El-Sisi had earlier said about the possibility of a warm peace with Israel if it shows seriousness regarding the peace process. Analyst Jack Khoury commented on the visit in a phone interview with Ahram Online, saying that Israel, from its side, is seeking to benefit as much as possible from the visit, and there have been attempts from the head of governments office and its media office to highlight the visit through photos, especially photos that show friendliness between the two." The Palestinians, on the other hand, according to Khoury, are concerned by the visit. There are speculations the Egyptians and Israelis will circumvent the French peace initiative and abort it. In fact, the French initiative has failed to materialise and this is something many on the Palestinian side realise, Mohamed Gomaa, researcher of Palestinian Affairs at the Al-Ahram Centre for Strategic Studies, told Ahram Online. France held an international conference in June, where neither Israelis nor Palestinians were invited, that aimed to reinitiate peace talks and lay the groundwork for a full-fledged peace conference to be held by the end of the year Palestinians have welcomed the French initiative but Israeli officials have said that an international conference would not work, and that only direct talks between the two sides can bring peace. What Egypt is trying to clearly do right now is crystallise a new Arab initiative to resume the peace process between Israel and the Palestinians and this initiative could be articulated in the coming Arab Summit in Mauritania, Gomaa adds. Media reports have pointed out that Shukry, during his visit to Tel Aviv, renewed Egypts El-Sisis offer to host direct talks between the Palestinian and Israeli leaderships in Cairo. Some reports went as far as talking about a tripartite summit, which would also be attended by senior officials from both Jordan and Egypt. Egyptian diplomatic sources told Ahram Online that even though Shoukry sounded hopeful, it is too early to tell whether this would happen. The Palestinian side is also disturbed by the timing of the visit as it coincides with the day that the Israeli government ratified the building of new settlements, and accordingly Netanyahu does not deserve such a prize. There are those who talk of another dimension to the visit, Kourhy said. There is the Ethiopian dam and Israels relation to several African countries and Israels influence in Africa and how that can impact Egypt there is also the issue of Turkey and the Turkish-Israeli reconciliation and its repercussions and that of energy, which is also important as the visit included the participation of Israeli energy minister Yuval Steinitz. Search Keywords: Short link: Presumptive Republican presidential nominee Donald Trump will host a big-ticket fundraiser Tuesday in Chicago, his first campaign trip to the city since his canceled rally at the UIC Pavilion in March erupted in protests and fights, sources confirmed. Though invitations for the lunch fundraiser do not list the location of the event, at least one GOP source said it is expected to take place at Trump Tower. Tickets for the event range from $10,000 to $100,000, according to Lynn Sweet of the Chicago Sun-Times. Donors making a $10,000 donation to Trumps campaign will receive a ticket for Tuesdays lunch, while a $25,000 donation yields two tickets and a photo opportunity. The biggest ticket, $100,000, gets donors access to a VIP roundtable, photo opportunity and two tickets to the lunch. Trump last visited Chicago in the lead-up to the March 15 primary. The divisive billionaire cancelled a rally at the last minute after protesters and supporters clashed at the UIC Pavilion, where the event was scheduled to take place. Nevertheless, Trump won the states Republican primary with nearly 39 percent of the vote. Trumps campaign was short on details about Tuesdays event, only noting that it was "closed to the press." The event is being hosted by Trump Victory Illinois finance chairman Ron Gidwitz, a powerful GOP fundraiser in Illinois. According to the Chicago Tribune, Trump is set to collect over $1 million in campaign funding from the event. From Chicago, Trump heads to Indianapolis, raising speculation that he may announce Indiana Gov. Mike Pence as his running mate. Pence, who is on the short list of potential VP picks for Trump, met with the presumptive Republican presidential candidate over the fourth of July holiday weekend. Trump tweeted on Monday that he was "very impressed" by the Indiana governor, who at one time had his own presidential ambitions. Trump's opponent, presumptive Democratic presidential nominee Hillary Clinton, will host her own big-ticket fundraiser Wednesday in Wilmette. The fundraiser will be hosted by Cubs co-owner Laura Ricketts and her wife, Brooke. Two people drowned and three others were rescued from the water at a northwest Indiana beach Saturday afternoon, according to the Gary Fire Department. Around 2:28 p.m., fire officials responded to a call at Wells Street Beach in Gary, Indiana. There they found 5 people stuck in powerful currents in Lake Michigan. The National Weather Service issued a Beach Hazards Statement warning beachgoers to stay out of the water Saturday, as high waves and strong currents proved treacherous. Emergency responders pulled the five people from the water, officials said. Two left on their own from the beach, and three were taken to area hospitals, according to Mark Jones, the Chief of Operations for the Gary Fire Department. Of the three that were taken to the hospital, two were pronounced dead while the third was listed in stable condition, authorities said. David Halford, 37, of the 3700 block of Colbourne St in Hobart, Indiana, and Kyle Reibly, 26, of the 1200 block of N Indiana St in Griffith, Indiana, were both pronounced dead before 4 p.m., according to the Lake County Coroner's office. Halford was initially incorrectly identified by the coroner as David Alden. Vernon Lesner was one of the people who survived the experience, and he told NBC 5 that he and three others, including his good friend David, jumped into the water to rescue a child struggling in the current. "We were having a good time, and all of a sudden someone said there's a kid in the water," Lesner said. "We had a mission," he added. "We were like, 'Hey this kid's coming back to shore, no matter what. No matter what happens, we all stick together, and that's what we did." Lesner said he was pulled from the water, and once he began breathing and regained consciousness, he asked where his friend Dave was. But Halford was already in an ambulance. Friends and family remembered Dave, a father of three, as someone who took care of everyone, even going out of his way to help strangers. "I think he's a hero," said friend Ron Smith. "He's a hero. He went out in a blaze of glory." A Lake County Sheriff's Department lieutenant faces felony charges after an allegedly hitting a man and then taking off while driving under the influence in his squad car. Lt. Guy Mikulich, 37, has been charged with operating while intoxicated causing bodily injury and leaving the scene of a personal injury crash. Indiana State Police say Mikulich's blood alcohol was .15 nearly twice the legal limit when he hit Derrick Dircks and his minivan Sunday afternoon while in uniform. The crash occurred around 4:45 p.m. when the 16-year veteran had just been released from his assigned area where he had working the Gary Air Show, police said. Dircks, a 34-year-old man from Frankfort, Illinois, had been watching the show with his family when he went to put something back in the family's minivan that was legally parked at Oak Avenue near Pike Street, police said. While Dircks was outside his minivan, he was struck by Mikulichs police cruiser, an unmarked 2011 Crown Victoria, according to authorities. Mikulich allegedly took off after the crash, but was eventually stopped by a Gary police officer about a mile and a half down the road. Police found the damage on Mikulichs vehicle to be consistent with the damage to the Dircks and his Toyota minivan. Mikulich was taken into custody, and Dircks was transported to Methodist Hospital Northlake in serious condition. Per the standard policy of the Lake County Sheriffs Department, Mikulich has been stripped of his police powers and placed on paid administrative leave. The incident is the first time the lieutenant has found himself in trouble with the department. Mikulich was previously placed on administrative leave in January after getting into a scuffle on News Years Eve at the Radisson Hotel while also in uniform, the Northwest Indiana Times reports. Records also show that in 2009 the county settled a brutality claim against the officer for $130,000, according to the Northwest Indiana Times. It is unclear if Mikulich has an attorney. He is expected to face a judge Monday. A request for comment to the Lake County sheriff was not immediately returned. A newborn baby was abandoned for possibly several hours in the backyard of a northwest Indiana home amid extremely hot temperatures Monday, police said. The baby was discovered just before 11 a.m. by a 9-year-old girl, who found the infant in the backyard of her familys home in the 18000 block of White Oak Avenue in Lowell, according to the Lake County Sheriffs Office. The newborn girl was wrapped in a black towel and had her umbilical cord and placenta still attached, the sheriffs office said. Though it was not clear how long the baby was left outside, a preliminary investigation revealed she had been left for anywhere from several hours to one day, the sheriff's office said in a release. "Its obvious that this child, from the medical reports, was born just a few hours before it was found," said Sheriff John Buncich. "It could have been overnight." Temperature highs reached to near 90 degrees Monday. The infant was taken to St. Anthonys Hospital for emergency medical treatment and is expected to make a full recovery. Buncich said the baby was being treated for sun burns, but was otherwise a "healthy young girl." Heidi Laub said her 9-year-old daughter Elysia Laub found the infant in a rural area near a creek in their backyard. "I just saw pink legs kicking away," Elysia Laub told NBC Chicago. "I knew it was alive and I knew we had something. I could not second guess myself. I knew we had to get help." She alerted her mom who went outside and grabbed the child before the pair called 911. Heidi Laub said the baby was naked, crying, sun burned and had maggots on the placenta and umbilical cord. "I thought it was a robotic doll. Then I ran to the baby and scooped it up and I said, 'Elysia run to the house and call 911 as soon as possible,'" Heidi Laub said. "I got to the house and we put one of our baby blankets around her." Authorities searched the area for the infants mother but she has not yet been found, the sheriffs office said. Forensic evidence was collected at the scene and an investigation was ongoing Monday afternoon. Police dubbed the baby "Miracle Jane Doe" and said the Elysia Laub was her "guardian angel." "A miracle that [the 9-year-old] was out there to find her," Buncich said. Indiana does have a Safe Haven Law, which allows a person to give up an unwanted infant anonymously "without fear of arrest of prosecution," according to the Indiana Department of Child Services. Anyone with information on the incident is being asked to call the Lake County Sheriffs Department Report-a-Crime Hotline at (800) 750-2746. Two suburban Chicago comfort dogs are headed to Dallas, Texas to bring their love to police officers and the surrounding community reeling from tragedy. Lutheran Church Charities of Northbrook was invited by the City of Dallas to bring their comfort dogs, Luther and Ruthie, in light of the ambush attack on police that left five Dallas officers dead last week. Luther and Ruthie, both Golden Retrievers, also made a visit to Orlando following last month's deadly mass shooting. "They have a sixth sense of when someone is hurting, Tim Hetzner President of LCC said. Luther and Ruthie will be joined by four other LCC comfort dogs from Texas, Nebraska and Oklahoma. Chicago police officer Brigid Cronin said police departments all over the nation are hurting after the Dallas attack. "It's a very sad time for law enforcement," Cronin said. "It's a very sensitive issue, but I know these dogs are going to do a great thing keeping them calm. The companionship of comfort dogs bring a variety of positive benefits to victims of trauma. Simply petting the animals lowers a persons blood pressure, according to Hetzner. It gives them a chance to talk about what they're feeling, what they're going through, the loss that they had, the fears that they have, Hetzner said. Luther and Ruthie will stay in Dallas through Thursday. "It's a very sad time for law enforcement," Cronin said. The parents of Dallas shooter Micah Xavier Johnson said they are surprised by his violent rampage and noted he returned from an Army deployment to Afghanistan a changed man. I dont know what to say to anybody to make anything better. I didnt see it coming, Johnson's father, James Johnson, said in an interview posted on The Blaze website Monday. I love my son with all my heart. I hate what he did. Micah Xavier Johnson, a black 25-year-old from Mesquite, Texas, targeted white officers in Thursday's attack, fatally shooting five and wounding nine more, police said. His mother, Delphine Johnson, said that as a child her son wanted to join the police, but that after serving six years in the Army Reserves, he became a "hermit." The gunman served in the Army Reserve for six years, starting in 2009, and did one tour in Afghanistan. In May 2014, six months into his Afghan tour, he was accused of sexual harassment by a female soldier. The Army sent him back to the U.S., recommending an "other than honorable discharge," Bradford Glendening, the military lawyer who represented him told The Associated Press. "In his case, it was apparently so egregious, it was not just the act itself," Glendening told The Associated Press. "I'm sure that this guy was the black sheep of his unit." According to a court filing, the victim said she wanted Johnson to "receive mental help," and sought a protective order to keep him away from her and her family. Johnson was ordered to avoid all contact with her. The Johnson's family said he never showed signs of hatred for certain groups of people, but that he hated "injustice." Johnson launched the attack during protests over the police killings of Philando Castile, who was fatally shot near St. Paul, Minnesota, and Alton Sterling, who was shot in Louisiana after being pinned to the pavement by two white officers. Dallas Police Chief David Brown clarified Monday where Johnson was killed with a bomb delivered by a remote-controlled robot, saying that it happened on the second floor of El Centro College, not a parking garage as authorities previously described. Brown did not provide more details, including the locations of the negotiations that came before the bomb. The police chief again defended the decision to use the robot, saying he had "already killed us in a grave way, and officers were in surgery that didn't make it." "This wasn't an ethical dilemma for me," Brown said. "I'd do it again ... to save our officers' lives." Authorities have said Johnson had plans for a larger assault, possessed enough explosive material to inflict far greater harm and kept a journal of combat tactics. Eleven officers fired at Johnson and two used an explosive device, Brown said, adding that the investigation will involve more than 170 hours of body camera footage and "countless hours" of dashcam video. "Bravery is not a strong enough word to describe what they did that day," Brown said of officers' response to Thursday's events. Surgeons at Parkland Memorial Hospital spoke Monday afternoon about treating some of the victims. Dr. Brian H. Williams, who is black, said: "It weighs on my mind constantly (that he was unable to save the officers ... It has to stop. Black men dying and being forgotten. People retaliating against the people sworn to protect us." Dr. Alex Eastman, the director of the hospital's trauma center who also is a deputy medical director with the city's police department, said the shootings "rocked some guys to their core that I thought were unshakable." Brown provided details of authorities' negotiations with police Sunday on CNN's "State of the Union," saying Johnson laughed at authorities, sang and at one point asked how many officers he had shot. Johnson insisted on speaking with a black negotiator and wrote "RB" and other markings in blood on the wall the meanings of which were unclear and being looked at by investigators, Brown said. "This wasn't an ethical dilemma for me," Brown said on Monday. He said that 11 officers used their firearms, and two used the explosive device against the suspect. Johnson had no criminal history, according to authorities. Local court records show his parents were divorced in 1996. He was believed to have shared a two-story tan brick home in Mesquite with family members. Federal agents are trying to trace the origin of the weapons used by Johnson, including a military-style semi-automatic rifle. About 30 agents are involved in identifying bullet casings, said William Temple, the Dallas agent in charge for the Bureau of Alcohol, Tobacco, Firearms and Explosives. The shootings just a few blocks from where President John F. Kennedy was slain in 1963 marked the deadliest day for U.S. law enforcement since the Sept. 11, 2001, terrorist attacks. Two El Centro students hid in the building overnight, Brown said, because they were afraid to come out until the shooting stopped. Police got them out of the building Friday morning. Two officers from El Centro were injured. A Manchester man has been arrested after accidentally shooting his cousin on Saturday night, according to police, and the victim has been charged with interfering with police. Staff from Manchester Memorial Hospital called police at 10 p.m. on Saturday when a female arrived at the emergency room and asked for help for her sister, who had been shot in the leg and was outside in a car, police said. Officers were already at the hospital handling another incident and said the victim initially reported that shed been at a house party somewhere near Cooper Street when the host, someone named Pete, shot her, police said. But as officers began to investigate, it became apparent that the victim wasnt telling the truth about the location and person involved in the shooting, police said. Investigators then determined that the incident actually happened on Spencer Street and identified the victims cousin, 31-year-old Stephen McCann, as the suspect. They said the victim and another cousin had gone to visit McCann at 10 p.m. When they knocked on his door, McCann grabbed his 9mm Beretta handgun but put it in his waistband when he saw that the visitors were his cousins, police said. As the cousins all sat at a kitchen table to talk, McCann got up to put his handgun away, but accidently shot one round from his gun and the bullet hit his cousin in the thigh, police said. McCann was charged with illegal discharge of a firearm, second-degree assault, second-degree reckless endangerment and second-degree breach of peace and he was held on a $50,000 bond. The victim, Emily Acelin, 31, of Manchester, was charged with interfering with police and she was released on $5,000 non-surety bond and is due in court on July 21. Her injuries are not life-threatening. Canton police have arrested five people suspected in car break-ins in several towns, as well as the theft of a car from New Hartford. Police started investigating when a resident of the Breezy Hill Road and Old Mill Drive area of Canton called police just after 4:30 a.m. on Sunday to report a slow-moving vehicle and several people going through yards and breaking into vehicles, police said. Officers arriving at the scene found an unoccupied car running and determined it had been stolen from New Hartford. When officers searched the car, they found items taken from vehicles in Barkhamsted, Avon, Manchester, East Haddam and Canton, police said. The people who had been in the car ran into the woods to get away, police said, and residents started warning each other about what was going on. Around 6:20 a.m., residents called police to report suspicious people on Route 44, about a mile away from where the stolen car was found, and police took three people in custody. An hour later, residents reported two more people on Route 44 and officers took them into custody as well. Michael Orozco, 20, Hartford; Jose Mena, 18, of Hartford; Jamie Patterson, 18, of New Britain; Paul Lavan, 18, of New Britain; and a 17-year-old were arrested on several charges. Police said they are continuing to investigate and they are trying to investigate previous break-ins. A woman was shot several times in the chest outside a housing complex in Bristol, Connecticut early Monday morning and police are trying to figure out who shot her. The victim, a 33-year-old woman, was found lying on the ground in the area of 262-264 Davis Drive at 12:17 a.m., police said, and she was rushed to Saint Francis Hospital. Stefany Ubinas said she heard a noise outside her sons window and what sounded like four gunshots about 10 minutes later. I knew it wasnt fireworks. I knew something was wrong last night. I couldnt sleep, she said. Stefany Ubinas said she heard a noise outside her sons window and what sounded like four gunshots about 10 minutes later.I knew it wasnt fireworks. I knew something was wrong last night. I couldnt sleep, she said. Police said a vehicle and a residence in the area were struck by gunfire as well. Neighbors reported seeing three suspicious males last night. Police K9 dogs were used to search the area, but did not locate the shooter or shooters. No information was available on the victim's condition. Anyone with information is asked to call Bristol Police at 860-584-3011. A correction officer in Niantic has been charged with threatening and breach of peace, state police said. Donna Ward, a 54-year-old substance abuse counselor from Norwich, was arrested at the York Correctional Facility for women on July 8 at 1 p.m., Connecticut State Police said, but the details of the incident were not released. According to the Department of Correction, Ward was placed on paid administrative leave pending the outcome of an investigation into the threatening incident. Ward's bond was set at $500 and she is expected to appear in court in New London on July 22. NBC Connecticut reached out to Ward for comment, but did not immediately hear back. The six Egyptian workers were abducted ten days ago by a Libyan armed group and held for ransom; the circumstances surrounding their Saturday release are not yet clear Six Egyptian workers abducted by an armed group in Libya arrived at El-Salloum border crossing Monday afternoon after their release two days earlier, state-owned MENA news agency reported. The Egyptian workers were abducted by an armed group in Bani Walid ten days ago and held for a 200,000 Libyan dinar ransom. The circumstances surrounding their release are not yet clear. The Egyptians, who worked in Tripoli, were set upon as they were travelling back to Egypt, according to Egypt's Ministry of Foreign Affairs. Egyptian authorities had said that the foreign ministry, Egypt's embassy and Egyptian intelligence were following up on the situation and were working on freeing the hostages. The foreign ministry reiterated its call that all citizens should avoid travelling to Libya due to the unstable security conditions in the war-torn country. In 2015, the Egyptian government issued a warning to citizens to avoid travelling to Libya after the Islamic State militant group killed 20 Egyptian migrant workers near Derna. The warning was renewed later that year and is still in effect. Search Keywords: Short link: Hundreds of dogs and cats on "doggy death row" were flown to new homes across the nation Saturday after Fourth of July fireworks and recent wildfires pushed shelters to capacity. Bruin, a Jack Russel Terrier, was the "20,000th" rescue to find a forever home in Seattle. He had been in the shelter on "death row" after his owner surrendered him weeks ago, but now has a new lease on life. Nonprofit Wings of Rescue flew 400 animals who had been in the shelter for weeks or months as part of a two-day trek to New Jersey and Seattle after shelters saw high-intake of SoCal dogs and cats after Independence Day fireworks and wildfires. Overcrowding put the pooches and kitties at risk in Southern California, so Wings of Rescue came to the rescue. The animals were flown to Seattle and New Jersey where shelters were underpopulated or in need of animals for adoption. Wings of Rescue was founded by two pilots in 2009. Yehuda Netanel and Cindy Smith have been flying shelter pets to their new homes since then, relying solely on donations to pay for the flights. Kriser's Natural Pet headquartered in Santa Monica and St. Hubert's Animal Welfare Center, based in New Jersey, partnered together with Wings of Rescue to send the 400 on their "freedom flights." Kriser's Natural Pet raised $20,000 to contribute to the shelter pets, including the flights. In addition, Kriser's and The Honest Kitchen will send each pet with a voucher for a "free box of high-quality, all-natural food to get their new lives started out right." NBC 5 Investigates is learning new details about the massive crime scene in downtown Dallas and about how the gunman, Micah Xavier Johnson, planned and trained for the Thursday attack. A law enforcement source familiar with the investigation tells NBC 5 Investigates Dallas SWAT officers got within about 50 feet of Micah Xavier Johnson during the four-hour standoff on Friday at El Centro College. Officers used a ballistic shield for cover as they negotiated with Johnson face to face. More than 150 FBI agents and analysts have descended on downtown Dallas, some from FBI headquarters, to help the Dallas Police Department scour a 20 block crime scene so big it make take three more days to collect evidence. Meanwhile, new clues are emerging about Johnson. Dallas Police believe Johnson spent months planning for this moment, stashing weapons and bomb making materials at a home he shared with his mother in mesquite. Johnson took classes at a Richardson business called Academy of Combative Warrior Arts. We didnt teach him any firearms tactics. We dont support any of the stuff he did, said the schools owner and chief instructor, Justin J. Everman. Everman said that Johnson only took basic self-defense classes there about a year ago, no weapons training and that Johnson didnt talk much with staff. He came in and left so if you describe that as being not interacting with people too much then I guess that would be a loner, said Everman. A law enforcement source tells NBC 5 Investigates, during Thursdays standoff, Johnson shared police conspiracy theories and said he wanted nothing to do with white officers. He even taunted officers saying he had an explosive vest, which turned out not to be true. Police decided to end Johnsons life with a bomb-carrying robot because even after hours of negotiations they say Johnson continued to threaten he would attack again. A photo has appeared online showing Johnsons body at the crime scene after the deadly blast. A law enforcement source with direct knowledge confirmed the authenticity of the image for NBC 5 Investigates. Dallas Police and the FBI have declined to comment. The image shows Johnson surrounded in pieces of drywall demolished by the force of the blast. Many key streets and buildings in downtown Dallas will reopen for the first time in days Monday morning, but 20 city blocks remain cordoned off by crime scene tape due to the deadly shooting of five officers last week. Buildings that will be reopen for regular business hours Monday include: George Allen Civil Courts Building, 600 Commerce St. Those with jury duty are expected to report. The Old Red Museum, 100 S. Houston St. Dallas County Administration Building, 411 Elm St. Dallas County Records Building, 509 Main St. Dallas city officials said they do not expect the Bank of America building to reopen Monday. Many streets will also remain closed Monday, including the area bordered by North Record Street on the west, Ross Avenue on the North, Griffin Street on the east and Commerce Street on the south. Online: City of Dallas Traffic Update Texas Gov. Greg Abbott is recovering after undergoing a skin graft procedure Tuesday. He sustaining severe burns on his legs and feet last week while on vacation in Wyoming. Abbott is being treated for second- and third-degree burns at Brooke Army Medical Center in San Antonio. Doctors on Monday found a minor infection that developed as a result of the burns. The governor had been expected to be released Tuesday, but he will remain hospitalized to continue his treatment, according to an updated statement from the governor's office. I'm away but still keeping Governor's Mansion blue to honor Dallas Police Officers. (Blue square by Capitol) pic.twitter.com/JE0y7BgvaZ Greg Abbott (@GregAbbott_TX) July 12, 2016 Recovery from the burn injury prevented Abbott from attending Tuesday's memorial service at the Morton H. Meyerson Symphony Center in Dallas for the five police officers killed last week. Texas first lady Cecilia Abbott attended on her husband's behalf. Matt Hirsch, a spokesman for the governor, said Abbott suffered the burns on his legs and feet after accidentally coming in contact with scalding water while he was on a family vacation in Jackson Hole, Wyoming. Abbott was planning to curtail his events for treatment of the burns, but when Thursday's shooting that killed five police officers occurred, the governor told his doctors and staff to find a way to get him to Dallas. "His first words to us were, 'I've got to come back,'" Hirsch said. He appeared at a news conference on Friday afternoon along with Dallas Mayor Mike Rawlings and several other elected officials. He did not disclose his injuries at the appearance. Hirsch says Abbott's legs were wrapped but covered by pants during Friday's visit to Dallas. While Abbott's trip to Dallas affected the burns, he said if he had to do it again, he would make same decision. Abbott has used a wheelchair since a tree fell on him while jogging in 1984, paralyzing him below the waist. Recovery from the burns will prevent the governor from attending next week's Republican National Convention. Hirsch told The Associated Press on Tuesday that doctors said it would be "irresponsible" for Abbott to travel to Cleveland. He'd been set to head the convention's Texas delegation. The Associated Press contributed to this report. For President Barack Obama, the decision to return early from an overseas trip after a series of shocking shootings will prove to be easy compared to his next challenge: Comforting an America that has witnessed a rash of shootings. After arriving from Spain late Sunday, Obama will fly Tuesday to Dallas, the scene of the massacre of police officers that, on the heels of two caught-on-video police shootings, has emerged as a tipping point in the national debate about race and justice. Obama is due to deliver remarks at an interfaith memorial service and is expected to meet with victims' families and with local law enforcement officials mourning their own. To some degree, the trip is a familiar ritual for a president who has embarked in recent years on similar consolation missions with relentless frequency. But it's clear that Obama views the moment as distinct. In choosing to the deliver a speech, rather than a private visit with families, Obama has tasked himself with ministering to Americans as they make sense of a frustrating cloud of issues swirling around the shootings. The president sees delivering this sort of guidance a core part of his leadership, so much so that some of his memorable speeches were in honor of mass shooting victims, including his challenge to protect children from guns in Newtown, Conn. "We're not doing enough." and his singing of "Amazing Grace" after the shooting in a black church in Charleston, S.C. But it's far from clear whether these moments fostered movement either on legislation or race relations and Obama has had to face the limits of his rhetoric. As he has in the past, Obama will search this week for a way to break through. As he traveled to Poland and Spain last week for meetings with European leaders, the president was publicly working through his thoughts. At times, he acknowledged "anger" and "confusion" in the public, and at other times he seemed to downplay the enormity of events. On the shootings by police of black men in Minnesota and Louisiana, Obama called for more activism and reforms. And he sought to impress upon white Americans what he said he and other African Americans already know: The problem is real. On the shooting in Dallas, Obama cast Micah Johnson, the sniper killed in a standoff with police, as "demented" and his motives as unknowable. People should not believe that "the act of a troubled individual speaks to some larger political statement across the country," he said. "It doesn't." Obama also pointed to other forces driving discontent at home and in Europe lone-wolf terrorism or economic instability wrought by globalization and tried to sell his policies aimed at each. The comments highlighted this president's rationality and a tendency to analyze people's fears rather than validate them both traits that at times have limited his ability to connect. Asked Saturday about rising worries about safety, Obama cited crime statistics. He bluntly dismissed comparisons to the domestic turbulence of the 1960s as overblown. "That's just not true," he said. Obama's remarks also captured the president continuing to try to serve as bridge builder between white and black Americans, protesters and police. It's a role that helped catapult him to political stardom, but one he's struggled to inhabit as president during a period of sharp political polarization and continued racial tensions. Still, Obama wasn't about to cede the role this week. White House officials said the decision to trim his trip to Spain by one day was driven in part by not wanting other, divisive voices to fill the void left in his absence. On Sunday, a few hours before returning home, Obama tried again to walk a center line, as he issued a plea for better understanding between police and demonstrators taking part in the protests across the country. "I'd like all sides to listen to each other," he said. What to Know Joe Perry fell ill Sunday night while performing with Johnny Depp and Alice Cooper in his side band He was taken to the hospital for testing A post to Perry's Twitter account said that he is "under the best care" Aerosmith guitarist Joe Perry is resting and "doing well" after sudden illness forced him off a New York City stage and to a hospital for testing late Sunday, a representative for the musician said. Hollywood Vampires record label rep Sujata Murthy also said Monday that Perry appreciates the public's good wishes. Perry became ill around 9:30 p.m. while performing with Johnny Depp and Alice Cooper in his side band, the Hollywood Vampires, at Ford Amphitheater in Brooklyn's Coney Island. An administrator at Coney Island Hospital confirmed the 65-year-old Perry was undergoing tests. A post to Perry's Twitter account said that he is "under the best care." Thanks to all of the fans reaching out and asking about Joe. He is stable right now, with family and is under the best care. Joe Perry (@JoePerry) July 11, 2016 A video posted by a fan on social media shows Perry sitting down on stage and then walking off in the middle of a song. The band continued playing after Perry left the stage. Its called the Cheetah Paw. "You go like this Ha!" said an 8-year-old El Cajon, California, girl as she throws a punch with a slightly closed fist. The girl credits the martial arts move, which she said she recently learned in class, with keeping her from being kidnapped when a man broke into her San Diego County home while she was sleeping over the weekend. The suspect tried to grab the girl from her bed in El Cajon. NBC 7s Omari Fleming reports. The suspect removed a ground floor window screen of the condo in the 1300 block of Hawk Lane around 4 a.m. Sunday morning, El Cajon Police Lieutenant Rob Ransweiler said. He walked upstairs to the second floor bedroom the girl shared with her 6-year-old brother, who was also asleep, Ranswiler said. The man began to carry her down the stairs, and while half-awake she silently used the Cheetah Paw to escape her captor's clutches. When asked how she decided to use the move, she responded: Because it hurt him. After the Kung Fu punch to the neck, the girl says the man put her down and left the home before she could run to tell her parents what had happened. Her parents said they were terrified thinking they were unaware an intruder was lurking in their kids' bedroom. I didnt even know. I laid asleep while all this was happening," her mother said. The family has since installed a window alarm system and surveillance cameras to protect their family. It hasn't motivated me enough to want to get a gun, but it made me think about it," she said. "Theres so much to think about after something like this happens." Neither of the children appeared to be physically hurt, and nothing seemed to have been taken from the home. The suspect was described as tan with dark, fluffy hair and a slim build, 5-foot-8 to 5-foot-10 inches tall with a bad odor. He was wearing dark clothing and a backpack. Lt. Ransweiler says they will interview the victim again to get a better description of the suspect and hopefully they will be able to get a sketch made of him. Shahla Malikyer, who has been living in the area for 20 years, says neighbors look out for one another. "Sometimes if I see a strange face or (someone) suspicious I call the police," she said. The suspect may have tried to enter another home but that window was locked. A hand smear was left behind, so police will try to run fingerprints from it to catch the man. El Cajon officers searched for the suspect with a police dog, but were unable to locate him. Officers are patrolling the area and using law enforcement databases to try to identify him. The heartbreak for law enforcement families in the city of Dallas was felt in Southern California as loved ones of fallen LAPD officers gathered at a support group Saturday in Long Beach. Kathy Lee said she never imagined she would be a widow with two young daughters. Her husband was Nicholas Lee; a 40-year-old LAPD officer killed in the line of duty in March of 2014. A truck slammed into his patrol car in Beverly Hills. He was on the force for 16 years when he left behind his wife and two young daughters. His oldest daughter, now 10 years old, still struggles with the death of her dad. But on Sunday, the Lee family was able to embrace other families connected by tragedy. "I introduce myself as Marisabel Gonzalez, widow of Landon, end of watch 10-22-06," Gonzalez, who was 27 at the time of her husband's death, said. Gonzalez talks about October 22 as the day her life changed forever. Her husband, 31-year-old Landon Dorris, an LAPD patrol officer, was killed in the line of duty responding to a traffic call. "My boys missed out on so much," she said. "My best friends now are the widows because they know our priority is our kids." Her boys are now 11 and 12. She said not a day goes by where she doesn't think or talk about her husband. She says her son Landon Jr. is his spitting image. "He looks like his dad - the smile reminds me of him," Gonzalez said. He broke the news to her recently that he wants to follow in his dad's footsteps. "I don't want my son to be a police officer. He's a good boy," Gonzalez said. "For him to risk his life for others - I don't want that anymore." They said they now grieve with the families of the fallen Dallas police officers killed on Thursday. The women said their hearts broke when they heard about the police ambush shooting. It forced them to relive the pain of losing their husbands all over. "I've had so much support from the LAPD. The community helped me to move on," Lee said. Orange County prosecutors said Monday they were investigating the in-custody death of a 32-year-old man who got into a fight with Anaheim police officers last week. Fermin Vincent Valenzuela, 32, died Sunday, after being taken off life support, a week after he became hospitalized in critical condition, authorities said. Officers were called about 9:15 a.m. to the 2600 block of West Broadway Avenue on July 2 by a woman who reported that she was being followed home by a man later identified as Valenzuela, Anaheim Police Sgt. Daron Wyatt said. When officers arrived, Valenzuela became combative with officers and when he became medically distressed during the tussle, officers tried to render medical aid and called for an ambulance, Wyatt said. Valenzuela was taken to a local hospital in critical condition, Wyatt said. He died a week later after falling into a coma, the family attorney, Garo Mardirossian, said. Valenzuela's family called the police account into question during a news conference outside the hospital a week before. Family members said officers did not quickly make efforts to assist Valenzuela. "I want justice for him," said his ex-wife, Patricia Gonzalez, who went to the hospital Sunday with their two children, along with Valenzuela's family, friends and an attorney. "I want to know what happened." The video from body cameras worn by the officers was turned over to the Orange County District Attorney's Office, which will be the lead investigative agency in the matter. The Anaheim Police Major Incident Review Team also will conduct an investigation, as will the Office of Independent Review, Wyatt said. The Orange County District Attorney's Office is also investigating. Valenzuela was arrested on charges of possession of narcotics paraphernalia and charges related to resisting arrest. Mardirossian says he plans to file a wrongful death lawsuit against Anaheim police. Mardirossian said Valenzuela was stunned with a Taser by police in the chest, according to hospital records. He said they are planning to sue the taser company, claiming the company did not adequately warn police about the dangers. He said police should not be using force against someone who had not committed any crime. "Even if what that lady said was true, that Vincent was following her, that is not a crime in America. We can follow someone all we want," Mardirossian said. City News Service and Kate Larsen contributed to this report. After a Moorpark mother of four survived unimaginable domestic violence when her husband set her on fire, causing significant burns, a Southern California burn center donated surgeries to help mend her wounds. It was January 5, 2015 when Martha Venegas, 30, told her abusive husband Juan Soria Hernandez that she was leaving him. He returned from their garage with a five-gallon gasoline container. "I ran over and said, 'What are you going to do?'" she said. "He's like, 'we're going to die.'" As her three youngest children slept nearby, Hernandez doused his wife in gasoline, set her on fire, and fled. Martha was rushed to the Grossman Burn Center with third-degree burns over 70 percent of her body. Doctors gave her a 5 percent chance of survival. "Quite honestly, I've never seen anybody that looked as bad as she did that night," said Rebecca Grossman, chair of the Grossman Burn Foundation. After two months in a medically induced coma, Venegas woke up. "I looked down at my hand like, 'Oh my god, it was real,'" Venegas said. Her husband pleaded guilty to attempted murder and is serving 15 years to life in prison. "Part of the recovery is mental, emotional, spiritual beyond just the physical part of it," Grossman said. The Grossman Burn Center has donated numerous surgeries to help Venegas heal, in body and spirit. Thousand Oaks car dealership Rusnak/Pasadena Porsche is also donating a 2007 Toyota Highlander to help the family get back on their feet. She said she is a bit overwhelmed by all the support she's getting, but is grateful for the help. "I gotta do everything possible to get better for my kids because they need me," she said. The people in her corner are encouraging her to tell her story in hopes of helping other abused women. "Because she's the one who is going to change the story and create the shift," Grossman said. "Share her story and inspire other people." Anyone wishing to donate to Venegas can do so at the Grossman Burn Foundation's website. The United States will send 560 more troops to Iraq to help establish a newly retaken air base as a staging hub for the long-awaited battle to recapture Mosul from Islamic State militants, Defense Secretary Ash Carter said Monday on an unannounced visit to the country. Most of the new troops will be devoted to the build-up of the Qayara air base, about 40 miles south of Mosul, and include engineers, logistics personnel and other forces, Carter said in Baghdad. They will help Iraqi security forces planning to encircle and eventually retake the key city. "These additional U.S. forces will bring unique capabilities to the campaign and provide critical enabler support to Iraqi forces at a key moment in the fight," Carter said, according to prepared remarks. He revealed President Barack Obama's decision during a talk to troops at the airport in Baghdad. The increase brings the total U.S. force authorization in Iraq to 4,647, and comes just three months after Obama's last announcement of additional troops. Carter told reporters earlier that U.S. advisers are prepared to accompany Iraqi battalions if needed, as those units begin the siege of the key northern city. It's not clear when exactly that will happen. U.S. officials said a team of American troops went into Qayara for a quick site assessment Sunday and left. One potential job is helping Iraqi troops use highly technical bridging capabilities to get across the river into Mosul. Carter called this weekend's recapture of Qayara a key strategic victory. Speaking to reporters before he arrived in Baghdad, he said the air base will be one a hub from which "Iraqi Security Forces, accompanied and advised by us as needed, will complete the southern-most envelopment of Mosul. That's its strategic role, and that's its strategic importance." He likened the air base to how forces used the eastern city of Makhmour. There, U.S. troops set up a fire base for artillery to support advancing Iraqi units. Marine Staff Sgt. Louis F. Cardin was killed at the fire base in March in an IS rocket attack. Iraqi forces retook the air base from the Islamic State group on Saturday. Prime Minister Haider al-Abadi hailed the success as a key step toward Mosul, Iraq's second largest city. Residents there should "get ready for the liberation of their areas," al-Abadi said. U.S. officials said American advisers are already working at brigade level with Iraqi special operations forces, but they have not yet accompanied them on operations. They weren't authorized to discuss the matter publicly and demanded anonymity. Obama in April allowed U.S. troops to assist Iraqi forces at brigade and battalion levels, where they could be at greater risk closer to the battle. They would still be behind front lines. They previously had been limited to advising at headquarters and division levels, further from the battle. Carter is expected to meet al-Abadi and minister of defense Khalid al-Obeidi, and Lt. Gen. Sean MacFarland, the top U.S. military commander for the Islamic State fight. The main topic, he said, will be the next steps in the military campaign, with a particular focus on Mosul. IS captured Mosul in the summer of 2014. It has used the city as a main headquarters since. Carter's daylong visit to Iraq comes on the heels of the two-day NATO summit where allies agreed to expand their military support for the war. In addition to Qayara, Iraqi government troops recently have retaken Ramadi, Fallujah and a number of towns along the route to Mosul. But Islamic State militants still control large swaths of the country and continue to launch deadly attacks, including a massive suicide bombing last week at Baghdad's bustling commercial area of Karada. As many as 186 were killed. Search Keywords: Short link: Protests and demonstrations continued in Southern California and across the nation this weekend following the police shootings of black men and a sniper attack that killed five police officers in Dallas. About 60 protesters gathered in Moreno Valley Saturday morning to march against police brutality in the wake of last week's killings of Alton Sterling and Philando Castile in Louisiana and Minnesota, respectively. Protesters peacefully marched down sidewalks near Sunnymead Park carrying signs with "Black Lives Matter'' and "Stop Killing'' written on them. Around 40 protesters gathered at the corner of Limonite and Hamner avenues in Eastvale Saturday afternoon, where they peacefully chanted and held signs. The group had moved into the intersection, which prompted a heavy presence from sheriff's deputies, and caused traffic in surrounding streets, according to the Press-Enterprise. Rapper Snoop Dogg tweeted about the event Saturday morning, although it is unknown if he attended. A rally under the banner "Black & Brown Lives Matter" was held at the LAPD Headquarters in downtown LA. Protesters gathered to denounce fatal shootings by police officers nationwide. Several more protests were slated for Sunday. Crowds were expected to gather at noon at Riverside City Hall at 3900 Main Street. Another protest and vigil in honor of Sterling and Castilo took place at 5 p.m. at Pan Pacific Park near the Grove at 7600 Beverly Boulevard. On Sunday evening in Inglewood, Black Lives Matter protesters took to the streets, and at one point shut down traffic on the 405 Freeway. City News Service contributed to this report. A brush fire that forced 2,000 people to temporarily evacuate their homes in the Santa Clarita Valley had scorched more than 1,000 acres and was 49 percent contained as of Sunday morning, firefighters said. The so-called Sage Fire erupted about noon Saturday near The Old Road and Calgrove Boulevard near Stevenson Ranch, according to the Los Angeles County Fire Department. More than 200 firefighters battled the blaze, which jumped from about 75 acres Saturday afternoon to 1,109 acres by Sunday morning. Residents were evacuated from 700 homes Saturday, but were allowed to go home at 9:30 p.m. Firefighters faced a tough fight Sunday as hot, dry and windy conditions prompted a red flag warning in the desert and mountains areas of Los Angeles County. The South Coast Air Quality Management District issued an air quality alert for sensitive individuals living in the Santa Clarita Valley and Pomona areas. People with heart disease, asthma or other respiratory diseases living or working in the area were advised to minimize outdoor activities after air quality was determined to be unhealthy. There were no reports of homes damaged, although red fire retardant was dumped on homes as firefighters battled the blaze. One inmate firefighter suffered a minor injury and another firefighter was being treated for a heat-related injury, fire officials said. The cause of the blaze was under investigation. The U.S. will send an additional 560 troops to Iraq, Defense Secretary Ash Carter said Monday during an unannounced visit to Baghdad, NBC News reported. On Saturday, Iraqi forces recaptured Qayara airfield south of Mosul from ISIS. Carter said the new forces will help establish the strategically-located air base as a staging hub for long-awaited battle to recapture Mosul from the militants. President Barack Obama has approved the additional deployment announced Monday. That brings the total number of U.S. servicemen in Iraq to around 4,600. Police Chief David Brown was back at the podium Monday and "running on fumes," he said, three days into his investigation into the nation's deadliest day for law enforcement since the Sept. 11 terror attacks. While giving his latest update on the case, Brown called his team the best department in the country, urged disaffected young people to "become part of the solution" and appealed for lawmakers to "do your job" by doing "something on guns." "We're doing ours," Brown said. "We're putting our lives on the line. Other aspects of government need to step up and help us." It was the latest example of Brown as leader of the Dallas Police Department speaking out in calling for more community support and for the public to take greater action in solving societal problems. Robert Taylor, a professor of criminology at the University of Texas at Dallas, in assessing Brown's performances, praised him for "starting the healing process" after the attack and bringing the "community together to support the police department." Brown, a 33-year Dallas police veteran, is known for being fiercely protective of his officers. "I think saving a life should trump every policy you have," Brown said back in a 2013 interview with NBC DFW. "It should be the most predominant thing. Now you can't be reckless, it's got to be reasonable, but saving lives to me trumps everything." That was also the calculus on Friday morning in the decision to use a bomb affixed to the end of a robot to kill Micah Xavier Johnson, the Army Reserve veteran who Dallas police identified as the gunman responsible for killing five and wounding nine officers and two civilians. Brown later explained that using the robot was the only way to get to Johnson, who said he had planted bombs around the area and threatened to hurt more people, without exposing officers to greater danger. Brown said Monday that he would make the same decision again. "I would use any tool necessary to save our officers' lives," he said. Of the nine wounded officers, Brown said Monday that four were Dallas police, three were Dallas Area Rapid Transit officers and two were from the Dallas County Community College police. "Our profession is hurting. Dallas officers are hurting. We are heartbroken. There are no words to describe the atrocity that occurred to our city," Brown said Friday. The chief, who took time after the shooting to reach out to victims' families, has great experience with loss, both in his personal life and on the police force. Long before the Dallas police force faced its devastating attack, Brown lived through the murders of his former police partner and his younger brother. His former partner, Walter Williams, was shot on assignment in 1988 and later died in the hospital, according to The Dallas Morning News. His brother was killed three years later by drug dealers. Just a few weeks after being sworn in as police chief, Brown experienced another loss when his oldest son, who shared his name, died in a shootout with police after he killed a 23-year old man and a police officer. His son, who was 27-years-old, had a history of mental illness and was reportedly having a psychotic episode at the time, The Washington Post reported. My family has not only lost a son, but a fellow police officer and a private citizen lost their lives at the hands of our son," Brown told The Guardian at the time. "That hurts so deeply I cannot adequately express the sadness I feel inside my heart. Brown joined the force with the intention of going to law school and eventually becoming a prosecutor. Instead, Brown left college early seeking to make a difference in his community. He found himself embracing police work and rising through the ranks, becoming police chief in 2010. "I'm the kind of person that I probably wouldn't protest or complain," Brown said Monday. "I'd get involved and do something about it by becoming part of the solution." Brown has earned a reputation over the last six years for trying to foster a positive relationship between the city's citizens and the police force, seeking to counter the divisiveness that has grown in other communities across the country. Using community policing efforts to decrease the use of force in citizen encounters with police has been a major goal for Brown. The police chief has credited these community efforts with plummeting excessive force complaints, making 2015 the 12th consecutive year of crime reduction and bringing the city's overall crime rate to a 50 year low. The number of Dallas police officer involved shootings has decreased every year since 2012. And according to the department's website, the force has had only one, non-fatal, officer involved incident this year. There were 990 people killed in police-involved shootings nationwide in 2015, The Washington Post reported. Still, crime in Dallas has gone up this year and the department has had troubles with officer recruitment and retention, The Atlantic reported. Brown, for his part, said that turnover stemmed from officers having salaries that were too low, and hes working to correct that." "This is the best department in the country," Brown said Monday. "This tragic incident will not discourage us from changing and reforming policing in America." A 37-year-old woman who threw herself over her son when a gunman opened fire on a downtown Dallas protest march, leaving her with a shattered leg, praised police for their actions on Thursday night. When shots rang out, Shetamia Taylor got separated from all but one her children, her 15-year-old son Andrew. She shielded him from the gunfire. Taylor said she prayed and tried to reassure her son, and herself, that they would be okay. "I didn't do anything that any other mother, father, wouldn't have done for their own child," Taylor said through tears at news conference Sunday. "'Cause I really feel as though it's going to happen to one of my sons, it's going to have to happen to me first. And it only happened to me and I'm thankful for that." Taylor said she believed she was surrounded mostly by white officers as shots rang out. "To see all those police officers covering us as they helped my mom up, there was three officers behind her making sure she didn't get hit again," Andrew Taylor said, calling the officers getting them out of harm's way so quickly "amazing." When asked if she remembered the officers who helped her, she recalled the first officer she encountered was a "big guy." "I saw him go down, I saw him when he got hit, he slumped over, and as he was slumping over he said 'he has a gun, run.' I don't think he made it, I don't think he made it," Taylor said. Taylor thanked officers who ran to the scene the night of the shooting and called them heroes. "I've always held police officers, at a very high place in life," Taylor said of police officers. "My son, my youngest one, since he was six, that's all he wants to do and I'm going to support him in that, and I'm going to continue to support my community, my police officers. I've never had an issue with police officers. And if anything, it's just made my admiration for them greater, it really it has." Taylor generally shied away from marches and rallies, but she took her sons ages 12, 13, 15 and 17 to the protest. "I'm just a mother and a wife. I'm not an activist. I'm not a politician. I want to protect my family, and I want my sons to come up as good young men and be productive in their communities," said Taylor. "And I just want them to know that you have to work for anything, in order to achieve something in life." Jamar,12 and Kavion, 17, were separated from their family amid the chaotic aftermath of the shooting, and fled to a downtown hotel to take cover. They were stuck behind a police barricade until around 4 a.m., when their father was able to pick them up, according to a family member. Taylor told her son Jauan to run and he did. The 13-year-old clung to a stranger, 33-year-old Angie Wisner, as they searched for safety. Wisner and Jauan took shelter in a stranger's apartment. Wisner, who also spoke at Sunday's news conference, said they used social media to try to connect Jauan with family members and eventually contacted a cousin who told him his mother had been shot. The mother of three said she consoled Jauan and told him, "let's just pray she got shot in the leg, and that it ain't bad." Wisner said Jauan hugged her as he left with his cousin and that was the last time she saw him. The two families reunited for the first time at a news conference Sunday. Taylor is recuperating from what a doctor called a 'bad fracture' of her tibia above the knee. Doctors at Baylor Scott and White repaired it with a large plate and screws. Doctors said the bullet went all the way through Taylor's leg and that it will take some time for her to recover. The gunfire left five Dallas officers dead and seven injured, including Taylor and another civilian. "Of course I'm thankful my babies are okay, but somebody's dad, somebody's husband isn't," Taylor said. Authorities said 25-year-old Micah Johnson, a black man who lived in the Dallas suburb of Mesquite, acted alone in the shootings. He was killed by police after a standoff. Taylor said she doesn't understand. "I'm frustrated, I'm angry, why would he do that?" Asked what she would say to people who are making threats and calling for violence, Taylor said, "Just stop, stop, why? Really why? We don't want it to get worse before it gets better. It's things that we can do that don't involved threatening someone's life." "These are the people that you call when you are in a situation, you gotta remember that," Taylor said. "What are we going to do if the police decide, you know 'I'm done'? What are we going to do if they stop policing? What are we going to do? What if they just decide, 'we're going to boycott'? Who you gonna call? When asked what needs to change Taylor said it starts with parenting. "I would say it starts at home first, first and foremost, it starts at home. The education of your children at home is what's very important. Teaching your sons and your daughters how to first respect themselves, and then they can only have respect for others, law enforcement, doctors, whoever they may encounter in life." When asked if she'll attend any other rallies, she said she would. Taylor offered sage advice to everyone. "Stop and think first. Please. Stop and think," she said. "Closed mouth, open mind, will get you a long way in life." Correction: This article has been updated to correct Mark Mueller's name. A 27-year-old man who worked for the Democratic National Committee was shot and killed as he walked home early Sunday in the Bloomingdale neighborhood of Northwest Washington, D.C., police and his family said. Seth Conrad Rich died after he was shot multiple times on the 2100 block of Flagler Place NW, three blocks east of Howard University Hospital, police said. "Worst nightmare," Rich's mother, Mary Rich, said by phone. Mary Rich said police told her family her son may have been the victim of an attempted robbery. He was talking on the phone with his girlfriend when she heard noise on Rich's end of the line, Mary Rich said. Her son told his girlfriend not to worry about it. "There had been a struggle. His hands were bruised, his knees are bruised, his face is bruised, and yet he had two shots to his back, and yet they never took anything," she said. "They took his life for literally no reason. They didn't finish robbing him, they just took his life," Mary Rich continued. "They hurt the community, and they hurt the long-term possibility of what he could have done." Rich lived in the neighborhood, Acting Capt. Anthony Haythe of the Metropolitan Police Department's homicide branch said at a news conference Monday morning. Fifth District police officers were patrolling the area about 4:20 a.m. when they heard gunshots, police said. Neighbor Mark Mueller said he also heard the shots. "I heard two sharp gunshots, very quickly. I looked at the clock and it was 4:19," he said. When police arrived on Flagler Place, they found Rich suffering from multiple gunshot wounds. The Omaha, Nebraska, native was taken to a hospital, where he died. Police have no witnesses and are searching the area for surveillance video footage, Haythe said. The acting captain said he could not comment on whether the killing was related to recent robberies in the area. Rich worked for the Democratic National Committee, his father said. He graduated from Creighton University in Omaha, Nebraska, and previously worked on Democrats' campaigns, for the U.S. Census Bureau and as a boating instructor at a summer camp, according to his LinkedIn page. "I have an enormous interest in public service and working towards making the world a better place," Rich wrote on the page. A 27-year-old Democratic National Committee employee was shot and killed Sunday in his neighborhood in Northwest D.C. Acting Capt. Anthony Haythe of the Metropolitan Police Departments homicide branch gave an update Monday morning. DNC Chair Debbie Wasserman Schultz issued a statement on Monday mourning the death of Rich, who worked as voter expansion data director. Our hearts are broken with the loss of one of our DNC family members over the weekend. Seth Rich was a dedicated, selfless public servant who worked tirelessly to protect the most sacred right we share as Americans the right to vote," she said. "He saw the great potential of our nation and believed that, together, we can make the world a better place." Hillary Clinton spoke about Rich on Tuesday morning, amid remarks in New Hamphire on gun violence. "Just this past Sunday, a young man, Seth Rich -- who worked for the Democratic National Committee, to expand voting rights -- was shot and killed in his neighborhood in Washington. He was just 27 years old," she said. "Surely we can agree that weapons of war have no place on the streets of America." Police did not release any information on the type of weapon the shooter may have used. A Look at Crime in Bloomingdale The homicide rate is down in the MPD's Fifth District, which includes Bloomingdale, Brookland and Trinidad. Seven people have been killed this year, compared with 15 people in the same period last year, police data shows. But robberies are up. The number of reported robberies in which a gun was used rose about 12 percent, from 113 to 126. The number of robberies in which a gun was not used rose about 8 percent, from 181 to 195. DC Water will boost security in Bloomingdale, the CEO of DC Water, George Hawkins, said in a letter to residents. The water utility will check and repair lighting and offer free transportation near the First Street Tunnel project, he said. "No one should have to fear walking down the street in their own neighborhood," Hawkins said in the letter. Anyone with information on the shooting is asked to call police at 202-727-9099 or send a text message to 50411. A reward of as much as $25,000 is offered. An inmate trying to escape custody in southwestern Michigan disarmed a law enforcement officer and fatally shot two court bailiffs before being shot dead, authorities said. The suspect also tried to take hostages and wounded a sheriff's deputy and a civilian before being fatally shot at the Berrien County Courthouse in St. Joseph, according to Berrien County Sheriff's officials. Sheriff Paul Bailey said the shooting began as the suspect, an inmate who "was trying to escape" got into a fight with a deputy and bailiff outside a holding cell and disarmed one of the officers. The suspect was identified Monday night as Larry Darnell Gordon, a 45-year-old Colma resident being held in Berrien County Jail on several charges. The incident began at 2:15 p.m. as he was being taken to a court proceeding. [NATL] Top News Photos: Pope Visits Japan, and More "Our hearts are torn apart," Bailey said at a news conference Monday evening. "They were my friends, they were my colleagues. Ive known them for over 30 years." Officials identified the deceased court officers as Joseph Zangaro, 61, and Ronald Kienzle, 63 and a U.S. Army veteran. A sheriff's office news release said they were both well respected in the Berrien County community and among law enforcement. Bailey had initially said several people were wounded, but later said only one civilian was injured along with the deputy. Both received non-life-threatening injuries and are in stable condition. The injured deputy was identified as James Atterberry Jr., 41, a 14-year veteran of the department. Atterberry's father, Bishop James Atterberry Sr., told NBC Chicago he is a "dedicated man to the community and to God." Google Earth While the investigation into what occurred is still ongoing, Monday night's news release said Gordon briefly tried to take hostages but was was taken down when he was engaged by other bailiffs and law enforcement officers. Gordon allegedly shot a civilian in the arm before being taken down. Bailey first confirmed the shooting to WNDU, an NBC-affiliated television station in South Bend, Indiana. Bailey said all police agencies in the area responded to the scene and an investigation into how the gunman obtained a weapon was underway. The courthouse would be closed Tuesday. The shooting comes days after a gunman ambushed Dallas police officers at a peaceful rally Thursday, leaving five officers dead and nine hurt, along with two civilians. Michigan Gov. Rick Snyder attended the evening press conference, saying he was there to encourage people in the state and across the country to support law enforcement agents after the "awful act" in Berrien County. "This is a particularly tough time for law enforcement," Snyder said. MSP has secured the scene at the Berrien County courthouse and started its investigation into the shooting that occurred this afternoon. Rick Snyder (@onetoughnerd) July 11, 2016 Donald Trump also tweeted about the shooting saying, "Thoughts and prayers with the victims and their families- along with everyone at the Berrien County Courthouse in St. Joseph, Michigan." A hospitalized man found attacked and set on fire has died, bringing the death toll in a series of attacks on San Diego's homeless population to three, San Diego police announced Sunday. The victim, identified as Dionicio Derek Vahidy, 23, of San Diego, was found on the 700 block of Kettner Boulevard when police responded to a violence disturbance call at an apartment complex on Wednesday. When officers arrived, they found the victim, later identified as Vahidy, with extensive damage to his upper body. Police determined Vahidy had been physically attacked and set on fire. He was not expected to survive his injuries. He was taken to the hospital after the attack, but was pronounced dead on Sunday just before 1 p.m. A man who lives near the park where Vahidy used to sleep said he didn't look homeless to him. "We always wondered 'why are you here?' He was just too young to be out on the street," he said. Marina Park resident Denise Johnson said Vahidy was a 'nice boy' she had spoken to several times. "Not a typical homeless," she told NBC 7. "He was very, very clean, he was very sweet, and he was a God fearing man." Marina Park resident Kathy Krainock wrote a note with Vahidy's name on it, and left it at the spot where he was attacked. "You know someone might be homeless, but they're someone's child, they're someone's brother, they're someone's cousin," Krainock said. Vahidy's death brings the death toll to three. Investigators said they believe the death was related to a series of attacks on homeless men that occured between July 3 and July 4. Two others have died and a third is in grave condition. Read more about the attacks here. On Thursday, SDPD Homicide Division Capt. David Nisleit announced that authorities had arrested Anthony Padgett on allegations of murder and attempted murder in a series of violent killings against homeless San Diegans. Four days later, on Monday July 11, homicide investigators announced Padgett had been released from custody because officials said they have examined the evidence in the case and found reason to exclude Padgett as a suspect. No motive has been assigned to the attacks. Anyone with information related to this incident is encouraged to call the San Diego Police Departments Homicide Unit at (619) 531-2293 or Crime Stoppers at (888) 580-8477. No other information was immediately available. What to Know US Rep. Debbie Wasserman Schultz joined with several Venezuelan activists pleading for help for the country amid their latest crisis. Nearly 1,400 miles away from where their family and friends are suffering and struggling to raise enough money to buy food, several Venezuelan activists met at a South Florida restaurant asking for help. Joining them in Weston on Monday was U.S. Representative and DNC Chairwoman Debbie Wasserman Schultz. After detailing the plight of people in the country, Wasserman Schultz laid out ideas for possible help for those both in Venezuela and here in the United States on travel visas. Speaking to reporters, Wasserman Schultz and the activists proposed extending visas for those currently in the United States, as well as temporary protection status for some nationals, to help lessen the number of people competing for items such as food and medicine. The group also called for possible sanctions against current Venezuelan President Nicolas Maduro. Many residents in the country are having trouble paying for what little food is available in the country. Neighboring country Colombia opened their border this weekend to allow residents to come over and by necessary food and other items. A retired Algerian general, in custody since last September after criticising President Abdelaziz Bouteflika, has been released from jail pending his trial, one of his lawyers said on Monday. Hocine Benhadid was detained after media interviews in which he said Algeria's stability could no longer be guaranteed by someone who was "sick" and the "hostage of his entourage". Two weeks later, on September 30, 2015, he was arrested and placed into custody. One of his lawyers, Khaled Bourayou, said Monday's "temporary release is excellent news" and a "triumph" of justice. There was no immediate confirmation of Benhadid's release, but media reported he had been freed, pending his trial, for health reasons. Newspapers on Saturday quoted his lawyers as saying Benhadid, 72, had cancer and other illnesses and could die in jail. According to his lawyers, Benhadid has been accused of "knowingly taking part in efforts to demoralise the army in a bid to undermine national defence". If found guilty, he could be jailed for between five and 10 years. Authorities have not said when his trial is due to begin. Mustapha Bouchachi, a rights activist and one of Benhadid's lawyers, said his client had not committed a crime but only "expressed an opinion... in line with his constitutional rights". In last year's interviews with the media, Benhadid also criticised Bouteflika's inner circle, including the president's brother Said and other senior officials, and levelled accusations of corruption. Bouteflika, 79, is wheelchair-bound and suffered a stroke in 2013 that has impaired his mobility and speech. Algerian media and social networks have speculated on who will succeed the man who has led the North African country for the past 17 years. Opposition leaders have spoken of a power vacuum and said Algeria -- which is in economic crisis because of falling oil prices -- is run by relatives of the president, including his brother. Search Keywords: Short link: A man was killed while another was injured after two boats collided on the Barnegat Bay in Ocean County, New Jersey Sunday afternoon. New Jersey State Police told NBC10 a 36-foot speed boat and a 16-foot Carolina skiff collided at 2:23 p.m. on Barnegat Bay off Barnegat Light in the area of the Oyster Creek Channel. The skiff sustained the brunt of the damage during the collision, investigators said. A man on the skiff, identified by police as Chan-Hee Kang, 26, of Hainesport, New Jersey, died from his injuries. Another man on the skiff suffered minor injuries and is being treated at the Southern Ocean Medical Center in Stafford Township. A third person on the skiff as well as four people who were on the speed boat were not hurt during the incident. Officials continue to investigate the cause of the crash. What to Know The boy had an "accident" in one of the cruise ship's pools June 30, the company said He died two days after being found unresponsive in the pool The medical examiner said drowning caused the boy's death and a seizure disorder was a contributing factor The 8-year-old Maryland boy who had been hospitalized after nearly drowning on a Caribbean-bound cruise ship after it left New Jersey last month has died, officials said Monday. Royal Caribbean confirmed Prince Adepoju died on July 2, two days after he had what the company described as an accident in one of the boat's pools. "We are heartbroken to learn the news," the cruise ship company said in a statement. "Our thoughts and prayers are with the family at this terribly sad time." The little boy's family could not immediately be reached for comment on his death. The medical examiner ruled drowning as the cause of death, and said a seizure disorder was a contributing factor. His death was ruled an accident. Adepoju left from Bayonne June 30 on the Anthem of the Seas cruise ship around 4:30 p.m. The ship returned five hours later after the boy was found unresponsive in the water; Coast Guard officials had said the boy was likely in the pool for eight to 10 minutes before he was pulled out. The child had been in the intensive care unit at Staten Island University Hospital since the accident, according to The Staten Island Advance. What to Know The demonstrators assembled in Times Square, marched south to Bryant Park and then to Herald Square before ending in Union Square. It ended with demonstrators joining in for the chorus as a man sang Sam Cooke's "A Change is Gonna Come." The demonstration marked the fourth consecutive day of protests in New York City. Demonstrators protesting Sunday against the shootings of black men by police officers used silence, signs and raised fists to make their point, marching through midtown Manhattan without saying anything for long stretches at a time. The crowd of about several hundred people started in Times Square. Dressed in black, the diverse crowd included two young black children holding placards reading "Black Lives Matter, My Life Matters." Jashaun Sadler, of Ridgefield Park, New Jersey, brought his 6-year-old twin son and daughter to the demonstration. "I'm deeply concerned about the state of the world they're growing up in," Sadler said. "It's unspeakable to have to think of them growing up in the world where their skin color could mean the difference between life and death." The demonstrators started in Times Square, marched south to Bryant Park and then to Herald Square before ending in Union Square, where chants of "Black Lives Matter" reverberated. At each stationary location, speakers gave short speeches, often breaking into tears as they gave voice to their fears of them or their loved ones dying at the hands of police. Erika Hardaway, 26, told the crowd she couldn't believe her generation had to do the same things her grandparents' generation did. An educator, she said she was speaking out partly because of what a student of hers had texted to her. "'I'm afraid, I can't sleep because I don't know if I'm next,' Well, I don't know either but I'm going to do all I can to protect you," Hardaway said. The protest ended with demonstrators joining in for the chorus as a man sang Sam Cooke's "A Change is Gonna Come." Sunday's demonstration followed others in New York City and around the country in the wake of police shootings of black men in Louisiana and Minnesota. A Connecticut man was arrested after making a post to social media calling for officers to be killed, police say. According to Norwalk Lt. Terrence Blake, the detective bureau received information about threatening social media messaging that was advocating violence against police officers. Detectives located the posts on Facebook and identified the author as Kurt Vanzuuk, 34, of Norwalk. Vanzuuk indicated in the posts that the Dallas shooter who shot and killed five police officers Thursday night was a hero and called for more police officers to be killed. Detectives arrested Vanzuuk July 9. He was charged with inciting injury to persons or property. Vanuuk is scheduled to appear in court on July 19. Information on an attorney for him wasn't immediately available. Dallas' police chief defended the department's use of a robot-delivered bomb to kill the suspect in Thursday's shooting and also revealed that he has received a death threat. Chief David Brown said at a news conference Monday that the suspect, 25-year-old Micah Xavier Johnson, had "already killed us in a grave way, and officers were in surgery that didn't make it." Brown added: "This wasn't an ethical dilemma for me." Brown said that police are taking all threats as credible in the wake of the shooting that killed five officers last week and wounded nine officers. Two civilians were also wounded. Brown pointed to a threat he received from a private Facebook page to the Dallas Police Department's page that is being investigated. The gunman had plans for a larger assault and possessed enough explosive material to inflict far greater harm, Brown and a top elected official earlier said. Johnson, a black Army veteran, began firing on officers while hundreds of people were gathered in downtown Dallas to protest recent fatal police shootings. Authorities have said the 25-year-old kept a journal of combat tactics and had amassed a personal arsenal at his home that included bomb-making materials. "We're convinced that this suspect had other plans and thought that what he was doing was righteous and believed that he was going to target law enforcement make us pay for what he sees as law enforcement's efforts to punish people of color," Dallas Police Chief David Brown told CNN's "State of the Union" Sunday. The fact that Johnson had material for explosives and talked of using homemade bombs during a standoff with police before he was killed indicated he could have inflicted more damage with more time, said Dallas County Judge Clay Jenkins. "If this had not been a crime of opportunity where the protest was quickly organized in response to events in the same week ... he could have caused a lot more harm than he did," Jenkins said. Also Sunday, Brown revealed new details about Johnson's negotiations with police, saying that Johnson taunted authorities, laughing at them, singing and at one point asking how many officers he had shot. Johnson, who served in the Army Reserve for six years starting and did one tour in Afghanistan, insisted on speaking with a black negotiator and wrote in blood on the wall of a parking garage where police cornered and later killed him, Brown said. The gunman wrote the letters "RB" and other markings, but the meaning was unclear. Investigators are trying to decipher the writing by looking through evidence from Johnson's suburban Dallas home, Brown said. The writing suggested that Johnson was wounded in a shootout with police. An autopsy will confirm exactly how many times he was hit, Jenkins said. Authorities do not "have any independent report from an officer saying, `I think I hit him,"' Jenkins said. On Monday, Brown said that 11 officers used their firearms, and two used the explosive device against the suspect. The shootings just a few blocks from where President John F. Kennedy was slain in 1963 marked the deadliest day for U.S. law enforcement since the Sept. 11, 2001, terrorist attacks. Federal agents are trying to trace the origin of the weapons used, including a military-style semi-automatic rifle. About 30 agents are also involved in identifying bullet casings, said William Temple, the Dallas agent in charge for the Bureau of Alcohol, Tobacco, Firearms and Explosives. The large crime scene includes the parking garage where Johnson was killed and at least two other sites where he is believed to have fired at officers. Dallas police said Sunday that neither they nor the FBI would confirm that photographs circulating on the internet two pictures that show an assault rifle in rubble and the bloodied body of a black man wearing an armored vest amid building debris were from the parking garage. The attack began Thursday evening during protests over the police killings of Philando Castile, who was fatally shot near St. Paul, Minnesota, and Alton Sterling, who was shot in Louisiana after being pinned to the pavement by two white officers. Video showed protesters marching along a downtown street about half a mile from City Hall when shots erupted and the crowd scattered, seeking cover. Among those injured were two officers from El Centro College, the school said Sunday night. El Centro said in a statement posted on its website that Cpl. Bryan Shaw and Officer John Abbott were hurt in Thursday's attack. Shaw was struck by a bullet as he guarded an entrance to the college, the statement said. The school said Shaw was treated on scene and returned to protect other officers and civilians. Abbott was also guarding the entrance. The school said he sustained injuries to both legs from flying glass after it was struck by bullets. Abbott tended to his wounds at the scene and then returned to assist others, the statement said. Both men were resting at home. Dallas police previously said seven officers and two civilians were hurt in the attack. Its number of wounded did not include any El Centro College officers. Emmy Award-winning travel show that takes viewers to the World's hottest destinations for the best places to eat, play and indulge Police in Newark, Delaware arrested a homeless man accused of trying to abduct two women over the 4th of July weekend. Police obtained warrants for 35-year-old Joseph Rudge and accused him of attempted kidnapping and attempted carjacking. Investigators say the first attempt occurred Sunday night at an apartment complex. Police say Rudge grabbed a 28-year-old University of Delaware student from behind and put a chemical-soaked rag over her mouth as she went to her car. The woman fought him off and ran away, officials said. The second attempt occurred early Monday at a neighboring apartment complex, according to investigators. Police say the man grabbed the neck and mouth of a 61-year-old woman and tried to push her into her car but she also fought him off and ran away. Police say they obtained many tips on Rudges location and were able to find him on the 1200 block of North Claymont Street in Wilmington. The Newark Police SWAT team took him into custody without incident. Rudge is charged with attempted kidnapping, attempted carjacking, attempted theft, failure to re-register as a sex offender and other related offenses. He was arraigned and committed to the Howard R. Young Correctional Institute in lieu of $48,700 secured bond. Theresa May will on Wednesday become the prime minister who leads Britain's into Brexit talks after her only rival in the race to succeed David Cameron pulled out unexpectedly. May was left as the only contender standing after the withdrawal from the leadership race of Andrea Leadsom, who faced criticism for suggesting she was more qualified to be premier because she had children. Cameron later announced she would take over from him on Wednesday, when he is expected to go and see Queen Elizabeth II to tender his resignation and recommend Home Secretary May as his successor. "On Wednesday, I will attend the House of Commons for Prime Minister's Questions and then after that I expect to go to the palace and offer my resignation so we'll have a new prime minister in that building behind me by Wednesday evening," he said in a statement delivered outside 10 Downing Street. Britain has faced the worst political turmoil in generations following June 23's shock vote to leave the European Union, which prompted Cameron to step down. His Conservatives have endured a bitter leadership race while the leader of the main opposition Labour party, Jeremy Corbyn, is also facing a challenge to his job. While May supported Britain staying in the EU, she cut a low profile during the referendum and has insisted she will honour the vote, stressing: "Brexit means Brexit". "There will be no attempts to remain inside the EU, there will be no attempts to rejoin it by the back door, no second referendum," she said at a campaign event before Leadsom pulled out. May wants to begin formal talks to leave the EU by the end of the year at the earliest despite pressure from Brussels to speed up. Jeroen Dijsselbloem, the Dutch finance minister who heads the Eurogroup of his 19 eurozone counterparts, restated calls for the transfer of power to take place as soon as possible. "The sooner we can sort out -- let me say it diplomatically -- this problematic situation, the better," Dijsselbloem told reporters. The pound, which hit a 31-year low in the wake of the Brexit vote, briefly rose after Leadsom, a pro-Brexit figure with no senior ministerial experience, withdrew from contention to be prime minister. As senior MP Angela Eagle formally launched her leadership challenge against Corbyn, Labour suggested a general election would need to be held soon after May takes office. "It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected prime minister," said election coordinator Jon Trickett. "I am now putting the whole of the party on a general election footing." May, a 59-year-old clergyman's daughter will be Britain's second female prime minister after Margaret Thatcher, who was in office from 1979-1990. She has portrayed herself as the leader who can unite the country following a bitterly divisive campaign, and a tough negotiator who can stand up to Brussels in what promise to be tortuous talks over Britain's exit from the European Union. Leadsom's withdrawal means all the top Brexit campaigners -- Boris Johnson, Michael Gove, Leadsom and outgoing UK Independence Party leader Nigel Farage -- have now stepped back from leadership roles. "Brexiteers threw rocks through the window, now they're all running away from the house," author Salman Rushdie said on Twitter. On the latest in a string of recent astonishing days at Westminster, Eagle also launched her bid to take over the leadership of the main opposition Labour Party from veteran socialist Corbyn. Corbyn has widespread support among party members but has lost the confidence of at least three-quarters of his MPs, many of who accuse him of lacklustre campaigning to stay in the EU. Eagle, who is from Labour's "soft" left and was the first female MP to enter a civil partnership with her female partner in 2008, said Britain faced "dangerous times". Of the referendum, she added: "This vote was a message for millions in our country who felt that no-one had listened to them for a very long time". "For many of them, it was a howl of pain." By contrast with the Conservative outcome, there is still major uncertainty about how the Labour leadership contest will work. Central to the race will be a decision by Labour's governing National Executive Committee (NEC) about whether Corbyn automatically gets on to the ballot or needs to secure 51 lawmakers' nominations, which he could struggle to do. The NEC is due to meet on Tuesday. Search Keywords: Short link: A local group of "angels" is holding a party in Montgomery County Tuesday night to help people battling addiction in our area. Angels in Motion, an organization that connects with people fighting addiction to help them get help and to give them food, clothing and toiletries, is hosting a "blessing bag" party in Ardsley. The party is open to anyone and starts at the Ardsley Community Center, at 2828 Spear Ave. in Glenside at 7 p.m. Nationwide, overdoses killed more people than car crashes in 2014, and in Philadelphia alone, paramedics responded to an overdose call roughly every 45 minutes that year. As part of Generation Addicted, an NBC10 exclusive airing Monday, March 21 at 7 p.m., NBC10 Digital Reporters Vince Lattanzio and Morgan Zalot take a deeper look at heroin statistics. "Blessing bags," which members of Angels in Motion hand out to people in the throes of addiction and living on the streets, contain nonperishable food, toiletries and other necessities. A list of foods and other items that are ideal for the bags and that volunteers can bring to the party are available on the event page here. Angels in Motion was started by Carol Rostucher, a Northeast Philadelphia mother of two, when her older son, Drew, battled heroin addiction. Drew is in recovery now, but Rostucher continues her fight to help others who are addicted. NBC10 profiled Rostucher and several other people in our area whose lives have been touched by addiction in Generation Addicted, an in-depth report on the heroin and opioid epidemic, earlier this year. NBC10 reporters Vince Lattanzio, Morgan Zalot and Denise Nakano explore the tragic world of heroin and opioid addiction in the Philadelphia area and beyond. This special long-form presentation is just one of a series of stories as part of our investigation. Dive into our in-depth coverage and many more stories here. Philadelphia's only shelter that exclusively serves homeless teens and young adults will feel a little more like home for its residents now. Covenant House Pennsylvania, a 51-bed shelter for young adults ages 18 to 21 in Germantown, won IKEA's Life Improvement Challenge. IKEA Conshohocken took the last week to give the shelter's lounge and common room a makeover. "There's love and care behind this," Rosalee Sanchez, an executive assistant at Covenant House, said this week as she stood in the newly furnished lounge. "It's a nice safe haven. It's comfort, it's warmth. It's a sign of, 'I care for you.'" Covenant House's makeover is colorful, with new leather couches, wall furnishings including a bright yellow clock and a few paintings, bookshelves and tables and chairs. IKEA brought in some artificial plants to spruce up the lounge, located in the shelter's basement, too. "It's friendly and inviting for the kids," Sanchez said. NBC10 profiled several young people who stayed at Covenant House in Faces of Homeless Youth, an in-depth, multi-platform report on youth homelessness in Philadelphia published in 2015. NBC10 spent two months investigating the issue of homelessness among young people living in Philadelphia. What we found was a lack of good services and care for some of the citys most vulnerable citizens. This is the full presentation from our award-winning 2015 special report. The shelter helps roughly 500 young adults in an average year. Because of limited space, they're forced to turn away another 400. Sanchez and Carl Hill, Covenant House's outreach coordinator, said making the place feel like home -- not a shelter -- is important to help the kids who stay there get back on their feet. "We don't have to look like a youth shelter," Hill said. "This kind of is our way of showing them what you look at or what you think of with a shelter, we are not that. We are a community." The trial for a former Chester County, Pennsylvania, pastor accused raping and impregnating a teen girl was set to begin Monday. Jacob Malone, of Chadds Ford, was in Ecuador as allegations came to light that he raped a girl while working at Calvary Fellowship Church in Downingtown, said police. Malone, 34, has remained behind bars unable to post $500,000 bail on rape, institutional sexual assault, liquor to minors and other charges since he returned to the United States in January, according to The married father is accused in incidents that allegedly began in September 2014, when the girl was still 17, said West Whiteland Township Police. "What he did is horrible," said pastor Bill Bateman at the time of Malone's arrest. "We're hurting over it, the church is hurting over it, we're hurting for his wife and kids, and, of course, the young lady." Malone worked at Calvary Fellowship for about 18 months before he resigned in November, Bateman said. The teen later went to church leaders alleging that Malone had impregnated her, said Bateman. The church made sure the teen -- who Malone first befriended out of state when the girl was just 12 or 13 years old -- was cared for and had a place to stay, said Bateman. A suspect who allegedly shot and killed a man and then stole the victims vehicle while his baby was still inside surrendered to police, according to investigators. Officials say Hakeem Williams, 31, turned himself in to Philadelphia Police at the 24th District headquarters around 5:30 p.m. Sunday. Williams, who has addresses in both Penns Grove and Philadelphia, is charged with murder, aggravated assault, robbery, kidnapping and other related weapons offenses. His bail has been set at $1 million. Williams allegedly shot and killed Jakaye Ingram, 24, of Penns Grove, during a confrontation on South Broad Street in Penns Grove around 4:10 p.m. Saturday. Williams then entered the Ingrams vehicle and fled the scene, officials said. Ingram's 1-year-old son was also inside the vehicle at the time, according to investigators. After an alert was sent out, Ingrams car was found about an hour later on 5th and Wood streets in Philadelphia. The child was also found safely inside the vehicle but Williams was missing at the time. The boy, who was not hurt, was reunited with his mother, who investigators say was there during the initial confrontation but had gotten out of the car during the incident. Police have not yet revealed the motive behind the shooting. Bernie Sanders has confirmed he's joining Hillary Clinton for an event in New Hampshire this week. In an email to supporters on Monday morning, Sanders said he'll be at Clinton's campaign event at Portsmouth High School on Tuesday. Sanders and Clinton will "discuss their commitment to building an American that is stronger together and an economy that works for everyone, not just those at the top." The announcement comes after speculation last week that the longtime Vermont lawmaker will soon endorse his rival for the Democratic presidential nomination. Sanders defeated Clinton by a wide margin in New Hampshire, in the nation's first primary. Sanders' endorsement will come a month after the final primary. He's pushed for policy agreements on higher education, health care and a $15 an hour federal minimum wage. Some of those policies were included in a draft of the party's platform in Orlando, Florida, over the weekend. The latest in a series of protests in the wake of deadly shootings by police in Baton Rouge and Minnesota blocked traffic in in Center City Philadelphia Monday morning. [[386311671, C]] The protesters, many white, with a message of asking Mayor Jim Kenney to "take a stand for black lives and stop bailing out crooked cops" gathered outside City Hall around 8 a.m. A short time later, the group, holding banners and chanting the names of people of color killed by police blocked traffic at 15th and Market streets. [[386284961, C]] Around 8:30 a.m., the Up for Racial Justice protesters -- which swelled to around 125 to 150 people of different races -- began a march on area streets, marching up Market Street before turning toward the Ben Franklin Parkway then toward Vine Street before heading back toward City Hall. As they marched, the group chanted, "Hey, hey, ho,ho, these killing cops have gotta go." [[386291131, C]] Officers on bicycles moved along with the protesters and other officers blocked traffic as they passed. "When you weigh that against the opportunity for people to exercise their First Amendment Rights, obviously, that trumps traffic," said Philadelphia Police chief Inspector Joe Sullivan. Once back at City Hall, the protesters continued to chant while in the City Hall courtyard before appearing to disperse around 9:30 a.m. [[386291711, C]] Volunteer John Bergen said the group wanted to show that a large number of white Americans are troubled by the recent shootings of black men by police. The SURJ group also planned protests Monday in five other towns across the country. Another protest began in North Philadelphia shortly before 6 p.m. Protesters gathered at Broad Street and Cecil B. Moore and began marching. An interfaith and multicultural rally also took place in Allentown at 6 p.m. while a Black Lives Matter rally and march started at 8th and King in Wilmington, Delaware, also at 6 p.m. NBC10s Tim Furlong is in Wilmington where another group is gearing up for a Black Lives Matter protest. Monday marked the sixth consecutive day of protests in the Philadelphia area in response to the police shootings of Alton Sterling in Baton Rouge, Louisiana and Philando Castile in St. Paul Minnesota. [[386233241, C]] Philadelphia's demonstrations without arrests stand in stark contrast to others across the nation, some of which left officers with injuries and protesters in handcuffs. An active duty U.S. military service member was arrested Monday in connection with a high speed chase through San Diego County and a homicide investigation in Riverside County. Jared Bischoff, 25, of Riverside, was handcuffed following an hours-long police pursuit that began at 4:45 a.m. and followed several Southern California freeways. Bischoff, who is currently enlisted in the U.S. Navy, is accused of killing his girlfriend Sunday, according to Riverside Police. Bischoff was in the driver's seat of a white Kia when San Diego Police attempted to pull over the vehicle on Interstate 805 in the Clairemont area. Riverside Police had requested assistance tracking down Bischoff after a woman was found with multiple stab wounds Sunday evening near the intersection of Calmhill Drive and California Avenue in Riverside. The woman, identified only as Bischoff's girlfriend, died from her injuries at a nearby hospital. As San Diego Police and later California Highway Patrol officers attempted to pull over the Kia registered to Bischoff, the driver would not stop. The vehicle traveled along Interstate 5 at speeds nearing 100 mph, according to SDPD before turning onto eastbound State Route 78 and eventually northbound Interstate 15. Spike strips set up just north of State Route 78 failed to stop the Kia as the driver continued to evade police. Just before 6:30 a.m., the vehicle pulled over in Riverside near Arlington Avenue and State Route 91. A man behind the wheel surrendered without incident. He was later identified as Bischoff. He has been booked into the Robert Presley Detention Center for murder according to Riverside Police. Bischoff is attached to the amphibious assault ship USS Essex, according to Commander John Perkins, Public Affairs Officer at Naval Surface Forces, U.S. Pacific Fleet. The U.S. Navy is not releasing details of his rank or year of service at this time. Jassmine Woodford said shes known Bischoff for approximately 18 months and was shocked to learn about his arrest. "He was just here, you know, we just saw him he looked normal, totally fine, Woodford told NBC 7 explaining how Bischoff stayed at their home Sunday night. She said the suspect and her husband had duty together and it's normal for Bischoff to stay with the family when he has duty in San Diego instead of driving home to Riverside. He was gone before he was even up, she said. What to Know Three men died and one was critically injured in attacks that occurred July 3-6 in San Diego All four attacks are tied to one suspect captured on store surveillance cameras buying a gas can, gasoline and lighter, police said. Anyone with information can call SDPD homicide (619) 531-2293 or CrimeStoppers at (888) 580-8477. A man arrested last week in connection to a series of gruesome attacks on homeless San Diegans has been released from custody, a major development in a case police say remains their top priority. In a news conference on Monday afternoon, San Diego Police Capt. Dave Nisleit said investigators came across new evidence that lessened their confidence that Anthony A. Padgett should be held in jail as the suspect in the case. Nisleit said new evidence contradicted investigators' theory that Anthony Padgett was the suspect who killed three homeless people and seriously injured a fourth. "I don't have any evidence to keep him behind bars right now," Nisleit said. Padgett, who was arrested last Thursday in the highly publicized case, was released on Monday just before he was set to be arraigned; he was never formally charged. In a previous interview, Padgett maintained his innocence. Explaining why Padgett was released, Nisleit said investigators initially had probable cause to arrest him he closely resembled a surveillance image of the killer and also had a previous 2010 conviction of lighting someone on fire. However, after investigating further, detective learned new evidence that appeared to point away from Padgett. Nisleit declined to elaborate on that evidence. I could not risk not taking him into custody and leaving him out in the community," Nisleit said. I believe it was the right decision then and I still believe it was the right decision now. Nisleit said investigators are renewing their search for the serial killer. "I want to ensure everybody that this investigation remains the No. 1 priority for the San Diego Police Department," Nisleit said. Anyone with information on the attacks is asked to call the department's homicide unit at 619-531-2293 or, if you wish to remain anonymous, you can call Crime Stoppers at 888-580-8477. As Seen On A local official in central Mali was killed on Monday in an attack that left his body "riddled" with bullets, security sources and an eyewitness told AFP. Deputy mayor of the Wouro-Mody commune Kola H. Dia became the latest victim of Islamist militant and criminal groups which take advantage of Mali's dire security situation by frequently targeting symbols of state power including government officials and soldiers. A Malian security source told AFP under condition of anonymity that "terrorists slaughtered the deputy mayor of the commune" on Monday morning. He said it was a "targeted attack." A resident of Wouro-Mody who saw Dia's body said the gunmen left him "riddled" with bullets before making off with his mobile phone. Dia was killed in the same area of the Mopti region where five Togolese UN peacekeepers were fatally ambushed on May 29. There was no claim of responsibility but the UN at the time called it a "terrorist" attack. Northern Mali has seen repeated violence since it fell under the control of Tuareg-led rebels who allied with Islamist militant groups linked to Al-Qaeda in 2012. Attacks are now becoming more frequent further south, in the country's centre, close to its borders with Burkina Faso and Niger. Although Islamists were largely ousted by an ongoing French-led military operation launched in January 2013, sporadic attacks from desert hideouts are common. Search Keywords: Short link: What to Know Both Mayor Muriel Bowser and city business owners have expressed concerns over its cost. A controversial bill to give employees in the District 16 weeks of paid family leave has hit a snag. D.C. Council Chairman Phil Mendelson said Monday the council will not vote on the measure before it adjourns for its summer recess. Mendelson said the vote won't happen until at least late this year. If passed, the bill -- known as the Universal Paid Leave Act -- would be one of the most progressive family leave policies in the nation. As currently written, it would be funded by a one percent payroll tax on employers. The District government already has a family leave plan that allows for eight weeks, but this proposal would apply to all private businesses in the District. Councilmembers David Grosso and Elissa Silverman co-authored the bill, which would allow any employee in D.C., or any D.C. resident who works outside of the District, to receive pay for up to 16 weeks of leave to care for a baby recently born or adopted, or to care for family members after major medical operations. The council held hearings last winter on the matter, and both Mayor Muriel Bowser and city business owners have expressed concerns over its cost. Businesses have lobbied hard against the costs of the proposal, saying it would hurt both large and small firms. A majority of the council appears to favor some sort of family leave bill, but insiders say the proposal likely will be cut from 16 weeks to eight when it comes up again. On Monday, Grosso criticized the postponement on the vote, saying in a statement he was determined to advance the measure this fall. "The working families of the District of Columbia need the security and stability this legislation provides," Grosso said in the statement. "I'm disappointed that we're not moving forward[;] however I remain committed to the goals of the bill and to enactment by the end of the year." Four protesters were arrested Monday during a demonstration demanding the firing of six deputies involved in the death of a mentally-ill woman at a Fairfax County jail. Natasha McKenna, 37, of Alexandria, died in February 2015 after a confrontation with Fairfax County jail guards who were trying to transfer her to another facility. Guards used a Taser on her four times while she was partially restrained. On Monday, an advocacy group delivered a petition to Sheriff Stacy Kincaid, demanding all six deputies involved be fired. Four people were arrested during a protest outside the county's courthouse. All four were charged with obstructing public access to the courthouse. According to court documents, six deputies restrained McKenna in a chair while she was only wearing a smock. The officers used the Taser repeatedly and did not attempt to use any other means of resolving the situation, the documents say. The death of McKenna, who was black, drew criticism from the Black Lives Matter movement and from advocates for the mentally ill. Fairfax County Commonwealth's Attorney Ray Morrogh reviewed the case but declined to bring charges. He called her death a "tragic accident." The sheriff's office says the protesters will be released on their own recognizance after they appear in front of the magistrate. Three days after gunfire nearly hit a 7-year-old girl standing at her bedroom window, a bullet again entered a home in Herndon, Virginia, police said. Bullets hit the home on the 2100 block of Monaghan Drive about 10:45 p.m. Friday, Fairfax County police said. The previous shooting occurred about 10:30 p.m. Tuesday. A resident told police he was standing in his living room when he heard gunshots. Officers arrived and found one bullet inside the home, in the kitchen. Bullet holes also were found on the outside of the building. No one was hurt, police said. As officers still were on the scene about 12:30 a.m. Saturday, they heard gunshots coming from a nearby street, police said. Police are increasing their presence in the area. The investigation is ongoing. A 7-year-old girl who lives in the house got out of bed and walked to the window on Tuesday, because she heard a noise and then a bullet whizzed past her, the child and police previously told News4. The little girl received only small cuts to one arm because of broken glass, but she had a close call. The bullet was found in her mattress, police said. "There was, like, sounds and I didn't know what was it, and then I told my mom what is it, and she said maybe it's fireworks," the second-grader said. Shell casings were found in a yard near the home, sources close to the investigation said. Palmore Aguilar, who lives in the home with the little girl and her parents, showed News4 how he propped a mattress up against a wall in an effort to protect himself from bullets. "We had a sensation of not having safety. We were not safe," he said through an interpreter. The family is planning to move. Anyone with information is asked to contact Crime Solvers online, by texting "TIP187" plus their message to CRIMES (274637) or by calling 866-411-TIPS (8477). They can also call the Fairfax County Police Department at 703-691-2131. Brenda Hudson recalls weeks spent in a glass-enclosed isolation room after her first kidney transplant, her family allowed to visit only when suited up against germs. That transplant lasted a remarkable four decades -- and now Hudson's recovery from a second one, this time faster and surrounded by germy visitors, showcases how far organ transplants have come and the hurdles that still await. "I'm ready to be well again," Hudson exclaimed before being wheeled into an operating room at MedStar Georgetown University Hospital last month, far more confident than back at age 17 when she was that hospital's first recipient of a living-donor kidney. Transplants still require courage, but medical advances haven't just helped patients. Hudson's initial donor, her older sister, has a scar stretching from belly to side where doctors cut into her rib cage. This time Hudson's husband donated, and went home two days after surgeons squeezed his kidney through a roughly 3-inch incision. Hudson's own lupus-damaged kidneys were removed about a month before her first transplant. That's hardly ever done anymore - nonworking kidneys shrink to make room. Back then, finding a donor was pretty miraculous. It still is. And with more than 120,000 people on the national waiting list for a kidney or other donated organ -- but only about 30,000 transplants performed each year - new moves are getting underway to try to ease the critical shortage. Efforts range from smartphone apps letting would-be donors register with a few clicks, to helping transplant centers use some organs that today would be discarded for fear they're not good enough. "I really didn't think about getting another kidney. How could I be that fortunate?" said Hudson, 57, of Owings, Maryland, who this time went home five days after surgery. Her thoughts strayed to friends on dialysis: "I just wish we could see more donors coming out." The average kidney from a deceased donor lasts 10 years, while one from a living donor averages about 15 years, said Dr. David Klassen of UNOS, the United Network for Organ Sharing, which oversees the nation's transplant system. Doctors can't explain why occasionally people like Hudson beat those odds by a lot. Dana Hudson knew his wife wouldn't ask for another kidney so when her first deteriorated badly enough to require dialysis, he volunteered. Dr. Matthew Cooper, Georgetown's kidney and pancreas transplant director, examined the fist-sized organ and proclaimed it ``a beauty.'' Sewing it into its new owner, however, would prove nerve-wracking. _____ More than 6,000 people died last year waiting for a new kidney, liver, lung or other organ, according to UNOS. Last month, the White House issued a call to reduce the wait, and highlighted $160 million in regenerative research that one day might offer alternative therapies. Kidneys are most in demand, with nearly 100,000 people on the national transplant list awaiting one. "Without a transplant, we lose way too many people," said Georgetown's Cooper. "It's just an organ supply problem." To try boosting that supply: - Apple says its upcoming software update will let iPhone users register as an organ donor through its health app, linking to Donate Life America's national registry. Georgetown also is developing an app for smartphones and tablets that will allow a click for donor registration. - Studies are underway to preserve donated organs longer by pumping them with oxygenated fluids, and to spur use of higher-risk organs that work despite not being in optimal condition, Klassen said. - And the University of Pittsburgh Medical Center and Donate Life launched a new Facebook page to educate the public about the need for living donors; fewer than 6,000 every year give a kidney or part of their liver. It's hard to ask, and there are some disincentives. A living donor's surgery is covered by the recipient's insurance but not related costs such as lost wages. Dana Hudson expects to be away from his truck-driving job for about four weeks but said, "The most important thing is that she gets better." _____ Back at Georgetown, where about a third of kidney transplants now are from living donors, Dana Hudson underwent a battery of tests to be sure he was healthy enough to live with one kidney. The "keyhole" surgery used for living donation today is easier on patients but trickier for surgeons. Guided by a miniature camera, Dr. Seyed Ghasemian inserted long-handled probes through tiny abdominal incisions and painstakingly snipped the kidney free from surrounding tissue. It was producing plenty of urine and had great blood vessels, Ghasemian reported. But he paused before severing that blood supply, the point of no return. Across the hall, Cooper had found a problem with Brenda Hudson. Hunched tensely over the operating table, Cooper was uncovering arteries hardened by high blood pressure and Type 2 diabetes -- no good for sewing on her husband's kidney. Finally the surgeons devised a way for blood vessels to feed the incoming organ. "This was not an easy case," Cooper said. "You have to have a Plan B." Back across the hall again, Ghasemian made the last cut to Dana Hudson's kidney and tugged it through a slit in the abdomen. Taking the kidney, Cooper flushed out the donor's blood, cleaned away some yellowish fat and carefully carried it to Brenda Hudson -- with one brief stop. Using high-tech imaging, researchers scanned the kidney's filtering tubules as part of a study to better determine which donated organs will have the best outcome. Soon after being stitched into place, Brenda Hudson's new kidney started to work. Two days later, she softly told her husband: "It's pretty amazing what you did for me, honey." Jury selection is scheduled for the trial of a Frederick man accused of fatally shooting an ice-cream truck driver while children waited in line. Attorneys say they expect to select a jury and make opening statements Monday in the trial of 28-year-old Larnell Lyles. Lyles is charged with first-degree murder in the June 2015 slaying of 22-year-old Brandon Brown outside a subsidized housing complex. Brown was selling ice cream in the Lucas Village housing complex when he was approached by Lyles, court documents say. Lyles, 27, asked Brown what kind of ice cream he sold from the Big Daddy's ice cream truck parked on the 400 block of Vermont Court, and Brown replied he had several types, a witness said. Brown then stepped outside of the van to smoke a cigarette and came face-to-face with Lyles, the witness said. Lyles reportedly told children standing nearby to "watch it," pulled a revolver from his waistband and fired at Brown three times. The ice cream truck driver died at the scene. Another worker in the truck told police the shooting was unprovoked. But an attorney for Lyles said last year that Brown had been stalking and harassing Lyles for months over a $20 debt. A trauma surgeon at Parkland Memorial Hospital who treated some of the officers who were shot Thursday night in downtown Dallas says he understands the "anger and frustration and distrust of law enforcement." Dr. Brian H. Williams, who is black, went on to say that police are not the problem, but instead the lack of discussion about "the impact of race relations." He made the comments as he and other doctors at the hospital held a news conference on Monday afternoon. Five officers died and nine officers and two civilians were injured when the shooting erupted at a protest over recent fatal shootings by police. "I think about it everyday that I was unable to save those cops when they came here that night, it weighs on my mind, constantly," Williams said. "This killing, it has got to stop." Doctors at Parkland Memorial Hospital outnumbered those that were injured. On Thursday night, there were 300 people in the emergency room when the shooting started. During the following three to four hours, more than 130 patients would come through the ER doors, according to Parkland Trauma Chief Joesph Minei. Parkland took care of 17 trauma patients between 7 a.m. and 7 p.m. with three arriving by police cruisers and the rest by ambulance. The medical director of a Dallas hospital's trauma center who is also a deputy medical director with the Dallas Police Department said no one on the department's SWAT unit has had a chance to decompress since Thursday's shootings. Dr. Alex Eastman said the shootings "rocked some guys to their core that I thought were unshakable" and that it's a time for the city of Dallas to "come together." Since the deadly police involved shootings over the last week, people across the country are looking for ways to heal. In Cambridge, Massachusetts, people who support the Black Lives Matter movement gathered on Sunday to process their feelings after the difficult week. The group brought in counselors to their rally in Lafayette Square where many people attended. I came here today because I felt very strongly about whats going on right now, said attendee Vanessa Moussa. The mother of two young children said she feels anxious. I'm scared for my kids. Im scared for myself. And its to the point that I get anxiety when I see cops, said Moussa. She said she hopes the Black Lives Matter Movement can bring about change. I just hope that my son understands that the color of his skin doesnt define who he is, Moussa said. I just hope he knows that he can go outside and not worry about getting shot. Caitlin Nichols, a nurse from Jamaica Plain, said she attended the rally to show support for the cause. I can see the results of whats happening, Nichols said. The community is experiencing a lot of trauma and for me thats a public health issue. Also in attendance at the rally were Cambridge Police who took the time to talk with people and answer questions. It's been a long week. Its hard on the officers. Its hard on their families, said Deputy Superintendent Steve Ahern. As long as people are talking and respecting each other. That goes a long way. Egypts stock exchange went down on Monday one day after it posted the largest one-day gain in nearly four months as some investors seek profits. The markets benchmark EGX30 slightly declined 0.15 percent to register 7,506 points in a trading session that witnessed Arab investors as the only net sellers to the tune of EGP 50.6 million. Even Egyptians and foreigners sold to make some profits, but they did not end up as net sellers, Eissa Fathi, the vice head of the securities division at the Cairo Chamber of Commerce, told Ahram Online. Fathi expected the market to rebound on Tuesday as it is still positively affected by the circulated news of an imminent devaluation of the local currency's value against the US dollar, which will make the share prices more attractive. Out of 171 listed shares, 104 saw losses and only 28 gained in a session that saw a daily turnover worth some EGP 834.6 billion, which is the highest in at least two months, according to Fathi. The listed heavyweight Commercial International Bank (CIB) inched up 0.59 percent to close at EGP 42.93 per share. Real estate developer Madinet Nasr Housing dipped 0.68 percent to close at EGP 13.24 per share. Egypts leading property developer Talaat Moustafa Group (TMG) was down 2.48 percent to register EGP 5.50 per share. The most traded Global Telecom soared 5.43 percent to record EGP 3.69 per share. The broader index EGX70 dropped 0.37 percent. *The official exchange rate for $1 = EGP8.78 Search Keywords: Short link: A Connecticut man has been charged in a hit-and-run crash that killed a Community College of Rhode Island student in Exeter. Police say 26-year-old Jeremy Filippo of Voluntown, Connecticut, turned himself in Sunday. He is charged with leaving the scene of an accident with death resulting in the crash that killed 20-year-old Christian Costigan of Exeter. Police say Costigan was walking with friends on the north shoulder of Route 165 on Friday night when he was struck. He died at the hospital. The college says Costigan was studying music. Filippo allegedly fled the scene toward Connecticut after the crash. He was released Sunday on $10,000 bond. It wasn't immediately known if he has an attorney. A Dartmouth College professor who was caught with an extensive collection of child pornography has been sentenced to 5-1/2 years in prison. J. Martin Favor pleaded guilty in March and resigned earlier this month. He was on paid leave since his September arrest. His sentence handed down Monday will be followed by six years of supervised release. A prosecutor had recommended a 6-1/2 year sentence, and his attorney requested three years. Favor's lawyer says his 49-year-old client recognizes he suffers from an addiction and is seeking treatment. Favor had shared some of the images on the social media site Tumblr. The case partly relied on an image-identifying technology refined by a Dartmouth computer science professor. Hany Farid says he felt "conflicted" to see a colleague prosecuted. Hundreds of people turned out Sunday evening in Boston, Massachusetts for a Black Lives Matter march. The march started at Dudley Station and went to Downtown Crossing. From protesters to police, people came together to search for peace. I attended today's event for my brothers, said Zenobia Curtis, from Ohio. They're black males. And they experienced police brutality before. One of my brothers got beaten up by a police officer and his eye got shattered when I was in high school so that's had a huge impact on me since then up until now. I'm a teacher and it's important for me to not only talk about how to build a better world for my students but be involved and build that better world, said Deejay Robinson, of Boston. So I'm here to stand for myself as a black man for my students who may be too young to be an example so they continue to work for a better America. The march comes after a violent week which saw two black men killed by police and 5 police officers killed by a lone sniper. To me it's been very painful to not only hear about the deaths of black lives at the hands of police but also to hear about the negative reactions and the deaths in turn towards police officers, said Khalila Jones, of Boston. Police officers were patrolled the march and controlled traffic to make sure protesters were safe said since Thursday nights shootings in Dallas they have been touched by local support. We have people stopping us and it's great to see that and we just want to come together here, said Boston Police Superintendent Bernard O'Rourke. There are some tragic things that have happened but we just need to move forward and stay positive. Authorities say a Gardiner, Maine, man burned down the camper trailer that his former boss lived in and has been arrested for arson. Police charged 35-year-old Joseph Manganella with two counts of arson on Friday. He spent the weekend in jail and is expected to appear in court on Monday or Tuesday. Police say the fire destroyed a camper where Eric and Kristie Baker lived with their three children. They say no one was home at the time the fire broke out. Manganella formerly worked for Baker as a roofer. Investigators say the fire appeared to be set to cover up theft of tools from the camper. Manganella was still being held on $50,000 bail on Monday. It was unclear if he had an attorney. A Massachusetts man is facing multiple charges after he allegedly assaulted a police officer who responded to an out-of-control house party early Sunday morning. Alexander Andrade, 23, of 1471 Braley Rd., Apt. #4, New Bedford, is charged with disorderly conduct, assault and battery on a police officer, resisting arrest and assault and battery with a dangerous weapon. Police in Dartmouth, Massachusetts, said they were called to a home on Carrollton Avenue around 2:30 a.m. Sunday for a report of a loud house party. They arrived at the scene and asked several party-goers to leave the residence. One of them - Andrade - became unruly and assaulted one of the police officers and resisted arrest. No bail or court information for Andrade was immediately available. Sixty-one-year-old William Allen of Worcester, Massachusetts, is under arrest for allegedly sexually assaulting a 25-year-old woman, police said. According to the victim, a man attacked and sexually assaulted her in a wooded area near Lincoln Street in Worcester on Thursday evening. The woman also told police that the man was choking her, before she ran away and came across witnesses. The woman had visible injuries on her face, neck and body, and was hospitalized for treatment. Police located Allen based on descriptions the victim and witnesses gave. The suspect at first provided police with a false name and date of birth. Allen is also charged with providing a false name. Police in Plaistow, New Hampshire are asking for the public's help after the untimely death of their K9 months ago. The police department does not have money in the budget to purchase a new K9, which they desperately need, so they have started a fundraiser. "When you lose them, it's like losing a part of the family," said Sgt. Alex Porter. Porter has spent his entire career with a K9 by his side. "Kraken" was his partner for the last eight years. "It's so much more than just a pet," Porter said. "Such an energetic dog with such drive to work and do the things just to please me, you rely on his abilities to find things that no one else can." The Plaistow Police Department expected Kraken to serve the community for a couple more years, but in January there was a tragic turn of events. "He succumbed to cancer and within one, two weeks he was gone," Porter said. Because of Kraken's untimely death, the relatively small department doesn't have money in its budget for another dog or the new equipment, so officers turned to their neighbors for help. "It doesn't have to be a large amount, if you have a pocket full of change we will take that," Porter said. The department started fundraising at the end of June. The goal is $15,000 and while Porter admits a new K9 comes with a hefty price tag, he says, like Kraken, their service to this community is priceless. "They would do anything for us, they would give up their lives for us," Porter said. The hope is to have a new K9 by the end of summer so that they can get into the fall training session in Boston. Donations checks should be made payable to "Town of Plaistow" and be sent to: Plaistow Police Department 27 Elm Street Plaistow, NH 03865 *Please put "K-9 Donation" in the memo line. All donations are tax deductible using tax ID# 02-6000706. Authorities say a man was killed in an early morning shooting on a Lynn, Massachusetts, street. The shooting happened on Chestnut Street near Mason Street just before 6 a.m. Monday, where Lynn police officers found a man suffering from a gun shot wound. He was taken to Lynn Union Hospital, where he died. According to Essex DA's office, the victim is 24-year-old Philip Russo. Police say the shooting doesn't appear to be random. An apartment building at Kingsley Terrace has been roped off, presumably as part of the investigation. No other details were immediately available, and the investigation is ongoing. Republican Sen. Kelly Ayotte of New Hampshire is criticizing the president for not acting quickly or strongly enough to defeat the Islamic State. National security is a key focus for Ayotte in her re-election race against Democratic Gov. Maggie Hassan. She is calling for more military special operations raids against the Islamic State, a more forceful response to Russian President Vladimir Putin's influence in the region and a clearer strategy for dealing with captured terrorists. Ayotte delivered her remarks Monday at Saint Anselm College's 'Politics & Eggs' political lecture series. She began by offering condolences for the police officers killed in Dallas last week. But she made no mention of the recent fatal shooting of two black men by police officers, which prompted the initial Dallas protests If you think next week's Republican National Convention is going to be a coronation of Donald Trump as the party nominee, you haven't heard the latest from the "Never Trump" folks. They have started a campaign which would free up delegates from being forced to vote for Trump on the first ballot as the rules currently require and allow them instead to 'vote their conscience,' Which could turn the convention into chaos. The final decision will be made by the 112 members of the rules committee, made up of two delegates from each state, including Janet Fogarty of Scituate, Massachusetts, who says she's been bombarded this week by emails and phone calls from all over the country. "They are long, passionate emails saying please vote to unbind the delegates," Fogarty said. "We cannot have Trump as our nominee. Others say they want to keep Trump because he is the one Republicans voted for." Fogarty says she thinks the convention will turn into a floor fight. It's a longshot, but Fogarty says that the movement, being led by a Colorado delegate, has the 25 percent of rules committee members needed to launch a floor fight but Fogarty would not be one of the defectors. She says she will stick with Trump. A key problem for the "Free the delegates" campaign is that they are so far, not unified behind any alternative to Trump. Some suggest Paul Ryan, others Ted Cruz, still others Mitt Romney. Fogarty says she was always a loyalist to Romney and that this was the scenario she had always dreamed of, hoping that Romney would come in as the White Knight at the end of the convention and become the candidate. Fogarty thinks Romney would've had the best chance of beating trump in the floor fight, until Romney came out in March on national television and publicly bashed Trump. Fogarty says Romney lost a lot of support that day outing, "Everyone who I knew all along who said, we need Romney, we need Romney, just turned on him." Fogarty is heading out to Cleveland on Wednesday when the rules committee meets for the first time. The festival will open at Baron Empain Palace The first African-Chinese cultural festival will be held in Cairo between 21 and 26 July, co-organised by the Chinese embassy in Egypt and the Egyptian tourism ministry. Soheir Abdel Kader, the former executive manager of Cairo Festival, heads the African-Chinese festival. The opening will be held at Baron Empain Palace in Heliopolis, and the closing will take place at El-Manial Palace, Abdel Kader was quoted as saying by Ahram Arabic news website. A festival for African and Chinese arts as well as folklore, the six-day event aims to strengthen ties between African countries and China, and will celebrate the cultural heritage of the many participating countries. The festival will include film screenings, folkloric dance troupes as well as cuisine from the different countries and handicrafts. A press conference will be held on 12 July at 8pm at Manial Palace. For more arts and culture news and updates, follow Ahram Online Arts and Culture on Twitter at @AhramOnlineArts and on Facebook at Ahram Online: Arts & Culture Search Keywords: Short link: Two Massachusetts lawmakers want to make attacks against police officers a hate crime. Democratic Reps. Michelle DuBois, of Brockton, and Alan Silvia, of Fall River, filed a bill following the fatal shooting of five officers in Dallas on Thursday. The bill would add police officers to the list of protected classes under the state's hate crimes law. Silvia, a retired Fall River officer, says police deserve every protection. DuBois says she drafted the bill after Auburn police Officer Ronald Tarentino Jr. was shot and killed during a traffic stop in May. The Legislature's session ends July 31. The lawmakers say their bill would make Massachusetts the second state to make attacks on police hate crimes A Vermont man is facing charges after allegedly driving his all-terrain vehicle on another person's property while intoxicated. State police say 34-year-old Aaron Rubins of Brookfield was charged with his third DUI and resisting arrest on Sunday. Troopers say they found Rubins on his ATV on a property on School House Road after getting a call about a suspicious person. No other details were immediately available. It's unclear if Rubins has an attorney. A Waterbury, Connecticut, man was seriously injured in a fireworks accident in Harwinton Saturday and the metal shrapnel amputated part of his left arm. State police responded to 76 Catlin Road around 8:28 p.m. and found Derek Dooley, 28, suffering serious injuries to the left arm. Police said the shrapnel amputated his arm below the elbow and Dooley was transported to the hospital. Police said he was injured during an unlicensed, private fireworks show. Troop L and members of the Fire and Explosive Investigations Unit are investigating. Republican Sen. Kelly Ayotte will deliver a speech on confronting the Islamic State and terrorism. Ayotte is delivering her remarks Monday morning at St. Anselm College as part of the "Politics & Eggs" political lecture series in Manchester, New Hampshire. Ayotte is seeking re-election against Democratic Gov. Maggie Hassan in one of the country's most closely watched U.S. Senate battles. National security is a key focus for Ayotte in her first term in the Senate. Her campaign has attempted to paint Hassan as weak on national security and counterterrorism. In the Senate, Ayotte serves on the Committees on Armed Services and Homeland Security and Governmental Affairs. Norfolk Christian directs Julian show for BBC Norfolk Christian directs Julian show for BBC A television producer and director from Norfolk tells how her profession, passion and faith inspired the making of a documentary for BBC 4 to be aired on July 19 about the extraordinary life of Julian of Norwich and her book Revelations of Divine Love. A new documentary 'The Search for the Lost Manuscript: Julian of Norwich' will be shown at BBC 4 on Tuesday, July 19 at 9pm. The documentary is presented by medieval art historian Dr Janina Ramirez and follows the story and survival of Julian's manuscript Revelations of Divine Love from the time it was written through to the present day six hundred years later. The programme is the inspiration of local television producer and director Sally-Anne Lomas (pictured) whose interest in Julian of Norwich was sparked while studying at Norwich School of Art and Design and led to her becoming a Christian. Sally-Anne said: We had to illustrate a journey for a graphics project. I had always meant to visit Julian's Cell so I thought this would be a great opportunity to make a modern day pilgrimage. I became fascinated by Julian's writings and ideas and was influenced by them during my Fine Art degree and decided to become a Christian. I was confirmed by Bishop Graham while I was studying. Many years later I am an active participant in the Burning Bush Barn contemplative arts space and in 2015 they had an exhibition Creativity and Compassion: Julian of Norwich in which I exhibited 'The Cloth of Kindness' (pictured right). The exhibition was part of the Julian Week and I attended many events including a wonderful talk by Sheila Upjohn on 'The Sisters who kept Julian safe.' The talk inspired me to think that I could make a detective story style documentary about Julian. The documentary which features Bishop Graham James, Dr Rowan Williams and the Sisters of Stanbrook Abbey amongst many others was filmed in April and follows the manuscript's journey from Norwich to the British Museum, into the heart of the Yorkshire Moors, across the Channel to Cambrai in Northern France, to Paris and finally to the British Library. Sally-Anne who has made films for ITV, Channel 4 and Discovery Channel said: I approached local production company Tincan Island with the idea of a Julian documentary and they were enthusiatic. Joining forces with Mustard TV we pitched the idea to BBC 4 and amazingly they commissioned the programme. My hope was to make an entertaining documentary that would introduce Julian's writing to a wider audience. A great many people gave us their time and wisdom and it was very much a team effort - a perfect example of how many talents working together can achieve something wonderful. I am very proud of the film and the BBC say they are delighted. I just hope and pray that the viewers think so too. Sally-Anne said: I am very grateful to the community at the Burning Bush Barn for their support throughout this rather challenging project. I am again confirmed in my belief that creativity and spirituality are closely linked. This is the first time in my television career that I've been able to make a film on a subject that means so much to me personally and that I am passionately interested in and I am immensely grateful for the opportunity. To: Mother Date: July 4, 2016 Subject: Re: Did you know Britain was leaving Europe? Should I worry? Glad to see you figured out how to access your email account. I smiled when I saw your note in my inbox. Thank you, though I am not sure that Thomas Watson felt the same way when Alexander Graham Bell called him. Britain had a referendum on whether it wanted to continue its membership in the European Union. As you point out, if it were to leave Europe, where would it go? It is not like it can simply pick up, like my cousin Howard, who after 43-years of marriage ran off with his neighbor. By a slim majority (52-48) the British public decided that they no longer wanted to be part of the European Union. The EU is more than a free-trade association. Brussels, where the EU is headquartered, has over the years, expanded its influence into numerous economic and social aspects. In some ways, Britain is a victim of its own success. By insisting on a broad union as opposed to a deep union, the British strategy had a logical end point that has been reached. With the exception of Turkey, nearly every country that wants to join the EU has done so. Moreover, as the EU grew, unanimity in decision-making became increasingly cumbersome, and use of qualified majority voting rose. In this process, Britain, like the other members, saw its veto power diminish. You are right, it was the Tories, who are also known as the Conservatives, that brought Britain into the EU's predecessor, and no less than former Prime Minister Margaret Thatcher ushered the country into the European Exchange Rate Mechanism. But, in the years when it was led by Tony Blair and Gordon Brown, the anti-EU sentiment grew within the Tory Party, and the country as a whole, as evidenced by the rise of the UK Independence Party and its success in the last European Parliament election. Prime Minister David Cameron promised the referendum. It was a club he could use to bludgeon the EU into concessions that would boost his domestic support for taking on Brussels. He thought it would help unite the conservatives. On both counts, he was proven wrong. The EU's compromises were minor, and the referendum tore his party apart. I agree. It is too early to tell what happens to the part of the Tory Party that wanted to remain. While a purge is too strong of a word, many will be driven to the sidelines, perhaps to wait for a "we told you so moment" to redeem their careers. Cameron and the Chancellor of Exchequer George Osborne seemed to have enjoyed more support among the public than from the party. There are some Tories that insist Cameron's successor be someone who favored Brexit. The victor gets the spoils. I don't want to alarm you, but I think it is a momentous decision. Britain is reversing a nearly 50-year-old strategy. There will be far-reaching ramifications. The country is already smaller and poorer. Measured in dollars, the decision to leave the EU saw a 10 percent markdown on Sterling-denominated assets. While the economy has moderated over the past year, its 2 percent growth is the envy of most European countries. But, many economists see Britain slipping into a recession. The head of the Bank of England has signaled that easier monetary policy may prove necessary. This could be a rate cut. Yes, I know, at the start of the year, it did look like a rate hike was the next move. Scotland may hold another referendum on independence in light of the decision by the United Kingdom to leave the EU. Despite EU President Juncker's supportive comments, aimed primarily, it would seem, in anger at the vote to break away, the EU cannot be too supportive as it risks weakening Spain's acting Prime Minister Mariano Rajoy who is battling to retain his position after two inconclusive elections. Northern Ireland also supported remaining in the EU, and it is not clear what it will do. I suppose it could join Ireland. Perhaps it would count as a miracle. The EU is smaller and weaker as well. Britain accounted for a fifth of its GDP. It was the third-largest member. It was also the third largest contributor. It provided legions of diplomats and negotiators. Through shifting alliances in response to different issues, Britain did manage to influence, but not dictate, the evolution of the EU. Some very smart and rich people (yes, like Mr. George Soros) have warned that Britain's decision could trigger an existential challenge to the EU. Many businesses located themselves in Britain not only for the domestic market but also for access to the European trade bloc. The country is a member of the World Trade Organization, so there still are some rules and responsibilities. However, the WTO does not cover financial services, which as you know, is vital to London, and offsets a larger part of Britain's merchandise trade deficit. Consumer confidence may be shaken and business investment may go into reverse. The referendum has also triggered a domestic political crisis. The Tory Party is engaged in a bruising leadership campaign that has seen the previous favorite, former London Mayor Boris Johnson (yes, if I squint my eyes just right he does look at bit like Trump) go down in a Hamlet-style drama. The Labor Party is ill-prepared to step into the vacuum. The referendum was the third strike. Jeremy Corbyn is being blamed by some for not campaigning forcefully to remain. Before that, Corbyn had led that party into a historic poor showing in local elections in early May. He has also alienated some of the party's most important donors. Corbyn enjoys (or enjoyed) support from the rank and file Labor Party members. They are to the political left of the elected Labor MPs. Therein lies the tension. One of the biggest surprises to me has been signals that Britain as planning to wait till next year to start the formal divorce negotiations under Article 50, which refers to a clause injected into the Lisbon Treaty, reportedly at the insistence of France. Initially, Cameron had indicated a near-immediate start. Later, this was postponed until the next government was in place, which means September or October. Now a couple of candidates who want to succeed Cameron have suggested that the Article 50, which begins a two year negotiation process, won't be triggered until 2017. I agree, now that its intentions are known, Britain's influence in the EU is waning, and it will not be allowed to take its turn as the rotating head of the group in the second half of next year as was scheduled. Nevertheless, it will still have to pay, though not as much as the scaremongers had said, and enforce current rules and regulations. There is some thought that Brexit was the canary in the coal mine signaling the rise of nationalistic and anti-globalization forces. Uncle John may make up a lot of things, but he didn't make that up. Opposition parties in France and Italy may use the British example to press their own case, but it is not a done deal by any stretch. Polls in Demark, Finland and Sweden suggest pro-EU sentiment has grown since the referendum. Poland, the Czech Republic, Hungary and Slovakia, however, have become more critical of the EU over the past several months, and the referendum gives them another talking point. Uncle John is a bit quick to jump to the conclusion that this means Trump will become our next President. He is not doing better than Romney four years ago, and Clinton is drawing more minority voters than Obama did in 2012. And so it goes. Also, Happy Independence Day (the original Brexit!). Thanks, and do save the last of your brisket for me. The leftovers are great. See you soon, X Marc Chandler is a senior vice president and the global head of currency strategy at Brown Brothers Harriman Appeal for more parish nurses in Norfolk The first Parish Nurse service in Norfolk operates in the Heartsease area of Norwich, and it is hoped this ministry will grow in other areas of the county. The first Parish Nurse service in Norfolk operates in the Heartsease area of Norwich, and it is hoped this ministry will grow in other areas of the county. The service, based at St Francis Church, has been running for nearly two years. It provides whole person health care to people of all faiths and none within the area. Parish nurses often work with a team of volunteers, and there are currently seven members of the team at St Francis. Parish nurses reach out to people in various ways and provide spiritual, physical and social support. The service offers aromatherapy, flower ministry, bereavement support and transport to health related appointments where possible. The parish nurse also makes home visits on Wednesdays and runs a clinic at the local lunch club on Tuesdays. The team would like to see Parish Nursing Services grow across Norfolk. All Parish Nurses are registered nurses and are appointed or employed through a local church as part of their mission in the community. Parish nurses are supported by a church and are assisted and accredited by a national organisation and charity called Parish Nurse Ministries UK. Parish nurses work in a range of different settings and address the needs of their communities in different ways. Registered nurses are able to attend a training course which lasts for five days. Registered occupational therapists and physiotherapists are also welcome to undertake the training. Angela Stewart, who runs the service at St Francis said It has been hard work, but very rewarding to set the service up, and we have seen evidence that Gods hand is in it. If there are any nurses in the area who might be interested in finding out more about Parish Nursing, I would be very happy to talk to them about it" Angela can be contacted on 01603 300916 or parishnurseangela@btinternet.com http://parishnursing.org.uk/ Pictured above: Some members of the current Parish Nursing Service Team Click here to read more about the Norwich team The World Food Program warns that droughts, fires and storms could endanger food security for billions of people. To adapt, farmers need current, local and reliable information to help them choose the seeds and planting schedules best suited to local weather conditions. Traditional weather sensors, however, are often too expensive and difficult to operate in large parts of the developing world. This results in scarce farming and weather data for much of the globe. Farmers need hyperlocal informationboth timely and local. With IoT and new connectivity options, affordable sensors that are easy to operate can collect the vital information farmers require. The Pulsepod Arable CEO Adam Wolf, who has a master's degree in agronomy from the University of California, Davis and a Ph.D. in biology from Stanford, is an expert in sustainable agriculture. Field research led him to conclude that linking plant growth, soil and local weather data results in better decisions with less risk. The bottleneck was data collection. How could a system be designed that gives more granular information than rogue weather forecasts and satellite data? And so the Arable Pulsepod was born. An Internet of Things (IoT) device that could revolutionize farming, the Arable Pulsepod is a low-cost, self-powered crop-monitoring solution. Its sleek, functional design results from the collaboration between Arable and Bould Design, whose founder, Fred Bould, designed the Nest thermostat. The Pulsepod is a self-contained solution thats solar powered and can be installed easily. It has built-in GPS and advanced sensors to measure 40 observation streams related to plant growth, soil conditions and weather conditions. It supports cellular (2G/3G), Wi-Fi and Bluetooth for connectivity. The data collected can be fed through an API to data analysis platforms such as Granular or Farmers Business Network. The Pulsepod works practically anywhere in the world thanks to pre-provisioned SIM cards from Hologram. Advanced sensors The Pulsepod contains the following advanced sensors: Acoustic disdrometer: How much rain is falling? It measures raindrop size distributions continuously and automatically by transforming the momentum of an impacting drop into an electric pulse whose amplitude is a function of the drop diameter. The amount of rain can be calculated by analyzing the number and strength of pulses. Net radiometer: How much sunlight are plants getting? This measures shortwave radiation (from the sun) and long wave radiation (from the Earth and sky). The sum of shortwave and longwave radiation gives the net radiation, or the amount of energy hitting the plant. Spectrometer: How well are the plants growing? This measures crop growth, water and chlorophyll content using narrowband reflectance. As the sun shines down, it reflects off the ground. The difference between the two is the energy absorbed by the plants leaves, water and pigments. Global coverage Providing farmers with the information they need to make better-informed decisions requires a broad global ecosystem of private, public and nonprofit organizations. Two of the largest members of this ecosystem are: The Global System Mobile Association (GSMA) Over 800 mobile operators and 300 firms comprise this group. Its GSMA Ecosystem Accelerator bridges the gap between operators and innovators to offer mobile solutions to people and places for the greatest socioeconomic impact. USAIDs Feed The Future Program This strategic alliance between the U.S. government and the private sector works to advance the impact of sustainable development. It works with USAID missions around the world to promote agricultural technologies for smallholder farmers. Takeaway Were at the very beginning of a major transition in how agriculture uses data, Wolf said. Thirty years ago, it was all about how to grow more crops with less inputs, but now were in an era where were empowering people to manage complex natural resources with precision. What farms need are facts on the ground to make better decisions in the face of dynamic risk. Imagine if you ran a restaurant and didnt know how many chefs would show up for workyou wouldnt know how to plan or manage effectively. We believe Pulsepod has the potential to transform agriculture through certainty. Farming is never a sure thing, but IoT-powered data helps farmers improve their odds. Switching networking providers is no small task but it wasn't really an option for Pattonair CIO Brian Long. Growing 16 percent year-over-year, the provider of nuts and bolts for airline engines was regularly adding new offices worldwide to serve its customers. It needed to have these new sites up and running quickly but its MPLS network provider Verizon was not willing to move at the speed Pattonair required, Long says. Pattonair CIO Brian Long. "It was a really good service once [the network circuit] was in," Long says of Verizon's MPLS service. "But if you wanted to be a dynamic business and quickly open up new locations and change capacities it was just a nightmare." Long says he soon got the sense that "we were an account number in their database and we just couldn't get the support that we needed." A year ago he switched to a hybrid network comprised of MPLS with a software-defined network (SDN) overlay from startup Masergy. The results, spanning 13 global offices in Fort Worth, Texas; Derby, U.K.; France and Singapore, have exceeded his expectations. Pattonair hasn't experienced any downtime, enabling the company to meet all of its service-level agreements to Rolls Royce and other customers. Long says he also shaved 35 percent off of his networking bill compared to when he was using Verizon's network. MPLS systems are losing ground to SDNs Such stories are playing out across several industries, particularly among mid-sized enterprises whose growth spurts require them to set up new locations in hurry. Although these companies have relied on stable MPLS systems for years, they no longer feel comfortable relying on these last-generation network technologies. They face shorter deployment windows and must be able to rapidly scale bandwidth, none of which are strong suits for MPLS systems. Startups are swooping in to offer more flexibility and speed at a lower cost, as well as the capability to support several cloud applications. SDNs allow network administrators to use software to program tasks typically conducted by routers and switches and create policies to route services wherever they are needed. SD-WANs marry both capabilities, enabling companies to rapidly set up and manage VPNs, WAN optimization, VoIP and network-based firewalls while supporting MPLS, broadband Internet and LTE services. Long, who expects to add more cloud software, decided he needed a foot in both the MPLS and SDN camps. He negotiated terms with Masergy and closely monitored the arrangement, regularly consulting with the company during six months of planning. Long also broke a cardinal rule of networking switches. Typically, enterprises run the new system in parallel with the old system for as long as it takes to ensure the new service meets expectations. But operating two overlapping networks significantly increases communications costs. Impressed by Masergys capability to anticipate bottlenecks and answer his questions, Long gambled and planned to make the switch in 60 days. On the cutover date, he pulled the plug on Verizon and began running solely on Masergy in a single weekend. The migration was seamless and Longs respect for his new partner grew. I kept pushing Masergy to help me with what I don't know because thats where the value of a partner is, Long says. The MPLS supports Pattonairs WAN environment, while the SDN manages line speeds and capacity to balance traffic, enabling him to throttle bandwidth up or down as he requires. For now, SDN supports considerable streaming of YouTube videos for corporate training and Skype for Business. But Long is banking on the service to support Pattonairs eventual migration to Microsoft Office 365 for 1,000 employees, part of the companys plan to rely more on cloud software. He says that SDNs flexibility is a boon at a time when cloud apps can be network killers, with bandwidth costs often proving unwieldy. Such concerns have Long thinking hard about how to implement Office 365 and other cloud services in the future. Instead of adding bigger pipes, we've got to be smarter about how we move the information around our network and that's a prime candidate to adopt more of the SDN technology. Innovator's dilemma comes to networking A customer occasionally switching doesn't raise many eyebrows, but IDC networking analyst Brad Casemore says businesses are defecting in significant numbers from incumbent carriers to emerging providers of SDNs, SD-WANs and MPLS systems. Service King Collision Repair Centers and financial services firm Greenhill & Co. are among those that have recently moved to SD-WAN systems. As a result, Casemore says telecommunications carriers that have made a healthy living from MPLS services are facing a bit of an innovators dilemma. They don't want to welcome this whole trend with open arms but they realize they have to respond to it, Casemore says. For example, he says Verizon has rolled out its own SD-WAN solution and is reselling a similar service from Viptela. However, he adds that Verizon must also protect its MPLS cash cow. Large telcos are struggling to decide [to what extent] they hang on to as much as MPLS as they can or cannibalize part of it, Casemore says. Casemore says that customers are looking for options s that are more aligned without how quickly they need to turn up services, and how quickly they need to move to cloud. He says the market for software-defined services is growing rapidly. IDC expects the SDN market, comprising physical network infrastructure, virtualization software, SDN applications and professional services, will be worth nearly $12.5 billion in 2020. SD-WAN is expected to top $6 billion by the same period. This story, "SDN providers nibbling away at slow-moving MPLS carriers" was originally published by CIO . Last month Microsoft introduced Flow, a service that allows you to create conditional connections between its business services. It supported both Microsoft products, such as Office, Office 365 and SharePoint, and non-Microsoft services, such as Twitter, Slack, Google Drive and Dropbox, letting you build conditional actions. For example, you could have a text alert generated when you received an email, automatically pull tweets into an Office app or get Slack notifications when a file is uploaded to a Dropbox folder. All of these were for business apps. Now Microsoft has a new language in the IF This Then That (IFTTT) mold for consumer and other non-business uses. Conditional Action Programmer (CAP) can do similar actions based on events, but it can do mundane things like send you a text when a stock makes a big move or remind you to get your car washed. There's no programming involved here. You select from a list of actions and then connect a reaction. So, anyone can use it, but you are on rails, as it were, limited to the actions and reactions Microsoft supports. It does support a fair number of services out of the box, though. Date and time Email Facebook RSS feeds HTTP Office 365 email OneDrive for Business Pocket SMS Stocks Weather And the list is likely to grow, since there is a feedback mechanism where users can tell Microsoft what other services they'd like to see integrated. Given Microsoft is in a much more listening state of mind these days, I expect more services to be added. When you sign up, Microsoft requires you to sign a disclaimer that mentions some data "may be retained by Microsoft for up to 1 year for product improvement purposes." Well, at least they are telling you up front. The creator of Megaupload plans on reviving the infamous file sharing site on Jan. 20, five years after the U.S. government shut it down. It will be better than the original and it will feel like home, tweeted Megauploads creator Kim Dotcom on Sunday. He made the announcement as U.S. authorities are trying to extradite him to face copyright infringement charges. In 2012, the U.S. government pulled the plug on his file-sharing site, which was accused of helping to distribute pirated music and video. Dotcom, who is based in New Zealand, has appealed his extradition to the U.S., and a court hearing is set for August. In the meantime, Dotcom has been trying to restore his file sharing business. In 2013, he created Mega, a cloud storage service, but he later disowned it. Dotcom claimed that a Chinese investor, and later the New Zealand government, had taken over the service through control of the companys shares. I don't trust Mega anymore. I don't think your data is safe on Mega anymore, he said in an interview last year. [ ALSO ON NETWORK WORLD A quick look: The Megaupload Kim Dotcom hullabaloo ] However, he vowed to create a competitor to Mega that would be completely open source and non-profit and run similar to Wikipedias model of accepting donations. Now Dotcom is tweeting out details of the upcoming Megaupload revival site. It will offer 100GB in storage and encryption, and none of the servers will be based in the U.S., what Dotcom called the mass surveillance empire. Dotcom also suggested that bitcoin would have some role in the site. Most of the old accounts from the original service will also be carried over to the new one. But no legacy code will be used to build Megaupload 2.0, he said. Dotcom hopes for 100 million users on launch day, and he's asked old Megaupload employees to return to the business. Richard Benyon reacts to news Theresa May will become Prime Minister NEWBURY MP Richard Benyon has disagreed with calls for a snap general election this autumn following the news that Theresa May will become UK Prime Minister. Mrs May will be handed the keys to number 10 Downing Street when David Cameron steps down on Wednesday. Earlier today Andrea Leadsom pulled out of the race to become Conservative leader leaving Mrs May as the only remaining candidate. And Mr Benyon feels now is not the right time to hold a general election with the issue of Britain's departure from the European Union still to be negotiated. Speaking to the Newbury Weekly News he said: I think what we need to do is to wait We need to set out how we are going to do this. We need to have a clear view about how we are going to continue to engage with our nearest neighbours, how we can continue to function with them. How we can keep our people safe and give them a chance of prosperity in the future. When thats settled then of course we need to put this to the public. We will need a government election maybe in one year or two years, certainly in the next four years, but I dont think people should expect it straight away. Having backed Mrs May in the leadership contest Mr Benyon said it is now time for the Government to get back to the job at hand following the referendum. This now allows a new government to be put in place to start the difficult job of extricating us from the European Union, he said. But there is also educational reform, changes to the criminal justice system and also the matter of financing our health service. All of this requires a serious government for serious times. I think Theresa has been faultless in how she has been, faultless in how she has put her message across. Places like West Berkshire have had prosperity, however there are people beyond that, people who deserve their time in the sun as well, and its vital we have that very clear one nation message that Theresa has put forward in the last few days. Not a single, modern-day entrepreneur or investor in China has had to experience a substantial and painful economic slowdown, let alone a recession. The reason is simple: Since the nation's reform and opening campaign began in 1978, China has never faced such situations. China's average gross domestic product growth rate was 9.74 percent between 1980 and 2015, according to the National Statistics Bureau. The annual compound growth rate during the same period was 9.76 percent. For brief periods, annual GDP growth fell below 5 percent in 1989 and 1990. But it exceeded 7 percent annually before falling to 6.9 percent last year. The future will probably be a lot different. Warning signs indicate China's economy has probably entered a relatively long downturn period. GDP growth will probably fall to 5 percent, 3 percent, or even lower. Some industries may even see zero or negative growth. For entrepreneurs and investors, this will be a sea change because assets will have to be reassessed and business values rewritten. Many U.S. dollar-denominated investment funds started revising their assessments of Chinese companies, including start-ups, about 18 months ago, and subsequently slowed the growth of their investments. But many Chinese entrepreneurs and some investors have so far failed to reassess their own businesses and investments. At China eCapital, we have been telling our clients since May that the move by the China Securities Regulatory Commission to tighten regulations on Chinese companies that plan to delist from a U.S. stock market will profoundly impact business valuations. The uncertainty facing companies looking to relist on the mainland will prompt some investors that rely on leverage to unload their shares, thus dealing a fresh blow to business valuations. Since modern-day investors in China have never experienced a complete economic cycle, which includes expansion and contraction, I'm afraid that paranoia will result. They lack experience in dealing with economic downturns. Large amounts of capital will thus flow out of risky industries and into relatively safe sectors. If that happens, new entrepreneurs will find it harder to raise funds, and their businesses will more likely fail. In this environment, then, each entrepreneur should carefully examine his or her situation and adjust appropriately to find the right development strategies. Here are my suggestions for new entrepreneurs and anyone planning to start a business: 1. Examine your growth target for the next two years as soon as possible, and make adjustments if needed. If you are raising funds from investors and the business valuation does not matter much to you, clinch the investment immediately. If you are not looking for investors right now but will consider doing that within the next six to 12 months, start tomorrow. But make sure that you'll have on hand enough funds for two years' worth of operations, even if you will not seek investors. 2. Focus on cash flow rather than profit margins. Focus on profit margins rather than revenue scale. Focus on revenue scale rather than gross merchandise volume. Focus on financial safety rather than following a growth curve. 3. Emphasize assets that can be used as collateral. They will play a surprising role at crucial moments. 4. If you are thinking about starting a business, you should avoid industries that require huge sums of money at the outset and might burn money over a long period unless you or someone on your team has a very strong background. Such a background could include experience as a software engineer at Google, Facebook or one of the BAT companies. Instead, you should focus your attention on sectors that are not easily influenced by economic fluctuations, for example the basic consumer goods sector. 5. Pay close attention to U.S. dollar-denominated investment funds and general partners with experience in managing U.S. dollar-denominated investment funds. Over the past two years, big corporations, A-share companies, financial institutions, wealthy families and even celebrities have replaced these funds as the dominant investors in China. In the years to come, though, the market will change. Big, international, U.S. dollar-denominated investment funds will probably become important investors again because they have extensive experience in handling investments amid an economic downturn. I urge every investor and entrepreneur to contemplate one question this summer: What can you do to survive and even thrive if, over the next five to 10 years, you face an environment marked by low growth rates, negative yields, a depreciating yuan and rising levels of bad loans held by Chinese banks? If you're well-prepared, you can turn what will be a crisis for others into opportunities for yourself. Wang Ran is founder of China eCapital, a private investment bank based in Beijing What if one blood test could screen for more than 50 types of cancer? news Champaign, IL (61820) Today Partly cloudy this morning, then becoming cloudy during the afternoon. High 56F. Winds E at 10 to 20 mph.. Tonight Overcast. Low 38F. Winds E at 5 to 10 mph. The American Academy of Neurology (AAN), the American Brain Foundation (ABF) and the Muscular Dystrophy Association (MDA) have announced a new Clinical Research Training Fellowship in muscular dystrophy for 2017. The two-year award will provide $130,000, including a $10,000 per year stipend for tuition to support education and research-related costs. The recipient will be announced at the 2017 AAN Annual Meeting in Boston. This is the AAN and American Brain Foundation's first fellowship with the MDA, and the AAN's first fellowship specifically focused on the muscular dystrophies and the other neuromuscular disorders, which are the focus of the MDA. "Since awarding the first research grant in 1993, the AAN has supported many investigators with a research focus on neuromuscular diseases. However, this is the first award specifically designated for the muscular dystrophies," said Robert Griggs, MD, FAAN, chair of the American Brain Foundation Research Advisory Committee, who was instrumental in bringing the three organizations together. Recognizing the critical need for neurology research, the AAN Research Program has dedicated its grant-making efforts to further the commitment to make a profound difference in the lives of researchers and patients. "This new award represents a commitment of the AAN to finding the cure for muscular dystrophy by providing critical support to a neurologist dedicated to the advancement of neurological research," added Aleksandar Videnovic, MD, MSc, FAAN, chair of the AAN work group on the award. "This partnership is timely because it coincides with the launch of the AAN's 2017 Research Program that includes a comprehensive portfolio of research opportunities in the field of neurology and neuroscience." "We are honored to partner with the AAN and the American Brain Foundation to offer a new clinical training fellowship in muscular dystrophy," said Valerie A. Cwik, MD, MDA executive vice president and medical and scientific officer. "This work is part of the MDA's commitment to fund the best and brightest in muscular dystrophy research and to help expedite the discovery of safe and effective treatments for our families who desperately need them." The AAN awards are the first step to a broader base of support, and the majority of AAN award recipients have gone on to receive research funding from the National Institutes of Health. Applications for most awards will be accepted until October 1, 2016, and the fellowships will begin July 1, 2017. To learn more about available AAN Research Program awards or to apply, visit https://www.aan.com/research-and-awards/clinical-research-training-fellowships/. Columbia University Medical Center (CUMC) and Weill Cornell Medicine, in collaboration with NewYork-Presbyterian and NYC Health + Hospitals/Harlem, have been awarded a grant from the NIH for approximately $4 million in fiscal year 2016 to enroll participants in the Cohort Program of President Barack Obama's Precision Medicine Initiative (PMI)a large-scale research effort to improve our ability to prevent and treat disease based on individual differences in lifestyle, environment and genetics. The five-year award is estimated to total $46.5 million, pending progress reviews and availability of funds. CUMC is one of several medical centers that will provide expertise and infrastructure needed to launch the PMI Cohort Program. This landmark research effort aims to engage 1 million or more U.S. volunteers from the diversity of America in a significant research effort to improve our ability to advance precision medicine. The program seeks to extend the success of precision medicine in some cancers many other diseases. Importantly, the program will focus not just on disease, but on ways to increase an individual's chances of remaining healthy throughout life. "Columbia's university-wide commitment to pioneering research and clinical care in precision medicine coincides perfectly with the national priority established by President Obama to improve health and save lives, and we are deeply enthusiastic about being selected to help lead this effort," said Columbia University President Lee C. Bollinger. "We believe that in years to come, our society will benefit immeasurably from the advances in medical science that will emerge from our collaboration with this team of outstanding New York-based medical centers." "Cornell University has a distinguished legacy of leading scientific discoveries that address our greatest healthcare challenges," said Hunter R. Rawlings III, interim president of Cornell University. "The launch of this collaboration marks a turning point in our effort to conquer disease and to translate research discoveries into life-changing impact for communities in New York and around the world." "The PMI Cohort Program aligns perfectly with our own precision medicine effort, which we launched in 2015 in partnership with NewYork-Presbyterian and faculty from across Columbia University," said Lee Goldman, MD, Dean of the Faculties of Health Sciences and Medicine and Chief Executive, CUMC. "This award, in collaboration also with NewYork-Presbyterian, Weill Cornell, and our long-standing colleagues at New York City Health + Hospitals/Harlem, will extend our ongoing successes in taking an individualized approach to treating some cancers and rare genetic diseases to a broader range of human illnesses across the ethnically, culturally, and socioeconomically diverse population we serve. It will also enable us to make sure that research findings benefit our local population and beyond as quickly as possible." "Precision medicine has the power to fundamentally change the way we understand and treat some of the world's most challenging diseases," said Dr. Augustine M.K. Choi, interim dean of Weill Cornell Medicine. "This NIH grant, and our critical work with colleagues from Columbia, NYC Health + Hospitals/Harlem and NewYork-Presbyterian, will ensure that we are better able to understand the key genetic and other biological drivers of disease and ultimately improve the lives of our patients. We are incredibly honored to be selected for this grant, and grateful to President Obama and the NIH for their bold vision." Genetics & Genomics eBook Compilation of the top interviews, articles, and news in the last year. Download a copy today "It's an incredible honor for our physicians and researchers to be a part of this historic initiative," said Dr. Steven J. Corwin, president and CEO of NewYork-Presbyterian. "As we delve into new research and discover new prevention and treatment options, this grant gives us a tremendous opportunity to continue to excel in our collective fight against cancer and all life-threatening diseases." "The 'patient-powered' research that will result from our partnership with CUMC promises to help transform the way we achieve our mission to deliver equitable and culturally responsive care to the city's most vulnerable populations," said Ram Raju, MD, President and CEO of NYC Health + Hospitals. "Our collaboration with CUMC also underscores the critical role that the public hospital system plays in medical education and cutting-edge research to benefit the communities we serve." "We are pleased and excited that the NIH has chosen the Columbia/Weill Cornell/NewYork-Presbyterian and Harlem Hospital collaboration as one of the partners in this ambitious and fundamentally important program," said Tom Maniatis, PhD, Director of the Columbia/NewYork-Presbyterian Precision Medicine Initiative and co-founder of the New York Genome Center. Dr. Maniatis is also the Isidore S. Edelman Professor and Chair of the Department of Biochemistry and Molecular Biophysics at CUMC. "This award is a validation of our commitment to realize the vision of precision medicine, which identifies relationships between genetic, lifestyle, and environmental differences in individuals, and the prevention, diagnosis, and treatment of human diseases. This grant also recognizes the successful establishment of the Institute of Genomic Medicine (IGM) at Columbia by its Director, Dr. David Goldstein, who has demonstrated the reality of a precision medicine-based approach to treating children with rare, previously undiagnosed genetic disorders." "As doctors and scientists, we are committed to providing our patients with the very best, most cutting-edge care to ensure that illness isn't a barrier in their everyday lives," said Dr. Mark Rubin, director of the Englander Institute for Precision Medicine and the Homer T. Hirst III Professor of Oncology in Pathology at Weill Cornell Medicine, and director of the precision medicine program at Weill Cornell Medicine and NewYork-Presbyterian/Weill Cornell Medical Center. "The PMI Cohort Program will enable scientists to detect and delineate the key drivers of disease across the diverse population of patients we serve and move us closer to fulfilling the promise of precision medicine." CUMC is one of four centers that have been designated as a regional PMI Cohort Program Healthcare Provider Organization (HPO). As an HPO, CUMC and its partners seek to enroll at least 150,000 volunteers by 2021. By engaging with a number of community organizations throughout New York City, this multicenter collaboration will help to ensure that participants in the PMI Cohort Program represent the geographic, ethnic, racial and socioeconomic diversity of the country that the NIH is hoping to achieve. More than one-third of Bronx residents are born outside of the United States. Often separated from family, challenged by language barriers, unfamiliar with health resources, and burdened by poverty, they are at high risk for health problems. And these risks extend to their young children. Now, researchers at Albert Einstein College of Medicine and Montefiore have received a $3.7 million grant from the National Institutes of Health (NIH) to test a new intervention to improve the health outcomes of children of South Asian immigrants. South Asians are the fastest growing immigrant community in the United State and recent arrivals often lack access to good medical care. Community health workers, who will also be South Asian women, will visit nearly 400 mothers with newborns in the Bronx and greater New York area to help them learn healthy feeding practices and improve oral hygiene for their children. "We've found that many new mothers bottle-feed more frequently and delay weaning to a later age than is optimal for their children," said Alison Karasz, Ph.D., associate professor of family and social medicine at Einstein and principal investigator on the project. "This can result from overcrowded homes, where mothers feel pressure to keep their children quiet, or concerns about children getting enough to eat. Based on our pilot program, we expect that when mothers are provided resources and support from someone they see as their peer, feeding practices will improve--and so will children's health." The home-based intervention is titled Child Health Action to Lower Oral Health and Obesity Risk, or CHALO!, which means "Let's go!" in Hindi. It will target excess bottle-feeding and the extended use of bottles and "sippy" cups--the two most significant risk factors for childhood obesity and cavities. "We know that health behaviors and eating patterns in the first few months of life can have an oversized impact on long-term health," said Dr. Karasz. "Obesity and caries, or tooth decay, are the two most common childhood diseases in the United States and impose a significant, lifelong burden." Community health workers will visit 377 South Asian mothers of children aged 4 to 5 months and their families six times over the course of a year and will supplement with phone support. They will work with the mothers and their families to make concrete changes, such as: Listening and responding to children's cues about when they are hungry and when they are full Making and keeping dental visits Ending the common practice of using food to pacify children To evaluate the efficacy of the intervention, the health workers will assess the children's oral health and height and weight at 6, 12 and 18 months of age. They will use novel devices and techniques, including an inter-oral camera to capture images of the teeth. These images will be sent to the University of Rochester dental school for review. They'll also use an iPad program to assess children's feeding and oral health practices, in collaboration with Columbia University dental researchers. Source: Albert Einstein College of Medicine "About 80% of newly licensed nurses find their first work in hospitals," says New York University Rory Meyers College of Nursing (NYU Meyers) Professor Christine T. Kovner, PhD, RN, FAAN. "Turnovers are one of the costliest expenditures in our profession. In fact, costs are estimated at $62,000 to $67,000 per departure, amounting to $1.4 to 2.1 billion in expenses for new nurses who leave their first jobs within three years of starting." Prior research on newly licensed nurses tended to focus on organizational turnover, where a nurse leaves the hospital or organization. However, there is scant literature on internal or unit-level turnover, which occurs when a nurse leaves their current assignment to take up new roles or positions within the organization or hospital. Recently, Dr. Kovner led a team of researchers at NYU Meyers and the School of Nursing at SUNY Buffalo in conducting a study to fill in the gaps. Published in the International Journal of Nursing Studies, the study of a nationally representative sample of new nurses working in hospitals, sought to better inform unit-level retention strategies by pinpointing factors associated with job retention among newly licensed nurses. "The internal turnover rate for the one year between the two waves of the survey was nearly 30%," said Dr. Kovner. "This turnover is in addition to those leaving the organization. This figure is substantially larger than previously reported in other studies, which estimated a 13% one-year internal turnover rate among new nurses." The researchers looked to bolster the existing evidence on internal turnover to determine precursors to remaining on the same title and unit-type from the first to the second year of employment. The nurses (n=1,569) were classified into four categories based their unit and title retention. 1090 nurses (69.5%) remained in the same title and unit-type at wave two, while 129 (8.2%) saw a change in title, but not in unit-type. A similarly small group of 185 (11.8%) had no change in title, but changed unit-types, while 165 (10.5%) had a change in their title and unit-type. In addition to collecting the new nurses' demographical data, Dr. Kovner and her team assessed their perceptions of their work environment in both surveys. "In doing this we were able to examine the changes in work environment perceptions over time between nurses who remained in the same unit and title to those who changed unit and/or title," said Dr. Kovner. Upon analysis, the researchers found five factors most strongly associated with retention: holding more than one job for pay (negative), first professional degree was a baccalaureate or higher, negative affectivity, greater variety and autonomy, and better perceived RN-MD relations, all positively related. "Our results point to the variables on which managers can focus to improve unit-level retention of new nurses," said Kovner. In September 2014, President Obama issued an executive order for "Combating Antibiotic- Resistant Bacteria." Why the urgency? The Centers for Disease Control and Prevention, the order noted, "estimates that annually at least 2 million illnesses and 23,000 deaths are caused by antibiotic- resistant bacteria in the United States alone." This month, a team led by Northeastern University Distinguished Professor of Biology Kim Lewis received a five- year, $9 million grant from the National Institutes of Health's National Institute of Allergy and Infectious Diseases to develop a novel platform to translate the president's order into action. The award will enable the team to expand on the pioneering research of Lewis and Distinguished Professor of Biology Slava Epstein. The pair used an innovative method to grow "unculturable" bacteria in the lab, leading to the discovery of a new antibiotic that kills pathogens without encountering any detectable resistance. Called teixobactin, the antibiotic eliminated the superbug MRSA, or methicillin- resistant Staphylococcus aureus, in mice as well as numerous other pathogens. The new platform will facilitate quick identification of new antibiotics such as teixobactin, says Lewis, who is also director of the Antimicrobial Discovery Center. "There are a number of bottlenecks in natural product discovery," he says. "Our aim is to resolve these bottlenecks and improve our efficiency by a couple of orders of magnitude." Indeed, as the authors write in a paper they recently submitted, the platform "has strong potential to return us to the golden age of antibiotic discovery." The well runs dry In that golden age, researchers discovered new antibiotics by screening soil for microorganisms that produced compounds lethal to other pathogens. But that well essentially ran dry by the late 1960s, and the bacteria had acquired mutations that rendered them resistant to the once effective antibiotics. Lewis and Epstein's breakthrough was in finding a way to tap into the 99 percent of soil- based microorganisms that won't grow in a lab. They used a small device developed by Epstein's group called the iChip that isolates and grows individual bacterial cells in their natural soil environment. The breakthrough led to Lewis and Epstein co- founding NovoBiotic Pharmaceuticals, in Cambridge, Massachusetts. Amy Spoering, PhD'05, who now works at NovoBiotic, is a co- investigator on the new NIAID grant. From the uncultured bacteria they identified 25 new antibiotics, among them teixobactin and lassomycin, which acts against Mycobacterium tuberculosis. Genetics & Genomics eBook Compilation of the top interviews, articles, and news in the last year. Download a copy today Still, says Lewis, the process left certain elements up to chance. "We had no idea whether the soil harbored interesting microorganisms or didn't," he says. "So then the obvious question became: Why not take a step back and screen the soils themselves before isolating individual bacteria?" On the fast track to discovery The new platform uses sophisticated genomic technologies and bioinformatics tools to do just that. With co- investigator Karen E. Nelson, president of the J. Craig Ventor Institute, in La Jolla, California, the researchers will extract DNA straight from the soil samples and, using genetic sequencing, determine the diversity of the microorganisms within and identify each one by type. "It's a one- step process," says Lewis. "Based on experience we will then know right away whether the soil contains the types of bacteria that have historically been linked to antibiotic production." The initial soil samples, says Lewis, will come from Northeastern property, including that in Nahant, Massachusetts, home of the university's Marine Science Center. Currently, once researchers identify a promising bacterium based on its ability to inhibit a pathogen, say, MRSA, they take an extract from it and chemically analyze the extract's characteristics. "It's a laborious process, an enormous bottleneck," says Lewis. "And the vast majority of things found in producing bacteria are junk. Finding a compound that's useful is like searching for a needle in a haystack." The new platform fast- tracks the process. The extracts will be analyzed not chemically but biologically, displaying what genes the target pathogen expresses when treated with an extract containing an antimicrobial compound. "From that pattern of gene expression we can deduce the mode of action of the compound and make a call about its potential usefulness," says Lewis. Finally, Lewis will test the selected compounds to map their mechanism of action in detail and then validate their effectiveness against a host of pathogens both in cell cultures and a mouse model. "I think that we are going to find many novel antibiotics," says Lewis. "We are very excited about this opportunity." Scientists have identified for the first time the 'cell of origin' - in other words, the first cell from which the cancer grows - in basal cell carcinoma, the most common form of skin cancer, and followed the chain of events that lead to the growth of these invasive tumours. Our skin is kept healthy by a constant turnover, with dying skin cells being shed and replaced by new cells. The process is maintained by 'progenitor' cells - the progeny of stem cells - that divide and 'differentiate' into fully-functional skin cells to replenish dying skin. These cells are in turn supported by a smaller population of 'stem cells', which remain silent, ready to become active and repair skin when it becomes damaged. However, when this process goes awry, cancers can arise: damaged DNA or the activation of particular genes known as 'oncogenes' can trigger a cascade of activity that can lead ultimately to unchecked proliferation, the hallmark of a cancer. In some cases, these tumours may be benign, but in others, they can spread throughout the body - or 'metastasise' - where they can cause organ failure. Until now, there has been intense interest in the scientific field about which types of cell - stem cell, progenitor cell or both - can give rise to tumours, and how those cells become transformed in the process of tumour initiation and growth. Now, in a study published in Nature, researchers led by Professor Cedric Blanpain at the Universite Libre de Bruxelles, Belgium, and Professor Ben Simons at the University of Cambridge, have demonstrated in mice how skin stem and progenitor cells respond to the activation of an oncogene. Their studies have shown that, while progenitor cells can give rise to benign lesions, only stem cells have the capacity to develop into deadly invasive tumours. The researchers used a transgenic mouse model - a mouse whose genes had been altered to allow the activation of an oncogene in individual stem and progenitor cells. The oncogene was coupled with a fluorescent marker so that cells in which the oncogene was active could be easily identified, and as these cells proliferate, their 'daughter' cells could also be tracked. These related, fluorescent cells are known as 'clones'. By analysing the number of fluorescently-labelled cells per clone using mathematical modelling, the team was able to show that only clones derived from mutant stem cells were able to overcome a mechanism known as 'apoptosis', or programmed cell death, and continue to divide and proliferate unchecked, developing into a form of skin cancer known as basal cell carcinoma. In contrast, the growth of clones derived from progenitor cells becomes checked by increasing levels of apoptosis, leading to the formation of benign lesions. "It's incredibly rare to identify a cancer cell of origin and until now no one has been able to track what happens on an individual level to these cells as they mutate and proliferate," says Professor Blanpain. "We now know that stem cells are the culprits: when an oncogene in a stem cell becomes active, it triggers a chain reaction of cell division and proliferation that overcomes the cell's safety mechanisms." "While this has solved a long-standing scientific argument about which cell types can lead to invasive skin tumours, it is far more than just a piece of esoteric knowledge," adds Professor Simons. "It suggests to us that targeting the pathways used in regulating cell fate decisions - how stem cells choose between cell proliferation and differentiation - could be a more effective way of halting tumours in their tracks and lead to potential new therapies." Source: University of Cambridge The University of Chicago is one of three Illinois academic institutions that will work together to help launch President Obama's Precision Medicine Initiative (PMI) Cohort Program to enroll 1 million or more participants in a national research effort designed to find better ways to prevent and treat disease based on lifestyle, environment and genetics. A group of health care provider organizations led by Northwestern University, University of Chicago, University of Illinois at Chicago, Ann & Robert H. Lurie Children's Hospital and the Alliance of Chicago Community Health Services LLC to be called the Illinois Precision Medicine Consortium have signed on to enroll at least 150,000 participants, including healthy people and those with pre-existing diseases, over the coming 4.5 years. Precision medicine is a growing area of study that looks at how an individual's genetics, environment and lifestyle influence disease treatment and prevention. "This range of information at the scale of 1 million people from all walks of life will be an unprecedented resource for researchers working to understand all of the factors that influence health and disease," said Francis S. Collins, MD, PhD, director of the National Institutes of Health (NIH). "Over time, data provided by participants will help us answer important health questions, such as why some people with elevated genetic and environmental risk factors for disease still manage to maintain good health, and how people suffering from a chronic illness can maintain the highest possible quality of life," Collins said. "The more we understand about individual differences, the better able we will be to effectively prevent and treat illness." In the first year, the NIH will provide $55 million in awards nationwide to assemble the partnerships and infrastructure needed for this unprecedented health care effort, called the PMI Cohort Program. The Illinois consortium will receive $4.3 million in fiscal 2016, part of a five-year award that will total $45 million, pending progress reviews and availability of funds. The five consortium members, led by Northwestern, will work with their own partner institutions to enroll 150,000 participants. UChicago's partners include Rush University Medical Center and NorthShore University HealthSystem. The Illinois consortium is one of four nationwide to receive an award from the NIH for this study. The other consortium leads are Columbia University Health Sciences, University of Arizona and University of Pittsburgh. Genetics & Genomics eBook Compilation of the top interviews, articles, and news in the last year. Download a copy today PMI Cohort Program volunteers will be asked to contribute a range of health, environment and lifestyle information. All information will be protected by privacy and security safeguards. "Scientifically, this award will enable our teams to build an unprecedented research resource that will help us answer critical questions about how all aspects of our biology and lifestyle affect health and disease. This extends to multiple segments of the U.S. population and for many years to come," said Habibul Ahsan, MD, principal investigator for the University of Chicago consortium. "Practically, this award provides an opportunity for the major institutions in Illinois to work together in this historical effort." As partners in this research, study participants will provide input into study design and implementation. They will have access to a wide range of their individual and aggregated study results. The program will focus not just on disease, but also on ways to increase an individual's chances of remaining healthy throughout life. "What potential participants need to know is that we are equally interested in learning how we can prevent illness in the first place, but when we do get ill, which treatment options are going to work best for each of us individually," said Eric Dishman, director of the PMI Cohort Program. The four networks of health care provider organizations will ensure that participants in the PMI Cohort Program represent the geographic, ethnic, racial and socioeconomic diversity of the country. The networks will include regional and national medical centers, community health centers and medical centers operated by the U.S. Department of Veteran Affairs. Participants also may enroll directly through the Participant Technologies Center later this year. The NIH is on course to begin initial enrollment into the PMI Cohort Program in 2016, with the aim of meeting its enrollment goal by the end of 2020. The Illinois Precision Medicine Consortium and its health care provider organizations and community partners intend to recruit, consent, examine and collect biospecimens from 10,000 people from diverse ethnic, social and economic backgrounds in 2016. They hope to recruit at least 35,000 additional participants a year from 2017 to 2020. Source: University of Chicago Medical Center University Hospitals Case Medical Center is among the first in the country - and the first in Ohio - to offer and deploy the Abbott Absorb stent, a completely bioresorbable stent. The Absorb stent works exactly as its traditional metallic predecessors in that it opens a blocked coronary artery, with one major exception - it dissolves completely in the body two to three years after implantation. On July 8, University Hospitals (UH) implanted its first Absorb dissolving stent on a patient with coronary artery disease. There are only 50 sites around the nation who will launch the Absorb product and UH is the only hospital in Ohio. Recently approved by the FDA, Absorb is the first and only fully dissolving stent approved for the treatment of coronary artery disease which impacts over 15 million people in the United States and is the world's leading cause of death. Made of naturally dissolving material, the new device provides the same benefits as traditional stents and trial data supports its potential benefits in restoring long-term vessel function. The stent utilizes the same cath lab equipment already in place and study data supports comparable healing with the traditional metallic stent. Hiram Bezerra, MD, and Gui Attizzani, MD, of the UH Harrington Heart & Vascular Institute, played a significant role in assisting Abbott in bringing this technology to market. "Absorb is a new, potentially game-changing therapy for coronary artery disease," said Dr. Bezerra, Medical Director, Cardiovascular Imaging Core Laboratory - UH Harrington Heart & Vascular Institute. "While it may never totally replace traditional DES, this novel technology gives us the ability to repair a patient's artery with comparable healing and safety and reduces long-term complications." Lab Diagnostics & Automation eBook Compilation of the top interviews, articles, and news in the last year. Download a copy today Like traditional metallic drug-eluding stents (DES), Absorb opens a patient's blocked artery but then disappears after leaving no metal behind to restrict natural vessel motion. Absorb is made of polyactic acid similar to material used in dissolving sutures. Through the process of hydrolysis, the scaffold is metabolized after two to three years. In clinical studies conducted throughout the world, the new Absorb stent demonstrated comparable short-term and mid-term outcomes compared to the leading metallic stent. After the first year of the clinical trial of approximately 2,000 patients in the United States (Absorb III randomized trial) patients who received the Absorb experienced comparable rates of specific adverse events in the intended patient population. It also eliminates the need for layer upon layer of metal in a situation where patients experience in-stent restenosis. Additionally it reduces the amount of metal in the coronary arteries when the patient requires multiple stents. "The device restores vasomotor function and pulsatility allowing the artery to move and more naturally regulate blood flow," says Dr. Bezerra, who is Assistant Professor, Medicine, Case Western Reserve University School of Medicine. "It also avoids the long-term future complications related to metallic stents, such as inability to graft to a fully stented artery in the event that a patient needs coronary artery bypass grafting." Saturday mornings are for sleeping in. But on this particular Saturday morning, I found myself up at 3:30am. Being up at such ungodly hour can mean only two things - I am leaving for a ride or a work assignment. This time, however, it was a little bit of both.Ever since Royal Enfield unleashed the all-new Himalayan on the not so unsuspecting moto-enthusiasts, I was raring to have a go at it. Little did I know I would land the chance to get up close and personal with the Himalayan in the most epic of fashions. I was invited to ride the motorcycle from Delhi all the way to the bikers' Shangri-La of Leh, as a part of Royal Enfield's annual Himalayan Odyssey ride.Last minute packing panic was dealt with, the charger I almost forgot was tucked in, the cab was called and very soon I found myself at the rally point. this particular edition of the Himalayan Odyssey was special for a reason: for the first time, there was a ride exclusively for female riders. 20 of them to be precise. The men's edition was more than 80 strong.From the rally point, all the riders started off to the flag off point - India Gate in a neat formation, weaving through the sparse Saturday morning traffic and the Lutyen's Delhi roundabouts. The flag off happened only after each rider was blessed by a Buddhist monk present in the venue.The riders will cover over 2000 kilometres in some of the worst terrains that the country has to offer. So for extra luck and protection, each rider also tied a prayer flag to a ceremonial pole.The first day, which involved riding 264 kilometres to Chandigarh, mostly through multi-lane highways allowed the riders to open up their engines and familiarise themselves with the dynamics of group riding. The day was hot and humid, and the challenge was to stay hydrated and safe.I am happy to report that all the riders made it to the final rally point 10 kilometres on the outside of Chandigarh. We rolled into Chandigarh, a column of 80 riders. The range of bikes, the outlandish (to the common people, at least) turned many a head.The first day was the proverbial tip of the iceberg. The destination for the day was Manali. While most riders were excited about tackling the hills, I was looking forward to it for a very different reason. I hate this heat. I need some Himalayan chill. New Delhi: With Reserve Bank of India (RBI) Governor Raghuram Rajan unwilling to head the central bank for a second term, senior government official sources told CNN-News18 that NITI Aayog Vice Chairman Arvind Panagariya is the frontrunner for the post. Panagariya's name as frontrunner for the post came a day after he said the UPA I government had expanded social schemes but not taken necessary measures to sustain the high growth over a longer period of time. "The policy paralysis during the UPA II rule had hurt the economy badly and as a result, it descended into what appeared to be a crisis during the last two years of its rule. Today, the economy is far healthier compared to the last year of UPA II in all macroeconomic parameters," he said, adding that the current government ended the paralysis by clearing numerous projects," he had said in Jaipur. On June 18, Rajan said he will not serve a second term as RBI head, sparking a war of words in which the Congress accused the Bharatiya Janata Party-led Central Government of hounding him out. Rajan's statement came after BJP Rajya Sabha MP Subramanian Swamy campaigned against him seeking his ouster. The others contenders for the post are: State Bank of India (SBI) Chairperson Arundhati Bhattacharya, RBI Deputy Governor Urjit Patel, former deputy governor Subir Gokarn, economists Rakesh Mohan and Parthasarathi Shome. Chief Economist in the World Bank Kaushik Basu's name is also doing the rounds. (With PTI inputs) Patna: Two days after a youth was killed after being hit by Union Minister Ram Kripal Yadav's convoy, no arrests have been made in the case. Rahul Kumar was killed, and six security personnel were injured, when his motorbike collided head on with a jeep in the cavalcade escorting Yadav in Patna district on Saturday, police had said. The collision took place near Devdahan village under Dhanarua police station when the Minister of State for Rural Development was going to Patna and the youth was on his way home in Bir village under the same police station. "I stopped and helped them, saw the boy. They were all injured. I took them to the hospital. I waited for two hours," Yadav said. The 18-year-old died on the spot while the escort jeep overturned injuring the six security men, police had said. The injured policemen have been admitted to Nalanda Medical College and Hospital in Patna. The Minister was on his way to Patna after inaugurating 'Ujjwala' scheme at Masaurhi, the area which falls under his Lok Sabha constituency Patliputra. (With additional information from PTI) HM @rajnathsingh ji spoke to me earlier today. I told him that until security forces exercise maximum restraint & stop killing protestors... Omar Abdullah (@abdullah_omar) July 11, 2016 The Centre on Monday urged a sensitive handling of the violence in Kashmir while Home Minister Rajnath Singh reached out to the Opposition leaders including Sonia Gandhi to build a political consensus.Sources said security forces and central para military forces were asked to exercise restraint and use minimum force against those protesting the killing of Hizbul Mujahideen militant Burhan Wani. Attempts were also made to reach out to umemployed young men and teenagers who were earlier found involved in stone-pelting.The state government also tried to contact parents asking them tio convince their children not to take part in the protests for the third day.In telephone conversations with Congress chief Sonia Gandhi and National Conference leader Omar Abdullah, Rajnath discussed efforts being taken to bring back peace and normalcy in the Valley.The Home Minister's discussions with Gandhi and Omar, who ruled Jammu and Kashmir between 2009 and 2015, are believed to be an attempt by the central government to take opposition leaders into confidence.Meanwhile, the separatists have extended the strike issued till Monday to July 13 expressing anguish over the killing of civilians in the past three days.In a joint statement, chairman hurriyat (g), syed ali geelani, chairman hurriyat (m), mirwaiz molvi umar farooq and jammu and kashmir liberation front (jklf), muhammad yasin malik gave a call to extend the strike till Wednesday.Earlier, Sonia Gandhi had said that there can be no compromise on matters relating to national security even as she voiced anguish over the loss of lives.On Saturday, Omar had extended his support to Chief Minister Mehbooba Mufti on Twitter and said his party was ready to contribute towards maintaining peace and urged her to take the lead in showing the way.According to sources, Singh is also speaking to other opposition leaders on the Kashmir situation.The Home minister has already spoken at least twice to Jammu and Kashmir Chief Minister Mehbooba Mufti and assured her assistance to tackle the protests that have claimed at least 23 lives.Normal life remained paralysed for the third day and mobile internet remained suspended as curfew-like restrictions continued in many parts of the Valley. New Delhi: A Delhi court on Monday sent Chief Minister Arvind Kejriwal's former Principal Secretary Rajendra Kumar and six others, arrested in an alleged corruption case, to three-day CBI custody after the agency said they were needed to be confronted with each other. Special CBI Judge Arvind Kumar sent Rajendra Kumar, a 1989 batch IAS officer of UT cadre, Deputy Secretary in Kejriwal's office Tarun Sharma, Kumar's close aide Ashok Kumar and owners of a private firm, Sandeep Kumar and Dinesh Kumar Gupta, to the agency's custody after they were produced before it on expiry of their one-day judicial remand. The court also sent RS Kaushik and his predecessor GK Nanda, present and the former Managing Directors of a PSU respectively, to three-day CBI custody on expiry of a day's judicial custody. While Kumar, Tarun, Ashok, Sandeep and Dinesh were earlier in CBI custody for five days, the other two accused who were arrested on Saturday, were sent to the agency's custody on Monday. All the seven accused were on Sunday produced before a magisterial court which had sent them to a day's judicial custody while asking them to be produced before the special CBI court on Monday. During the hearing on Monday, the CBI told the court that it needed their custody as it wanted to confront the accused arrested earlier with those nabbed on Saturday. The agency submitted that six more addresses were revealed during the interrogation of the five accused from where several more incriminating materials were recovered. It claimed that during the search, a diary was recovered from which it came to the light that Rs 60 lakh cash was paid by one of the accused to acquire a flat for another accused. "Fresh discoveries are likely to be made in the case. Huge illegal gratification was given to the accused company (Endeavour Systems Pvt Ltd). We have the audio clips which connect of all the accused with each other," the CBI claimed. It also told the court that some of the accused persons were threatening the witnesses and asking them not to cooperate in the investigation. Regarding Nanda, the agency alleged that he had entered into a conspiracy with other accused dishonest intentions. Jama'at-ud-Da'wah (JuD) Chief and 26/11 attack mastermind Hafiz Muhammad Saeed on Monday tried to stoke passions across the border by holding a prayer meeting in memory of Hizbul Mujahideen commander Burhan Wani who was killed in a gunbattle with security forces. In a mobile video which went viral on social media, Hafiz was seen condemning the killing of Wani and urging people of Kashmir to raise their voice against the Indian government. The prayer meeting held at Muzaffarabad Pakistan Occupied Kashmir (PoK) was also attended by Syed Mohammed Yusuf Shah - popularly known as Syed Salahudeen - who is the head of the Hizb-ul-Mujahideen. Both Saeed and Salahudeen addressed a gathering of Hizbul militants from a makeshift stage which also had posters of Wani. This was the second such provocative statement from across the border with Pakistan Prime Minister Nawaz Sharif earlier in the day issuing a statement condemning Wani's killing. "Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions," Sharif said. The Centre, meanwhile, has decided to take a tough stand against those vitiating the law and order situation in Kashmir. NSA Chief Ajit Doval cut short his Africa trip and rushed back to India to have high level meetings with top security heads in the home ministry. "We are quite confident and competent of finding solutions," he said. Top government sources told CNN-News 18 Wani was not innocent as his supporters made him out to be and that 20 terror-related cases were registered against him. "In Kashmir the situation will be under control soon. We will take strong action against the terrorists. Statement by Pak Foreign office is out of turn and unwarranted," a source said. Srinagar: The Jammu and Kashmir government appealed for calm on Sunday as six more people died in unending demonstrations against the killing of a top militant leader Burhan Wani, taking the death toll to 21 in two days in curfew-bound Kashmir Valley. Shabir Ahmed, 27, was shot and wounded by security forces during a street protest here and died in hospital, becoming the first to be killed outside of north Kashmir since the anti-government protests erupted on Saturday. The incident took place in Batmaloo, a known separatist hub. Fresh firing by security forces in Pulwama district in north Kashmir led to the death of Faiyaz Ahmed Mir. Elsewhere in Pulwama, four CRPF troopers were wounded when militants hurled grenades taking advantage of a street protest. Four other deaths were reported earlier in the day. A mob overran a bullet-proof police vehicle and rolled it down into the Sutlej river at Sangam in Anantnag district, drowning its constable driver while other policemen fled the scene, official sources said. Security forces shot dead two demonstrators at Litter in Pulwama after a mob took to the streets shouting anti-government and pro-freedom slogans, the sources said. Irfan Ahmad Malik, 17, was also killed on Sunday in firing in Pulwama after a curfew-defying mob attacked the security forces, a police official said. The latest fatalities took the death toll in two days of widespread clashes between protesters and security forces in the Kashmir Valley to 21 -- 20 demonstrators and one policeman. Kashmir Valley erupted in anger on Saturday after security forces shot dead Burhan Wani, a top leader of the Hizbul Mujahideen, along with two of his associates on Friday. His funeral was attended by thousands. Most protests have taken place in the northern districts of Anantnag, Pulwama, Kulgam and Shopian. Wani hailed from Pulwama. In most places mobs have attacked police and paramilitary forces with stones, leading to tear-gassing and firing. Taking advantage of the outnumbered security personnel, demonstrators on Sunday set fire to the Sub Divisional Police Officer's office in Pahalgam, a court complex in Dooru and a police post in Qazigund in Kulgam. On Saturday, mobs torched four police stations, two police pickets and a tehsildar's office. There were two incidents of weapon snatching and torching of several vehicles of security forces. Senior officials said three policemen were missing following the violence and nearly 100 security personnel were injured in the street clashes which have crippled the Kashmir Valley and halted the Amarnath Yatra. On Sunday, the Jammu and Kashmir government urged parents of young demonstrators to tell their wards not to take part in protests that were leading to civilian casualties. Education Minister and government spokesman Naeem Akhtar also urged the separatist Hurriyat Conference to help restore calm in the Kashmir Valley, where a separatist campaign has left many thousands dead since 1989. The government said it was also trying to arrange flights to fly out the thousands of tourists stranded in the valley due to the violence. In New Delhi, union Home Minister Rajnath Singh spoke to Jammu and Kashmir Chief Minister Mehbooba Mufti, offering all possible help from New Delhi. Senior officials expressed the hope that the situation in Kashmir would return to normal soon. Four demonstrators, Imtiyaz Ahmad Mandoo, Mashooq Ahmad and Safeer Ahmad (all from Anantnag) and Mohammmad Altaf Rather (Pulwama) who were wounded on Saturday died on Sunday. Eleven people were killed on Saturday. At least 100 protesters were injured on Saturday. They suffered bullet, tear smoke and pellet injuries. Wani was buried in his native Shariefabad village on Saturday where thousands gathered defying curfew restrictions to take part in his funeral prayers. The separatists have called for a valley-wide shutdown till Monday to protest against Wani's killing. New Delhi: Chief Minister Arvind Kejriwal has written to the Prime Minister pressing for deputing Sanjiv Chaturvedi as his OSD in "larger public interest" and said he was "surprised" by the rejection of his request by the Centre which "never properly utilised" the IFS officer's services. The Appointments Committee of Cabinet (ACC) had recently declined the proposal for inter-cadre deputation of Chaturvedi from Uttarakhand to the Government of National Capital Territory of Delhi, saying he had not completed the mandatory cooling-off period of three years. Strongly pitching for Chaturvedi's induction as his OSD for "welfare of Delhi", Kejriwal contended, in his letter to Prime Minister Narendra Modi, that as per DoPT instructions, the criteria of cooling-off period was to be exempted for an official who is to be appointed as "personal staff". The Delhi Chief Minister had first made the request for posting the Indian Forest Service (IFS) officer as his OSD to the Centre in February last year. "It has been a settled practice of our democratic polity that whenever an officer is required as personal staff by any CM or Minister, of any government, the same is deputed accordingly, irrespective of political differences. However, I am surprised to know that after an inordinate delay of 16 months, which included four directions of Honourable Court, the request has been finally rejected by ACC," Kejriwal wrote in the letter, dated July 3. After his induction into the service, Chaturvedi was allocated Haryana cadre. However, he sought change in the cadre citing "extreme personal hardship". The ACC had approved the inter-cadre transfer of Chaturvedi from Haryana to Uttarakhand in August 2015. However, in February this year, Uttarakhand government rejected a representation of Chaturvedi seeking a No-Objection Certificate for inter-cadre deputation and stated "if government of India grants waiver of cooling off condition, Uttarakhand has no-objection for inter-cadre deputation". The Ministry of Environment, Forest and Climate Change (MoEFCC) had informed ACC that Chaturvedi was yet to serve in his new parent cadre i.e. Uttarakhand. In the letter, Kejriwal lamented that services of Chaturvedi, a former AIIMS CVO, were never utilised properly by the central government or the state government despite his reputation of "integrity and efficiency" which brought him laurels, including the prestigious Ramon Magsaysay Award. For Abdul Hakim, who has always heard his son call him abba jaan, the word came as a shock. His son Hafesuddin (22), one of the missing men from Kerala and now suspected to be a part of ISIS network, called Hakim a 'kafir' - a person who rejects or disbelieves in God. "My own son called me a kafir. Radicalism changed my son completely," said Hakim, trying to control his emotions as the love for his son was overwhelmed by anger at what he has done. With the news of missing youths from Kerala hitting the headlines, Hakim is a worried man. He wondered where he went wrong in raising Hafesuddin, that he one day texted him, "I am now get the jannat (heaven), here no tax, no Sharia law, nobody here catching me, very good place". Speaking to CNN-News18, an anguished Hakim, said, "If he does not like India, if he acted against the country, I don't want to see him, even though he is my son.I don't even want to see his body." Saying he has no clue how his son got radicalied, Hakim added. "I am an Indian, don't even wish to see my son's corpse ever." On July 28, Hafesuddin left home to study the Quran in Calicut but two days later he said he was travelling to Sri Lanka for further studies. A day before Eid, when his family members were waiting for him to return, they got a text message which read, "He is going to heaven with a cause." "He didn't say anything about his location. He said that he wanted to teach the Quran," Hafesuddin's father said. When asked did he notice any changes in Hafesuddin, he said, "Two years ago he started growing his beard. He told me that he want an Islamic state." Hakim could never figure out what what overcame his son. "He does not like me anymore. I don't know why he doesn't like me anyomre, " he said. The fourth welcome in 5 days, PM @narendramodi receives ceremonial honours at the State House in Nairobi pic.twitter.com/5LXDmOBREL Vikas Swarup (@MEAIndia) July 11, 2016 Homage to a great son of Africa, a friend of India. PM lays wreath at Mausoleum of Jomo Kenyatta, 1st Prez of Kenya pic.twitter.com/3IvwMF6Acp Vikas Swarup (@MEAIndia) July 11, 2016 Prime Minister Narendra Modi on Monday held talks with Kenyan President Uhuru Kenyatta on a wide range of issues aimed at boosting ties in the African continent, particularly in the economic sphere.Modi, who arrived in Nairobi on Sunday on the final leg of his four-nation Africa tour, was accorded ceremonial guard of honour at the State House in Nairobi."The fourth welcome in five days, PM Narendra Modi receives ceremonial honours at the State House in Nairobi," External Affairs Ministry Spokesperson Vikas Swarup tweeted.He earlier paid tributes to Mzee Jomo Kenyatta, the first president of Kenya and a towering political figure of Africa."Homage to a great son of Africa, a friend of India. Prime Minister lays wreath at Mausoleum of Jomo Kenyatta, first President of Kenya," Swarup said.Ahead of the visit, the Prime Minister had said, "India-Kenya ties have stood the test of time. Both our nations have had very strong people-to-people ties and both nations have successfully fought colonialism in the previous century."He visited Mozambique, South Africa and Tanzania before arriving in Nairobi.The focus of the African tour is on deepening cooperation in areas of hydrocarbons, maritime security, trade and investment, agriculture and food. Islamabad: Pakistan on Monday summoned the Indian High Commissioner to express serious concerns over the killings of Hizbul Mujahideen commander Burhan Wani and many civilians in Kashmir and called for "fair and transparent" inquiry against individuals responsible for "blatant" violation of fundamental rights. The Foreign Secretary called in Indian High Commissioner Gautam Bambawale "and conveyed Pakistan's serious concerns over the recent killings of Kashmiri leader Burhan Wani and many other civilians in Kashmir by the Indian military and paramilitary forces", a statement said. He said that the use of excessive force against innocent civilians protesting peacefully over extrajudicial killings, is deplorable and a blatant violation of the Right to Life, Right to Freedom of Expression and Opinion, Right to Peaceful Protest, Right to Peaceful Assembly and other fundamental rights. The Foreign Secretary also deplored the firing by Indian security forces on peaceful protesters, the statement said. He highlighted that such brutal use of force is not acceptable under any circumstances, it said. The Foreign Secretary called for fair and transparent inquiry against individuals responsible for these killings. It was emphasised that oppressive measures cannot deter the valiant people of the Jammu and Kashmir from their demand of exercising their right to self determination in accordance with the UN Security Council resolutions, the statement said. The Foreign Secretary reiterated Pakistan's call on the Indian government to fulfil its human rights obligations as well as its commitments under the United Nations Security Council Resolutions to resolve the Jammu and Kashmir dispute, it said. @SushmaSwaraj @yadavakhilesh the lady has been united with the family after counselling the in-laws. pic.twitter.com/NFajXMCYGF CM Office, GoUP (@CMOfficeUP) July 10, 2016 Thank you Akhilesh ji for resolving this. Such incidents affect country's image. @yadavakhilesh https://t.co/LpKvOTEi9F Sushma Swaraj (@SushmaSwaraj) July 10, 2016 External Affairs Minister Sushma Swaraj on Sunday sought the help of Uttar Pradesh Chief Minister Akhilesh Yadav in a case involving a Russian woman, who alleged abuse by her in-laws in Agra.The Russian woman posted a video on Twitter in which she mentioned that she was protesting outside the house of her mother-in-law, who is an Indian, and alleged that she was being abused by her.After seeing the message, Swaraj tweeted requesting Akhilesh to help the woman following which the Uttar Pradesh Chief Minister informed the minister that the matter was resolved.Swaraj later tweeted thanking Akhilesh."Thank you Akhilesh ji for resolving this. Such incidents affect country's image," she said in her tweet. Samajwadi Party leader Abu Azmi on Monday backed controversial Islamic preacher Zakir Naik and said that he has known him for a long time and that Naik can't be involved in any kind of terrorist activities or indoctrination. "Naik has always condemned terrorism and has always told his followers to stay away from ISIS," Azmi added. Earlier, the Indian Union Muslim League had came out in support of preacher Zakir Naik, saying the "advocate of peace theory in Islam" is being harassed for no "valid reason". IUML MP and former minister E T Mohammed Basheer said in a press conference on Monday, "Naik is an advocate of peace theory in Islam. The action by the authorities against the Islamic preacher is a violation of the freedom of expression. He is being harassed for no valid reason." "The probe against Naik is being carried out in a prejudiced manner," Basheer alleged and demanded that authorities stop harassing the preacher. "Since the launch of Islamic Research Foundation in 1991, Naik has travelled to many parts of the world and delivered a large number of speeches and organised several debates. In view of these facts, he should not be harassed," he said. A video footage of a speech by Naik in which he speaks against Islamic State, was also played during the press conference. Naik came under the scanner after media reports suggested that one of the Dhaka attackers were allegedly inspired by his speeches. (With inputs from PTI) Mumbai: Controversial Islamic preacher Zakir Naik on Monday said he would cooperate with any investigation into alleged terror links, hours after his office informed he would not be returning to India immediately. In a strongly worded statement, Naik said he never backed terror activities and that the media were using video clips of his speeches to mount a case against him. "I do not support terrorism or violence in any form whatsoever. I have never supported any terrorist organisations and have mentioned this over and over again in thousands of my public talks worldwide. I strongly condemn anyone taking my statements out of context and using it for violence of any form," he said. Naik said he would record answers to most of the allegations against him and put them out on social media and other platforms. He also said no government agency from India had so far contacted him for seeking any clarifications on the allegations raised against him. "It would be my pleasure to cooperate with any official Indian government investigation agency for any information they might require from me, he said. The statement was issued hours after his office told CNN-News18 that he would not be present at the media briefing that was scheduled in Mumbai on Tuesday. Though his office claimed he had not cancelled his return to India and that he was planning to address the media through Skype from Medina, police sources pointed out this was not the case. CNN-News18 also learnt the Islamic scholar is not expected back in the country for at least three weeks as he would be touring Africa. Sources close to the investigation said a team will scrutinise Naik's speeches online and would also seek help from Islamic scholars to understand his interpretation of Islam. His speeches are believed to have inspired some of the Bangladeshi terrorists, who killed 22 people, mostly foreigners, at an upscale restaurant in Dhaka on July 1. Bangladesh has also banned the broadcast of controversial Naik's Peace TV, cracking down on the channel and radical sermons. The activities of Naik's Mumbai-based Islamic Research Foundation are also under the scanner of Indian Home Ministry amid allegations that funds from abroad received by it have been spent on political activities and inspiring people towards radical views. The Maharashtra government has also ordered a probe into the sermons by the 50-year-old televangelist that has kicked up a storm. Controversial Islamic preacher Zakir Naik has cancelled his press conference scheduled in Mumbai for Tuesday, raising questions on whether he is returning to India immediately. Naik's office told CNN-News18 that he is not coming to Mumbai to address the press conference and that he will address the press conference through Skype from Medina in Saudi Arabia. Police sources said they suspect he may not subject himself to the probe ordered against him on alleged terror links. The sources added they are not however taking any chances and that police are watching his office and other properties in case he returned to the country quietly Reacting to the news, BJP leader Sudhanshu Mittal said the law should follow its course, now that it is clear that the preacher is not immediately returning to India. Congress spokesperson Tom Vadakkan said the government woke too late to the issue and that it should place in public all the facts it has gathered against him. Naik been facing the heat following reports that his alleged "provocative" speeches had inspired some of the militants who carried out Bangladesh's worst terror attack in a cafe in capital Dhaka. Shiv Sena, which is part of the ruling coalition in Maharashtra, has demanded that the Islamic preacher be arrested the moment he lands in India, and that his "Peace TV" network be dismantled. The NDA ally also likened Zakir Naik's "social work" to the activities of Jaish-e-Mohammed chief Masood Azhar. "The way fanatics like Pakistan-based Azhar Masood openly spew venom, people like Zakir Naik carry out through their social work in the name of peace by covert means. Naik has been nurturing anti-nationals for the last several years and the lessons in peace preached by him have been unmasked after the Dhaka carnage," the Sena said in a stinging editorial in its moutpiece "Saamana". Naik has however dismissed all the allegations against him. (With inputs from PTI) New York: Certain personality types are more likely to rebel rather than comply when seeing the colour red, suggests a study led by an Indian-origin researcher. The study was inspired by a problem faced by a Dutch child helpline that offered free counselling to children aged 8 to 18. The Dutch child helpline that ran the counselling service were frustrated because of the high percentage of prank calls they received who had no interest in genuine counselling. Researchers launched an experiment that showed three different colours on the chat screen while callers were on hold for a counsellor. They expected that red would reduce the number of prank chats. "To our surprise, the prank chatting was higher with the red colour background than the white or blue. Prank chatting occurred about 22 per cent of the time with the red background, compared to 15 per cent for the white or blue," said Ravi Mehta, Assistant Professor, University of Illinois. The researchers realised that the colour red can increase non-compliant behaviour in people with sensation seeking personality types. To test whether personality type influenced the response to red, the researchers conducted another study. In this experiment, college students completed an online questionnaire to assess their level of sensation seeking. They answered questions to evaluate their attitude toward compliant behaviour and the questions were presented on either a red or white screen. People high in sensation seeking who viewed the red background preferred statements that were resistant to compliant behaviour. This did not happen when they saw a white background. The findings suggest that the assumptions about the colour red may not apply to everyone and this could have implications for things like anti-smoking and safe sex campaigns. "Using red to promote these preventative health measures might not work for people who are high in sensation seeking, and it might even backfire," added Mehta. Red could help some people comply with health eating recommendations, but for high sensation seeking personality types, this may not be the colour of choice, suggested the study published in the Journal of Consumer Psychology. New Delhi: Madaari director Nishikant Kamat has shown support to the makers of Great Grand Masti, which was recently leaked online, and spoke about creating an "eco-system" to fight piracy. Madaari, which stars internationally acclaimed actor Irrfan Khan, was scheduled to be released on July 15, but it will now release a week later at the behest of the makers of Great Grand Masti. "I think the film industry needs to be more supportive of each other," Kamat said in a statement. "While we were apprehensive to shift our date again to July 22, we felt strongly that the cause of piracy owing to the leak of Great Grand Masti was more crucial, and as creative people we must all support each other and create an eco-system where we hopefully can survive through such tactical ways to fight back things like piracy," he added. This is the second time that the movie's release date has been altered. Earlier, Madaari was to release alongside megastar Amitabh Bachchan's TE3N on June 10 and then it was pushed to July 15. Madaari, which explores a father-son relationship, also features Jimmy Sheirgill in a pivotal role. Lucknow: Following the footsteps of three leaders that quit the Bahujan Samaj Party ahead of the forthcoming Uttar Pradesh assembly elections, party's national secretary Paramdev Yadav also resigned on Sunday. After serving the party for 35 years, Paramdev said he felt suffocated in the Mayawati-led Bahujan Samaj Party as the interests of the Dalits were "being mortgaged to the people with money bags". Calling the BSP a "grocery store" where money could buy anything from tickets to party posts, he said people who have no background and no loyalty to the party were made Member of Legislative Council while dedicated workers like him were being sidelined. While he did not disclose any details about his future political action, he dropped enough hints that he could join the Bharatiya Janata Party (BJP). He told the media "I am headed for Kashi (Varanasi)". Varanasi is the parliamentary constituency of Prime minister Narendra Modi. Since June, he is the fourth top BSP leader to have left the party, levelling almost similar charges against Mayawati. Senior party leaders Swami Prasad Maurya left the Bahujan Samaj Party in June and leaders RKChowdhary and Ravindra Nath Tripathi quit recently. New Delhi: With Kashmir on the boil over the killing of Hizbul Mujahideen commander Burhan Wani, Congress president Sonia Gandhi on Monday said there can be no compromise on matters relating to national security even as she voiced anguish over the loss of lives in the clashes. She also noted that the political process has made many gains in Jammu and Kashmir particularly over the last two decades and "these must not get lost". In a statement, she maintained that there can be "no compromise" on matters relating to national security and that terrorism must be dealt firmly. "Even so, the death of so many of our citizens and attack on security forces is very painful", she said. In an appeal to people of the Valley, she asked them to allow political parties find "durable and enduring ways of fulfilling their aspirations meaningfully in a peaceful and democratic manner". Clashes between protesters and security forces in Kashmir following the killing of Wani in an encounter on Friday has left 21 people dead. Leader of Opposition in Rajya Sabha Ghulam Nabi Azad also voiced concern over the deteriorating law and order situation in the Valley where, he said, "irreparable collateral damage" has taken place. A former Jammu and Kashmir chief minister, Azad said the need of the hour is to ensure that law and order is restored at the earliest without any further loss of lives. The Congress General Secretary expressed grief over the loss of lives in the violence in various parts of Kashmir Valley. Azad also wished speedy recovery for more than 200 civilians and security personnel injured in the violence. U.S. President Barack Obama is calling on Americans to mutually respect fellow citizens days after two fatal police shootings of African Americans and an ambush that killed five policemen in Dallas, Texas. "Maintaining a truthful and serious and respectful tone is going to help mobilize American society to bring about real change and that is our ultimate objective," Obama said in a response to a question from a reporter as he met Sunday in Madrid, Spain, with Prime Minister Mariano Rajoy. "I would like all sides to listen to each other," Obama said in reference to protestors such as those involved with the 'Black Lives Matter' movement and police organizations throughout the U.S. Obama said violence against police by anyone concerned about fairness in the criminal justice system does "a disservice to the cause." The president also called for balance from law enforcement. "It is in the interest of police officers that their communities trust them." The president was on an abbreviated first presidential trip to Spain, a day after attending the NATO summit in Warsaw, Poland, where he devoted much of his news conference to events back home. "I firmly believe America is not as divided as some have suggested," Obama said. He said the country has a foundation to build on to tackle the very real problems it faces, including tensions between law enforcement and minority communities. Obama is cutting his trip to Europe short by one day and will return Sunday to Washington in order to travel to Dallas in the coming days at the invitation of Mayor Mike Rawlings. The city remains on edge, especially after Dallas police received an anonymous threat against officers across the city Saturday. The threat led officials to lock down the headquarters and several blocks surrounding the building as a "precautionary measure," a police statement said. When asked in Poland about how he would characterize Dallas shooter Micah Johnson, Obama called him a "demented individual." The Dallas shooter is not representative of blacks, the president said, just as the man who killed people at a historic African-American church last year in Charleston is not representative of whites, and the Muslim-American who killed 49 people at an Orlando nightclub last month is not representative of Muslim-Americans. Addis Ababa: Ethiopia has blocked social media sites for the next few days, after questions from end-of-year exams were posted online last month, sparking a national scandal and leading to their annulment. A government spokesman said the ban was aimed at stopping students taking university entrance exams this week from being "distracted." Facebook, Twitter, Instagram and Viber have been inaccessible in the Horn of Africa nation since Saturday morning. "It's blocked. It's a temporary measure until Wednesday. Social media have proven to be a distraction for students," government spokesman Getachew Reda told AFP. Ethiopia is one of the first African countries to censor the internet, beginning in 2006 with opposition blogs, according to experts. Prominent blogger Daniel Berhane denounced the move as a "dangerous precedent. "Theres no transparency on who decides why it's necessary or who decides for how long," he said. "This time it's for a few days but next time it could be for months ( ) Theyre flexing their muscles. They got multiple tools and theyre testing them." Last week, the UN Human Rights Council passed a resolution condemning the blocking of internet as a human rights violation. Jerusalem: Israel's Attorney General Avichai Mandelblit announced on Sunday that he had ordered to open an initial probe amid criminal allegations against Israel's Prime Minister Benjamin Netanyahu. The attorney general did not specify the nature of the probe, and stressed the examination is an initial probe and not a criminal investigation, according to Xinhua. "I wish to inform you that in light of information received regarding affairs that pertain to the prime minister, among others...the attorney general has conducted a number of discussions... decided to instruct that an examination of the matter be opened," the Attorney General's office said in a statement. The statement also said that recent reports by Israeli media outlets on the matter were not accurate. On Friday, Channel 2 news reported that the new affair involved the transfer of large sums of money to Netanyahu's family member for non-political purposes. Channel 10 news reported that Netanyahu and a senior official in the judiciary establishment were involved in a corruption affair, possibly involving money laundering. "In recent days, many reports -- which are inaccurate, to say the least -- have been published in the media. Naturally, we will not be able to refer to these reports or to elaborate as to the process of the examination at this stage," the statement added. A spokesperson for the prime minister said in a statement to the press that allegations against the prime minister are unfounded. "As was the case in previous affairs, when things that turned out to be baseless were attributed to Netanyahu, there will be nothing here as well, because there is nothing," the statement said. This is not the first time Prime Minister Netanyahu and his wife have allegations surface against them. Israeli authorities have embarked in June on investigating Netanyahu's alleged connections with French businessman Arnaut Mimran, who was recently found guilty by a French court over a massive fraud case. The attorney general ordered to open a probe into the French businessman's claim, made during the trial, that he gave Prime Minister Netanyahu about 1 million euros ($1.1 million) in 2009 for campaigning. Such sums are considered as a violation of Israel's campaign finance law. In May, the Israeli police recommended to the Jerusalem prosecution to file an indictment against Sara Netanyahu, the prime minister's wife, for alleged improprieties at the family residence, including fraud charges. The United Nations plans to issue stamps in 2017 in commemoration of the International Yoga Day, celebrated annually on June 21, after the world body adopted an India-led resolution in 2014."United Nations celebrates International Yoga Day, plans to issue stamps on this topic in 2017," UNPA (UN Postal Administration) tweeted.United Nations stamps are issued simultaneously at the world body's offices in New York, Geneva and Vienna.Each issue carries a related design theme, with different denominations for each office.Usually six new commemorative issues are released each year and remain on sale for 12 months only.Participants from a record 135 nationalities had gathered in front of the iconic United Nations Secretariat building to commemorate the second International Day of Yoga in June, with General Assembly President Mogens Lykketoft underlining the importance of Yoga in achieving the Sustainable Development Goals.Renowned spiritual leader Sadhguru had led a yoga session during the hour long commemoration, organised by India's Permanent Mission to the United Nations and attended by top United Nations officials, ambassadors, diplomats and yoga practitioners.The first International Yoga Day was commemorated at the United Nations with aplomb in 2015, with External Affairs Minister Sushma Swaraj leading the celebrations along with United Nations Secretary General Ban Ki-moon.Ban and his wife had joined hundreds of students and yoga practitioners to perform asanas and mediate under the guidance of Shankar during the yoga day celebrations.In December 2014, the United Nations General Assembly had adopted a resolution introduced by India's Ambassador to UN Asoke Mukerji with a record number of 177 co-sponsoring member states to establish the International Day of Yoga to be observed every year on June 21.United Nations stamps have illustrated the aims and achievements of the United Nations and its family of organisations, the United Nations Postal Administration website said. South Korea and the U.S. on Friday agreed to station Terminal High-Altitude Area Defense batteries on the Korean Peninsula. The agreement came five months after Seoul and Washington finally admitted they are in talks over the deployment of the THAAD batteries and two years after former U.S. Forces Korea commander Curtis Scaparrotti first raised the issue. The location of the THAAD battery deployment will be announced later this month, and they will be stationed here some time next year. Deputy Defense Minister Yoo Jeh-seung and USFK Chief of Staff Lt. Gen. Thomas Vandal made the announcement in a press conference. Yoo said the measure aims "to guarantee the security of [South Korea] and its people from the threat of North Korea's nuclear weapons, weapons of mass destruction and ballistic missiles, as well as to protect the military assets" of the South Korea-U.S. alliance. Yes, Virginia, there is a Santa Claus in the Marvel Universe ... and he's a mutant! No, really. Is starting the holiday season sooner and sooner every year Santa's Marvel mutant power? North Korea on Saturday fired a ballistic missile from a submarine off the coast of Sinpo in South Hamgyong Province, the Joint Chiefs of Staff here said. The launch came 24 hours after South Korea and the U.S. announced the deployment of Terminal High-Altitude Area Defense batteries on the Korean peninsula. The JCS in a statement said the missile "was ejected from the submarine normally, but the initial flight was unsuccessful." Military officials said the missile traveled several kilometers but then exploded 10 km above ground. The launch was probably a sign of protest against the U.S. blacklisting last week of North Korean leader Kim Jong-un and other individuals and entities for human rights abuses. "It takes several days to prepare a submarine-launched ballistic missile because a submarine has to head out to sea first, so the North must have decided on the launch before South Korea and the U.S. announced the THAAD deployment." North Korea already on Thursday ordered fishing boats on the East Sea to evacuate the area. But a government official said, "The provocation supports the need to deploy the THAAD battery." THE COLOUR of Shadows The book written by former editor-in-chief, journalist and author of two previous books, Judy Raymond, opens apertures into Trinidadian and Caribbean history. Although it was not the story Raymond intended to tell, it became one that she felt, eventually, needed to be told. She said in an interview, In all it took five years to my horror because I was working on something about Lord Harris which I still have to finish and Lord Harris was one of Cazabons main patrons and I read about Cazabon being Trinidads only 19th century artist. I thought that cant be true and I thought there must be other artists around in Trinidad in the 19th century. This simple statement peaked Raymonds journalistic curiosity to discover other 19th century artists and up came the name Richard Bridgens. Bridgens was a furniture designer in Britain and produced a book which influenced furniture design in the UK. When his mentor died and with a financial crash in Britain he found it difficult to make a living. His wife had inherited part of a sugar estate in Trinidad and so the couple made the journey here. He had to take a job as superintendent of public works. Wherever he went he liked making a visual record of that from which a book, West India Scenery, was created. The more I read about him, the more amazing the story became. In the end, I became completely distracted form Lord Harris and I started off by writing an essay comparing Cazabons work with Bridgens just to point out that Cazabon was not our only 19th century artist. Then I started working on what I thought was going to be a short book about Bridgens and his work. Raymond, at that time, also accepted the post of editor- in-chief at the Trinidad Guardian and found it challenging to balance getting the book done and heading the media house. During that time she also realised that it needed to be a book of three things and not just a biography about Bridgens. She realised if he took great pains to reproduce landscapes such as Lopinot or the St Clair Estate, then the pictures he drew of individuals must have been, portraits of actual enslaved people who we thought were completely lost to history, and in fact we know quite a lot about them. Work done by Bridgens assisted in piecing together the dayto- day lives of the enslaved in Trinidad. We know what they looked like from slave registers and the reports of the Protector of Slaves and other sources. We know what their lives were like. We may even be able to know their names and what they looked like, she said. Through the slave registers one could know the lives of the enslaved such as, who got married, what children they had, what work they did, what ethnicity they were and how tall they were, when they got married, Raymond said. She added the registers showed that the enslaved were listed as African Ibo, African Congo or creole of this island, if born here. Trinidad, she added, was a slave colony at a late stage and just before the slave trade was abolished, and the country had a lot of free Africans who were never enslaved. This, Raymond said, formed a lot of TTs national character today. In this country it only began to be a slave colony at a very late stage just before the slave trade was abolished. In fact, we had a lot of free Africans who were never enslaved. For instance, after the abolition of the slave trade in 1807 in Britain and its colonies, there were still slave ships going, which were going from Cuba or Brazil because they had not abolished slavery and part of the Royal Navys job was to intercept some of those ships and free the people on board them. Some of the people were brought here and they were free and they formed their own communities in places like Laventille and Belmont which was known as Freetown. The influence of slavery was different here. I think it has led to a lot of the aspects of the national character some of which I write about. I think for instance the individualism Trinidadians have, the feeling that somehow rules dont apply to you which has led to a lot of problems for various aspects of law enforcement, maintaining the environment and so on. A lot of people here were a lot less oppressed for a shorter time than people in longer established slave colonies. So I think it has given us a lot of our creativity. Her research also showed that the family structure was not as torn apart as some might believe. Raymond said her work and others like it indicate that there is a need to revisit what is known as Caribbean and Trinbagonian history. Her work, she added has debunked the myth that slavery in Trinidad was not as bad because there were French planters here. Raymond recounted reading reports of enslaved peoples being so hungry that they attempted to eat animals dying of diseases. This forced plantation owners to burn and bury the animals to stop enslaved people from eating them because they were so hungry. Any idea that slavery here wasnt so bad because many of the owners were French and lived on the estate, I think it is complete nonsense to the most part, she said. For Raymond, the beauty of her work, The Colour of Shadows, is fairly academic but on the other hand being a journalist by experience I tried to make it readable for ordinary people who are not academics. Raymond hopes to continue her work on Lord Harris but also might tell the story of the Protector of Slaves. Giving a voice to Chernobyls voiceless I HAD NO quarrel when Ukrainian journalist Svetlana Alexandrovna Alexievich won the 2015 Nobel Prize for literature. Call me prejudiced, but I happen to think good journalists make great writers. They know how to grab a readers attention without being verbose, and they realise that people are always the most important part of a story. Alexievich certainly does not disappoint. As a matter of fact, her work is absolutely stunning: surreal and shocking. She could have won the Nobel Prize with one invaluable source of oral history entitled Voices from Chernobyl: The Oral History of a Nuclear Disaster. There is no way to adequately describe this book. You really have to read it to experience the absolute horror of the nuclear disaster that took place in Russia on April 26, 1986. Without being maudlin or overdramatic, Alexievich simply steps back and out of the picture, giving a voice to the voiceless who lost their homes, their city and their lives. Stringing together a series of accounts, readers experience sadness and horror on an unprecedented level. Alexievich must have done some editing on these horrific stories, but she does not interfere with the telling of the story. She merely packages these personal anecdotes and presents them so that they capture every emotion conceivable: the fear that comes when you must abandon your home; the bewilderment that sets in when you are told you cant eat the crops that grow on your land; the horror of seeing loved ones die a horrific death because of being exposed to radiation; the longing to return to a normal life. Most of all, she captures the absolute befuddling experience of people trying to make sense of an unprecedented disaster that defies all explanations. The prologue exposes the desperation and the horrors of lost love when a young woman insists on being with her husband, a fireman who responded to the fire during the meltdown. The description of this pregnant, young woman desperately trying to make sense of what has happened and desperately trying be close to her husband is agonising. She suffers both the loss of her husband and the lost of her child, whom she has exposed to the radiation because she would not listen to the nurses. This is a story about the loss of love in a most brutal manner that we cannot imagine experiencing. In The Land of the Dead desperate people returning to their land against the advice of the authoritiescant understand why they cant eat their potatoes or drink the milk from their cows. Army officers hover around while they milk their cows to make sure that the milk is thrown away; harvested potatoes have to be disposed of in a certain way. They are baffled because they cant see the problem. They try to make sense of a problem they cant see. There is an eerie loneliness that engulfs those who return home. In A Monologue about What Can Be talked about with the Living and the Dead, an unidentified speaker talks about returning home; waiting for people to return; realising that no one is coming. Theres no church. The priest doesnt come. Theres no one to tell my sins to. They search for their lost animals, their neighbours, their loved ones and their lives. They cannot come to grips with a problem that will last for generations. This is a compelling book because Alexievich has the strength of a journalist to stand back and let people tell their own story. This is a beautiful and haunting book no one should miss reading Kamla: No snub at Manning funeral While Persad-Bissessar was clearly visible amongst the other mourners, yesterday on Facebook an Opposition activist claimed it was a breach of protocol to not invite the Opposition Leader to formally speak. I feel no offence, Persad-Bissessar told Newsday, yesterday. She said it is more important to look at the bigger picture of the whole nation successfully paying tribute to a man who had served his country with great commitment, whether or not one agreed with all the decisions he had taken. Persad-Bissessar said she did not know the details of such protocol but rationalised that the order of the funeral service was a matter to be worked out by the State and Mr mannings family. On her not being invited to speak, she reiterated, I dont see it as anything, a slight. It is more important to celebrate Mr Mannings life and dont let little matters distract us. Persad-Bissessar then rebuffed a few criticisms levelled against President Anthony Carmona for the prominence he gave to his wife, Reema Carmona, in reading out a list of dignitaries he was addressing. There was no breach of protocol, said Persad-Bissessar. She dismissed the criticisms of Mrs Carmona as tiny bits of nonsense and nit picking. These tiny little things are not with the substance of time spent on them, asserted Persad-Bissessar. Otherwise she praised the speech of St Vincent prime minister, Dr Ralph Gonsalves, who she said had connected well with the congregation, and those listening to the broadcast in the nation, region and world. PSC: No decision yet on CoP I dont have any comment to make because we havent decided on anything as yet. Thats all as much as I could say, PSC chairman Dr Marie Gomes yesterday told Newsday. Newsday asked if she supports the new selection process for the CoP as a replacement for the continued issuance of six-month extensions, but she said she had no comment. Gomez said she had not known previously that CoP Williams will be taking three months off his job to go on vacation at month-end. She said she had only learnt of this vacation when she had read about it in the daily press. Im seeing it in the papers. Somebody read it for me today. Newsday was unable to contact Minister of National Security, Edmund Dillon. Likewise Newsdays attempts to ask Williams if hed be willing to serve again, were met with a recorded message from a Spanish language telecom system, amid reports he is now in Panama. He has been acting as Police Commissioner since Canada- born Dwayne Gibbs resigned from the post in 2012. Williams would continue to act as top cop until July 31. Govt yields 1.4 million in speed fines Of the four regions where speed traps were carried out, the Southern Division, particularly in Debe, registered the largest quantum of fines. Newsday understands that senior Highway, Traffic, and Highway Patrol officers have recommended that the monies earned in fines, which would have gone to the Consolidated Fund, be used to purchase additional speed guns. There are currently six speed guns being used by specially trained officers throughout the country, however, to cover additional areas including Tobago requires more speed guns. The average cost of one speed guns is $65,000. Newsday understands that since only a certain number of officers are trained in the use of the speed guns, when some of them are off-duty, this results in speed traps not being carried out. Senior police officers said yesterday that although additional training is taking place they are yet to receive word from Minister of Works and Transport Fitzgerald Hinds on whether their proposal to purchase additional speed gun with the fines earned is being considered by the government. According to officers there is only so much six speed guns can do and if members of the public do not see any speed traps taking place, it is not the fault of the Police Highway and Traffic Branch. Newsday understands that when speed guns are used it requires the use of two vehicles at two locations and sometimes there are many challenges with respect to vehicle resources. Officers pointed out that while they are happy that Government has provided at least six speed guns, more guns are needed to cover the entire country, including Tobago. Officers also admitted that sometimes the speed guns may malfunction and therefore additional guns are needed. With respect to concerns by members of the public that they do not see speed traps taking place in the night, officers added that the public will see more officers out when more speed guns are purchased. If the U.S. Terminal High-Altitude Area Defense batteries are deployed in the southern part of South Korea, as sources say, they would not protect Seoul and the metropolitan area from North Korean missile attacks. The Defense Ministry claims that the purpose of the THAAD batteries would be to protect not only U.S. troops and equipment but South Koreans from a North Korean missile attack. But if the capital region and Pyeongtaek and Osan to the south are excluded from their protective umbrella, that would be a misleading claim. Military sources explained that the THAAD would require U.S. money and therefore needs to protect American troops rather than the residents of Seoul and Gyeonggi Province. UWI Guild to establish Manning Fund In a release, Guild president, Makesi Peters said, Patrick Augustus Mervyn Manning was indeed a visionary and he believed in the importance of young people. To ensure sustainable development, Patrick Manning saw the need to expand the education system which allowed more people to access tertiary education. The Government Assistance for Tuition Expenses (GATE) was indeed a gateway for the vulnerable and the poor. Peters explained that Patrick Mannings son, Brian Manning requested that a Patrick Manning Fund be established to assist low-income families within the region. The UWI Guild of Students decided to take a proactive approach by establishing a similar fund, The Patrick Manning GATE Fund. Peters says We see this fund as not only continuing his legacy, but a fund that will be accessible to all in light of the pending changes to the GATE program. The Patrick Manning GATE Fund will be launch by the UWI Guild in September 2016. The fund will be managed by members of Campus Administration and the UWI Guild. Once the Fund is launched, The Guild intends to encourage the private sector and other members of the public to donate. Peters says Leaving a legacy is key, thus as Guild we will strive to ensure that the legacy of our former Prime Minister lives on. Teelucksingh tells congregation: Build your congregation Teelucksingh was addressing a congregation yesterday morning at St Andrews Presbyterian Church, Princes Town, where he was the guest speaker at the churchs 140th anniversary celebrations. He lamented that a car park now occupies the spot where the sister church once stood. He asked: You know one of the reasons that church was destroyed? Its members were dwindling for a few decades and finally, the church lost its congregation. Anytime a church loses its congregation, it loses its soul, it cannot survive. The UWI lecturer said the Scottish Presbyterian church was the architectural pride of Frederick Street, Portof- Spain. They were witnessing to the city of Port-of- Spain for 179 years and that church was demolished despite protests and cries, Teelucksingh continued. Now in its place which once stood a church of beauty and splendor, is a car park. A historical landmark, a history of a people, a ho use of prayer destroyed. This is probably the worst disaster to ever face the Christian church in Trinidad and Tobago, a church demolished, destroyed Thanks to FDA, Women Will Be Told of Their Breast Density (Newser) The incredible abilities of a 101-year-old pianist with vascular dementia highlight just how much we still have to learn about our own brains. The woman rarely knows where she is and can't recognize anybody she has met in the last 20 years or more, but she can play more than 400 songs by ear and is still learning new ones, Stanford University researcher Eleanor Selfridge-Field told last week's International Conference on Music Perception and Cognition. Selfridge-Fieldwho identified the woman only as "ME" to preserve her privacysays she first encountered her at a Christmas party eight years ago, when the entire room was astounded soon after the frail woman sat down at the piano, the New Scientist reports. Selfridge-Field told the San Francisco conference that ME learned instruments such as the piano and violin as a child and earned two degrees in music education, but she largely gave up music after moving to California with her husband and two children 70 years ago. Today she performs at assisted-living facilities across the state and has even composed a new song. "ME is a wonderful example of an indomitable human spirit, and somebody who was gifted earlier in life and has maintained those gifts," Selfridge-Field says. A University of California-San Francisco neurologist tells the New Scientist that we still don't have a "great sense" of where music exists in the brain, but it seems to be more widely spread than abilities like language, which could explain why ME and people like her retained their musical skills long after other memories faded. (Researchers say this fruit could help people whose memories are beginning to slip.) (Newser) In 2005, Long Island couple Seth and Rivka Fortgang built their dream home in the village of Lawrence and copyrighted the architectural plans to ensure that it remained truly one-of-a-kind. Now Rivka, an interior and exterior designer who envisioned the whole project, says her business and reputation are suffering because a nearly identical house is being built in Cedarhurst just a mile away, reports the New York Post. Among the Fortgangs' accusations, per their copyright infringement lawsuit: "There is no doubt that [Daniella and Ari Schwartz] have accessed and intentionally copied the plans of the Fortgang residence" by way of the Lawrence Buildings Department with the intention of aping the design. Atlas Obscura observes that the locations of the window and gables do seem similar. The Schwartzes have thus far responded through their attorney, Daniel Schloss, and contend that while the design features being shared are too "generic" to be covered under the Copyright Act, they are temporarily postponing construction "in the interests of professional courtesy," reports the New York Daily News. The Fortgangs' attorney hasn't commented beyond the lawsuit. Real estate website Zillow puts the Fortgang house, which is 4,400 square feet and features 5.5 bathrooms, at $1.48 million. (Check out where this reclusive heiress hid the architectural plans of a mansion she never spent a night in.) (Newser) Aerosmith guitarist Joe Perry has been taken to a hospital after he was forced to leave the stage while performing in New York City. Perry became ill around 9:30pm Sunday while performing with Johnny Depp and Alice Cooper in his side band, the Hollywood Vampires, at Ford Amphitheater in Coney Island, the AP reports. Sources tell Billboard that Perry became ill during the first song and passed out after stumbling offstage. An audience member who saw a "whole bunch of backstage people run off to the left side of the stage" tells NBC News that the band finished the concert, though they didn't play any Aerosmith songs. An administrator at Coney Island Hospital confirmed the 65-year-old Perry is undergoing tests. A Hollywood Vampires record label rep says Perry is "stable and resting." Cooper also tweeted "our brother" Perry is stable and with family. It's not clear whether a Monday night Hollywood Vampires appearance on The Late Show With Stephen Colbert, which would have been the band's first late-night TV gig, will go ahead, Billboard notes. (Read more Aerosmith stories.) Workers plan to take an average of 4.4 days of summer vacation this year, a bit longer than last year's 4.1 days, according to a survey. The Korea Employers Federation surveyed 529 companies with at least five employees. Since the statutory five-day workweek was introduced in 2004, workers have tended to take shorter summer holidays. Workers at companies with more than 300 employees will have an average of 4.8 days off this summer, while those working for companies with fewer than 300 workers will take an average of 4.3 days. Most companies are encouraging their employees to take longer holidays to save labor costs as they have been forced to cut back on production amid sluggish economic conditions. (Newser) Texas Gov. Greg Abbott was hiding a painful secret when he spoke to the media after last week's shooting deaths of five police officers in Dallas. The governor suffered severe burns on both of his legs in an accident while vacationing in Jackson Hole, Wyo., several hours before the Thursday shooting; his staff was preparing a public statement when the news from Dallas came through, the Austin American-Statesman reports. "His first words to us were, 'I've got to come back,'" says Matt Hirsch, Abbott's communications director. He says Abbott, who had second- and third-degree burns on both legs from being scalded with hot water, decided to keep news of the burns quiet to avoid distracting from what was happening in Dallas. Hirsch says the governor decided to return to Texas against the advice of doctors, who warned that his wounds needed to be regularly dressed to prevent infection, the Dallas Morning News reports. "It was hugely important for him to be in Dallas the next day without regard to his well-being," he says. Abbott, 58, has used a wheelchair since a tree fell on him while he was jogging in 1984, crushing his spine, but he can still feel pain in his legs and feet, the New York Times notes. Abbott was supposed to lead the Texas delegation at the Republican National Convention next week, but Hirsch says the governor might now have to stay home. (A lot of other high-profile Republicans are skipping the convention.) (Newser) More than 50 Colorado National Guardsmen are helping hundreds of firefighters battle a blaze that the Boulder County Sheriff's Office says was started by two careless campers from Alabama, USA Today and the AP report. Jimmy Suggs, 28, and Zackary Kuykendall, 26, of Vinemont were arrested Sunday and charged with fourth-degree arsona felony because lives were endangered by the wildfire, a sheriff's statement cited by the Denver Post notes. They reportedly started the campfire Thursday night going into Friday at a campsite on private property near the Peak to Peak Highway and Cold Springs Road, and while it may be reasonable to assume the men didn't mean for the fire to get out of hand, the sheriff's statement notes they're being charged because they didn't make sure the fire had been put out properly or ensure the ashes were cooled down before they left the site, AL.com reports. The Cold Springs fire, which officials say was helped along by hot, dry weather and winds that blew the still-smoldering ash, has since Saturday spread over more than 600 acres, burned down three homes (one of which is a Nederland firefighter's, CNN reports) and three other buildings, and caused the evacuation of more than 2,000 people southwest of Boulder. Thirty other homes are still said to be at risk depending on how hot it gets and which way the wind blows. One resident who was evacuated tells the Boulder Daily Camera that as she was leaving the area, she "looked and saw an inferno in the sky." Both suspects are being held at the Boulder County Jail. The Denver Post has images of the wildfire and affected residents. (Read more Colorado stories.) (Newser) With the debut of vaping in the US in 2007, there was hope that teens who smoked would replace old-school cigarettes with e-cigarettes, curbing tobacco use. But a new USC study in the journal Pediatrics has found teens who never would have smoked regular cigarettes are experimenting with vaping. Another USC study finds older teens who try vaping are six times more likely to try a tobacco-filled cigarette than non-vapers, per a press release. "E-cigarettes may be recruiting a new group of kids to tobacco use," says Jessica Barrington-Trimis, lead author of both studies. While e-cigs may seem safer than tobacco, if they come pumped with nicotine (some don't), kids can get addicted. The New York Times notes chemicals in the vaping liquid may be harmful; WebMD adds long-term effects are unknown. Using participants from USC's Children's Health Study, scientists followed 5,490 teens who graduated high school in select years between 1995 and 2015, asking them via questionnaire about their tobacco use. It's true that rates among Southern California teens who said they had smoked over the past 30 days fell significantly from 1995 to 2004 (19% to 9%), and slightly more in the decade after that (a bit less than 8% by 2014). But when teens were asked to include e-cigarettes in their assessments, the smoking rate jumped back up to 14% in 2014. And that's got scientists worried, especially with the prevalence of e-cigarettes that come in kid-friendly flavors such as cotton candy. "E-cigarettes may be safer than regular cigarettes for adults who are transitioning from smoking to vaping, but for youth who have never used any other tobacco products, nicotine experimentation could become nicotine addiction," Barrington-Trimis says. (Read more vaping stories.) (Newser) Two men drowned while trying to rescue a child struggling in powerful currents in Lake Michigan on Saturday. David Halford, 37, and Kyle Reibly, 26, were among a group of four that entered the water at Wells Street Beach in Gary, Ind., after the child around 2:30pm. "We were having a good time, and all of a sudden someone said there's a kid in the water," says Vernon Lesner, a friend of Halford. "We had a mission. We were like, 'Hey this kid's coming back to shore, no matter what." Lesner tells NBC Chicago that he lost consciousness. After being saved by rescuers and coming to on shore, he learned Halford, a father of three, was gone. Fire officials say they arrived and found five people in danger. Halford and Reibly were pronounced dead, while a woman was taken to a hospital in stable condition, reports the Times of Northwest Indiana. The child and Lesner walked away from the scene. "I think he's a hero," a friend says of Halford. "He went out in a blaze of glory." It isn't clear if the group was at the beach for the Gary Air Show happening at the same time. Announcers repeatedly told visitors to avoid Lake Michigan as the National Weather Service had warned of "life-threatening waves and currents," per the Post-Tribune. A 23-year-old man also drowned Saturday on Lake Michigan, raising the tally to 20 drownings this year, per CBS Chicago. (Read more Indiana stories.) (Newser) The US will send 560 more troops to Iraq to help recapture Mosul from Islamic State militants, Defense Secretary Ash Carter said Monday on an unannounced visit to the country. Most of the new troops will be devoted to the buildup of the newly retaken Qayara air base, about 40 miles south of Mosul, and include engineers, logistics personnel, and other forces, Carter said in Baghdad, per the AP. They will help Iraqi security forces planning to encircle and eventually retake the key city. "These additional US forces will bring unique capabilities to the campaign and provide critical enabler support to Iraqi forces," Carter said. He revealed President Obama's decision during a talk to troops at the airport in Baghdad. Carter called this weekend's recapture of Qayara a key strategic victory. Speaking to reporters before he arrived in Baghdad, he said US advisers are prepared to accompany Iraqi battalions, if needed, as those units begin the siege of the key northern city, which was taken by ISIS in the summer of 2014. "That's its strategic role, and that's its strategic importance," he said of the air base's proposed function as the hub. US officials said a team of American troops went into Qayara for a quick site assessment Sunday and left. One potential job is helping Iraqi troops use highly technical bridging capabilities to get across the river into Mosul. The troop increase brings the total US force authorization in Iraq to 4,647 and comes just after Obama slowed the troop withdrawal from Afghanistan. (Read more Iraq stories.) (Newser) On Thursday night, someone posted a chilling comment on LaNaydra Williams' Facebook page under images of her young daughter. "We'll see how much her life matters soon," the post on the Dallas woman's page read. "Better be careful leaving your info open where she can be found :) Hold her close tonight it'll be the last time." The comment was eventually deleted, but Williams took a picture of it and linked it to its source: a police officer in Overland Park, Kansas, the Kansas City Star reports. By end of day Friday, the Overland Park PD had investigated the incident and fired the officer, IDed by the New York Daily News as Rodney Lee Wilson, and it says that a criminal investigation is also in progress. The warning was apparently a Black Lives Matter reference made after last week's Dallas police shooting that left five cops dead. But Williams says she doesn't know anyone in Kansas, let alone Wilson, and she has no clue how he came across her page and decided to threaten 5-year-old India. WDAF did discover the profile picture on her Facebook account had been of a person dressed in black holding a knife to a police officer's throat; Williams concedes Facebook has since deleted her account. Williams says she appreciates Wilson has been terminated, as well as the apology offered by Chief Francis Donchez when he called her personally to deliver the newsbut she says "it's not OK," adding, "She's all I have. India is my heart." (Read more Overland Park, Kansas stories.) (Newser) In 2011, a New Jersey transplant named Maurice Spagnoletti was gunned down in Puerto Rico while driving home from work. The murder, which remains unsolved, made international headlines given Spagnoletti's work: He was a 57-year-old bank executive who was trying to turn around the failing Doral Bank in San Juan when he was killed. Had he uncovered fraud and made enemies in the process? The FBI is still investigating, but at Bloomberg, Zeke Faux looks into the case and finds that "the real story of Maurice Spagnoletti's murder may be more bizarre than anyone knew." He's referring to allegations that the bankwith the knowledge of the former GE exec brought on as CEO in 2006had a high priest of the local Santeria religion on its payroll, under the guise of janitorial services, to help protect the bank with rituals that may have on one occasion involved bringing an alligator-like caiman into a conference room. Spagnoletti's widow filed a lawsuit in 2013 alleging that her husband had uncovered fraud at the bank, in the form of unauthorized payments of $30,000 a week to someone, though she later withdrew the suit because of a lack of evidence. "If Spagnoletti knew about Doral's Santeria circle or the idea that the payments might have been not fraud but a reward for supernaturally assisting the bank, he kept it from his wife," writes Faux. Yes, there's a reason the piece is laid out almost like a screenplay, complete with "cast of characters." The FBI says it's closing in on the killers and offered a $20,000 reward for information in June, and Spagnoletti's widow added $10,000 of her own. "Justice is coming," she tells Faux. Click for the full story. (Read more Puerto Rico stories.) (Newser) In the days following her 18-year-old daughter's first arrest on heroin charges, Stephanie Moyer took solace in thinking she would be safe in jail until she got into a treatment program. But Victoria "Tori" Herr sounded disoriented on a call home three days later. She feared she was dying and complained of being thirsty, her mother says. Herr, who had a 10-bag-a-day habit, collapsed following days of severe vomiting and diarrhea at Pennsylvania's Lebanon County Correctional Facility. She spent five days in the hospital, then died on Easter Sunday 2015. Her case is one of at least a half-dozen deaths nationwide during the last two years involving jail heroin withdrawal, and advocates fear the number will grow given the nation's heroin crisis, the AP reports. Advocates find the deaths particularly troubling because opioid withdrawal, while miserable, is treatable. "This is a woman who died because she was detoxing," says Moyer's lawyer, who filed a federal civil rights lawsuit Monday. "Had Tori Herr's withdrawal been treated ... she almost certainly would be alive today." Warden Robert Karnes told Moyer that his staff followed "all operational protocols," the lawsuit says. Other withdrawal deaths have been reported at jails around the country, an issue that a co-counsel on the suit calls an "emerging, growing problem." Although the general medical director of the Philadelphia prison system says quitting heroin is one of the "safer withdrawals" compared with alcohol and some other drugs, smaller jails may lack in-house medical units or sufficient monitoring, which advocates say can amount to cruel and unusual punishment. Dehydration brought on by vomiting and diarrhea can lead to delirium, an electrolyte imbalance, and cardiac damage. Click for more. (Read more heroin stories.) (Newser) Have you ever used a shared password for Netflix, Hulu, or HBO GO? If so, you might now be an "unwitting federal criminal" thanks to an appeals court's finding that people who share passwords are subject to prosecution under the Computer Fraud and Abuse Act, or CFAA, Time reports. The July 5 decision stems from the case of David Nosal, an employment recruiter who used his former assistant's password (with that employee's permission) to access the candidate database of his former employer as he prepared to launch a competing firm. Ultimately, Nosal was sentenced to prison and fined nearly $900,000 for conspiracy and theft of trade secrets, along with three CFAA violationsnamely, using the assistant's password to access data "without authorization" from the company. The ruling by the Ninth Circuit Court of Appeals could make "password sharing among friends and family" a federal crime, Judge M. Margaret McKeown writes in the majority opinion, per TechCrunch. However, she adds, the reality is that facts and context matter in applying the term without authorization.' In his dissent, Judge Stephen Reinhardt writes that, Nosal's other crimes notwithstanding, he did not violate the CFAA by using a shared password, adding that the traditionally anti-hacking law "does not make the millions of people who engage in this ubiquitous, useful, and generally harmless conduct into unwitting federal criminals." As TechCrunch notes, "dont expect the FBI to come knocking next time you stream on your boyfriends account," as the court's decision leaves "without authorization" open to interpretation and allows for context to be considered. But someday, it adds, a company may want to make an example of someone for sharing a password. (Read more court ruling stories.) Thank you for reading! Please log in, or sign up for a new account and purchase a subscription to continue reading. Dallas: The shooter in the Dallas ambush had been practicing detonating bombs and planned some kind of major attack even before the sniper-style assault in which he killed five police, the citys police chief said on Sunday. Releasing chilling new details of the attack by Micah Johnson, police chief David Brown said Johnson taunted police as he negotiated with them during an hours long standoff playing games, laughing at us, singingasking how many cops he had killed and saying he wanted to take out more. And at one point, Johnson, apparently wounded, wrote the letters rb in his own blood on a wall at the community college where he holed up during the shooting last Thursday. Seven other cops and two civilians were wounded. Brown said it was not clear what those letters meant. Johnson, who was black, also insisted on speaking only to a black police officer when he began negotiating with the police, Brown said. The 25-year-old army vet opened fire with a powerful rifle during a peaceful protest Thursday evening in Dallas against the shooting deaths just days earlier of two black men, in Louisiana and Minnesota. But a search of Johnsons Dallas-area home after he was ultimately killed by police turned up bomb-making materials and a manual in which he wrote about military tactics. Police now believe he had been planning something long beforehand, and that the two killings last week were a trigger that prompted him to act, Brown told CNN. Investigators believe based on evidence of bomb-making materials and a journal that the suspect had been practicing explosive detonations and that the materials were such that it was large enough to have devastating effects throughout our city and our north Texas area, Brown said. Were convinced that this suspect had other plans, he added. And we believe that the deaths in Minnesota and the deaths in Louisiana just sparked his delusion to fast-track his plans and saw the protest in Dallas as an opportunity to begin wreaking havoc on our officers, Brown said. Johnson was a private in the army reserve and had served in Afghanistan. He knew the route of the Dallas march, and his military training apparently benefited him during the shooting, as he effectively triangulated police and started taking them out with his high caliber rifle, Brown said. For all the Latest World News, Download News Nation Android and iOS Mobile Apps. Jammu: Death toll in Kashmir clashes climbed to 23 after eight more people, including one cop, lost their lives on Sunday, even as curfew-like restrictions were in force and Mobile internet services remained suspended. Amarnath Yatra also remained suspended amid claims by authorities to restart is as soon as possible. Chief Minister Mehbooba Mufti also headed Cabinet meeting to express anguish over the situation and the death of civilians in clashes with security forces in the aftermath of the killing of Hizbul Mujahideen commander Burhan Wani. The Cabinet has asked Separatists, including Hurriyat Conference, as well as mainstream political parties like National Conference, Congress and CPI(M) to help restore normalcy "if they want peace in the state". In the national capital, Home Minister Rajnath Singh chaired a high level emergency meet and called J&K CM Mehbooba Mufti ensuring all possible help from the Centre. The state police also urged protesters not to resort to violence, saying it does not bring the right consequences, and that it would like to avoid the killing of the youth. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. New Delhi: External Affairs Minister Sushma Swaraj today sought the help of Uttar Pradesh Chief Minister Akhilesh Yadav in a case involving a Russian woman, who alleged abuse by her in-laws in Agra. The Russian woman posted a video on Twitter in which she mentioned that she was protesting outside the house of her mother-in-law, who is an Indian, and alleged that she was being abused by her. After seeing the message, Swaraj to help the woman following which the Uttar Pradesh chief minister informed the minister that the matter was resolved. Swaraj later tweeted thanking Akhilesh. Thank you Akhilesh ji for resolving this. Such incidents affect countrys image, she said in her tweet. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. Srinagar: Amarnath Yatra remained suspended on the third consecutive day, keeping hundreds of pilgrims stranded on Srinagar-Jammu national highway in wake of clashes in the Valley following the killing of Hizbul Mujahideen commander Burhan Wani. Many of the pilgrims, who performed the yatra through Baltal route in Sonamarg area of central Kashmir's Ganderbal district, were stranded near the Tourist Reception Centre in the city here. The pilgrims left the Baltal base camp at around 1 AM and reached Srinagar in the wee hours. "We performed the yatra on July 8. Around midnight tonight, we were told to leave the base camp. They told us to leave for Srinagar where buses were waiting for us to take us to Jammu," Pramod Kumar, a resident of Bihar, said. He, however, said when they reached Srinagar, there were no buses. "We reached here at around 3 AM and since then we are waiting. There are no buses. How do we move to Jammu?," he said. Another pilgrim, Bansi Lal, a resident of Uttar Pradesh, said no information has been provided to them. "We have not been told anything after we reached Srinagar. There is no information available. We want to leave for Jammu but do not know how," he said. The pilgrims also complained of inadequate arrangements and accused cab drivers of charging exorbitant rates. However, Deputy Commissioner Ganderbal, Tariq Hussain Ganai said the administration had made proper arrangements for about 24,500 pilgrims to leave Baltal for Jammu. "We arranged 1,700 vehicles for about 24,500 yatris to leave for Jammu. The vehicles left Baltal straight for Jammu and not for Srinagar. "Those yatris are on their way to Jammu right now," Ganai said. He said pilgrims stranded in Srinagar must have been "tricked" by cab drivers at Baltal. "What I can understand is that they must have been tricked by the cab drivers there. We cleared the last three days' yatra last night and sent the pilgrims directly to Jammu. Some left the state by air as well. "We never told anyone that buses will be waiting in Srinagar," the deputy commissioner said. The Srinagar-Jammu national highway is closed for traffic as it passes through south Kashmir which has seen the maximum violence following the killing of Wani and his two associates. The violence has left 23 dead and more than 250 persons injured. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. New Delhi: External Affairs Minister Sushma Swaraj came to rescue of a Manipur girl, who alleged harassment and racism at the immigration desk at Delhis Indira Gandhi International Airport. This comes after Monika Khangembam alleged on Saturday that an immigration official asked her a series of offensive questions in an apparent bid to ascertain her Indianness. After Manipur girl's post garnered a lot of attention on the social media, Sushma Swaraj tweeted that she will discuss the matter with her Cabinet colleague and Home Minister Rajnath Singh. I will speak to my senior colleague Shri @rajnathsingh ji to sensitise Immigration officials at the airport./2 Sushma Swaraj (@SushmaSwaraj) July 10, 2016 On Saturday, Khangembam shared on her Facebook wall that when she went to the immigration desk at hte IGI around 9pm, an official looked at her passport and said, Indian toh nahi lagti ho (you dont look Indian). Several other questions were posted on the lady even after she mentioned that she is getting late for the flight. I said I was really getting late and he goes like, aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do (the aircraft isnt leaving without you. You can answer at ease.) The whole incident got slammed by several social media users. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. New Delhi : The Kerala High court today granted bail to all 41 accused after three months of the fire tragedy at the Puttingal Devi temple because police failed to file the chargesheet within 90-day deadline. The tragedy was one of the worst to hit Kerala; at least 107 persons were killed and close to 400 injured. The mishap happened on April 10 as sparks from fireworks fell on the storeroom 'Kambapura' and the crackers kept there exploded. The blaze spread quickly trapping devotees within the complex. Earlier, the Court had refused to grant bail to all accused including the temple trust officials. The judge had observed that Kerala has developed a very unhealthy cultural practice during the ceremony. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. Islamabad : Pakistan government and internationally-condemned terrorist Hafiz Saeed have expressed shock over the killing of Hizbul Mujahideen commander Burhan Wani in an encounter in Kashmir and deplored oppressive measures and excessive force used against civilians in the latest wave of violence in the Valley. Prime Minister Nawaz Sharif, who has been under attack from the opposition for remaining silent over the killing of protesters in Kashmir, came out with a late night statement condemning the action of Indian security forces. The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces, Sharifs office said in the statement. The Prime Minister said it was deplorable that excessive and unlawful force was used against the civilians who were protesting against the killing of Wani. Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions, he said. Expressing concern over the detention of Kashmiri separatist leaders, Sharif said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of the killing of Wani in an encounter on Friday. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Pakistan Peoples Party (PPP) chairman Bilawal Bhutto Zardari has alleged that Sharif-Modi friendship was causing irreparable damage to the Kashmir issue. While Muslims celebrated Eid-ul Fitr across the world, our Kashmiri brethren marked the day of festivities amid violence carried out by the Indian army, Bilawal said in a statement yesterday. He accused Sharif of damaging the foreign policy by giving his Indian counterpart Narendra Modi a certificate of friendship. Sharif is jeopardising the Kashmir issue by building his association with the Indian prime minister, he said. For all the Latest World News, Download News Nation Android and iOS Mobile Apps. New Delhi : The Centre is making all efforts to restore peace in Kashmir Valley, which is facing protests over the killing of Hizbul poster boy Burhan Wani, Union minister Hansraj Gangaram Ahir today said. After assuming the charge as the Minister of State for Home, he told reporters, The central government wants that peace be restored in Jammu and Kashmir as early as possible. We are making all efforts for it. The minister said Home Minister Rajnath Singh was personally monitoring the situation in the Valley and has directed officials to ensure that paramilitary forces in Kashmir provide all assistance to the state government for restoration of peace and normalcy in the Valley. Ahir said Singh is planning to speak to leaders of different political parties about the situation in the Valley, where at least 23 have so far been killed during protests over Wanis killing. Singh has already spoken to Congress chief Sonia Gandhi and former Jammu and Kashmir Chief Minister Omar Abdullah. Ahir, however, parried a query on whether the situation was handled properly. Ahir is a four-time MP from Maharashtra. Prior to taking over as MoS Home, he served as MoS (Chemicals and Fertilizers) in the Modi government. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. New Delhi : A Manipuri woman has alleged that she was subjected to racial harassment at the international airport here following which an inquiry has been ordered into the incident. Monika Khangembam alleged that on Saturday an immigration official at Delhis Indira Gandhi International Airport hurled racist remarks at her when she was on her way to Seoul for a conference. Union Minister Kiren Rijiju said today that an inquiry has been instituted into the allegation of racial harassment and action will be taken if anyone is found guilty. We are examining the case. We have asked for a full report. There have been previous cases of harassment. We will take action if there was a harassment, he told reporters here. The inquiry will be conducted by the Bureau of Immigration, which comes under the Ministry of Home Affairs. After learning about the matter, External Affairs Minister Sushma Swaraj expressed regret over the incident and said she would take up the issue with Home Minister Rajnath Singh Monika Khangembam - I am sorry to know this. Immigration is not with me. I will speak to my senior colleague Shri @rajnathsingh ji to sensitise Immigration officials at the airport, Swaraj tweeted. On her Facebook wall, Khangembam wrote that when she went to the immigration desk at IGI at around 9 pm on Saturday, an official looked at her passport and said, Indian toh nahi lagti ho (you dont look Indian). He then allegedly smirked at her and asked her how many states comprised the country, in an apparent bid to know her Indianness. She alleged that a lady official at the adjacent counter was giggling while the male officer paid no heed to her. When Khangembam told him she hailed from Manipur, the officer allegedly asked her to name the states Manipur shares its border with. I said I was really getting late and he goes like, aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do (the aircraft isnt leaving without you. You can answer at ease). For all the Latest India News, Download News Nation Android and iOS Mobile Apps. New Delhi : In line with firms like Accenture, Deutsche Bank and Tata Group, Hitachi Consulting Software Services India (HCSSI) will now offer six months of paid maternity leave to its employees. Besides the leave extension (from 3 months previously), the company will also include the new born baby into its medical insurance policy right from birth, HCSSI said in a statement. The company has also put together a host of post maternity policies including flexible work hours with exclusive shift allowances and work-from-home options to support women employees, it added. HCSSI has about 1,700 employees in India, of which about 500 are women. While the legal requirement on maternity leave is three months, firms like Hindustan Unilever, Accenture, Microsoft and Flipkart have proactively enhanced it to benefit their women employees. The step is also aimed at retaining women at the workplace as many women employees find it difficult to return to work after three months and usually go on unpaid leave or quit working. As an organisation, we treasure our diverse workforce... we recognised the need to further improve our existing policies, which would enable women establish a better work-life balance during life events such as maternity, HCSSI Executive Vice President Feroze Mohammed said. This is yet another step in the commitment towards building a culture that offers women employees the opportunities to continue to build their career and address their personal priorities as well, he added. HCSSI Vice President (Human Capital Management) Vikas Kaul said approximately 35 per cent of the companys last year hires were women. To support women employees, one of the key policies needing focused attention was the maternity leave policy. The extended maternity leave, flexible work hours, work from home options and our in house new mother support facilities are ways of showing we care and value our women employees, he said. The company hired about 400 people last year, of which 130 were female employees. Mumbai: Controversial Islamic preacher Zakir Naik, who was expected back here today from Saudi Arabia, is unlikely to return to the country for another two to three weeks as he is planning to visit some African countries for public speeches. Naik also cancelled his press conference scheduled tomorrow but will address media through skype on the allegations of inspiring militants through his speeches, an aide of the preacher said today. Dr Zakir Naik was never supposed to be physically present for the media briefing that was to take place on Tuesday. He had decided that he will address the media through Skype and answer all queries that media persons may have had, he said. His (Naiks) travel schedule had been made long back. After performing Umrah, he is scheduled to travel to Jeddah from where he will visit Africa for his public talks scheduled there. He is therefore not expected in the country for another 2-3 weeks at least, he added. He, however, said that Naik is not running away from any inquiry and that he is only sticking to his travel schedule worked out in advance. Apart from coming under scanner in India, Bangladesh has also banned the broadcast of Naiks Peace TV,cracking down on the channel and radical sermons by the teleevnagelist. Shiv Sena has demanded arrest of Naik on his landing in India and dismantling of his TV network. Meanwhile,Samajwadi Party Maharashtra unit President Abu Azmi came in support of Naik and sought to know why action has not been taken for the last 25 years if his speeches are inspiring terrorists, and called for a fair inquiry into charges against him. Zakir Naik has been a preacher for around 25 years now. If he has been inspiring terrorists, why was no action taken against him from so long? There should be an inquiry, conducted by a retired Supreme Court judge to prove that he can never do anything of this sort. But, this media trial against him should stop, Azmi told reporters here. While there should be a thorough investigation into Zakir Naiks speeches, fundings of his Islamic Research Foundation, the Centre should first ban Sanathan Sanstha because their members have been named by the CBI for being involved in the killing of those who opposed their way of Hinduism, he said. We want justice for him (Naik). Let the inquiry be conducted by a non-muslim judge like Justice Srikrishna, Rajindar Sachar or another retired judge of the Supreme Court, Azmi added. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. Ahmedabad: The Gujarat High Court today granted bail to Patel quota agitation leader Hardik Patel in a case related to violence at the office of Visnagar MLA, paving the way for his release after being in jail for around nine months. On Friday, the high court had granted bail to Hardik in two sedition cases with a condition that he will have to stay outside Gujarat for the next six months. He could not be released from jail on Friday as hearing on the Visnagar case bail application was scheduled to take place today. Justice P P Bhatt today granted bail to Hardik after the state government did not oppose his application and he will now be able to walk out of jail. However, the court has imposed a restriction that Hardik cannot enter Mehsana district, in which Visnagar town is located, till the trial of this case is over. The court also added that Hardik can seek modification to this condition after nine months and the court at that time can pass an appropriate order based on his conduct. The 22-year-old Patidar Anamat Andolan Samiti (PAAS) leader, who had spearheaded agitation for seeking quota for his Patidar community, is now lodged at Surats Lajpore jail. He was arrested in October 2015. Hardik was accused of inciting violence to put pressure on the government to accept the demand of OBC reservation for Patels. Hardiks mega rally on reservation held in Ahmedabad on August 25 last year had sparked violence, in which, ten people, including one policeman, were killed and public properties and vehicles worth crores of rupees were damaged across Gujarat. During the hearing today, government pleader Mitesh Amin told the court that as 17 other accused in this case have secured bail, he has nothing to say against Hardiks bail application. However, he demanded that Hardik should be barred from entering Mehsana district. The case against Hardik and 17 others was filed by Visnagar town police for ransacking the office of Visnagar MLARishikesh Patel during one of the Patidar communitys reservation rallies. The lower court had refused bail to him, after which Hardik had approached the high court. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. Jammu: Amid tight security, Amarnath yatra resumed this evening from Jammu after remaining suspended for two days due to violent protests in Kashmir following the killing of Hizbul Mujahideen commander Burhan Wani. Amarnath yatra has resumed this evening from here. A batch of 3500 pilgrims left from Bagwatinagar base camp for Baltal and Pahalgam, Deputy Commissioner, Jammu, Simrandeep Singh said. The yatra was suspended on July 9 in the wake of violence in the Valley following the killing of the top Hizbul Mujahideen commander. Earlier in the day, pilgrims had urged the state and Central governments to not stop the yatra and arrange tight security for them. We urge Prime Minister Narendra Modi and Union Home Minister Rajnath Singh to resume the Amarnath yatra and not get threatened by violent protests of the militant sympathisers, Deepak Kumar, a devotee from Uttar Pradesh said. Amid sloganeering of bum bum bolay and har har mahadev, the pilgrims had said they were ready to leave for the cave to ensure continuity of the yarta. Till date, 1,27,358 yatris have visited the holy cave. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. Lucknow: Uttar Pradesh Governor Ram Naik has sent a special report prepared by the state government to President Pranab Mukherjee on the alleged migration of Hindus from Kairana, Mathuras Jawahar Bagh incident as well as the Dadri lynching. The Governor sent a special report to President Pranab Mukherjee yesterday, a Raj Bhawan spokesperson said on Sunday. The report was submitted by state Chief Minister Akhilesh Yadav to the governor on June 26. A controversy erupted over BJP MP Hukum Singhs claim that Hindus were forced to migrate from Kairana, which was affected in the 2013 riots in Muzaffarnagar and its surrounding areas, due to law and order issues. The Centre had sought a detailed report from Uttar Pradesh government on the issue. Samajwadi Party, however, trashed charges of exodus, accusing the BJP of vitiating the communal atmosphere in the state ahead of the 2017 Assembly polls. Mohammad Akhlaq, 52, was beaten to death on September 28 last year in Bisahra village of Dadri by a mob infuriated by rumours that he and his family had stored and consumed beef, triggering a fierce debate over intolerance in the country. Violent clashes broke out between the police and illegal encroachers during an anti-encroachment drive at Jawahar Bagh on June 2 leaving 29 people dead including two police officers. In his report, Yadav had apprised Naik on action taken on his directive over the grabbing of government land and encroachments in Mathura. The CM said that letters were sent to all divisional commissioners, through the Chief Secretary, seeking information about when and how much land was grabbed and what was its market value. Information has also been sought on what action should be taken to remove encroachments. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. New Delhi: The Supreme Court today extended till August 3 the parole granted to Sahara chief Subrata Roy on humantarian grounds following the death of his mother, with a strict condition that he will have to deposit the balance of Rs 300 crore, out of the Rs 500 crore promised by him. Anyway you have to deposit balance Rs 300 crore. Either you pay or go back to jail, a bench headed by Chief Justice T S Thakur said while reminding him of the May 11 order when he was given a breather for two months to enable him deposit Rs 200 crore with market regulator Securities and Exchange Board of India (SEBI). Senior advocate Kapil Sibal, appearing for Roy, was seeking time till the year end for depositing Rs 300 crore but the bench, which also comprised Justices A R Dave and A K Sikri, said we have already given you a long rope. It also drew his attention to the history of the case which led to the incarceration of Sahara chief from March 4, 2014 to May 6, 2016. While Sibal was citing difficulties in selling and alienating the properties, the bench said why dont we appoint a receiver and entrust him all properties. Besides extension of Roys parole, Sahara Group also got other much-needed relief with the apex court lifting its embargo of permitting it to sell only 19 properties which included mortgaging the 600 acre Aamby Valley in Mumbai and three overseas hotelsGrosvenor House Hotel in London, the New York Plaza and Dream New York hotels. The bench allowed the group to go ahead with sale and alienation of their other properties to raise an amount of Rs 5,000 crore as a bank guarantee they have to deposit in addition to Rs 5,000 crore to get bail for Roy. It also allowed the group to sell the properties at 90 per cent of the circle rate. To raise the money, the bench also allowed the group to encash money invested in mutual funds, gold deposits and shares in Natioal Stock Exchange. Further, the bench accepted Sibals submission that the group be allowed to transfer the loan of 24 million pounds equivalent to Rs 200 crore it got from overseas investment bankers Reuben Brothers to the SEBI-Sahara account, opened at the apex courts direction for refunding money to investors. The Sahara group had earlier informed the court that the loan on overseas hotels that was given by Bank of China has been taken over by billionaire brothers David and Simon Reuben of United Kingdom, whose main activities are in real estate, private equity and venture capitalism. Meanwhile, the bench also granted parole to another Director of the Group, Ravi Shanker Dubey, who was jailed along with Roy and other director Ashok Roy Choudhary. The days hearing commenced with Sibal submitting that the May 11 order of depositing Rs 200 crore with the SEBI has been complied with and sought further relief for Roy and his group. At one point, the bench also suggested that it will appoint a Receiver for selling and alienating all properties, saying only solution appears to us is to appoint Receiver. According to you, your business is already destroyed. We cannot go like this for ever. Dont test our patience. How much time we will spend, the bench observed while reminding the senior advocate about the history of litigation in which Sahara was directed to refund Rs 36,000 crore to investors. The bench also said we would not allow our process to be misused and cautioned that failure to comply with its order would require Roy and other two Directors to surrender and go back to Tihar Jail. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. Nairobi: Amid the expanding footprint of ISIS across the world, Prime Minister Narendra Modi today warned that preachers of hate and violence are threatening the fabric of the society and asked the youth to build a counter narrative to extremist ideologies. He also emphasised that those giving shelter to terrorists and using them as political instruments must be condemned, an apparent reference to Pakistan. Addressing the students at the University of Nairobi, Modi pitched for a world free from terror and hate, saying safety and security of people and societies is essential for realising the benefits of the economic progress. Preachers of hate and violence are threatening the fabric of our society, he said. Underlining the need to counter radicalization, he said Youth can play an important role in building a counter narrative to extremist ideologies. His comments assume significance in view of the expanding footprint of ISIS terror group, which is particularly attracting the youth through a campaign of radicalisation. A recent case in point was the attack in Bangladesh some days back at a cafe by a group of six educated youth in which 22 people, mostly foreigners, were killed. Some of these six attackers were allegedly influenced by the controversial Indian Muslim preacher Zakir Naik, who gives sermons on a TV channel. Apprehensions of some Indian youth getting attracted towards ISIS is also gaining ground with 15 youngsters from Kerala going missing mysteriously. The Prime Minister, while speaking about developmental strides, said, A steady march towards our Terrorism knows no boundaries, no religion, no race and no values, Modi asserted. Turning to maritime security, he said there is a need to stand guard against sea-borne threats. He also pressed the need for ensuring that piracy does not affect the movements in the maritime area and that freedom of navigation is ensured for all. Pitching for closer security cooperation with Kenya and other African countries connected with India by the Indian Ocean, he said the eastern coast of Africa is facing challenges. Coastal security is a matter of deeper engagement, he said, while asking Africa to ensure that its safety is not compromised. Talking about cooperation with Kenya, he said India is ready to share its experiences and expertise in diversified areas like telecom, agriculture, energy and IT. As you march towards your destiny, you will find in India a trusted and reliable partner, he told the Kenyans. We trade more than ever before. But we must explore ways of working together in more areas, he said, while identifying manufacturing as one of the sectors in this regard. Talking about Indias rise, the Prime Minister said it was happening because of its 800 million youth. I am one of them, quipped Modi who said he was like a 20-year-old at heart. He said his government is targeting to create 500 million new jobs by 2020, which is not possible without reposing faith in the youth. Modi, who offered floral tributes at a statue of Mahatma Gandhi which had been installed in the University complex exactly 60 years ago, spoke about the centuries-old ties between India and Kenya and said these need to be taken forward. Both India and Kenya are flourishing democracies. Both want peace and prosperity of our people, he said, adding the two countries have been bonded by such values. Praising the Indian diaspora in Kenya, he said the Persons of Indian Origin (PIOs) are treated as the 43rd tribe in this country which has 42 tribes. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. New Delhi : Union Minister M Venkaiah Naidu today said that terrorists were getting support from the neighbourhood, apparently referring to Pakistan, even as he welcomed the statements by Congress leaders on the killing of top Hizbul Mujahideen commander Burhan Wani. I heartily welcome the statement by senior Congress leader and leader of opposition in Rajya Sabha Ghulam Nabi Azad and former minister Salman Khurshid on Burhan Wani being a terrorist, he said while lauding the statement made by Congress President Sonia Gandhi, in this regard. These statements indicated a collective stand on terrorism, he said. Naidu was asked about reports that Pakistan based Hafiz Saeed and Syed Salahuddin had a meeting today and also Pakistans stance on Wanis death. Pakistan should take note of what is happening in their land. Today these two people coming together and they are openly saying that they would spread terrorism. That has to be taken note of by the Pakistani government and they have to take appropriate action, the Union minister said. Naidu said that as far as India is concerned, it will crush any sort of terrorism with all the force that is required. It is a long issue because they are getting support from the neighbourhood. Aiding, abetting, funding, training terrorism has been going on he said apparently referring to Pakistan. We have to take it seriously and the government has taken it seriously, he added. The Union Information and Broadcasting minister appealed to media agencies to exercise restraint, and ensure that while reporting in such matters one should not create sympathy for terrorists. This man who is responsible for killing of many innocent people, do not deserve any sympathy at all, Naidu said adding that those giving sermons should understand that Indian Army and forces are working day and night to protect the unity and integrity of the country. There are safeguards in India if there is any violation of human rights, he emphasised. The issue is concerning national security, religion does not come in to the picture at all. Anybody with common sense would know who are these Hizbul Muzahiddin commanders? Who are these terrorists?, Naidu said. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. New Delhi : The Supreme Court fixed September 20 for hearing the plea of BJP leader Subramanian Swamy challenging constitutional validity of certain IPC provisions on hate speech and writing. List the matter on September 20, 2016, a bench of justices A R Dave and L Nageshwara Rao said. The bench accepted Swamys plea to adjourn the matter after he mentioned it as the bench assembled to begin the days proceedings. The Centre had earlier termed as not maintainable and opposed Swamys plea saying his petition was not a writ petition but a personal interest litigation as NBW has been already been issued against him with regard to alleged hate speeches. Swamy had vehemently opposed the contention of Solicitor General and said his plea has already been considered by the previous bench headed by Justice Ranjan Gogoi which has agreed to examine the constitutional validity of 156(3) of IPC and he is not on the issue of bailable warrant. He had argued that his plea for quashing of NBW was already before the Gauhati High Court. The apex court was hearing Swamys plea against the order of a trial court in Assam which issued an NBW against him for failing to appear before it on March 19 last year in a case of alleged hate speech. On July 2 last year, the apex court had stayed the execution of the non-bailable warrant issued against him by the Assam court for allegedly delivering a hate speech at a university there. Swamy, who is facing a court case in Karimganj in Assam for allegedly delivering the inflammatory address at Kaziranga University, had sought relief from the apex court in the case. He has also challenged the constitutional validity of Section 153 A (promoting enmity between different groups on grounds of religion, race, place of birth, residence, language, etc, and doing acts prejudicial to maintenance of harmony) of the Indian Penal Code. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. Nairobi: Prime Minister Narendra Modi today left for home after concluding his four-nation Africa tour aimed at enhancing ties with the continent. Prime Minister, who arrived in the Kenyan capital last night on the last leg of his tour, today held talks with Kenyan President Uhuru Kenyatta. India and Kenya also decided to deepen and expand cooperation in a wide range of areas as they signed seven pacts, including in the field of defence and security and avoidance of double taxation. Earlier he visited Tanzania, South Africa and Mozambique as part of the tour. India offered USD 92 million Line of Credit to Tanzania for a key water supply project and signed five agreements with it. In South Africa, Modi held talks with South African President Jacob Zuma on a wide range of issues, particularly in the economic sphere. Showcasing India as an attractive destination for defence production, the Prime Minister also sought deeper collaboration in the sector with South Africa, a major arms exporter, even as he thanked it for supporting Indias bid for membership of Nuclear Suppliers Group. In Mozambique, Modi held wide-ranging talks with President Filipe Nyusi. The two countries signed three pacts, including a significant long-term agreement under which India will buy pulses from this African nation to meet its recurring shortfall and contain prices of this commodity. For all the Latest India News, Download News Nation Android and iOS Mobile Apps. Alexander Soule / Hearst Connecticut Media The Connecticut State Bond Commission votes Tuesday on whether to approve $8 million in funding to support Crius Energys headquarters relocation to Norwalk from Stamford, with the electricity and gas reseller pledging to add 225 jobs to bring its workforce to 425 total. Structured as a loan at 2 percent interest over five years, Crius would be eligible for $5 million to be forgiven on the loan if it meets its jobs targets, and more if it expands further. Addresses unmet need for rare cancers with poor prognoses and limited treatment options1,2 DORVAL, QC, July 11, 2016 /CNW/ - Novartis today announced that Health Canada has approved AFINITOR (everolimus) tablets for the treatment of unresectable, locally advanced or metastatic, well-differentiated, non-functional neuroendocrine tumours (NET) of gastrointestinal (GI) or lung origin in adults with progressive disease.3 NET are a rare type of cancer that originate in neuroendocrine cells throughout the body, and are most often found in the GI tract, lungs or pancreas.4 NET are defined as functional or non-functional, the latter accounting for more than 70% of cases.5 Non-functional GI NET may present with symptoms caused by tumour growth, such as intestinal obstruction, abdominal pain, bleeding and weight loss and non-functional lung NET, if symptomatic, may present with cough, or coughing up blood, recurrent pulmonary infection, breathlessness and chest pain. Fifty per cent of patients are asymptomatic at diagnosis.6,7,8,9 "Advanced NET can be a difficult cancer to diagnose with limited treatment options to date," said Dr. Simron Singh, medical oncologist and co-director of the multidisciplinary specialized neuroendocrine clinic at the Sunnybrook Odette Cancer Center in Toronto. "It is encouraging and very useful for us to have a new treatment approved for those of GI or lung origin." At the time of diagnosis, 5%-44% (depending on site of tumour origin) of patients with NET in the GI tract and 28% of patients with lung NET have distant disease, meaning the cancer has spread to other areas of the body, making it difficult to treat.1,10 Progression, or the continued growth or spread of the tumour, is typically associated with poor outcomes.11 The prevalence of neuroendocrine tumours (NET) is estimated at 35 per 100,000 but may be considerably higher if undiagnosed tumours are included. Of the approximately 12,000 to 15,000 Canadians with NET, only 10 per cent have a complete and accurate diagnosis.12 "Canadian NET patients are in great need of new therapies to give them hope and this approval is a very positive step forward," said Jacqueline Herman, President and Director of Treatment Access & Health Policy of CNETS (Carcinoid-NeuroEndocrine Tumour Society) Canada. "NET can be difficult to diagnose but progress very rapidly so patients can't wait. We hope our provincial cancer agencies and drug plans will recognize this urgent need by ensuring patients who could benefit will soon have access to AFINITOR for these conditions." The annual incidence of clinically significant NET is approximately 2.5 to 5 per 100,000 but this is rising. NET is now the fastest growing class of cancers worldwide, accounting for approximately 2% of cancers.12 This Health Canada approval of AFINITOR is based on results from RADIANT-4, a randomized, controlled phase III study which examined the efficacy and safety of AFINITOR plus best supportive care (BSC) compared to placebo plus BSC in patients with advanced non-functional NET of GI or lung origin. Disclaimer The foregoing release contains forward-looking statements that can be identified by words such as "hope," "will," "as soon as possible," "expected," or similar terms, or by express or implied discussions regarding potential additional marketing approvals or new indications or labeling for AFINITOR or regarding potential future revenues from AFINITOR. You should not place undue reliance on these statements. Such forward-looking statements are based on the current beliefs and expectations of management regarding future events, and are subject to significant known and unknown risks and uncertainties. Should one or more of these risks or uncertainties materialize, or should underlying assumptions prove incorrect, actual results may vary materially from those set forth in the forward-looking statements. There can be no guarantee that AFINITOR will be submitted or approved for any additional indications or labeling in any market, or at any particular time. Neither can there be any guarantee that AFINITOR will be submitted or approved for sale in any additional markets or at any particular time. Nor can there be any guarantee that AFINITOR will be commercially successful in the future, or will achieve any particular level of revenue. In particular, management's expectations regarding AFINITOR could be affected by, among other things, the uncertainties inherent in research and development, including unexpected clinical trial results and additional analysis of existing clinical data; unexpected regulatory actions or delays or government regulation generally; the company's ability to obtain or maintain proprietary intellectual property protection; general economic and industry conditions; global trends toward health care cost containment, including ongoing pricing pressures and reimbursement issues; unexpected safety issues; unexpected manufacturing issues, and other risks and factors referred to in Novartis AG's current Form 20-F on file with the US Securities and Exchange Commission. Novartis is providing the information in this press release as of this date and does not undertake any obligation to update any forward-looking statements contained in this press release as a result of new information, future events or otherwise. About Novartis Pharmaceuticals Canada Inc. Novartis Pharmaceuticals Canada Inc., a leader in the healthcare field, is committed to the discovery, development and marketing of innovative products to improve the well-being of all Canadians. In 2015, the company invested $53 million in research and development in Canada. Located in Dorval, Quebec, Novartis Pharmaceuticals Canada Inc. employs more than 700 people in Canada and is an affiliate of Novartis AG, which provides innovative healthcare solutions that address the evolving needs of patients and societies. For further information, please consult www.novartis.ca. Headquartered in Basel, Switzerland, Novartis offers a diversified portfolio: innovative medicines, eye care and cost-saving generic pharmaceuticals. Novartis is the only global company with leading positions in these areas. In 2015, the Group achieved net sales of USD 49.4 billion, while R&D throughout the Group amounted to approximately USD 8.9 billion (USD 8.7 billion excluding impairment and amortization charges). Novartis Group companies employ approximately 119,000 full-time-equivalent associates. Novartis products are available in more than 180 countries around the world. For more information, please visit http://www.novartis.com. AFINITOR is a registered trademark References: _____________________________ 1 American Cancer Society. Gastrointestinal Carcinoid Tumors. Available at http://www.cancer.org/acs/groups/cid/documents/webcontent/003102-pdf.pdf. Accessed February 2016 2 American Cancer Society. Lung Carcinoid Tumors. Available at http://www.cancer.org/acs/groups/cid/documents/webcontent/003117-pdf.pdf. Accessed February 2016 3 Novartis Pharmaceuticals Canada Inc., AFINITOR (everolimus) Product Monograph, May 16, 2016, p. 1. 4 Canadian Cancer Society, What is neuroendocrine cancer?, accessed May 27, 2016 at: http://www.cancer.ca/en/cancer-information/cancer-type/neuroendocrine/neuroendocrine-cancer/?region=qc 5 Choti, M.A., et al. Prevalence of functional tumors in neuroendocrine carcinoma: An analysis from the National Comprehensive Cancer Network Neuroendocrine Tumors database. The North American Neuroendocrine Tumor Society (NANETS) Symposium. October 2012, San Diego, US 6 Oberg K, et al. Gastrointestinal neuroendocrine tumors. Annals of Oncology. 2010; 21: vii72vii80. 7 Modlin I, et al. Priorities for improving the management of gastroenteropancreatic neuroendocrine tumors. J Natl Cancer Inst. 2008; 100: 1282-1289. 8 Modlin I, et al. Current status of gastrointestinal carcinoids. Gastroenterology. 2005; 128: 1717-1751. 9 Wolin EM. Challenges in the diagnosis and management of well-differentiated neuroendocrine tumors of the lung (typical and atypical carcinoid): Current status and future considerations. The Oncologist. 2015; 20:1123-1131. 10 Yao J, et al. One hundred years after "Carcinoid:" Epidemiology of and prognostic factors for neuroendocrine tumors in 35,825 cases in the United States. J Clin Oncol. 2008; 26: 3063-72. 11 National Cancer Institute. "NCI Dictionary of Cancer Terms" available at http://www.cancer.gov/publications/dictionaries/cancer-terms. Accessed February 2016 12 CNETS Canada, Neuroendocrine Tumours Overview, Incidence/Prevalence, accessed May 26, 2016 at: http://cnetscanada.org/patients-caregivers/resources/489-2/ SOURCE Novartis Pharmaceuticals Canada Inc. For further information: Novartis Media Relations: Daphne Weatherby, Novartis Pharma Communications, +1 514 633 7873, [email protected]; +1 514 234 4095, [email protected] BANGALORE and PALO ALTO, Calif., July 8, 2016 /CNW/ - Infosys Limited (NSE, BSE, NYSE: INFY), a global leader in consulting, technology, outsourcing and next-generation services, will announce the results for its first quarter ended June 30, 2016 on Friday, July 15, 2016 around 9:15 a.m. Indian Standard Time (IST) (11:45 p.m. US ET on July 14, 2016; 8:45 p.m. PST on July 14, 2016; 4:45 a.m. London time; 11:45 a.m. Singapore/Hong Kong time). The results will also be available on http://www.infosys.com. (Logo: http://photos.prnewswire.com/prnh/20130122/589162 ) Following the release, the leadership team will be part of a common television interaction at 10:30 a.m. IST. The participating executives will address questions from the media during this interaction which will be streamed live on the Investor Relations section of the Infosys website. An archive of this event will be uploaded on http://www.infosys.com after 2:00 p.m. IST on July 15, 2016 (after 4:30 a.m. US ET on July 15, 2016). Earnings call I (11:30 a.m. - 12:30 p.m. IST; 2:00 a.m. - 3:00 a.m. ET) The company will conduct a 60 minute conference call at 11:30 a.m. IST (2:00 a.m. US ET; 11:00 p.m. PST on July 14, 2016; 7:00 a.m. London time; 2:00 p.m. Singapore/Hong Kong time) on July 15, 2016 (open to investors / analysts in all regions), where the senior management will discuss the company's performance and answer questions from participants. To participate in the conference call, please dial the numbers provided below 10 - 15 minutes before the scheduled start time of the call. During this time, the operator will provide instructions on how to ask questions. As participation in the call is limited, early registration (by calling the numbers 10 - 15 minutes before the scheduled start time) is encouraged. This event will be webcast live on the Investor Relations section of the Infosys website, following which it will be archived at http://www.infosys.com. The archive will be available after 3:30 p.m. IST on July 15, 2016 (after 6:00 a.m. US ET on July 15, 2016). In addition, a transcript of the conference call will be available at http://www.infosys.com. Earnings call II (6:00 p.m. - 7:00 p.m. IST; 8:30 a.m. - 09:30 a.m. ET) The company will also conduct a 60 minute conference call at 8:30 a.m. US ET (6:00 p.m. IST; 5:30 a.m. PST; 1:30 p.m. London time; 8:30 p.m. Singapore/Hong Kong time) on July 15, 2016 (open to investors/analysts in all regions), where the senior management will discuss the company's performance and answer questions from participants. To participate in the conference call, please dial the numbers provided below 10 - 15 minutes before the scheduled start time of the call. During this time, the operator will provide instructions on how to ask questions. As participation in the call is limited, early registration (by calling the numbers 10 - 15 minutes before the scheduled start time) is encouraged. This event will be webcast live on the Investor Relations section of the Infosys website and an archive can be accessed at http://www.infosys.com after 11:30 a.m. ET on July 15, 2016 (after 9:00 p.m. IST on July 15, 2016). A transcript of the conference call will be available at http://www.infosys.com. Summary of events Event Earnings release over the wire services Date and Time 9:15 a.m. July 15, 2016 (IST) 11:45 p.m. July 14, 2016 (ET) Web-site / Channel - http://www.infosys.com Event Live broadcast of management's comments on the results Date and Time 10:30 a.m. July 15, 2016 (IST) Web-site / Channel - Business television channels Event Archived Webcast of management's comment on the results Date and Time 2:00 p.m. July 15, 2016 (IST) 4:30 a.m. July 15, 2016 (ET) Web-site / Channel - http://www.infosys.com Event Earnings conference call I (open to questions for investors / analysts in all regions) Date and Time 11:30 a.m. - 12:30 p.m. July 15, 2016 (IST) 2:00 a.m. - 3:00 a.m. July 15, 2016 (ET) Questions during the call can be addressed to [email protected] Web-site / Channel - http://www.infosys.com Telephone / Fax No. India Toll, Mumbai: 022 6746 5898 022 3938 1098 Toll, Bangalore: 080 6000 1221 080 3940 3977 Singapore Toll-free: (PRIMARY): 800 101 2045 Toll-number (SECONDARY): 65 3157 5746 Hong Kong Toll-free: (PRIMARY): 800 964 448 Toll-number (SECONDARY): 852 3018 6877 Japan Toll-free (PRIMARY): 0 053 116 1110 Toll-number (SECONDARY): 81 3 4589 9421 UK Toll-free: (PRIMARY) 0 808 101 1573 Toll-number (SECONDARY): 44 203 478 5524 Germany Toll-free: 00 8001 424 3444 Canada Toll- free: 011 8001 424 3444 France Toll-free: 0 800 914 745 US Toll-free (Primary): 1 866 746 2133 Toll-number (Secondary): 1 323 386 8721 Event Replay of conference call Date and Time Through July 21, 2016 Web-site / Channel - http://www.infosys.com Telephone / Fax No. Toll-free: USA 1 855 436 0715 International toll: 1 863 949 0105 Toll, Mumbai: 022 6181 3322 022 3065 2322 Toll, Bangalore: 080 3940 3988 Passcode:4636# Event Earnings conference call II (open to questions for investors / analysts in all regions) Date and Time 6:00 p.m. - 7:00 p.m. July 15, 2016 (IST) 8:30 a.m. - 9:30 a.m. July 15, 2016 (ET) Questions during the call can be addressed to [email protected] Web-site / Channel - http://www.infosys.com India Toll, Mumbai: 022 6746 5898 022 3938 1098 Toll, Bangalore: 080 6000 1221 080 3940 3977 Singapore Toll-free: (PRIMARY): 800 101 2045 Toll-free (SECONDARY): 65 3157 5746 Hong Kong Toll-free:(PRIMARY): 800 964 448 Toll-free (SECONDARY): 852 3018 6877 Japan Toll-free (PRIMARY): 0 053 116 1110 Toll-free (SECONDARY): 81 3 4589 9421 UK Toll-free: (PRIMARY) 0 808 101 1573 Toll-number (SECONDARY): 44 203 478 5524 Germany Toll-free: 00 8001 424 3444 Canada Toll free: 011 8001 424 3444 France Toll-free: 0 800 914 745 US Toll-free (Primary): 1 866 746 2133 Toll-number (Secondary): 1 323 386 8721 Event Replay of conference call Date and Time Through July 21, 2016 Web-site / Channel - http://www.infosys.com Telephone / Fax No. Toll-free: USA 1 855 436 0715 International toll: 1 863 949 0105 Toll, Mumbai: 022 6181 3322 022 3065 2322 Toll, Bangalore: 080 3940 3988 Passcode:4637# About Infosys Ltd. Infosys is a global leader in technology services and consulting. We enable clients in more than 50 countries to create and execute strategies for their digital transformation. From engineering to application development, knowledge management and business process management, we help our clients find the right problems to solve, and to solve these effectively. Our team of 190,000+ innovators, across the globe, is differentiated by the imagination, knowledge and experience, across industries and technologies, that we bring to every project we undertake. Visit http://www.infosys.com to see how Infosys (NYSE: INFY) can help your enterprise thrive in the digital age. Safe Harbor Certain statements in this release concerning our future growth prospects are forward-looking statements regarding our future business expectations intended to qualify for the 'safe harbor' under the Private Securities Litigation Reform Act of 1995, which involve a number of risks and uncertainties that could cause actual results to differ materially from those in such forward-looking statements. The risks and uncertainties relating to these statements include, but are not limited to, risks and uncertainties regarding fluctuations in earnings, fluctuations in foreign exchange rates, our ability to manage growth, intense competition in IT services including those factors which may affect our cost advantage, wage increases in India, our ability to attract and retain highly skilled professionals, time and cost overruns on fixed-price, fixed-time frame contracts, client concentration, restrictions on immigration, industry segment concentration, our ability to manage our international operations, reduced demand for technology in our key focus areas, disruptions in telecommunication networks or system failures, our ability to successfully complete and integrate potential acquisitions, liability for damages on our service contracts, the success of the companies in which Infosys has made strategic investments, withdrawal or expiration of governmental fiscal incentives, political instability and regional conflicts, legal restrictions on raising capital or acquiring companies outside India, and unauthorized use of our intellectual property and general economic conditions affecting our industry. Additional risks that could affect our future operating results are more fully described in our United States Securities and Exchange Commission filings including our Annual Report on Form 20-F for the fiscal year ended March 31, 2016. These filings are available at http://www.sec.gov. Infosys may, from time to time, make additional written and oral forward-looking statements, including statements contained in the company's filings with the Securities and Exchange Commission and our reports to shareholders. In addition, please note that the date of this press release is mentioned at the beginning of the release, and any forward-looking statements contained herein are based on assumptions that we believe to be reasonable as of this date. The company does not undertake to update any forward-looking statements that may be made from time to time by or on behalf of the company unless it is required by law. SOURCE Infosys For further information: Investor Relations: Sandeep Mahindroo, +91 80 3980 1018, [email protected]; Media Relations: Sarah Vanita Gideon, +91 80 4156 3998, [email protected]; Cristin Balog, +1 510 366 9484, [email protected] REGINA, July 11, 2016 /CNW/ - Input Capital Corp. ("Input", or the "Company") (TSX Venture: INP) (US: INPCF), is releasing its quarterly operations update for Q1 of its 2017 fiscal year, which provides a preliminary summary of quarterly canola sales and a summary of capital deployed in the acquisition of new canola streams during the quarter ending June 30, 2016. The final accounting of quarterly results will be published in Input's Q1 financial statements and MD&A when these are finalized and released next month. $6.1 Million in New Capital Deployment During the quarter, Input signed 21 canola streaming contracts for total up-front payments of $6.1 million. Of the 21 contracts signed in the quarter, 14 are with new clients in Alberta (4), Saskatchewan (9) and Manitoba (1) and 7 contracts are renewals/expansions with existing clients. This brings Input's streaming portfolio to 107 active canola streams in Alberta (17), Saskatchewan (84) and Manitoba (6). A summary of historical capital deployment is summarized in the table below: Fiscal Year 2013 2014 2015 2016 2017 Total Deployment ($ millions) $6.1 $25.0 $49.0 $35.4 $6.1 $121.6 Total Number of Active Streams 5 15 68 94 107 107 Total Number of Inactive Streams - - - 3 3 3 Total Active Canola Reserves (MT) 22,000 134,000 303,000 280,000 271,000 These newly announced investments increase Input's total capital deployment to date to $121.6 million. Input's longest streaming contract has a 12 year term until 2026, and the portfolio average contract length for active streams is 6.5 years. Quarterly Revenue from Canola Streaming Sales Input sold 250 metric tonnes ("tonnes" or "MT") of canola equivalent volume from streaming during the quarter at an average price of $459 per MT, for quarterly streaming revenue of $0.1 million. The following table summarizes canola equivalent sales for the current fiscal year, compared to the previous fiscal year: Fiscal Quarter Q1 Q2 Q3 Q4 FY Total FY2016 Canola Streaming Volume (MT) 6,079 18,382 31,889 5,894 62,244 Average Net Realized Price $468 $487 $490 $448 $483 FY2017 Canola Streaming Volume (MT) 250 250 Average Net Realized Price $459 $459 % Change (in Volume) -96% "The success of Input's logistics program after the last harvest is reflected in these canola sales figures. Effectively all of last year's crop was sold during the last fiscal year, so we did not have much to sell in this quarter. If we incur no revenue between April and mid-September, it means our business is working smoothly," said President & CEO Doug Emsley. "With regard to deployment, we continue to be encouraged by the growing number of farmers who see streaming as a useful tool to manage their working capital requirements. As we grow our book of streams, we further diversify against weather and individual counterparty risks." Update on Capital Recovery Associated with Terminated Streaming Contracts The capital associated with the largest of the three streaming contracts previously announced as terminated due to default has now been collected. The Company has taken titled ownership of 5,000 acres of farmland and the farm equipment has been sold via two auctions. The farmland has been rented out to local farmers for this growing season, and Input has begun efforts to market the farmland for sale after this year's harvest is complete. The recovery efforts associated with the two smaller terminated contracts are progressing and are on track with previous expectations. Management continues to expect to fully recover all of the capital associated with the three terminated contracts. Change in Year End The Company is also announcing that it will change its financial year end from March 31 to September 30 to better align its financial reporting periods with its business cycle. Brad Farquhar, Executive Vice-President and Chief Financial Officer commented: "The old March 31 year end fell in the middle of Input's capital deployment season as well as its crop sales season, creating an artificial deadline and cut-off that made planning, budgeting, reporting, and analysis a significantly bigger challenge to the Company and to investors than necessary. The new September 30 year end lines up with Input's capital deployment season, which begins October 1, and is a good fit with the crop sales cycle, which ramps up in earnest in October as well. By making this change, capital deployment in one fiscal year will lead to crop sales in the following fiscal year, without the uncertainties of potentially material amounts of capital deployment and crop sales falling unexpectedly into different fiscal periods, making year-over-year comparisons more useful." The six month period of April 1, 2016 to September 30, 2016 will form a transition year, which will be referred to by the Company after today as FYSept2016. The new twelve month 2017 fiscal year will begin on October 1, 2016 and run until September 30, 2017. For more information, please see the Company's Notice of Change in Year End which has been filed on SEDAR at www.sedar.com. Projected Streaming Tonnes and Total Active Canola Reserves Periodically, Input updates its projections for anticipated canola streaming tonnes to be sold in the current fiscal year. The table below also includes Input's Total Active Canola Reserves, which is the sum of all contracted canola streaming tonnes for all contract years on all active contracts, less canola streaming tonnes sold to date. Tonnes Avg Crop Payment Projected Streaming Tonnes in FYSept2016 Negligible N/A Projected Streaming Tonnes in F2017 60,000 - 70,000 $55 / MT Total Active Canola Reserves (MT) 271,000 $68 / MT About Input Input is an agriculture commodity streaming company with a focus on canola, the largest and most profitable crop in Canadian agriculture. Input enters into multi-year canola streaming contracts with canola farmers in western Canada. Pursuant to the streaming contract, Input purchases a fixed portion of the canola produced, at a fixed price, for the duration of the term of the contract. Input is a non-operating farming company with a diversified portfolio of canola streams, all of which produce canola and revenue for Input within a year of being signed. Input plans to grow and diversify its low cost canola production profile through entering into additional canola streaming contracts with farmers across western Canada. Input is focused on farmers with quality production profiles, excellent upside yield potential, and strong management teams. NEITHER TSX VENTURE EXCHANGE NOR ITS REGULATION SERVICES PROVIDER (AS THAT TERM IS DEFINED IN POLICIES OF THE TSX VENTURE EXCHANGE) ACCEPTS RESPONSIBILITY FOR THE ADEQUACY OR ACCURACY OF THIS RELEASE. Forward Looking Statements This release includes forward-looking statements regarding Input and its business. Such statements are based on the current expectations and views of future events of Input's management. In some cases the forward-looking statements can be identified by words or phrases such as "may", "will", "expect", "plan", "anticipate", "intend", "potential", "estimate", "believe" or the negative of these terms, or other similar expressions intended to identify forward-looking statements. The forward-looking events and circumstances discussed in this release may not occur and could differ materially as a result of known and unknown risk factors and uncertainties affecting Input, including risks regarding the agricultural industry, economic factors and the equity markets generally and many other factors beyond the control of Input. No forward-looking statement can be guaranteed. Forward-looking statements and information by their nature are based on assumptions and involve known and unknown risks, uncertainties and other factors which may cause our actual results, performance or achievements, or industry results, to be materially different from any future results, performance or achievements expressed or implied by such forward-looking statement or information. Accordingly, readers should not place undue reliance on any forward-looking statements or information. Except as required by applicable securities laws, forward-looking statements speak only as of the date on which they are made and Input undertakes no obligation to publicly update or revise any forward-looking statement, whether as a result of new information, future events, or otherwise. (1) Non-IFRS Measures Input measures key performance metrics established by management as being key indicators of the Company's strength, using certain non-IFRS performance measures, including: Adjusted Net Income (Loss) and Adjusted Net Income (Loss) per share; Adjusted Operating Cash Flow and Adjusted Operating Cash Flow per share; Adjusted EBITDA and Adjusted EBITDA per share; Crop Payment per Tonne; Cash Operating Margin and Cash Operating Margin per Tonne; and Cost per Tonne Acquired and Canola Replacement Ratio The Company uses these non-IFRS measures for its own internal purposes. These non-IFRS measures do not have any standardized meaning prescribed by IFRS, and these measures may be calculated differently by other companies. The presentation of these non-IFRS measures is intended to provide additional information and should not be considered in isolation or as a substitute for measures of performance prepared in accordance with IFRS. The Company provides these non-IFRS measures to enable investors and analysts to understand the underlying operating and financial performance of the Company in the same way as it is frequently evaluated by Management. Management will periodically assess these non-IFRS measures and the components thereof to ensure their continued use is beneficial to the evaluation of the underlying operating and financial performance of the Company, and to confirm that these measures remain useful for comparison purposes to other royalty/streaming companies. For more detailed information, please refer to Input's Management Discussion and Analysis available on the Company's website at www.inputcapital.com and on SEDAR at www.sedar.com. SOURCE Input Capital Corp. For further information: Doug Emsley, President & CEO, (306) 347-1024, [email protected]; Brad Farquhar, Executive Vice-President & CFO, (306) 347-7202, [email protected] For allegedly biting off a police officers skin, a 50-year-old man, Totoola Adetunji, was on Monday arraigned at an Igbosere Magistrates... For allegedly biting off a police officers skin, a 50-year-old man, Totoola Adetunji, was on Monday arraigned at an Igbosere Magistrates Court, Lagos.Adetunji, whose address was not given, is facing trial on a four-count charge of conspiracy, assault, breach of peace and obstruction.The Prosecutor, Sgt. Kehinde Omiseki, told the court that the accused and others at large conspired and committed the offences on July 9 at about 9 p.m. at Odunfa Street, Lagos Island.Omiseki said that the accused unlawfully assaulted one Cpl. John Olusegun by biting him on his left arm.He said that the accused also conducted himself in a manner likely to cause breach of peace by throwing stones, sticks and bottles at some Police officers on lawful duty.He said that the accused prevented the police officers from performing their lawful duty by blocking the road with stick and tricycle. According to the prosecutor, the offences contravened Sections 117 (2), 166 (d), 171 and 409 of the Criminal Law of Lagos State, 2011. The accused pleaded not guilty. The Magistrate, Mr P. A. Adekomaya, granted the accused bail in the sum of N50, 000, with one surety in like sum.Adekomaya said that the surety must produce evidence of three years tax payment and have his address verified.The case was adjourned till Aug. 30. Less than 24 hours after Cross River State Governor, Prof. Ben Ayade joined security agencies in a show of force in Calabar, there is a no... Less than 24 hours after Cross River State Governor, Prof. Ben Ayade joined security agencies in a show of force in Calabar, there is a noticeable presence of security personnel in all major streets in the state capital.A statement issued yesterday by the Governors Chief Press Secretary, Christian Ita, reports that about eight detachments of security personnel drawn from all the forces, where seen at strategic locations keeping watch along Marian Road alone,.Ayade had Saturday defied the rain to team up with service commanders to carry out the Operation Show of Force, signaling the determination of his administration to go after criminal elements in the state.Briefing newsmen shortly after the exercise, Ayade explained that the exercise was a deliberate measure to let the miscreants know that his team was in absolute control of the entire situation.His words: We are out here as a show of force to demonstrate that we are in absolute control and we are going to keep Calabar totally safe, adding that we have done a complete surveillance of all the possible routes, all the water areas through which these criminals escape.Continuing, he said: We know who they are, the security and intelligence report has shown clearly the people who are behind all these activities and I can assure the people of Cross River State that Calabar is indeed peaceful and they should go about their legitimate businesses peacefully.The governor who affirmed that all specific locations have been marked, even as access to water fronts will be cut off, hinted that we are also embarking on a door to door search, and cordoning off of Calabar to ensure that nobody exits without a thorough search.Ayade further added: I am sure that with the number of combat vehicles, with the armoury they (criminals) saw on display today, including military officers, combined team, Operation Skolombo, all on duty, tells you that Cross River State is an action state and we cant afford the agony of having any tension anymore.On measures to sustain the exercise, the governor explained: As a fallout of our security meeting yesterday (Friday) and today, we will make adequate budgetary provision, reduce some of our spendings while transferring some of the overheads to guarantee sufficient ammunition as well as other security and logistics support.Commenting, the statement reports that the Cross River Commissioner of Police, Jimoh Ozi-Obeh assured that his men will continue to protect the lives and property of the citizenry.Those who have legal businesses should go about them peacefully, but those who have illegal businesses should run away, the Police Commissioner warned.According to the statement, the State Security Adviser, Mr. Jude Ngaji, expressed governments readiness to tackle the menace, pointing out that in a couple of days, the security challenge being experienced in the state will be a thing of the past. The scheduled commencement of trial in the forgery case against Senate President, Bukola Saraki, his Deputy, Ike Ekweremadu and two other... The scheduled commencement of trial in the forgery case against Senate President, Bukola Saraki, his Deputy, Ike Ekweremadu and two others on Monday has been postponed.The postponement was informed by the sudden commencement of the annual long vacation of the High Court of the Federal Capital Territory (FCT), Abuja.Saraki, Ekweremadu, former Clerk of the Senate, Salihu Abubakar Maikasuwa and Deputy Clerk of the Senate, Benedict Efeturi were arraigned on June 27 before Justice Yusuf Haliru, of the High Court of the FCT in Jabi, on a two-count charge of criminal conspiracy and forgery.They were accused among others, of forging the Senate Standing Orders 2015, with which the elections for the offices of the Senate President and Deputy Senate President of the 8th Senate were conductedThe four defendants, who each, face a maximum of 14 years imprisonment on conviction, pleaded not guilty when the charge was read to them.The court had, on the last date (June 27), adjourned to July 11 for the commencement of trial.When parties got to court on Monday, they were informed that the courts annual long vacation has commenced earlier than anticipated.Court officials told lawyers representing parties that a circular for the annual vacation became public last Friday, but became effective on Monday.Parties have agreed to return on September 28.The defendants were all in court. While Abubakar entered the courtroom around 8:20am, Saraki, Ekweremadu and Efeturi arrived the court around8:50am.Saraki, Ekweremadu and Efeturi rode into the court premises in a long convoy of cars, accompanied by some members of the Senate and their supporters. Dana Air has commenced daily flights from Lagos to Owerri with an introductory online fare of N12,600 one way. The airline currently opera... Dana Air has commenced daily flights from Lagos to Owerri with an introductory online fare of N12,600 one way. The airline currently operates daily flights to Abuja, Lagos, Abuja, Port Harcourt and Uyo and is set to extend its operations to other cities in Nigeria as part of its strategic route expansion plans.The Accountable Manager of Dana Air, Mr Obi Mbanuzuo, while addressing newsmen in Lagos, said the airline is committed to providing safe, reliable and pocket friendly service to the flying public. Obi also expressed appreciation to the airlines guests, partners and other stakeholders in the aviation industry for their support and patronage, and advised them to take advantage of the online fare, which he said was part of the airlines way of appreciating its teeming guests for their loyalty on the airlines existing route network. We commenced daily flights from Lagos to Owerri and back with an online fare we feel will be suitable for all. The fare is just our way of thanking our loyal guests on Lagos, Abuja, Port Harcourt and Uyo routes for visiting our website www.flydanaair.com daily to book their tickets.Obi while also explaining the reason behind the choice of Owerri, said Owerri is fast becoming a hub in Nigeria and our decision was borne out of our desire to extend our award winning service to the good people of Owerri, the Imo state capital.Speaking on the airlines route and fleet expansion plans, Obi said, plans are in top gear to add to our fleet and we are in talks with our local and foreign partners to see ways to fast track this.We are also aware of the demand for extension of our world-class services to more Nigerian cities and the west coast, and wish to state that plans are underway to expand our route network in the coming month in line with our growth plan, He assured guests of the airline that Dana Air will continue to invest in training and retraining of its Pilots, Engineers and other Technical staff and also contribute to capacity building in the industry.We can boast of having some of the best hands in the industry and the feedback from our guests is positive. We will continue to invest in training and retraining of our Captains, Engineers and Technical staff to in order to keep the standards.However, the airline expressed displeasure over some of the challenges facing domestic airlines like the rise in fuel price, multiple charges, and high exchange rate among other issues. One of the issues domestic airlines are faced with is multiple charges.If these charges can be merged or eliminated, it will help the present situation of airlines. As it stands, airlines pay a statutory charge, which is fine, but some other charges, which the airlines need to grapple with, are not even applicable in other climes. Presently, airlines peg fares in naira and have to pay for necessary maintenance in hard currency, Obi added. Commenting further, Obi said,For us as an airline, we are concerned about providing affordable regional air transport services and we will be happy if the government can enter favorable agreements and create policies that will favor domestic airlines so that the burden is reduced on the flying public.Dana Airs vision remains to be recognized and respected as Nigerias most reliable and customer-friendly airline and her mission is to earn the loyalty and respect of our customers by consistently demonstrating our commitment to service, and providing affordable regional air transport services that focus on innovation, quality and service excellence he assured. The Presidency on Monday appealed to officials and members of the Bring Back Our Girls (BBOG) campaign group not to waver in their suppo... The Presidency on Monday appealed to officials and members of the Bring Back Our Girls (BBOG) campaign group not to waver in their support for the government in the war against terrorism and in the ongoing efforts to free the Chibok girls and other Nigerian citizens taken captive by the Boko Haram sect.The BBOG had in a recent statement claimed that President Muhammadu Buhari has broken all promises made to the group about rescuing the missing Chibok girls and that the government had been lackadaisical in the rescue mission.But a statement issued by the Senior Special Assistant to the President on Media and Publicity, Garba Shehu, said the past one year of the administration has seen the Nigerian military stepped up bombardment of a stronghold of the Boko Haram sect, the Sambisa Forest, leading to the capture of hundreds of insurgents.The statement also pointed out that records from the Army showed that more than 15,000 captives, mostly women and children have been rescued from the terrorists from February to date.It said: In addition, dozens of communities that were previously under the control of the terrorists have been liberated, allowing thousands of previously displaced citizens to return home and resume their normal lives.Thousands of these women and children freed from captivity have also been reunited with their families.This huge number of rescued women and children may not be prominent names, and their disappearance may not have generated any massive media attention and campaign.However, their liberation is just as important as that of every other missing woman and child, and a testament to the devotion of our military personnel who daily risk life and limb in the efforts to bring back every Nigerian citizen kidnapped by Boko Haram terrorists.Along with these ongoing efforts to rid our country of terrorists and terrorism, the Nigerian government is focused on the welfare and rehabilitation of rescued Nigerians, many of whom were found in a state of severe malnutrition and illness.While noting that the intentions of the BBOG leadership are honourable, the statement said the group perhaps did not consider the collateral damage which must follow its riveting and dispiriting claim that the President has broken all promises allegedly made to the group on this issue, or that he has been lackadaisical in rescuing the Chibok girls.The Federal Governments focus, it said, has always been to free the Chibok girls alive and unharmed. Ex-Minister of Aviation, Chief Femi Fani-Kayode, on Monday met his bail conditions but he was still awaiting verification of some documen... Ex-Minister of Aviation, Chief Femi Fani-Kayode, on Monday met his bail conditions but he was still awaiting verification of some documents by the Economic and Financial Crimes Commission (EFCC).A statement by Jude Ndukwe, the Media Adviser to the ex-minister, said Fani-Kayode was eager to reunite with his family.The statement said: Kindly be notified that Chief Femi Fani-Kayode has met all the conditions for his bail and the relevant documents have been duly filed and submitted at the Federal High Court in Lagos.We are now waiting for the EFCC to verify the documents after which he would be released. Despite the fact that he has been locked up for the last 62 days he remains confident, strong, healthy and in very high spirits.He misses his family very badly, especially his five month old son Aragon, and he looks forward to coming home to be with his family, friends and loved ones.The ex-minister is standing trial on a 17-count charge of unlawful retention, unlawful use and unlawful payment of about N4.9 billion.He was arraigned alongside a former Minister of Finance, Nenadi Usman, Danjuma Yusuf and a company Joint Trust Dimension Nigeria Limited.Investigation by the EFCC indicated that Fani-Kayode was allocated about N840million from the funds which were used for the 2015 presidential campaign.The ex-ministers share of N840million was paid in three tranches into his account.The first tranche of payment involving N350million hit the account on February 19, 2015. Another N250milion was also paid into the account on February19, 2015, while N240million was similarly credited to the account a month later; precisely, March 19, 2015.The balance on this account as at December 31, 2015 was N189, 402.72. The embattled former Deputy Governor of Osun State, Senator Iyiola Omisore, has distanced himself from the Peoples Democratic Party emissa... The embattled former Deputy Governor of Osun State, Senator Iyiola Omisore, has distanced himself from the Peoples Democratic Party emissaries led by the Chairman of the party in Osun State, Mr. Soji Adagunodo to the Ooni of Ife, Oba Adeyeye Ogunwusi.Adagunodo, who was in an opposing camp to Omisores had led some members of the party to the palace of Oba Ogunwusi and pleaded with the monarch to forgive Omisore who has yet to visit the Ooni seven months after he was enthroned as the Ife monarch.They also asked the monarch to assist Omisore, who is his subject to get out of the detention of the Economic and Financial Crimes Commission.But a close ally of Omisore, who is also the spokesperson for the party in the state, Mr. Diran Odeyemi, in a statement on Sunday said Adagunodos action was tantamount to a mockery and a pretence.Odeyemi stated, When EFCC came after Omisore, it is logical to assume that Adagunodo group will be happy that their enemy is now out of the scene and away from their sight.It is also likely that the open display of sympathy and latter-day affection may not be genuine. How can we explain the sudden love for a man you so much hate while in freedom? Their actions and utterances may be Oju aye (eye service.)The statement added, In their attempt to register the group as supportive of the man they despise, they started crying more than the bereaved, running around to nowhere and with no justifiable reasons for their actions and utterances.In Omisores case, they cannot be a good advocate to defend his course. It is bias and double standard. For Adagunodo, it is a dangerous game. Head or tail, his intention can never stand the test of absolute sincerity.Why going from pillar to post over a sensitive matter still under investigation? You can only plead when confronted with evidences of guilt not prior. This is why Adagunodos plea to the Ooni of Ife on behalf of Omisore is a strange strategy that can be viewed as a further attempt to nail Omisore by his enemy posing as his friend.One may also be right if you call it a back door political coup to dent Omisores image. It is a mockery visit for a bad mission under pretence.Odeyemi said although the Ooni did not give the PDP chairman and his followers the normal respect he always accord his valued guest, he stated that the monarch used the opportunity to vent his anger on Omisore,He said, Oba Ogunwusi was presented a veritable opportunity to stylishly vent out his subtle anger on Omisore. Kabiyesi in his wisdom complained of not being visited by Omisore. His prayer for Omisore is loaded and more or less sounded neutrality in his matter. It is normal and expected. Kabiyesi scored a good goal from a loose ball passed to him by Adagunodos group. The Speaker of the House of Representatives, Yakubu Dogara, has expressed shock over the wicked manner some leaders looted the nations ... The Speaker of the House of Representatives, Yakubu Dogara, has expressed shock over the wicked manner some leaders looted the nations treasury, saying they now hide their loot in farms. Dogara, who lamented that no conviction had been secured so far against the looters to serve as deterrence to others, said, in an interview, that there was need to reassess the way the anti-graft war was being waged to ensure the rule of law was followed.He also urged support for the Presidents anti-graft war. He said: If you look at the massive looting of the treasury, actually, I have been in government for quite some time, I never, never could have imagined the scale of corruption that we are witnessing, where people took lots of money running into billions and buried them in farms.As we speak, they are recovering monies from someones farm somewhere around Abuja. It is very unfortunate, where people stole money just for the sake of stealing. If you were the one who was in charge of fighting corruption, you would have even been shocked by the scale of the problem.I guess part of the problem we have is that the scale of the problem far outweighs the anticipation of the agencies. So, if care is not taken in the process, we may not get things right. They will have to keep their heads level to be able to be in charge of this fight and do it effectively.Dogara, who also spoke on a host of burning national issues, lamented that there had not been a major conviction in the last one year. His words: You will recall that the fight against corruption is one of the cardinal promises that Mr President made before assumption of office. He had cause to say that we have to kill corruption before corruption kills us and I know he is committed to fighting corruption to a stand still.The process of doing that has become a subject of concern to some people. The EFCC is actually the agency, as we all know, that is in charge of this fight and if you look at what it has done so far, there is even a discussion as to whether they are proceeding in the right direction and whether it is not time for us to sit down and do an assessment of how the fight has been, in view of the fact that in the last one year I do not think there has been any major conviction. And it has always been a case of this person has been arrested and detained and some things have been done or he has been charged to court and then the story ends there.Whether we will succeed in fighting corruption, if we continue in this way, only God knows. If the end is just to arrest people, charge them to court and, thereafter, nothing happens, no one is convicted; because conviction, even if you are not jailed, has a way of deterring people. The fact that you are carrying that negative appellation as a former convict has the potential to deter people from corruption in the future. But if I am just arrested, charged to court and maybe some money recovered from me and at the end of the day, nothing happens, a lot of people may not be deterred in the future from engaging in corrupt practices.The speaker disagreed with claims that the war against corruption was selective, stressing that naturally, the war would revolve around those who had the opportunity to serve in government at the centre.He said: If we are talking about corruption, naturally, it will relate to those that had opportunity to serve in government. You will recall that, especially, the PDP had been in power for a number of years, more than a decade and if you were to weigh members of opposition that are in government now and had opportunity to serve, those that would have tendencies to engage in pilfering of resources, majority will come from PDP, except we are not being realistic.Though we cant claim that all the members of APC are saints, you pointed out that APC spent money in the course of the campaign, but where did this money come from? Because as it is, we havent really had any facts about government officials forwarding money to the cause of the campaign. Majority of the money that was stolen was channelled towards the PDP campaign. You know that this arms purchase monies, for instance, virtually everything was given for the prosecution of PDP campaign.I do not think a dime went to any member of the APC, we were all in government then. I cant remember a discussion like that then but I knew when some of the funds were being given to some of our friends as well but I believe that no one that is of APC stock was given that money. The whole thing was scripted. I dont think the fight has really been one sided. If you recall, one of the closest aides to the President, I dont want to name him, when he was picked up, everyone was shocked that that man could be picked up. He had been one of the most dutiful, one of the people that is very, very close to the President, yet he wasnt spared when evidence was adduced that he benefited from it and he had to refund the money.On Senate President, Bukola Sarakis allegation of government within Buharis government, Dogara said: The Senate president has his own sources of information, he is a close watcher of development of government, he has been in the system far longer than myself and so, for him to have made this allegation, it means he had seen certain things or certain trends that lent credence to what he said.In my case, I cannot say that I have seen a situation where a cabal that sits in government can make a decision. I dont know, but I believe that since he is the one that said it, he will be in a better position to throw light on this. He has said there is a cabal, that there is a government within a government, so I believe that in due course, he will educate us more, throw light onto it and maybe we can unveil the cabal. That is a job journalists can really do effectively.garaOn the issue of Grazing Bills, the speaker said: My take on this is very simple. I have had cause to talk to some of the promoters of these bills to say hey, there is this unhealthy discussion about these bills in the National Assembly, so lets just halt and have a small group of people that will take a look at some of these issues from the contributions of Nigerians and lets advice ourselves before moving on and as it is, that is where we are.We want to listen to opinions of our citizens and at the end of the day, it is government of our people, we are going to do what majority of our citizens want and not what a few want to be done. As the House of the people, we cannot run away from it, so ultimately, it is the will of the people that will triumph in this matter, so right now, we have halted all further processes on these bills.On the achievement of the APC government in the last one year, Dogara said: One year may be a small period of time for us to begin to assess the progress of this government. We have not even started implementing the budget in its true sense and that will be done after procurement processes are over. That is one of the challenges that we have have on ground. Britain is to have a new prime minister in the form of Theresa May, Theresa is threfore set to become Britain's first prime minister... Britain is to have a new prime minister in the form of Theresa May, Theresa is threfore set to become Britain's first prime minister since Margret Thatcher.Theresa is currently the home secretary. May will take office on Wednesday after Andrea Leadsom, the energy minister quit the two-horse race to succeed David Cameron as leader of the Conservative Party.Theresa Mary May is the Member of Parliament for Maidenhead.Born on Oct 1, 1956, in Eastbourne, Sussex, she was educated at Holton Park Girls' Grammar School in Oxfordshire and St Hughs College Oxford (Geography).She entered Parliament in 1997 and is currently the longest-serving Home Secretary in 50 years.Theresa May is set to replace David Cameron as leader of the Conservative Party and Britain's prime minister.She received substantial support from her party in the leadership race; in the last round of MPs' votes, she polled 199 to her rival Angela Leadsom's 84. On July 11, Leadsom withdrew from the contest, leaving May the sole runner in the leadership race.Theresa May bills herself as the tough negotiator who can get Britain a good deal with Brussels when the talks about Brexit start, which she says will be next year.Mrs May's experience gave her the edge over some other candidates. She has shadowed every major policy portfolio apart from foreign affairs and the Treasury in Opposition, and is the longest-serving Home Secretary since Henry Matthews in 1892 by holding the post continuously since May 2010.She has pitched herself as the unshowy Cabinet minister who can get results and stand up for herself whether it is by deporting radical cleric Abu Qatata to Jordan, or stopping the deportation of computer hacker Gary McKinnon despite angering the US Government.My pitch is simple - Im Theresa May and I think Im the best person to lead this country. Source: Cadena Cope Lionel Messi could leave Barcelona in 2018, according to a report by Spanish outlet Cadena COPE.The Argentine is thought to be weighing up his future with the Catalan club as he continues to be hounded by legal issues in Spain.Messi would be 31 upon the expiry of his contract and could well opt for a move to another big European club before returning to his native Argentina, having stated several times in the past that he will finish his career at Newell's Old Boys. The leader of a cult group who was arrested at the weekend by operatives of the Rapid Response Squad (RRS) of the Lagos State Police Comm... The leader of a cult group who was arrested at the weekend by operatives of the Rapid Response Squad (RRS) of the Lagos State Police Command in Jakande Estate, Ajah area, Lagos suburb, has boasted that no amount of bullets can penetrate his body.The suspect, Andrew Awalebi, 35, who belonged to the Eiye Confraternity, was arrested on Friday morning at a beer parlour with three other suspected cult members around 8 a.m.Two other members of the group, Adegoke Adeleke (30), Kehinde Adebogun (31), were also picked up early Saturday morning at an hotel in Ikorodu.Awalebi, from Ilaje Local Government, Ondo State was given out by Michael Thompson of the same Eiye Confraternity as a cult leader. Thompson was arrested a night before for robbery at the National Stadium, Surulere, Lagos.Awalebi, who disclosed that he worked with a popular land owner in Lekki, stated that he earns N40, 000 monthly from the property dealer for helping to protect his landed property in the axis.He told investigator that the bullet protection charm was specially prepared for him by a herbalist in Ijebu-Isiwo, Ogun State, to save him from being killed by land grabbers in Lekki.He added, I was initiated into Eiye Confraternity in 1996 by Banji Omisore and later in 1997 I was promoted to the position of a leader after the death of Omisore.We are eight-member cult group. We meet very early in the morning or late at night. I was arrested by Special Anti Robbery Squad sometime ago when my cult group caused mayhem in Jakande Estate, inflicting injuries on passersby in the area.Arrested alongside Awalebi were Michael Thompson (24), Adegoke Adeleke (30), Kehinde Adebogun (31), Koffi Kwame (17), Daniel Olayiwola (36) and Tosin Issac, 26. They all confessed to be members of Eiye Confraternity.While confirming the arrest, the Police Public Relations Officer, PPRO, Lagos Police Command, Superintendent of Police, Dolapo Badmus, warned parents and guardians to be wary of their children and wards behaviours.Charity begins at home. But this should end there. Parents should help police to tackle cultism in their respective localities. They should endeavour to report to the nearest police station around them if they suspect movements of their children, this will help the Police to nip cultism to the bud, she advised.The cult suspects have been transferred to the State Criminal Investigative Department (SCID), Panti, for prosecution. The Petroleum and Natural Senior Staff Association of Nigeria (PENGASSAN) may suspend its strike on Tuesday, the Deputy Director of Pres... The Petroleum and Natural Senior Staff Association of Nigeria (PENGASSAN) may suspend its strike on Tuesday, the Deputy Director of Press, Ministry of Petroleum Resources, Mr. I dang Alibi, said on Monday.Alibi told revealed on telephone that the meeting between PENGASSAN and the Federal Government was held at the Nigerian National Petroleum Corporation (NNPC) towers, Abuja and adjourned to Tuesday.He said, A meeting between PENGASSAN and the federal government was held today (Monday). It just ended and will continue tomorrow (Tuesday). The major issue was the suspension of the strike and they said they were waiting for their NEC members to return.At the end, a communique will be issued and there is a likelihood that they will call off the strike on Tuesday. That is what I can tell you. The presidency says it is checking the accuracy of reports claiming that 81 people were killed by herdsmen in Benue state. The presidency says it is checking the accuracy of reports claiming that 81 people were killed by herdsmen in Benue state.The presidency, through its digital communications office, said on Monday that the some of the pictures in circulation were from the genocide in Congo, back in 2014.The digital communications arm of the presidency said the Nigerian police is currently investigating the reports and would release a statement shortly.Law enforcement agents are working to ascertain the accuracy of reports of fresh killings in Benue. The @PoliceNG will issue a statement, presidency said in a Tweet.Please note, many of photos being circulated NOT from Benue.Earlier, Moses Yamu, the police public relations officer in Benue state, said 22 not 81 people were killed in the attack by the herdsmen. Six suspected members of the Boko Haram sect were weekend apprehended by a Civilian Joint Task Force at different locations in Lagos. Six suspected members of the Boko Haram sect were weekend apprehended by a Civilian Joint Task Force at different locations in Lagos.The suspects, who all confessed to be indigenes of Borno State, disclosed that they fled Bama, Baga and Konduga local government council areas of Borno State, following the offensive of military operatives against insurgents in the state.They gave their identities as Ibrahim Ali, Abubakar Ahmed, Babagana Blam Ali, Goigoi Kamsalem, Ibrahim Mohammed and Adams. Briefing journalists on how they were arrested, Head of the civilian Joint Task Force, the Mai- Kanuribe of Lagos and Seriki Hausawa of Ijora Kingdom, Alhaji Mustapha Mohammed, revealed that Ibrahim Ali and Babagana Blam Ali, who hail from Bama and Konduga local government areas respectively, were arrested at Isheri-Ojodu Berger, while Goigoi Kamsalem, Ibrahim Mohammed both from Bama and Abubakar Ahmed of Baga council areas, were apprehended at a hideout in Victoria Island. The sixth suspect, Adam according to him, was arrested close to a church in Festac Town.Mustapha hinted that his group was spread across 57 local government areas in Lagos and Ogun states and had been in operation since five years, with the aim of nipping activities of Boko Haram sect in both states in the bud.According to him, his group had made several arrests in the past, with the suspects handed over to Department of State Service, DSS, the Police with some transferred to Maiduguri, Bornu State, saying that a patrol van was donated to his group by the DSS, to enhance its operation. Mustapha informed that arrests were made based on intelligence.He said One of their leaders that was hiding at a church in FESTAC town was arrested and handed over to security agents. You know we are from Borno State where these people also hail from and we know their communities. Our people back home do monitor them, and once they leave Maiduguri, we would be alerted so that we can be on the lookout.Once they arrive any community in Lagos, our members are always on ground to fish them out base on intelligence report and once they are arrested, we hand them over to security agencies for further investigation and prosecution, the traditional head of the Kanuris in Lagos explained.The six suspects arrested according to him, were moved to Borno State yesterday morning before the arrival of journalists, hinting that their movement was based on the directive of security operatives. Magistrate Fowowe Erusiafe of an Ebute-Meta Chief Magistrates Court, Lagos, on Monday ordered the remand of two brothers, Ihesiaba Ifea... Magistrate Fowowe Erusiafe of an Ebute-Meta Chief Magistrates Court, Lagos, on Monday ordered the remand of two brothers, Ihesiaba Ifeanyi (28) and Ihesiaba Hope (33) in prison till August 11, for alleged currency counterfeiting.The Ihesiabas were charged by the State Criminal Investigation and Intelligence Department (SCIID), Panti-Yaba, Lagos, on a five-count charge of unlawful possession of counterfeit currency and issuing of counterfeit currency.Prosecuting Inspecting Goddy Osuyi told the court that the brothers were arrested on May 25 at about 7:00pm at Magodo gate Isheri, Lagos with 78 pieces of Nigerias N1, 000 notes.Osuyi alleged that Ifeanyi offered a fake N1, 000 note to one Favour Umelo, knowing fully well that the currency was a counterfeit.The offences, according to the charge, contravene Sections 6(2)(a)(b), 5(b)(2) and are punishable under Section 5(a) and 5(b)(2) of the Counterfeit Currency (Special Provision) Act Cap. C35, Laws of the Federation of Nigeria (LFN).Both men denied the charges and Osuyi made an oral application, urging the court to remand them in prison pending their arraignment at the Federal High Court.The police, he added, had registered the case at the Federal High Court, but a judge had yet to be assigned to hear the matter.Opposing the application, defence counsel, Mr. Ola Ogunbiyi argued that the offences are bailable and that the brothers are presumed innocent until it is contrarily proved.Ogunbiyi also reminded the court that the Federal High Court is on vacation, thus remanding Hope and Ifeanyi in prison until their case is assigned to a judge, is tantamount to keeping them in custody permanently.He urged the court to admit his clients to bail in the most liberal terms, or remand them in the custody of the police.In a short ruling, Magistrate Erusiafe held: I have gone through the application for remand, as well as listened to the submission of the lawyer to the defendants.I hereby grant the prosecutions application for remand. The defendants are to be remanded in prison for the first 30 days. If at the end of the 30 days they were not arraigned before the Federal High Court, I will be left with no option than to admit them to bail. Brig.-Gen. Paul Boroh (rtd), Special Adviser to President Muhammadu Buhari on Niger Delta, has expressed concern over the delay in payment... Brig.-Gen. Paul Boroh (rtd), Special Adviser to President Muhammadu Buhari on Niger Delta, has expressed concern over the delay in payment of stipends of former militants in the Niger Delta region. Borohs concern was conveyed in a statement signed by Mr Piriye Kiyaramo, Bayelsa Liaison Officer, Presidential Amnesty Programme and made available to the News Agency of Nigeria (NAN) on Sunday in Yenagoa The statement quoted Boroh, the Coordinator of the Presidential Amnesty Programme, as describing the delay in payment as unfortunate. It said that while reassuring the ex-militants of regular stipends, Boroh assured them that the payment would soon commence since the three days public holiday was over. I must say that the hiccups which were brought to my attention during the long public holiday by the Central Bank of Nigeria have been sorted out. NAN recalls that some of the ex-militants on July 4 blocked the popular East-West road at Mbiama over backlog of unpaid stipends. The statement quoted Boroh as expressing regret at the pains the delay had caused the former militants, urged them to remain calm. UPPER SADDLE RIVER - A New York state man arrested twice in one hour now faces another charge for allegedly hurling a threat at a police officer who arrested him, authorities said Monday. Shane Burris, 24, of Monroe, N.Y. allegedly told the officer "More cops are going to die," police said in a statement. Burris was arrested Saturday along with his brother, Whitney Burris, 26, about 10:30 a.m. on Route 17 South in Upper Saddle River, according to Det. Lt. Edward Kane. Police said they found 12 bags of heroin in the red Jeep the men were in. The passenger, Shane Burris, was charged with possession of heroin. The driver, Whitney Burris, fled the scene and was arrested after a brief foot chase, police said. Whitney Burris was charged with resisting arrest, obstruction and possession of a weapon. He also had had an outstanding felony arrest warrant New York state, Kane said. Shane Burris was released on his own recognizance. Whitney Burris was taken to the Bergen County Jail on $50,000 bail and is awaiting extradition to New York, Kane said. About an hour later, police received a report of a robbery at Lions Park. The victims were two brothers, ages 15 and 17. "The boys were approached by a male who threatened to punch them in the face and demanded the 15-year-old's cell phone," Kane said in a statement. "The description of the male matched that of Shane Burris," Kane said. Police found Burris a short distance from Lions Park, Kane said. Burris was arrested again and charged with robbery and endangering the welfare of a minor, Kane said. "During the course of this investigation, Burris threatened the life of an Upper Saddle River Police Officer," Kane said. Burris allegedly stated: "I guarantee more cops are going to die," police said. "As a result Burris was charged with a third count of terroristic threats," Kane said. Burris was taken to the Bergen County Jail with bail set at $75,000. Allendale Police, Saddle River Police, Ramsey Police, and Ho-Ho-Kus Police assisted with the investigation. Anthony G. Attrino may be reached at tattrino@njadvancemedia.com. Follow him on Twitter @TonyAttrino. Find NJ.com on Facebook. MOUNT HOLLY -- A 34-year-old Essex County man is facing murder and weapons charges in connection with the fatal shooting of former Burlington Township man in January. Quinnizel Clark, 34, of Belleville was charged with murder and weapons charges July 8, 2016. (Photo provided) The Burlington County Prosecutor's Office said Quinnizel J. Clark, of Belleville, was arrested Friday in Maple Shade by the Burlington County Sheriff's Department's fugitive unit following an investigation by Mansfield Township police and the prosecutor's office into the death of 68-year-old James Dewyer. Dewyer -- who formerly lived on Linden Road in Burlington Township but had since changed residences often including stints in motels -- was found dead in the passenger seat of his vehicle on Monica Court in Mansfield Township at about 4:15 p.m. on Jan. 3 after police responded to a report of a man sitting unresponsive in a car. Authorities said Clark and Dewyer were acquaintances who met up earlier on Jan. 3 before Clark drove Dewyer's car to Monica Court, where he allegedly shot Clark multiple times and left the scene on foot. James Dewyer, 68, was found dead in his vehicle on Jan. 3, 2016. (Photo provided) According to a report in the Philadelphia Inquirer, Dewyer's ex-wife said her former husband was a retired corrections officer who spent years working at the state prison in Trenton. He was lonely, she said, and often liked to help drug addicts by driving them around to various appointments. Clark faces one count of murder and one count of possession of a firearm for an unlawful purpose. He remains in Burlington County Jail in lieu of $500,000 full-cash bail following an appearance before Burlington County Superior Court Judge Christopher Garrenger. The case will be presented to a grand jury for indictment. Michelle Caffrey may be reached at mcaffrey@njadvancemedia.com. Follow her on Twitter @ShellyCaffrey. Find NJ.com on Facebook. Bamboozled: Boonton resident seeks compensation from allegedly neglectful dentist Diane Hertzig poses for a portrait in Boonton on Friday, June 24, 2016. Hertzig complained about a dentist to the Consumer Affairs' Board of Dentistry. After delays, she was told by the agency that the dentist was dead when he is, in fact, still living. (Andrew Miller | For NJ Advance Media) This isn't some kind of strange zombie tale. Indeed, the status of whether someone is living or dead, usually, is pretty easy to determine in the real world. But that's not what happened when the state Board of Dentistry, which falls under the Division of Consumer Affairs, considered the complaint of a woman who said she received substandard care from her dentist. Diane Hertzig waited for nearly three years for her complaint to be resolved. It seemed to come to a close when she was told by the board that the dentist was dead. But he wasn't dead at all. This one is a whopper. Diane Hertzig saw the dentist -- we'll call him Dr. X -- for regular cleanings for more than 25 years. (We've decided not to name the dentist because he is no longer practicing and he was not the subject of disciplinary action.) Starting in 2011, Hertzig said, she noticed Dr. X, who was getting older, seemed to lose some dexterity in his right hand. In October 2012, Hertzig visited Dr. X's Montville practice to get a crown. But afterward, she had ongoing inflammation and bleeding. By April 2013 that Hertzig sought emergency treatment from a new dentist. "My new dentist found a huge hole in my tooth along with crowns that were not fitted properly, causing inflammation and bleeding," Hertzig said. "At this initial consultation he could visually see gross decay present." The new dentist said decay of that magnitude shouldn't exist for someone who had regular cleanings. Hertzig showed the new dentist a copy 2011 X-rays from Dr. X. The X-rays showed the decay was there then, Hertzig said the new dentist told her, and the problems should have been fixed. Hertzig approached Dr. X about the findings. She said she met with him face-to-face on May 29, 2013, asking for restitution of $2,500 to cover the repair work that was done by the new dentist. Dr. X agreed, Hertzig said, but he never paid her the money. In July 2013, Hertzig filed a complaint with the Board of Dentistry for the $2,500. She received confirmation that the state received the complaint, and she was given a case number. "I faithfully followed up every month on the status," Hertzig said. "'Pending' was pretty much the answer." And "pending" was still the answer more than a year later. Hertzig added an addendum to her claim in February 2015 for an additional $2,589 -- the cost to repair additional problem areas. More months passed. Tired of waiting, Hertzig asked her senator, Anthony Bucco, to intervene. Then she received a letter from the board on April 13, 2016. It wasn't good. The letter, signed by Jonathan Eisenmenger, the board's executive director, said the investigation into her claim was started in July 2013, and that it "often takes a good deal of time to conduct a thorough investigation." Eisenmenger said the board attempted to get copies of her records from multiple dentists. "This effort was complicated by the fact that Dr. X's practice had been closed, and that Dr. X subsequently died following a period of illness," Eisenmenger wrote (using the dentist's real name). "Efforts were undertaken to obtain restitution from the dentist's estate, but this was ultimately unsuccessful." The file was administratively closed. "I was very sad to get the letter and felt horrible that whatever the dentist was suffering from while I was in his care is basically what he passed from," Hertzig said. "I guess at this point most people would move on with their life. But not me." Hertzig said she tried to find information on the dentist's death, but she came up empty. So she filed several Open Public Records Act (OPRA) requests with Consumer Affairs in April, and the requests were ultimately denied. But she received a call from Eisenmenger on May 10. Eisenmenger said the dentist was actually still living. "The dentist did not die! A resurrection, I suppose," Hertzig said. "I don't get how a mistake like that could be made." Eisenmenger sent a follow-up letter on May 16, saying he re-opened the case and it would be presented to the board on May 18. "You also requested an explanation concerning how the Board arrived at its understanding that Dr. X had died when he is, in fact, still alive," Eisenmenger wrote. "The Board misunderstood information provided to it by Dr. X's attorney." Hertzig sent a complaint letter to the governor's office, and she also contacted Bamboozled. LOOKING FOR RESTITUTION Hertzig asked Bamboozled to wait before contacting the board because she didn't want to jeopardize her case, so we waited. After a few weeks, Hertzig received a response to her letter to the governor. It came from Eisenmenger. He apologized for the error about the dentist's living status, saying the Board "misread correspondence from Dr. X's attorney, who referred to the dentist's estate as not having sufficient assets to cover the debts of the dentist's practice." Eisenmenger said he has put steps into place to ensure such errors will not be repeated. He explained that the dentist's illness prevented him from answering the board's requests. The dentist also did not renew his license to practice in October 2015, Eisenmenger said. He said the board once again reached out to Dr. X's attorney to see if there were funds available for restitution, noting the board can't seek money other than what Hertzig paid the dentist. Her other expenses, even if they were because of Dr. X's treatment or lack thereof, were not subject to recovery. Nearing the three-year anniversary of her first complaint, Hertzig was done. She asked Bamboozled to intervene. "How much longer will it take for a resolution this time?" she asked. We asked Consumer Affairs about the status of the case, why it wasn't resolved after three years and how it believed the dentist was dead. Within days, Hertzig got an answer on the financial part. The board secured a $575 payment for her. "Wow, how quickly a decision has been made since you called," Hertzig said. "He charged $1,195 and insurance paid half. So I guess the half that I paid is what I'm getting back," she said, still bothered that she can't be reimbursed for the additional costs. "Since the state has taken their sweet time in reviewing my case, it is past the two year statute of limitation, so I have no recourse at this point," she said. Consumer Affairs said it's unable to comment on cases that are under investigation or on those that have been closed without disciplinary action. There was no disciplinary action in this case. So it couldn't tell us more about how the board thought the dentist was dead or whether the board tried to verify the supposed death. The Board did share some recent statistics. Since July 1, 2013, it has received 1,390 complaints and closed 1,465 investigative files. Since 2013, the board obtained $387,695 in restitution for patients. Given that Hertzig's case took nearly three years before she was told the dentist was dead, we wondered how long complaints take, on average, to resolve. "Every investigation is fact sensitive and some investigations take longer than others to resolve," Consumer Affairs said. When asked about speed with which complaints that were filed in 2013 were resolved, it said some were resolved in days or weeks, while others are ongoing. "While the Board strives to resolve every complaint as quickly as possible, licensees are entitled to due process that affords them time to respond to, and refute, the allegations against them," the agency said. "Investigations - even those that do not result in disciplinary action - often involve multiple Board hearings, witness interviews, the exchange of documents, and attorney negotiations." Understood, but still. Three years is a very, very long time. Have you been Bamboozled? Reach Karin Price Mueller at Bamboozled@NJAdvanceMedia.com. Follow her on Twitter @KPMueller. Find Bamboozled on Facebook. Mueller is also the founder of NJMoneyHelp.com. Stay informed and sign up for NJMoneyHelp.com's weekly e-newsletter. TRENTON -- Gov. Chris Christie's proposed school funding overhaul could produce property tax relief from as much as $4,500 for the average homeowner in Glen Ridge to a little as $5 on average in Mount Ephraim, according to state data. Across New Jersey, 368 towns would see property tax reductions including 90 municipalities that would experience at least $2,000 in average household savings, according to an analysis released by the governor's office. The relief from New Jersey's highest-in-the-nation property taxes would be widespread and substantial according to the state's calculations: A $4,262 reduction for the average homeowner in Mountain Lakes, $3,339 in Montclair and $3,268 in Mendham Township, all without any reduction in local services. Use the lookup tool at the bottom of this page to find out if your taxes would go down. Municipalities that are not listed are not projected to receive property tax relief. But that scenario -- one that governor declined to explain the math behind -- wouldn't come without consequences. In promoting the plan, Christie's office makes no mention of the towns that would not see tax relief. For those 145 municipalities, the ramifications could be devastating, an NJ Advance Media analysis found. New Jersey's poorest cities would not only lose millions in state aid for their schools but would be forced to consider raising the average property tax bill by thousands of dollars per household to offset the reduction. Passaic, which would see a 61 percent reduction in state aid to its schools, would need to raise the average tax bill by $12,385 to avoid potentially crippling budget cuts in its schools, NJ Advance Media found. Garfield, projected to lose 44 percent of its state aid, would need to raise taxes by $3,033 on average to avoid school cuts, according to the analysis. Though Christie is traveling the state in an attempt to generate support for the plan, he's said himself that the odds are against it. Leaders of the Democratic-controlled Legislature have already dismissed the idea because of the potential negative impact on schools. NOTE: The search tool may take a minute to load. Click to see graphic in mobile app Adam Clark may be reached at adam_clark@njadvancemedia.com. Follow him on twitter at @realAdamClark. Find NJ.com on Facebook. NEW BRUNSWICK -- In the latest twist in a multimillion-dollar campus cola war, Rutgers University is dumping Pepsi and will once again sell and serve Coca-Cola when students return this fall. A decade after dropping Coke in favor of Pepsi, the university has agreed to bring back Coke as the exclusive supplier of soft drinks and other beverages on its campuses, spokesman Greg Trevor said. The terms of the contract are being finalized and cannot yet be released, he said. Beverage contracts are a major boon for colleges and universities who agree to sell products made by one company in exchange for millions in revenue. Rutgers first agreed to a 10-year, $10 million contract with Coke in 1994 before switching to Pepsi when it offered a decade-long, $17 million deal in 2005. The money is especially valuable to Rutgers because it has great latitude to use it as it sees fit, including funding for scholarships, academic programs and commencement speakers. The deals also come with extra perks; Pepsi made commemorative soda cans for Rutgers, including a limited-edition 250th anniversary can that debuted last year. Though the Pepsi contract expired last summer, it was renewed for one year while the university weighed bids from both companies, Trevor said. Rutgers began the process of switching brands in its dining halls and vending machines on July 1, he said. Adam Clark may be reached at adam_clark@njadvancemedia.com. Follow him on twitter at @realAdamClark. Find NJ.com on Facebook. Thanks to a certain wildly popular and critically acclaimed Broadway musical, many more people have noticed (or cared) that today is the anniversary of the duel that brought down Alexander Hamilton. On July 11, 1804, Aaron Burr, then the vice president of the United States, shot Hamilton, then secretary of the treasury, in a duel in Weehawken. Though details vary, Hamilton, 47, died as a result of injuries. On social media Monday, museums, educational entities, history buffs and fans of Lin-Manuel Miranda's musical "Hamilton" noted that today is the 212th anniversary of that fateful day. "Weehawken. Dawn. Guns. Drawn," posted the Facebook account for the musical, sharing a photo of the memorial bust of Hamilton that stands today in Weehawken's Hamilton Park near the site of the duel. "On this day 212 years ago, the world would never be the same." Tweets shared various renderings of the famous scene. In 2014, a mansion located at 8 Hamilton Ave., near the spot where Hamilton and Burr traded shots, sold for $6.2 million. NPR reports that the Tony Award-winning musical has also sparked new interest in Hamilton's grave at Trinity Church in Manhattan. After Saturday's performance of "Hamilton," Miranda left the lead role he had originated as the Founding Father, leaving Javier Munoz to play Hamilton. [&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;a href="//storify.com/NJentertainment/duel" target="_blank"&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;View the story "Hamilton-Burr duel: 212 years ago in Weehawken" on Storify&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/a&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;]&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;h1&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;Hamilton-Burr duel: 212 years ago in Weehawken&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/h1&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;h2&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;Alexander Hamilton died this day in 1804 after being shot by Aaron Burr&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/h2&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;p&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;Storified by &amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;a href="https://storify.com/NJentertainment"&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;NJ.com&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/a&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;middot; Mon, Jul 11 2016 19:38:11 &amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/p&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;This day in 1804 Aaron Burr famously shot Alexander Hamilton in a duel. https://t.co/N4Mb6dHbVz https://t.co/J6vji2FhryMCLA Freel Library&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;On this day in 1804 Aaron Burr shot Alexander Hamilton. #history #duel https://t.co/uX9EOxixsrBen Wynne&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;Today's the day that the famous duel between Alexander Hamilton and Aaron Burr took place. (7/11/1804) https://t.co/KEwCiSLQICLizbeth Meneses&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;OTD in 1804, #Hamilton was fatally shot by Burr in a duel. Learn more @SBULibrary's guide https://t.co/3eZm7SGUiu https://t.co/pikny60XynSally Stieglitz&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;#OTD, 1804: Alexander #Hamilton rowed across the Hudson to duel Aaron Burr on grounds just across from Pier 84 https://t.co/GzO7JGlU1CHudson River Park&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;(7/11) In 1804, a duel occurs in which Aaron Burr mortally wounds Alexander Hamilton. #todayinhistory https://t.co/gP5cqxCN8DVU Historical Review&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;On the anniversary of the Hamilton/Burr duel, here's Alexander without his goatee and dope beats in Weehawkin. https://t.co/Wf5Z8gsXO5(((Jersey Todd)))&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;#OTD July 11, 1804 #Hamilton_Burr_duel takes place over in Jersey. #Lin_Manuel_Miranda unexpectedly wins https://t.co/izLHjfTLUPSPIES&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;VESPERS&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;RT nbclearn: Popularized by HamiltonMusical, today is when the duel between Burr &amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp; Hamilton took place ... https://t.co/XsuZg9MKppThink Tank Thuto&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;212 years ago, today, #Hamilton thew away his shot in a duel with #Burr @Lin_Manuel @leslieodomjr @HamiltonMusical https://t.co/6JBRcTkuidJodi Waxman&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;Today in 1804, U.S secretary of the treasury, Alexander Hamilton, was killed by Vice President Aaron Burr in a duel https://t.co/h86v2ZZKL8Daniel Bennett Group&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;#Burr slays #Hamilton in #duel - July 11th #doodle #todaysdoodle #THE100DAYPROJECT #onthisday #wacom https://t.co/cleFpcY0IDhistory doodle&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;Everything's legal in New Jersey. Kudos to @rutgers_scua for sharing this account of the Burr/Hamilton duel. #twt https://t.co/ZbeMWAQgEXLibraryLinkNJ&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;On this day in 1804, Alexander #Hamilton lost a duel with Aaron Burr that claimed his life. #WorldWasWideEnough https://t.co/5aIObZ8EWXKushaan Shah&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;#Hamilton and Burr duel #OTD in 1804. Read more about the physician who treated them both https://t.co/L0i6cFpM0n https://t.co/fpBuVHf7EaNYAMHistory&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;It's the 212th anniversary of the Hamilton v. Burr duel! #ImCelebratingTodayBy dueling... Pokemon dueling. https://t.co/GUi4pZcjx3Garrett Sawyer&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;Aaron Burr mortally wounded former Treasury Sec Alexander #Hamilton in a duel today in 1804. #theworldwaswideenough https://t.co/XGUdb7LzXrPostal Museum&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt;Today is the 212th anniversary of the #Hamilton -Burr duel. How dueling was politics: https://t.co/eESJs2bAjm https://t.co/X52UacObN2JSTOR&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;lt;/div&amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;amp;gt; Amy Kuperinsky may be reached at akuperinsky@njadvancemedia.com. Follow her on Twitter @AmyKup or on Facebook. -- Authorities say a man was fatally shot in Newark on Sunday afternoon, in what one law enforcement source said was a carjacking with a child in the vehicle who was later found unhurt. The shooting occurred late Sunday afternoon near the intersection of Bergen Street and 14th Avenue, said Essex County First Assistant Prosecutor Thomas Fennelly. The victim was not identified. The prosecutor's Major Crimes Task Force is investigating the case, Fennelly said. A law enforcement source who asked not to be identified because the investigation was ongoing, said the victim was shot while being carjacked, and that a child was in the vehicle at the time. The source said the child was later found unhurt. Fennelly said he could not confirm that the victim was shot during a carjacking. Sunday's incident came one day after a fatal shooting and car theft in Penns Grove, where authorities said a man was shot dead in front of a cemetery, before the killer took his car with a child in the back seat and drove it to Pennsylvania. Police said the 1-year-old boy in the Penns Grove incident was later found unhurt inside the vehicle after it had been abandoned in Philadelphia. Steve Strunsky may be reached at sstrunsky@njadvancemedia.com. Follow him on Twitter @SteveStrunsky. Find NJ.com on Facebook. NEWARK -- Authorities identified the victim of a fatal shooting in Newark Sunday afternoon as a 29-year-old Roselle man. Acting Essex County Prosecutor Carolyn Murray and Newark Public Safety Director Anthony Ambrose issued a joint announcement overnight saying Alexander Owens was fatally shot at the Newark intersection of Bergen Street and 14th Avenue, shortly before 4:30 p.m. The announcement said Owens was rushed to University Hospital in Newark, where he was pronounced dead at 4:37 p.m. No arrests had been made, and the the case remains under investigation. A law enforcement source told NJ Advance Media on Sunday evening that the shooting occurred while the victim was being carjacked, and that a child was in the vehicle at the time. The source said the child was later found unhurt. First Assistant Essex County Prosecutor Thomas Fennelly said Monday that he could not confirm that a carjacking or child had been involved. "It's a very fluid situation," Fennelly said in an email. The announcement by Murray and Ambrose, issued just before Midnight on Sunday, made no mention of the circumstances surrounding the shooting. "Newark police officers were dispatched to the intersection of 14th Avenue and Bergen Street on reports of a person down," the announcement stated. "Arriving officers located a male suffering apparent gunshot wounds." This case is being investigated by the prosecutor's Major Crimes Task Force, which includes Newark Police detectives. Authorities asked that anyone with information about the case call the prosecutor's Tips Line at (877) 847-7432. Steve Strunsky may be reached at sstrunsky@njadvancemedia.com. Follow him on Twitter @SteveStrunsky. Find NJ.com on Facebook. NEWARK -- A New York woman was arrested Friday after authorities say she was found with more than six pounds of cocaine at Newark Liberty International Airport. Carolina Pantalion, 23, of New York City, was charged with possession and intent to distribute after the cocaine was allegedly found in her luggage, Port Authority Police announced in a release Monday. The drugs were found when Pantalion arrived at Terminal C after flying in from Santo Domingo at about 11:30 p.m. on July 8, police said. The drugs were allegedly found after Pantalion's suitcase was selected to go through a random screening, Port Authority Police spokesman Joseph Pentangelo said. She was taken to the Essex County jail on $500,000 bail, officials said. Jessica Mazzola may be reached at jmazzola@njadvancemedia.com. Follow her on Twitter @JessMazzola. Find NJ.com on Facebook. JERSEY CITY - Some hundred people joined in a Jersey City peace vigil this evening in the aftermath of two black men being killed by police and a sniper who opened fire at a Black Lives Matter protest in Dallas, killing five police officers and wounding seven more. "We are here to pray for peace, whether it is in our city or cities across America -- peace in general," said Pamela Johnson, executive director of the Jersey City Anti-Violence Coalition Movement. Assemblywoman Angela V. McKnight addressed the crowd at the Hub on Martin Luther King Drive saying, "We are here because our brothers are being killed and because our police officers are being killed ... If anyone is shot, the blood is red. That's for everybody ... The fight is not over until our brothers are not being killed because of racism." During the vigil, Jai Allen sang "A Change is Gonna Come" with its refrain "It's been a long, long time coming but I know change is gonna come. Oh yes it is." Lilian Diaz said she fears for her 19-year-old son who was valedictorian at Snyder High School in 2015 and is now studying in Philadelphia. She said her children are half black. Speaking of the recent shootings of black men by police, Diaz said, "They will not recognize his GPA, just the color of his skin. It's heart-wrenching when your son is a potential target. It's a reality of life. I know there are good police officers, but you can't control another person's emotions and on a bad day, what can set someone off?" Referring to recent events, Jersey City Councilwoman-at-Large Joyce Watterman said it's "A sad day in America." "The real enemy is hate, and you can only fight hate with love," Watterman said. "I'm here to give my support not only as a councilperson but as a citizen, because I care about America." Minister Jennifer Watterman told the crowd "We come together when a police officer shoots someone but what about our brothers and sisters who are killing each other every day?" She said the Rev. Martin Luther King Jr. and Rosa Parks "did not do what they did so we could tear our own community apart." During the ceremony, clergy members joined together praying as members of the crowd tried to light candles, but the breeze made it difficult. At the end of the vigil a man stood on the edge of the Hub's fountain and told the crowd, "I've done a lot of things in my life that I'm not proud of, but I'm proud of being here. When I woke up this morning, I didn't know I would be here. I still struggle, but I will never give in to evil when there is so much good out here." The vigil ended with a long round of applause from those gathered. There was no visible police presence at the site of the vigil, but cruisers did roll by several times and a police car was stationed in a parking lot nearby. The vigil was organized by the Jersey City Anti-Violence Coalition Movement, Operation Take Back Jersey City and Forever Girls. WASHINGTON (AP) The House committee investigating the Jan. 6 attack on the U.S. Capitol has issued a subpoena to Donald Trump. The nine-member panel sent a letter to the former president's lawyers on Friday, demanding his testimony under oath by mid-November and outlining a series of corresponding documents. The decision by lawmakers to exercise their subpoena power comes a week after the committee made its final case against the former president, who they say is the "central cause" of the multi-part effort to overturn the results of the 2020 election. It remains unclear how Trump and his legal team will respond to the subpoena, if at all. Sean Payton is sure Reggie Bush will play again this year, but not with Saints, he says on radio Welcome to non league daily news now - your number one spot for all things relating to the National League System. Our dedicated reporters have come straight from the sidelines to bring you news fresh from the dugout - but not before theyve stopped off at the burger van first! We know that non league football fans are full of heart, passion, and belief. You trust the manager, you believe in the team, and, for some strange reason, you trust those rickety stands, too! Here at Non League Daily, we hope we can become your trusted non league news resource - a platform thats just as passionate about non league daily news now as you. Come rain or shine, well be out reporting on the latest non league fixtures. Well also be scouring the news, refreshing social media, and sourcing information from team websites in the hopes of finding the latest breaking non league daily news for our readers. As youll soon see, weve got exclusive match reports on the Vanarama National League, weve got transfer speculation thatll affect the National League South, weve found great stories thatll spice up the National League North, and weve even got news on the latest giant killers of the FA Cup. We may not be able to agree on who is going up this year, but we can all agree that any news on the NLS worth knowing will be published here, at Non League Daily. Afghan president heads to Warsaw to attend key meeting 2016-07-11 18:18 KABUL, July 7 (Xinhua) -- Afghan president on Thursday left forWarsaw to attend a key NATO summit, said Arg, the country'spresidential palace, in a written statement. "Afghanistan President Mohammad Ashraf Ghani, leading ahigh-level delegation, left this evening to Warsaw, Poland to takepart in Warsaw Summit,"it said in the statement. The leaders of the 28 NATO allies and other partner nations willmeet for a landmark two-day summit, starting from Friday. On Saturday, the NATO members will focus on its involvement inAfghanistan among other topics and will review their financialsupport for the Afghan security forces until 2020. The Afghan leader besides addressing the NATO Summit, will alsohold separate meetings with the leaders of NATO member countries onthe sidelines of the meeting during his three-day trip, thestatement added. Among other officials, Chief Executive Abdullah Abdullah,Foreign Minister Salahuddin Rabbani, National Security AdvisorMohammad Anif Atmar, Afghan Defense Minister Gen. Abdullah Habibi,Finance Minister Eklil Hakimi and Mohammad Haroon Chakhansuri,presidential spokesman, are accompanying the president, accordingto the statement. Enditem Jack Wighton and Lachlan Coote go head-to-head in Round 18 of the NRL Telstra Premiership as the Canberra Raiders take on the North Queensland Cowboys. The Raiders and Cowboys have both scored 69 tries going into Round 18, however the Raiders have conceded 58 tries so far in 2016 while the Cowboys have only let in 36. The Raiders average 25 points per game to the Cowboys 26, while Canberra concedes an average of 21 points to North Queensland's 14. Two attacking fullbacks are expected to chime into the backline - who will come out on top? The Canberra Raiders have moved to within one point of the Telstra Premiership top four with a 26-12 win over the North Queensland Cowboys at GIO Stadium on Monday. Raiders fullback Jack Wighton proved the difference on the night with a try in each half as well as some bone-rattling defence at the back to guide the Green Machine to their third win in a row. Raiders v Cowboys: Five key points Draw Widget - Round 18 - Raiders vs Cowboys With five players on Origin duty, the 2015 premiers fought bravely to stay in the contest, but couldn't hit their straps in attack without their stars. In their stead, debutant Josh Chudleigh and third-gamer Coen Hess were fantastic, each crossing for a try in a major positive for Paul Green's undermanned side. Things got off to a shaky start for the Cowboys when Antonio Winterstein spilled a Josh Hodgson kick in the opening minute. From the ensuing set, Jack Wighton turned nothing into something special as he fended away three defenders from a standing start to crash over for the opening try. Unperturbed by the early setback, the Cowboys hit straight back via the left boot of Lachlan Coote and an acrobatic leap from backrower Coen Hess to restore parity. It didn't take long for the Green Machine to reclaim the lead with Josh Hodgson pouncing on an upright-assisted Aidan Sezer grubber to make it 12-6, before Jarrod Croker slotted a gift penalty goal to make it an eight-point game. That's the way it stayed until six minutes into the second stanza when former Raiders SG Ball star Chudleigh came back to haunt his former side with a cracking try to become the 15th player to score on their NRL debut in 2016. From what looked like a simple play the ball, Chudleigh scooped up the Steeden from dummy half, sprinted past the front-line defence before he stepped past Wighton to reduce the margin to two points. But just like the first half, Wighton decided to do it all himself on a seemingly innocuous last-tackle play to power through some feeble goal-line defence to complete his double. Another Croker penalty pushed the lead back out to eight on the hour mark before last year's premiers missed a golden opportunity to cut the margin when Ray Thompson lost the ball with Hess pushing up in support. The Cowboys continued to push until the very end but eventually had their spirit broken five minutes from full-time when Sezer dummied and stepped his way through to seal the 14-point win. Raiders 26 (Jack Wighton 2, Josh Hodgson, Aidan Sezer tries; Jarrod Croker 5 goals) defeated Cowboys 12 (Coen Hess, Josh Chudleigh tries; Ethan Lowe 2 goals) at GIO Stadium. Half-time: 14-6 Raiders. Gina Guarino got her start in the real estate business as a teenager working for her Realtor mother, who established a real estate business when Guarino was 17. Guarino worked as an assistant in the office while studying nursing. But she'd caught the real estate bug, and four years later, was a licensed broker. "I sold the first house the second day I had my real estate license," recalls Guarino, who grew up in Beecher, Illinois. Twenty-four years later, Guarino is a top broker for Coldwell Banker Residential Brokerage, based in Schererville, and still finding satisfaction in helping people reach their housing goals. "I love when people are happy and get what they want," Guarino said. "My big thing is, I go from a million-dollar home to a $20,000 home and it's all the same. No home is too big or too small." Guarino spent some time establishing her family two decades ago. Her focus turned toward Indiana in 2002, and "over that 14 years, I've doubled and tripled and quadrupled my business." Her Illinois roots, and the customers she has there, keep her busy in that state, too. "I still sell quite a bit in Illinois," she said, focusing on Beecher, Crete, Peotone and Frankfort. She joined Coldwell Banker in 2008, amid the downturn in the housing market. "I've seen pretty much every market there is," she said. When times are tough, "you have to learn different tools and strategies." Guarino has a positive view of the current market as it continues to recover from the housing crash. "It's definitely not as high, but it's holding its own." She said demand is growing. "I am seeing multiple offers again but it depends on the home." Guarino closed 155 transactions last year, the most for a Coldwell Banker broker in Northwest Indiana, and generally has about 40 listings at any given time. Her biggest sale has been a $950,000 home. Last year, Guarino earned the Coldwell Banker North American Award, honoring the top associates in each state. She sold the eighth most units of any broker working for Coldwell Banker parent company NRT, which has 790 company offices with nearly 47,000 sales associates. Those 154.5 units had a sales volume of $36.4 million. Guarino said versatility is a key to being successful in real estate. "You can't be someone who wants to punch a clock," Guarino said. "There is no set schedule. You never know what's coming next." The biggest change over the years is related to technology. Online marketing has to be a focus, she said. "If you don't have it, it's not going to happen." Coldwell Banker Managing Broker Jim Oster, who's been in the real estate business 39 years, said Guarino's determination, hard work and attention to customer service are key to her success. Her community activity also has been important, too, as is her use of the tools the company makes available, said Oster. "She works hard; she knows the business well," he said. "She does everything it takes to be a good broker." Guarino is active in the St. John Chamber of Commerce, her homeowners association, and is busy with her family, including three sons who have been active in sports. Guarino's eldest son recently graduated from Indiana University Bloomington, and will begin working with her. That family tradition has been a theme since her own start in the business; Guarino's mother and niece are currently on her staff, too. Guarino has lived in St. John since 1995, in the same home. "As many homes as I sell, I don't change myself," she notes with a laugh. "I raised my children there; I like the whole entire area," she said. Guarino maintains an energetic attitude toward the business and is constantly motivated by customer service. She said her high level of production and the accolades it's brought "just happened" as a result of a focus on the needs of customers. "I just love it," she said of the real estate business. July 1 was the busiest day K & D Smoke Shop in Hammond has had all year, said owner Kathy Marks. Business is usually strong the first of the month and it was in the middle of fireworks buying season, when hordes of Illinois residents cross into Northwest Indiana so they can celebrate the Fourth of July by defying their states fireworks ban in explosive fashion. It also happened to be the day Chicago raised the minimum age to buy cigarettes to 21 years old as part of a growing nationwide movement that includes New York City, California and Hawaii. The American Heart Association says raising the minimum sales age disincentivizes tobacco companies from covertly marketing to youths and would prevent more people from picking up smoking at an age where they commonly become addicted. And that potentially could result in as many as 225,000 fewer premature deaths and nearly 300,000 fewer pre-term births. Smokers still only have to be 18 to purchase cigarettes in Indiana, where nearly two dozen smoke shops are clustered near the state line in Hammond, Munster and Dyer to capitalize on the comparatively lower taxes. Whether the smoker nurses a pack-a-day habit or only smokes when they drink, the savings are stark. A carton of Marlboro Reds runs around $120 to $130 in Illinois. In Indiana, the cost is about $60. So many Illinois residents already drive to Indiana to buy cigarettes that the Region even has drive-through smoke shops, including a now-boarded up 24-hour tobacco store on U.S. 20 at the border of Chicago and Hammond. Welcome with open arms The higher smoking age is expected to drive even more Illinois traffic to Northwest Indiana retailers, since college-aged youth no longer legally can buy cigarettes in the city of Chicago. We absolutely welcome them with open arms, said Marks, who owns K & D near the state line at 220 165th St. in Hammond. I dont agree with the law though. If youre 18, youre an adult who can vote and serve in the Armed Forces and should be able to buy cigarettes. She estimates about 90 percent of the shops business already comes from Illinois, and that customers usually stock up by buying several cartons at a time. They typically also make additional stops in the Region to buy gasoline, lottery tickets and groceries since the sales tax in Indiana is 3.25 percent lower than it is in Chicago. Chicagos higher smoking age will definitely increase tobacco sales in the Region, but mostly from Chicago residents who live near Indiana in neighborhoods like Hegewisch, South Deering and the East Side, or who already visit Indiana regularly, said Micah Pollak, an assistant professor of economics at Indiana University Northwest in Gary. Unlike fireworks, which are mostly illegal across the entire state of Illinois, this new ordinance raises the minimum legal age of smoking only within the city of Chicago, Pollak said. While Indiana may have an effective geographic monopoly over fireworks for Chicago residents, those between the age of 18-21 have other options for cigarettes, including traveling to the suburbs or anywhere outside the Chicago city limits. As a result, we are likely to see the biggest increase in sales from Chicago residents who are already traveling across the border, either work or to otherwise spend time in Northwest Indiana, or those that live closest to the border. Worth the trip? Some however may decide if they have to travel outside of the city to buy cigarettes, they might as well go to Indiana where theyre much cheaper. We know that many Chicago residents already travel to Indiana to purchase cigarettes due to the lower taxes, Pollak said. For example, in a paper published in 2010, by David Merriman in the American Economic Journal: Economic Policy, the author found that 29 percent of discarded cigarette packs collected in the city of Chicago had tax stamps from Indiana rather than Illinois. Northwest Indiana could benefit the way it does from fireworks tourism in June and early July, when many Chicagoans make a pilgrimage across the state line to stock up on Fourth of July fireworks that are outlawed in their state. Only the economic effect would be year-round instead of seasonal, Pollak said. While the demand for fireworks is primarily around the Fourth of July holiday, any increase in demand for cigarettes due to this ordinance is likely to be year-round, he said. Ultimately this ordinance will increase sales at Indiana smoke shops, however, exactly how much is hard to say for sure yet. Henry Abuzaid excepts to see new customers in his shop, Vintage Tobacco at 6806 Indianapolis Blvd. Many of his customers are Illinois residents who work at the manufacturing plants that line 165th Street, such as Jupiter Aluminum. Managers, for instance, stop in after work to grab cigars. Weve already got so many customers driving out this way because the taxes are so much cheaper, he said. People will buy five cartons minimum. HAVANA The number of American travelers to Cuba rose 84 percent for the first half of the year compared with the same January-June period in 2015, authorities said Thursday. Cuban state news agency Prensa Latina reported that Tourism Minister Manuel Marrero announced the figure to members of parliament, reflecting a continuing surge in U.S. interest in the island amid a broader diplomatic thaw between the Cold War foes that began in late 2014. The total number of U.S. visitors was not made public, though for all of last year, nearly 160,000 leisure travelers flew to Cuba. The figures do not include the hundreds of thousands of Cuban-Americans who travel to the island each year on family visits. As part of the push to normalize relations, U.S. President Barack Obama has loosened people-to-people cultural and educational visits to Cuba, and Americans are now able to plan their own trips and self-certify that they comply with U.S. regulations. Washington is also in the process of approving U.S. commercial airline service to the island, with flights expected to begin in the fall. Overall tourism to Cuba was up 11.7 percent for the first six months of 2016, with a total of 2,147,600 travelers to the island, Prensa Latina reported. There were also significant increases in visitors from nations such as Spain, Italy, Poland and Germany. Over 10 people killed in monsoon-induced disasters in Nepal 2016-07-11 18:18 KATHMANDU, July 5 (Xinhua) -- More than 10 people lost theirlives on Tuesday by the monsoon-triggered landslides in variousparts of Nepal. According to local police officers, the landslide buried thehouse of 45-year-old Durga Bahadur Malla and killed his wholefive-member family on the spot. Their bodies were recovered fromthe rubbles on Tuesday morning by a joint rescue team of NepalPolice and Nepal Red Cross Society. Similarly, three from the same family died after a landslidecollapsed their house in the northern side of Pyuthan district. Meanwhile, three persons were killed after being buried by alandslide in Gorkha district. DSP Birendra Thapa at District PoliceOffice Gorkha said that they were hit by the mudslide whileconstructing a road. More than a half dozen were injured in the disaster. According to the Home Ministry, 92 incidents of flood andlandslides occurred across the country from mid-April till July 3.During the period, 32 persons lost their lives, 17 went missing and48 were injured. Likewise, 104 houses were destroyed and 157 houseswere partially damaged by floods and landslides during theperiod. A panel of the Legislature-Parliament Monday directed thegovernment to act immediately for management of monsoon-induceddisasters by forming a special mechanism. In response, the government said that it has mobilized threehelicopters in three cities for immediate rescue and reliefoperation. Enditem EAST CHICAGO Dressed in white, parishioners from various churches were escorted by officers as they marched north on Indianapolis Boulevard chanting the names of people who have been shot to death by police. Tamir Rice... Philando Castile, the group shouted before raising their fists in the air. Black lives matter, black lives matter. The march took place Sunday as the country continued to reel from a violent week. Videos depicting the officer-related shooting deaths of two black men went viral. In Gary, officials held a vigil for police in response to the recent shooting deaths of five officers in Dallas. Cedric Howard, the pastor at Goodwill Baptist Church, said pastors from East Chicago had been organizing a citywide prayer service for months. They added a march to the service in response to the shooting deaths of Alton Sterling and Philando Castile, the two men who were separately killed by police last week. Inside the small church tucked into neighborhood that is a mix of residential and industrial, Howard told the crowd before the march that there was a spirit of anger and fear among them. They were angry and the police were fearful of them. We are in a fight tonight, he said. There is a spirit that has been unleashed in the land. He told the crowd that their fight was spiritual. He called for them to pray for the East Chicago Police Department. The crowd responded by clapping their hands. Rev. Robert E. Johnson of Grace Missionary Baptist Church told the crowd officers might not know the struggles of black and Latino communities. Let them not be afraid, he said. Bless them. Some parishioners clapped their hands while others raised their arms as they swayed back and forth while singing spiritual songs. Yalonda Sims, the co-pastor for Victory Life Christian Center in Portage, led the group in a song about not giving up on God. She passionately repeated into the microphone, Dont give up. As the group exited the church to begin the march, Sims said attended the event to take a stand against the recent incidents of violence. Its so heartbreaking, she said. Its too much for me. Sims worries about her children especially for two who drive. She has urged them to follow police orders if they are ever stopped and not to dispute the stop. As the crowd made their way north while singing We Shall Overcome along with chants calling for an end to the murders, motorists traveling honked at the group. A woman gave the group a thumbs up while others raised their fists out of their car windows. Al Johnson, 65, of East Chicago, who was among those marching said he had more questions than answers. He wondered why police officers involved in fatal shootings were often put on paid administrative leave, he wondered why predominately white communities always seem to have better roads and he wondered why grocery stores in affluent neighborhoods have a better selection of produce. He said he believes politicians dont care about the black community. Its like this: its always been black versus white, Johnson said. Now its coming back. Near the intersection of Indianapolis Boulevard and Chicago Avenue, the pastors asked the men in crowd to gather in the center as the rest of the group interlocked their arms to create a large circle. The pastors told the crowd that Sunday was the beginning and challenged them to speak up and stand up for the community. Before the crowd headed back to the church, people exchanged hugs and told each other, I love you. VALPARAISO A 22-year-old woman told police she was watching television Sunday night in her bedroom in the 2800 block of Double Eagle Lane when she noticed a man crouched outside her window. She alerted her mother, who yelled at the man as he fled west on foot, police said. The women discovered the outer screen on the window had been removed, police said. The man was described as a white male with a thin build wearing a yellow T-shirt. A fresh cigarette butt was found near the window. Police attempted to track the man with a dog, but were unsuccessful. GARY Lake Sheriff John Buncich said Monday afternoon he personally demanded a high-ranking officer resign over alleged drunken driving that severely injured an Illinois man at Sundays Gary Air Show. Buncich held a news conference Monday afternoon to confirm he wants Lt. Guy Mikulich, 37, a 16-year veteran of the Sheriffs Department, off the county police force. Buncich said Mikulich has yet to respond. The sheriff said he will ask the departments Merit Board to approve Mikulichs termination when its members meet next week. Buncich said the victim, Derrick Dircks, 34, of Frankfort, Illinois, has been transported to a hospital.* Our thoughts and prayers are with that individual, the sheriff said. State police, who were brought into the investigation by the sheriff, said Mikulich had a blood alcohol content of 0.15 after a hit-and-run crash in his unmarked police car Sunday that seriously injured Dircks. The sheriff has placed Mikulich on paid administrative leave, a standard procedure in disciplinary cases. Mikulich worked the Gary Air Show on Sunday and had been released from his assigned area, police said. He was in his uniform and heading west on Oak Avenue in his unmarked 2011 Ford Crown Victoria about 4:50 p.m. when he struck a parked 2014 Toyota Sienna minivan near Pike Street in Garys Miller section, police said. Dircks told police he and his family had watched the air show and returned to their minivan, which was legally parked on Oak Street. Dircks went to the back of his Toyota to place something inside when he and his vehicle were struck by the Crown Victoria, police said. Dircks was initially taken to Methodist Hospitals Northlake Campus with serious injuries before he was moved to Chicago. Mikulich drove away from the crash and later was stopped by a Gary police officer in the 5900 block of Hemlock Avenue. Damage to the Crown Victoria matched Dircks injuries and damage to the minivan, police said. Mikulich also was taken to Methodist Northlake for a blood draw, where he tested positive for alcohol, police said. He later was taken to Lake County Jail, where he was booked on felony charges of operating while intoxicated causing bodily injury and leaving the scene of a personal injury crash. Buncich said Monday Mikulich was released from jail after posting bond. Online court records indicated no charges had been filed late Monday. Buncich branded Mikulichs actions as intolerable and said they do not reflect the character of the departments other officers. Mikulich previously was demoted from department commander early this year after he was involved in a scuffle with a man on New Years Eve at the Radisson Hotel. Mikulich and the man reportedly had past personal problems because of a woman. In 2009, the county paid $130,000 to a one-armed man who alleged Mikulich used his authority and police training to severely beat him. The incident happened June 14, 2006, and stemmed from a dispute about a woman. This isnt the first time a high-ranking sheriffs officer has faced termination because of a crash allegedly involving alcohol. David Britton, a lieutenant and former commander of the gang intelligence unit, was fired in May 2015, three months after he was arrested in connection with a three-vehicle crash in Crown Point. Britton had been watching the Super Bowl at a bar before the crash and had a blood alcohol content of 0.241, police said. Times reporter Bill Dolan contributed to this report. * Editor's note: This story has been updated from a previous version. Correction: Due to incorrect information provided to the media, a story Tuesday about Lake County police Lt. Guy Mikulich incorrectly stated a Frankfort, Illinois, man injured in a hit-and-run crash involving Mikulich had been taken to an Illinois hospital. Indiana State Police confirmed Wednesday that Derrick Dircks, 34, of Frankfort, was taken to Methodist Hospitals Northlake Campus in Gary after the crash Sunday and released from that hospital Tuesday. GARY Indiana state police are investigating a crash involving a Lake County police officer that left at least one person injured, officials said. Mark Back, spokesman for the sheriffs department, said an officer from the department was involved in a crash that resulted in an injury. The crash happened in Garys Miller neighborhood. Gary police Lt. Dawn Westerfield said the department was referring all questions about the crash to the Sheriffs Department. Lake County Sheriff John Buncich did not immediately return a call seeking comment. Back declined to further comment on the crash, referring all questions to Indiana State Police because troopers have taken over the investigation. State police confirmed troopers were investigating the crash, but they did not immediately release any other details about what happened or the severity of the person injured. It was unclear if the officer who was involved in the crash was on or off duty. Lake County Councilman Jamal Washington said he planned to speak to Buncich today because he believes the officer involved in the crash has had previous run-ins with the law. Its unfortunate that we have some individuals who believe that they are above the law, he said. Thats why I want them to release the tape of Gregory Smith. Smith, 39, died of a heart attack last year after being struck with a Taser while in custody at Lake County Jail. A lawsuit is pending. Its a travesty, he said. VALPARAISO A Tanzanian woman persecuted in her country for being a lesbian has won asylum in the United States, thanks to the Immigration Clinic at Valparaiso University Law School. The woman, whom The Times has agreed to call Mary to protect her identity, was represented by law students Jacquielynn Wolff and Tamir Tommalieh, with oversight by clinic director Geoff Heeren. In addition to Heeren, the law students were supervised by James Morsch, a partner at the Chicago-based law firm of Butler Rubin. On April 18, 2016, when I was granted asylum, this is the day I will never forget in my life, said Mary, 32, who now lives in the Chicago area. I cant thank my legal team enough. Im so grateful to be here. Marys harrowing journey began when she was 13, when she ran away from her village to escape the ritual practice of female genital mutilation. She hitchhiked to a city in Tanzania, where she worked in a restaurant in exchange for housing from the owner. At this point, she was pretty happy she had a place to stay and food to eat, Tommalieh said. One day, a customer who visited the restaurant regularly, asked Mary to deliver food to his room. As she was going to hand him the food, he pulled her inside and raped her, Tommalieh said. The man told Mary he would kill her if she reported the rape, so she went back to work and didnt tell a soul. After learning she was pregnant from the rape, however, she was forced to go live with the man who had raped her, Tommalieh said. She had no money, and she had nowhere else to go, he said. While living with the man, Mary was physically abused and forced to become a prostitute. When the man learned Mary had entered into a romantic relationship with a woman, he severely beat her and subjected her to gang rapes to punish her for being a lesbian. Mary couldnt report the abuse to the police because in Tanzania anyone who engages in a lesbian or gay relationship can receive up to 30 years in prison, Tommalieh said. Although Mary eventually escaped from the man, she continued to be persecuted in her country for being a lesbian. She was beaten so badly on the street that she lost two teeth, Tommalieh said. After suffering a mental and emotional breakdown, she was able to make her way to the United States with the help of a friend. She applied for asylum, and the Valparaiso Law Schools immigration clinic took her case. Tommalieh and Wolff met with her regularly and gradually gained her trust. You can tell shes very intelligent, Tommalieh said. She is an amazing person. INDIANAPOLIS Northwest Indiana residents will have a final opportunity to tell state officials their thoughts on a plan to allow alcohol sales at a renovated Indiana Dunes State Park pavilion. A state law that took effect July 1 requires the Indiana Alcohol and Tobacco Commission, without public input, to automatically grant the Department of Natural Resources a permit for beer, wine or liquor sales at any state park if the DNR asks for one. However, during legislative debate on the new statute, DNR officials promised lawmakers the agency would present its alcohol in the parks plan to the 12-member Indiana Natural Resources Commission, the regulatory board that oversees the DNR, before requesting the permits. That presentation is set for 9 a.m. Region time July 19 in the ballroom at Fort Harrison State Park, 6002 N. Post Road, Indianapolis. There will be an opportunity for public comment to commission members at that time. Thats not a requirement of the new law, but its something we said would be done, said Phil Bloom, DNR communications director. The commission does not appear to have the authority to permanently block Dunes alcohol sales, since the law mandates DNR receive an alcohol permit if it requests one, but the commissions response and public reaction to the plan could influence the agencys decision to seek a permit. Well take any guidance we get from the commission, and take into account the public comment, in consideration of when we then apply to the ATC for the state park alcohol permit, Bloom said. Earlier this year, nearly 10,000 Region residents signed petitions urging the Republican-controlled General Assembly and Gov. Mike Pence, also a Republican, to reject the plan for alcohol at the Dunes pavilion, citing the potential for drunks on the beach, increased drownings and new competition for nearby businesses. After Pence signed the measure into law, Dunes Action!, a coalition of Northwest Indiana residents opposed to alcohol in the park and the possibility that a banquet center will be constructed next to the pavilion, condemned Pence for selling out family-friendly state parks for booze. Pavilion Partners, which has a 35-year lease with the DNR to renovate the historic Dunes pavilion and operate various dining and event spaces there, twice was denied an alcohol sales permit last year by the Porter County Alcoholic Beverage Commission. State Sen. Karen Tallian, D-Ogden Dunes, whose district includes the park, said Pence is wrong to send the message that if you cant win with local officials who know what their communities need, come to the state and youll get it, anyway. Supporters of the project include local building trades unions, Region tourism organizations and the states commerce agency, among others. Bloom emphasized the new law is not specific to the Dunes pavilion. He said its purpose is to streamline the process for visitors hosting events at the seven state parks with inns, and outdoor spaces at those parks, to serve alcohol to their guests. This is a response to our constituents and customers that visit all of our parks that have asked for this convenience, Bloom said. This was never intended to be an Indiana Dunes-only alcohol bill. He said even if the DNR ultimately obtains an alcohol sales permit for Indiana Dunes State Park, which contains 2,182 acres on Lake Michigan in Porter County, alcohol availability will be severely limited. Dunes will still have the most restrictive conditions of any park in the state. Alcohol will still be prohibited on the beach, prohibited in the campground, prohibited throughout the park, Bloom said. The only places would be the pavilion and the leased banquet center. Bloom said no alcohol changes will take effect at the Dunes during the 2016 park season. HOBART The city has made no final decisions about a Luke Family of Brands headquarters proposed for Hobart, and it appears the city isnt the only potential landing spot for the facility. The Plan Commission decided last week to table one-lot subdivision, rezone and site plan requests sought for the three-story headquarters. City Councilman John Brezik, a commission member, said additional details need to be submitted for the project, and the commission couldnt make a decision about it without all the information. Although members decided to table the requests, Brezik is pleased with the look of the facility proposed for the city. This is a beautiful deal, he said of the potential headquarters. Following the commission meeting, it wasnt certain what would happen next with the project. Elmer Embry, a Luke representative, said the company would like to have the building in Hobart, but it isnt the only option for the headquarters. Embry said there are four to five other communities that have contacted Luke about the project. Luke Family of Brands consists of several business, including Luke Oil, Luke Builds and County Line Orchard. The company has several office locations, and it wants to build one consolidated corporate campus. The site proposed in Hobart is on about 16 acres of property off of County Line Road and north of Ind. 130, which is near the orchard. The 36,000-square-foot building could initially house 100 to 120 employees, and there would be expansion capabilities to have as many as 300 employees there. Embry estimated the project would cost $5 million to $10 million over the next five years. When the project was first discussed by the commission in May, several nearby residents on Wayne Street indicated they would prefer to see the facility built closer to Ind. 130. Following that session, Luke officials met with residents and they agreed on moving the location of the facility, city officials said. Luke later changed the plans back to the site initially proposed in May because it fit better with the companys vision for the headquarters. The only difference in the plans is the entrance that would be created on Ind. 130 was moved to the west. Embry said the company hasnt yet had the time to meet again with residents to discuss the changes. City Planner Sergio Mendoza said if the latest proposal for the building is approved, the city would like to see a road connecting Wayne Street to the driveway that would be built off Ind. 130. That road would provide another access point if there was an emergency there. Luke representatives and residents said they oppose creating a connection from Wayne Street to Ind. 130. ST. JOHN A new exhibit featuring Moses presenting the Ten Commandments atop Mount Sinai was officially unveiled and blessed Sunday at the Shrine of Christs Passion. Bishop Donald Hying of the Catholic Diocese of Gary, was invited to bless the site with holy water. He was glad to see that Moses was added to the shrine, which features the Stations of the Cross. Moses is the great lawgiver as he was given the Ten Commandments, Hying said. Thats the basis of all moral law. It will stand the test of time for many generations to come. Hying frequents the shrine, and believes it is one of the best parts of Northwest Indiana. For the past few years, the shrine has helped us remember Christs love, Hying said. My friends from Milwaukee come down here and this is the one place where I have to bring them all. The Mount Sinai replica that Moses stands atop was created with stone imported from Fond du Lac, Wisconsin. It took approximately 140 semis walled with stone to complete the three-year project. The tops of the mountain are covered in ground granite to make it look more like a mountain. Smaller stones called rip rap are also added to give the mountain depth to add to the authenticity of the exhibit. At the center of the Mount Sinai exhibit stands a statue of Moses holding two granite slabs with the Ten Commandments. Moses pose is modeled after Charlton Heston as Moses in the 1956 film The Ten Commandments. The project is part of a continued effort by Frank and Shirley Schilling to keep the Scriptures prominent in Northwest Indiana. I really feel that the Ten Commandments have been taken out of the public, and that they have been taken out of our schools, Frank Schilling said. You can take those away, but you cant take this (the exhibit) away. The Rev. Sammie Maletta, pastor of the nearby St. John the Evangelist Church, and church deacons also were invited to the unveiling to spread blessings. Frank has done a phenomenal job here, and we are just so blessed to have this so close to here, Maletta said. Blessing a sculpture or piece of artwork is common, according to Hying. When the church blesses a statue or a picture for prayer use, its for several reasons, Hying said. We remember that those depicted are our brothers and sisters in Christ. Although the Mount Sinai exhibit has been completed, The Schillings still have plans for the remaining land at the Shrine of Christs Passion. We secured enough property to envision that some time down the line we can add a treat center, a cafeteria and quite possibly a hotel, Frank Schilling said. Roundup: Muslim world condemns terrorist attacks in Saudi Arabia 2016-07-11 18:18 CAIRO, July 5 (Xinhua) -- The Muslim world on Tuesday condemnedterrorist attacks in Saudi Arabia, which were carried out as peoplewere preparing for Eid al-Fitr celebrations to mark the end of theholy month of Ramadan. Four security officers were killed and five others wounded inMonday's attacks that targeted U.S. diplomats, Shi'ite Muslimworshipers and a security headquarters at a mosque in the holy cityof Medina. No group has claimed responsibility for the attack or for twoother suicide bombings the same day, outside a Shiite mosque in theeastern city of Qatif and near the U.S. consulate in Jeddah in thewest of the kingdom. Pakistan Prime Minister, Nawaz Sharif, on Tuesday stronglycondemned the terrorist attacks in Saudi Arabia. "The government and people of Pakistan are deeply shocked andsaddened over the terrorist attacks in holy land and share the painand grief of their Saudi brethren," Nawaz Sharif said. The Saudi Interior Ministry said early Tuesday that the suicidebomber Abdullah Waqar Khan was a Pakistani national. The ministry said on its official Twitter account that thebomber had been residing in Jeddah with his wife and her parentsfor 12 years and had been working as chauffeur. Saudi officials also released the photograph of the bomber onhis identity card. The Pakistani prime minister said the international community ingeneral and the Muslims in particular need to forge unity at thiscritical moment to foil the nefarious designs of enemies ofhumanity and the Islamic nations. "We need to renew collective efforts to fight the menace ofterrorism," Nawaz Sharif said and reiterated Pakistan'scondemnation of terrorism in all its forms and manifestations. Hesaid Pakistan stands firm against every act of terrorism. Also on Tuesday, Iranian Foreign Minister Mohammad Javad Zarifcondemned urged collective response of Muslim world to the attackson Prophet Mohammed's Mosque in Saudi Arabia, semi-official Farsnews agency reported. "There are no more red lines left for terrorists to cross;Sunnis, Shiites will both remain victims unless we stand united asone," Zarif was quoted as saying. "The world countries should not give up fighting terrorism untilthe defeat of the ideology behind the creation of the terroristgroups," Zarif also twitted on Monday. The world countries should not quit their anti-terrorism fightuntil those behind the terrorist attacks in Turkey, Bangladesh andIraq are completely defeated, he said. Meanwhile, the Islamic Educational, Scientific and CulturalOrganization (ISESCO) on Tuesday condemned, in the strongest terms,Monday's suicide terror bombings that struck Saudi Arabia. The ISESCO, with its headquarters in Rabat, Morocco, said in astatement that these malicious attacks, targeting peacefulworshipers at these penultimate days of the wholly Muslim month ofRamadan, are mere departure from Islamic precepts. The statement called the perpetrators "deviant persons andmischief-makers who have no connection whatsoever to Islam." The ISESCO affirmed its supportive stance with Saudi Arabia inall measures taken to combat and eliminate such criminal acts ofterror. Sudan's Foreign Ministry said in a statement on Tuesday that"This terrorist act does not target the kingdom alone, but alsotarget the Islamic nation in its faith and religion." The statement said resorting to explosive belts, bombings andterrorizing the peaceful people in the Houses of Allah (mosques)and during the holy month of Ramadan, and at a holy site such asthe Mosque of the Prophet Mohamed in the city of Medina, was acowardly act which contradicts the teachings of Islam. "Such act must be condemned and the people behind it should bepunished," the ministry said. The statement said Sudan believes the Saudi security authoritiesare capable of aborting the acts of terrorists and ensuringsecurity, peace and stability for the Saudi citizens and foreignresidents. Meanwhile, the Lebanese Foreign Ministry said in a statementthat "the attacks were aimed at igniting sectarian strife," callingon the regional states to work together to "end this series ofbloody and criminal acts of terror." "The evil powers and those standing behind them will never beable to defeat our nations, who confront this monstrous terror thatknows no religion or limits with courage and unity," said thestatement. Enditem LOWELL The Tri-Creek School Board is working its way toward a deal that is intended to save on utility costs for the long term, provide operating cash for the short term and be environmentally-friendly. The board examined proposed placements of solar panel arrays at each of the districts five schools, tweaked them and sought more information from Kevin Moore, the Midwest Wind and Solar president who presented the proposed arrays during a recent study session. Moore was asked to report back to the board Thursday with results of reconfiguring the solar panel array layouts as well as whether the anticipated project could be phased in without penalty from the funding source. Last month, Chris Yurke, president of Superior Renewable Solutions, told the board he was interested in Tri-Creeks project. His investors have recently approved $300 million in allocations for green projects. He said his company could finance Tri-Creeks project through an Energy Service Agreement with the school district and use the federal IRS tax credits not available to school systems. The agreement would put $500,000 into operating revenues annually and give ownership to the arrays to SRS. The district would have the option to buy out of the deal after seven years. At that meeting, the board examined each school site for solar array placement with most debate centering on the high school which could handle a combination of rooftop and carport arrays. The Lowell Middle School houses the first solar panel array developed after middle schoolers posed the idea during an alternative energy presentation to the board. The solar panel array offsets energy costs at the middle school. An additional array will handle energy needs for the districts transportation center on the middle school acreage. Half-acre sites for the solar panels would be needed at the three elementary schools. The possibility of doing not only net metering, but feed and tariff, a process by which the district would receive an actual check from NIPSCO for energy produced, was discussed. That check could go toward offsetting energy costs at the high school. Moore told the board the panels require no maintenance and carry a 25-year warranty. While the board has not taken official action, its members seem energized at the prospect of eventually getting off the grid and establishing a new revenue source to cover operating expenses. The board is expected to make a decision soon. CHESTERTON A 51-year-old Chesterton woman remains in critical condition Monday morning at a Chicago-area hospital following an accident here Saturday. A spokesperson for Advocate Christ Medical Center in Oak Lawn, Illinois, reported Teresa Lawheads condition late Monday morning. Lawhead was airlifted to the hospital Saturday following an accident on County Road 1100 North and Greenmeadow Lane. According to police, Lawhead was traveling west on County Road 1100 North about 2:30 p.m. Saturday when a car traveling in the opposite direction turned in front of her. She was thrown off her 2013 Harley-Davidson and was initially taken to Methodist Hospitals Northlake Campus in Gary for injuries to her head, arms and legs. She was nonresponsive when emergency personnel reached the scene. She was later airlifted to Advocate Christ Medical Center. The driver of the second vehicle, Harry Waelde, 48, of Valparaiso, told police he was making a left turn onto Greenmeadow Lane from eastbound County Road 1100 North when the right passenger side of his Kia Spectre was struck by the motorcycle. Waelde said he did not see Lawhead approaching from the opposite direction before making the left turn. A portable breathe test indicated alcohol was not a factor. DALLAS Military service changed the Dallas gunman from an extrovert into a hermit, his parents said in an interview excerpt published Monday. Micah Johnsons mother, Delphine Johnson, told TheBlaze website in an interview that her son wanted to be a police officer as a child. His six years in the Army Reserve, including a tour in Afghanistan, were not what Micah thought it would be ... what he thought the military represented, it just didnt live up to his expectations. According to the military lawyer who represented him, Johnson was accused of sexually harassing a female soldier while deployed. His father, James Johnson said haltingly and through tears: I dont know what to say to anybody to make anything better. I didnt see it coming. The black 25-year-old fatally shot five officers in Thursdays attack while hundreds of people were gathered in downtown Dallas to protest recent fatal police shootings, and wounded at least nine officers and two civilians. Dallas Police Chief David Brown clarified Monday where Johnson was killed with a bomb delivered by a remote-controlled robot, saying that it happened on the second floor of El Centro College, not a parking garage as authorities previously described. Brown did not provide more details, including the locations of the negotiations that came before the bomb. The police chief again defended the decision to use the robot, saying he had already killed us in a grave way, and officers were in surgery that didnt make it. This wasnt an ethical dilemma for me, Brown said. Id do it again ... to save our officers lives. Authorities have said Johnson had plans for a larger assault, possessed enough explosive material to inflict far greater harm and kept a journal of combat tactics. Eleven officers fired at Johnson and two used an explosive device, Brown said, adding that the investigation will involve more than 170 hours of body camera footage and countless hours of dashcam video. Bravery is not a strong enough word to describe what they did that day, Brown said of officers response to Thursdays events. Surgeons at Parkland Memorial Hospital spoke Monday afternoon about treating some of the victims. Dr. Brian H. Williams, who is black, said: It weighs on my mind constantly (that he was unable to save the officers ... It has to stop. Black men dying and being forgotten. People retaliating against the people sworn to protect us. Dr. Alex Eastman, the director of the hospitals trauma center who also is a deputy medical director with the citys police department, said the shootings rocked some guys to their core that I thought were unshakable. Brown provided details of authorities negotiations with Johnson on Sunday on CNNs State of the Union, saying Johnson obviously had some delusion as evidenced by rambling journal entries and writing RB and other markings in blood on walls near the shooting site the meanings of which were unclear and being looked at by investigators. Brown also said that Johnson, who insisted on speaking with a black negotiator, laughed at authorities, sang and at one point asked how many officers he had shot. Federal agents are trying to trace the origin of the weapons used by Johnson, including a military-style semi-automatic rifle. About 30 agents are involved in identifying bullet casings, said William Temple, the Dallas agent in charge for the Bureau of Alcohol, Tobacco, Firearms and Explosives. Johnsons time in the Army was marked by a sexual harassment accusation in May 2014 while in Afghanistan. The Army sent him stateside, recommending an other than honorable discharge which is highly unusual because counseling is usually ordered before more drastic steps are taken, said Bradford Glendening, the military lawyer who represented him. In his case, it was apparently so egregious, it was not just the act itself, Glendening told The Associated Press. Im sure that this guy was the black sheep of his unit. According to a court filing, the victim said she wanted Johnson to receive mental help, and sought a protective order to keep him away from her and her family. Johnson was ordered to avoid all contact with her. It was unclear whether Johnson ever received counseling. The shootings just a few blocks from where President John F. Kennedy was slain in 1963 marked the deadliest day for U.S. law enforcement since the Sept. 11, 2001, terrorist attacks. This attack began during protests over the police killings of Philando Castile, who was fatally shot near St. Paul, Minnesota, and Alton Sterling, who was shot in Baton Rouge, Louisiana, after being pinned to the pavement by two white officers. Video from Dallas showed protesters marching along a downtown street about half a mile from City Hall when shots erupted and the crowd scattered, seeking cover. Two El Centro students hid in the building overnight, Brown said, because they were afraid to come out until the shooting stopped. Police got them out of the building Friday morning. Two officers from El Centro were injured. A memorial service is planned Tuesday for the five officers who were killed, with President Barack Obama, Vice President Joe Biden and former President George W. Bush and his wife, Laura, slated to attend. Texas Gov. Greg Abbott announced Monday he will not be able to make it because hell be undergoing skin grafts on his feet after suffering second- and third-degree burns on his feet and both legs below the knees. His wife, Cecilia Abbott, will take his place. Crossing the line separating Indiana and Illinois sometimes means dealing with different laws and customs. Readers are asked to share ideas for this weekly feature. This week: Israel Divestment. Indiana this month joined Illinois in prohibiting the investment of government employee pension funds in businesses that support efforts to boycott, divest or sanction the country of Israel. The Indiana Public Retirement System is set to sell off about $50 million of its $28.3 billion in assets to comply with House Enrolled Act 1378. Republican Gov. Mike Pence said he was honored to sign the law, because it makes clear "Indiana will not do business with those who seek to inflict financial damage on the people of Israel." In 2015, Illinois became the first state in the country to enact a pension fund investment boycott on businesses that boycott Israel. Both states also prohibit state investment in companies that do business with Iran or Sudan. The worldwide "BDS movement" encourages boycotts, divestment and sanctions on Israel to draw attention to and discourage Israel from occupying lands claimed by Palestinians. A 15-year-old boy is in critical condition following a near-drowning incident Sunday afternoon in Michigan City. According to Indiana Conservation Officer Tyler Brock, the teen was swimming with a group of friends at Washington Park Beach when he began to struggle and went under water around 3:15 p.m. Brock said lifeguards were on duty and arrived at the teen about 200 feet from shore within minutes of him going under. The boy was transported to a U.S. Coast Guard boat where CPR was performed. From there he was transported to a Michigan City hospital and later airlifted to Memorial Hospital in South Bend where he was in critical condition, according to Brock. Though family has been notified, the name of the teen has yet to be released. The beach was open for swimming Sunday, according to Brock. Mayor Bill de Blasio offered a very personal take on the deadly police-involved shootings in Louisiana and Minnesota during an interview Monday morning. He appeared on CNN's "New Day" with first lady Chirlane McCray and renewed his call for unity between the police and the community. But he also spoke about fears that people of color face every day, including his own son. "When I talk to Dante, it's come to the point that he assumes that this is a part of life, a part of reality. Doesn't mean he's hopeless, doesn't mean that he doesn't want to be a part of changing the world, but I think it's a very simple equation. If you have white children you don't have to give them that particular warning, you gotta prepare them for a lot of other things in life, but you don't have to give them that particular warning," De Blasio said. The mayor says that no one should live in fear, and says it's an issue America needs to overcome in order to move forward. Demonstrators gathered again in the city on Sunday to protest the police shooting deaths of black men in Louisiana and Minnesota. Hundreds marched from Times Square to Union Square in a protest that was much calmer than other recent demonstrations. They raised their fists and held signs in remembrance of shooting victims Alton Sterling and Philando Castile. Police say there were no arrests. Similar demonstrations were held in Memphis, Atlanta, and Boston over the weekend. Meantime, the Dallas police chief is defending his departments use of a robot-delivered bomb to kill the shooter in Thursday's deadly attack on police. At a news conference Monday, Chief David Brown says officers had already been killed when they decided to use a bomb to kill 25-year-old Micah Johnson. The chief says 11 officers fired at the shooter and two people used the robot to kill him. The chief says the robot is still functional. As the investigation continues, officials are starting to comb through more than one hundred hours of body cam footage and dashcam video as they attempt to learn more about the attack that left five officers dead and several others injured. "Our plans are to date and time stamp the entire incident with all video footage available so that we can see from the beginning as much as we can real time action as it happened," Brown said. Authorities say Johnson may have had a much bigger attack in mind. The Army veteran Johnson kept a journal of combat tactics and had an arsenal of weapons at his home that included bomb-making materials. President Barack Obama is set to visit Dallas on Tuesday to speak at an interfaith memorial service for the five slain officers. He is expected to be joined by Vice President Joe Biden and former President George W. Bush. The White House says President Obama plans to "personally express the nation's support and gratitude" for the service and sacrifice of the officers who were killed. The president cut short his European trip to go to Dallas. He spent his final day in Spain meeting with the country's acting prime minister and addressing U.S. troops at Naval Station Rota. Rapper Troy Ave is out on bail following his alleged role in a deadly shooting inside a Manhattan concert hall in May. The rapper, whose real name is Roland Collins, was released on a $500,000 bond. His lawyer says the rapper will wear an ankle bracelet that monitors his movement. He won't be able to leave the city and will have an 11 p.m. curfew. He's also prohibited from going to any clubs, bars, arenas or stadiums. The 30-year-old rapper is facing attempted murder and weapons possession charges in connection to a shooting at a T.I concert at Irving Plaza back on May 25. Collins' bodyguard and childhood friend, Ronald McPhatter, was shot and killed. Police say surveillance video shows Troy Ave firing several shots backstage. The rapper was shot in both legs and a woman was also struck but survived. Troy Ave's lawyer says he and McPhatter were shot by another gunman. For years, the Wadsworth Atheneum was one of the premier museums in the nation. But as Hartford has gone into economic decline, so have the fortunes of the museum, with successive directors needing to severely cut staff and exhibition budgets to stay ahead of a broad drop in revenue. It is a story that many other regional art museum directors and board members know all too well. But unlike most other regional museums, the Atheneum has a distinguishing asset: an amazing collection formed over more than a century that encompasses approximately 45,000 objects, ranging from Egyptian, Roman, Asian and Grecian antiquities to all the decorative arts and Renaissance painting and sculpture. It is an old-school encyclopedic museum, a microcosm of world culture. So it makes sense that the museum has initiated a new Masterpiece series, consisting of what it calls small, dossier exhibitions that bring some of the worlds best artworks to Hartford and display them alongside works from the museums great permanent collection. The first in the series is a jewel-like show of paintings by Rembrandt. The exhibition, Rembrandts People, includes seven Rembrandt paintings borrowed from leading museums in North America, including the Metropolitan Museum of Art and the National Gallery of Art, as well as two more works from the Atheneums collection that were thought to be by Rembrandt when acquired, but that have since been attributed to other artists. The pairing of these two works alongside their authentic counterparts is fascinating, enabling us to appreciate just how talented Rembrandt was. Academic research on gender and negotiation suggests that part of the unexplained gap may be tied, at least in part, to the negotiating process itself. It may be that some women have lower pay expectations. Men, on the other hand, have been found to be more likely to negotiate higher starting salaries. The work by Ms. Riley Bowles and her peers suggests that women in the work force can use specific advice. Here are some of their suggestions: BE PROACTIVE If you believe you deserve a raise, dont sit around and wait for someone to notice. A lot of women, and this is quite commonly found, think, As long as I work really, really hard, someone will notice and they will pay me more, said Karen J. Pine, a psychology professor at the University of Hertfordshire in Britain and co-author of Sheconomics (Headline Publishing Group, 2009). But people dont come and notice. You also want to think about the best time to approach your boss. It may make sense to approach him or her after an annual performance review, said Evelyn F. Murphy, president of the WAGE Project, a nonprofit organization, who runs negotiation seminars for women. Or, if you just took on a major responsibility or won an award. BE PREPARED Doing your research pays, literally. A study found that men and women who recently earned a masters degree in business negotiated similar salaries when they had clear information about how much to ask for. But in industries where salary standards were ambiguous, women accepted pay that was 10 percent lower, on average, than men. In our experiments, we found that with ambiguous information, women set less ambitious goals, said Ms. Riley Bowles, who ran the study. They asked for less in a competitive negotiation and got less. That theory also holds in other areas where there arent set expectations, like executive bonuses and stock options. You get bigger gender gaps in those less standard forms of pay, she added. Thats why you need to be prepared. Informational Web sites like Payscale.com and Salary.com can help uncover what people are being paid for a particular position in your geographic area. And Glassdoor.com and Vault.com provide intelligence on pay inside a company employees share their salaries online. Episode 1: The Beach Jack Stone has eczema on his feet. His doctor advised him to keep them aerated, so the fungus doesnt spread any further. He explains this to his new client, Nasir Khan, who may be wondering why his lawyer the only man currently standing between him and a murder conviction is wearing sandals in a professional capacity. Or maybe Nasir, who goes by Naz, is just looking down, scared and exhausted by a night thats gotten away from him. There are more important things to consider in The Night Of than foot fungus, but much of the shows greatness is embedded in minor details like that one, an accumulation of grace notes that bring this world and its characters to life. We dont see much of Jack Stone in The Beach, but the sandals on his feet, along with the careless half-growth on his face, tell a story about a lawyer whos comfortable in the margins perhaps a grizzled idealist, but for now the sort of workaday guy who trolls the lockup for clients in the middle of the night. As played by John Turturro, Jack recalls Mr. Turturros world-weary poker professional Joey Knish in Rounders, the type of guy content to grind out a cash-game profit without taking any risks. With Naz, Jack is about to take that risk. Written by Richard Price and directed by Steven Zaillian, and adapted from the BBC series Criminal Justice, The Night Of has the acute sense of personal and racial boundaries that defines Mr. Prices best novels, like Clockers, Freedomland, and Lush Life. In Mr. Prices New York, neighborhoods pen in characters like invisible fences and its understood that jumping over those fences carries potentially serious consequences. Did you ever wish you could just transport yourself?, Naz is asked by Andrea, the attractive young woman hell be accused of killing later that evening. Naz doesnt answer, but its the wish hes currently fulfilling as a shy, bookish Pakistani-American from Queens whos driven his fathers cab all the way to toney Manhattan. Its important to keep this in mind when trying to understand Nazs actions in The Beach, because nearly every decision he makes after finding Andrea dead and a few decisions before, too point to an open-and-shut murder conviction. If youre aware in advance of what The Night Of will be about, then you probably took note of the surveillance cameras and eyewitnesses that track Naz throughout the night and you certainly took note of the pills, cocaine and tequila he consumes, as well as the ill-advised game he and Andrea play with a knife. This will all look bad for him later, but he cannot know that the evening will end so gruesomely. Were the ones cringing. Central bank pumps 8.3 billion USD into market 2016-07-11 18:03 (Xinhua file photo) BEIJING, May 31 (Xinhua) -- China's central bank injected 55 billion yuan (8.3 billion U.S. dollars) into the money market on Tuesday to ease a liquidity strain. The People's Bank of China (PBOC) conducted 120 billion yuan of seven-day reverse repurchase agreements (repo), a process in which central banks purchase securities from banks with an agreement to resell them in the future. The reverse repos were priced to yield 2.25 percent, unchanged from Monday's injection of 65 billion yuan, according to a PBOC statement. Reverse repos worth 65 billion yuan matured on Tuesday, so the central bank has effectively injected 55 billion yuan into the market. In Tuesday's interbank market, the benchmark overnight Shanghai Interbank Offered Rate (Shibor), which measures the cost at which Chinese banks lend to one another, climbed 0.8 basis points to 2.01 percent after the injection. Shibor for one-week loans rose 0.4 basis points to 2.338 percent. Related: Central bank pumps more money into market BEIJING, May 30 (Xinhua) -- China's central bank on Monday pumped more money into the market to ease a liquidity strain. The People's Bank of China (PBOC) conducted 65 billion yuan (9.88 billion U.S. dollars) in seven-day reverse repurchase agreements (repo), a process in which central banks purchase securities from banks with an agreement to resell them in the future. Full story China's monetary policy effective: central bank official BEIJING, May 28 (Xinhua) -- China's prudent monetary policy has achieved good results, said Zhang Tao, vice governor of the People's Bank of China (PBOC), the central bank. Zhang made the remarks in an international conference on monetary policy and banking industry in Geneva, Switzerland, on Friday, according to a PBOC statement on Saturday. Full story PBOC pumps more money into market BEIJING, May 27 (Xinhua) -- China's central bank on Friday pumped more money into the market to ease a liquidity strain. The People's Bank of China (PBOC) conducted 95 billion yuan (14.6 billion U.S. dollars) in seven-day reverse repurchase agreements (repo), a process in which central banks purchase securities from banks with an agreement to resell them in the future. Full story Central bank pumps more money into market BEIJING, May 24 (Xinhua) -- China's central bank pumped more money into the market Tuesday to ease a liquidity strain. The People's Bank of China (PBOC) conducted 65 billion yuan (about 10 billion U.S. dollars) of seven-day reverse repurchase agreements (repo), a process in which central banks purchase securities from banks with an agreement to resell them in the future. Full story China central bank pumps 20 bln yuan into market BEIJING, May 20 (Xinhua) -- China's central bank on Friday pumped 20 billion yuan (3.06 billion U.S. dollars) into the market to preserve liquidity. The People's Bank of China (PBOC) put 50 billion yuan into seven-day reverse repos priced to yield 2.25 percent. Full story In the last several months, The Boston Globe and The New York Times have both printed front-page editorials calling for stricter regulation of guns in the aftermath of mass shootings. It was the first time since 1920 that The Times had run an editorial on Page 1. The Virginian-Pilot, which had its own mass shooting to cover when a student at Virginia Tech shot and killed 32 people and wounded 17 others on campus in 2007, ran a front-page editorial on Saturday that pleaded for a detente of sorts. Lets just stop and mourn and wonder how in the world America became this unspeakably, relentlessly terrorized place, it said. The Daily News of New York has used its front page in recent months as an editorial vehicle to inveigh against violence and congressional inaction in the face of it, including one last month with the image of the dome of the Capitol soaked in blood. While many front-page editorials are intended to soothe and promote resolve, other bold decisions by news organizations have been criticized as sowing divisiveness. The New York Post, for instance, was excoriated on Friday for deciding to use the headline Civil War on its front page on the Dallas shooting. A headline on the conservative Drudge Report, Black Lives Kill, also drew harsh rebukes. Like other editorials, the one in The Dallas Morning News addressed the violence gripping the country. We are surely not alone in asking, as our hearts break, what kind of country are we creating where such violence has become so frequent? the editorial said. Dan Price, the chief executive of a small Seattle company who promised last year to pay every one of his workers at least $70,000 a year, has won a court battle with his brother. On Friday, Judge Theresa B. Doyle of Superior Court in King County, in Washington State, ruled that Dans brother, Lucas, had failed to prove his claims that Dan had overpaid himself and inappropriately used a corporate credit card for personal expenses. The judge also ordered Lucas to pay Dans legal fees. Mr. Price had come to symbolize one executives stand against the widening gap between workers pay and executive compensation. The market rate for me as a C.E.O. compared to a regular person is ridiculous, its absurd, Mr. Price said then. He described himself as a man with simple tastes and whose extravagances ran to snowboarding and picking up the occasional bar bill. Throughout its well-documented transformation, Williamsburg, Brooklyn, has hosted an array of outlandish incongruities. By now, the images are almost hackneyed: artists and Hasidim gliding along on bicycles, sporting parallel chest-length beards; coffee beans of every roast sharing shelf space with spices from the Caribbean and craft beers brewed in nearby warehouses that had long stood idle. But a scene along the East River on Saturday night would have given pause to even the most jaded Brooklynite. On a plot of pavement in the shadow of an industrial wasteland, a congresswoman, the borough president and several dozen community activists were trying to pitch a tent. The would-be campers were seeking not a temporary respite from the asphalt but rather the creation of a permanent park. More than a decade ago, New York City promised to build a 28-acre green space along the inlet in exchange for the communitys support for a rezoning that added luxury residential buildings to what was once a primarily working-class enclave. But the revitalization of Williamsburg has galloped ahead without completion of Bushwick Inlet Park, and the sleep-in on Saturday was a plea to the businessman who owns a parcel of land that stands between its uncoupled ends. When it came time to paint her cabana at the Silver Gull Beach Club, Marianne Fezza went with orange and yellow. Mexican fiesta colors, she said of the theme. Ms. Fezza, 55, has been a Silver Gull member for a decade. That makes her a newbie at this oceanfront summer colony near the western tip of the Rockaway Peninsula in Queens, where members like to say they will die with sandy feet in their cabanas. The cabana is a staple of the Silver Gull, which has 457 of the aging, shedlike structures built in rows along a series of double-decker piers. The cabanas are the size of large walk-in closets with just enough room inside to turn a cartwheel. Yet they serve as miniature beach houses, paradise in 112 square feet, decorated to reflect each members taste and vision and checkbook. Some members install sinks, toilets and tiled showers, as well as full-size refrigerators, countertops, espresso makers and daybeds (sleeping overnight is forbidden). Mr. Jeffresss expression of acute vulnerability is key to understanding white evangelical support for Mr. Trump and the extraordinary lengths to which evangelical leaders are going so they can rally behind him. Leaders like Mr. Jeffress locate the threats to their security in the larger world around them. But the anger, anxiety and insecurity many contemporary white evangelicals feel are better understood as a response to an internal identity crisis precipitated by the recent demise of white Christian America, the cultural and institutional world built primarily by white Protestants that dominated American culture until the last decade. Today, white evangelicals are not only experiencing the shrinking of their own ranks, but they are also confronting larger, genuinely new demographic and cultural realities. When Barack Obama was elected president in 2008, white Christians (Catholics and Protestants) constituted a majority (54 percent) of the country; today, that number has slipped to 45 percent. Over this same period, support for gay marriage a key issue for evangelicals moved from only four in 10 to solid majority territory, and the Supreme Court cleared the way for gay and lesbian couples to marry in all 50 states. The Supreme Court itself symbolized these changes, losing its last remaining Protestant justice, John Paul Stevens, in 2010. A recent Public Religion Research Institute-Brookings survey shows the alarm that white evangelical Protestants are feeling in the wake of demographic and cultural changes. Nearly two-thirds are bothered when they encounter immigrants who speak little English. More than two-thirds believe that discrimination against whites has become as big a problem as discrimination against other groups. For discrimination against Christians, that number is nearly eight in 10. And perhaps most telling of all, seven in 10 white evangelical Protestants say the country has changed for the worse since the 1950s. By most measures, Ted Cruz, the son of an evangelical pastor and himself a Southern Baptist, should have been the evangelicals presidential candidate in 2016. But Mr. Trump won evangelicals over by explicitly addressing their deeper sense of loss. Mr. Cruz assured evangelicals that hed secure them exemptions from the new realities, while Mr. Trump promised to reinstate their central place in the country. Mr. Cruz offered to negotiate a respectable retreat strategy, while Mr. Trump vowed to turn back the clock. To the Editor: Re An Exodus in Our Own Backyard (editorial, July 4): As a nonprofit legal service provider to immigrants, many of whom have seen their communities and families torn apart by deportation, we have borne witness to the deportation legacy of the Obama administration described in your editorial. Although Congress blocked comprehensive immigration reform and the Supreme Courts tie in United States v. Texas stalled administrative relief, time remains for the president to provide relief from record deportations. He should disassemble the enforcement apparatus he created, abandon efforts to deter Central American refugees and use his clear statutory authority to provide Temporary Protected Status to those fleeing violence in El Salvador, Guatemala and Honduras. There is considerable support for this action in Congress, and among faith groups and advocates who care about the safety of this vulnerable group, many of whom are mothers and children. All thats lacking is the will to act. The correspondence theory of truth no longer reigns supreme in philosophical circles when it comes to the study of knowledge and judgment. But it remains handy for everyday people, especially citizens. That theory says, simply, a proposal is true if it corresponds to an observation in the world. Not a bad way to go when people are trying to figure out the stuff of democratic living. After a week in which we have seen the unwarranted killing by police of two black men Philando Castile in Minnesota and Alton Sterling in Louisiana Id like to think the correspondence theory of truth would get all Americans on the same page. But this has consistently failed to be the case. Maybe we can figure out why together. So, I say: In America, black lives dont matter. You say: That is false. I respond, implicitly invoking the correspondence theory of truth: Just look at the rate at which blacks are killed by the police and the rate at which police officers are exculpated. You respond with a number of points: the justice system works; blacks kill one another at tragic rates; the people killed sometimes had questionable backgrounds; if the officer pulled his weapon (for it almost always a man who does the shooting), he had a reason related to enforcing the law, and we must respect that. After I claim that black lives dont matter in America and you respond with any of the above, one idea becomes clear: We are no longer talking about the same thing. At this point I realize the mistake Ive made. When I claim that black lives dont matter in America, I mean to say something that to my mind is abundantly clear. Heres how it works. We live in a liberal democracy that is founded on the sanctity of liberty. This implies that fairness is essential; indeed, that proposition is often explicitly at the heart of many democratic debates. The very idea of democracy reaches back to ancient Greece and is the foundation for our deepest principles concerning human rights. We believe that democracies are superior to other systems of government largely because they intrinsically respect the rights of the men and women who live in them. I must then take into account the history of racial dominance in this country the centuries of slavery; the decades of Jim Crow; the continuation of systemic racial inequality in wealth, jobs, education and public services. Then there are the deaths the body count at the hands of the police that ticks these days almost as regularly as a national clock. I take all of these basic observations together and my considered position is that the claim that black lives dont matter in America corresponds to the facts. THERE were days when I marched shoulder to shoulder with outraged New Yorkers, after the police-involved death of Amadou Diallo in the Bronx and police assault on Abner Louima in Brooklyn, and we chanted, No justice, no peace. There were evenings when I was policing the same protests and keeping the peace, and people came up to me and voiced their anger, not realizing I had stood with them just hours earlier. They saw the uniform but not the man wearing it. I have worn the blue uniform of the New York City Police Department, upholding the law even as I raised my voice to reform its enforcement and make policing better. I have also worn the blue jeans of a black father concerned about the safety of his family. That duality is not unique to me; it is very familiar to all law enforcement officers from communities of color. Violence causes us to blur each others complexities, to try to line up the world on one side of the battlefield or the other. If I allowed myself to be divided, my whole being would be split in two. But if I hadnt believed change was possible, I could never have enrolled in the police academy. Later we watched two residents shoot a goat in a field of scrub by the islands lone beach. Unlike the flora, goats are not protected and actually overpopulate the island. The solution: Hunt them for eating or for sale in town, where their meat fetches $5 a pound, or at the market on nearby St. Maarten. After Mr. Johnson and I parted, I walked the Dancing Place trail, a paved path with spectacular views. Saba is home to several dozen sea and inland birds, including three species of hummingbird. On this afternoon, I saw my first brown-throated parakeet, with its bold green and yellow feathers. The island over all is low-key and its ecologically minded, said Andries Bonnema, managing director of El Momo Cottages at Booby Hill, on the islands east side. He estimates 60 percent of his guests are there to hike, 35 percent to dive and the rest to do nothing. Touring Saba isnt exactly easy. The islands only road wasnt completed until 1958. The airport was built in 1963 and as it has what locals say is the worlds shortest commercial runway, at 1,300 feet only the St. Maarten-based airline Winair is certified to fly scheduled flights here. The harbor was built in the 1970s. The highest point on Saba is the summit of Mount Scenery, at 2,910 feet. I had hiked it in November, the first time I visited Saba, and it had inspired my return in January. From the town of Windwardside to the top is a near vertical climb, but the elevation of the trail head provides a 1,300-foot start. The spiky peak is hidden in clouds 80 percent of the time, according to Tom Vant Hof, a conservationist and marine biologist who lives in Saba. But many lookouts along the way offer views of the ocean and of the Dutch-inspired red-roofed, white cottages clustered in the hills. Travelers, beware: Tensions in the United States over police shootings of black men and women may pose a threat to your safety. That is the warning that at least three foreign governments have issued to their citizens in the days since the shooting deaths of Alton Sterling in Louisiana, Philando Castile in Minnesota and five police officers in Dallas. The advice from the government of the majority-black Bahamas was particularly blunt: Take care in cities where tensions are high. We wish to advise all Bahamians traveling to the U.S. but especially to the affected cities to exercise appropriate caution generally, the Ministry of Foreign Affairs and Immigration wrote in a statement on Friday. In particular young males are asked to exercise extreme caution in affected cities in their interactions with the police. Do not be confrontational and cooperate. The awkward dance between Hillary Clinton and Senator Bernie Sanders, her top Democratic primary opponent, is set to conclude on Tuesday, with Mr. Sanders appearing alongside her at a rally in Portsmouth, N.H. The event, confirmed in a news release put out by the Sanders campaign on Monday morning, could do much to appease Sanders supporters who remain skeptical of Mrs. Clinton as she heads to the partys convention July 25-28 in Philadelphia. Mrs. Clinton has secured enough delegates for the presidential nomination but is not yet officially the nominee. The rally, which will be held at Portsmouth High School, in a state where Mr. Sanders defeated Mrs. Clinton by 22 percentage points in a hard-fought February primary, is the result of weeks of private negotiations and policy debates between the Sanders and Clinton camps. While the endorsement event is widely expected to happen, Mrs. Clinton had to reschedule two recent events, one with President Obama and the other with Vice President Joseph R. Biden Jr., because of the shootings in Orlando, Fla., and Dallas. At the Democratic National Committee platform meeting in Orlando over the weekend, Mr. Sanders and the liberal wing of the Democratic Party promoted issues that had fueled his campaign. Rudolph W. Giuliani, the former mayor of New York, lashed out against the Black Lives Matter movement on Sunday, accusing it of ignoring black-on-black crime, inspiring violence against the police and promoting racism. When you say black lives matter, thats inherently racist, Mr. Giuliani said in an appearance on CBSs Face the Nation. Black lives matter. White lives matter. Asian lives matter. Hispanic lives matter. Thats anti-American, and its racist. His comments came as the country grappled with pain, confusion and anger after last weeks two fatal shootings of African-American men by the police and the killing of five police officers in Dallas, the deadliest assault on American law enforcement since the Sept. 11, 2001, attacks. As members of the Black Lives Matter movement and other concerned citizens pushed forward on Sunday with protests over police shootings, politicians, law enforcement officials and African-American leaders took to the airwaves, most of them with messages of hope, unity and understanding. DALLAS As a demonstration against police shootings made its way downtown here on Thursday, it differed from others around the country in one startling way: Twenty to 30 of the marchers showed up with AR-15s and other types of military-style rifles and wore them openly, with the straps slung across their shoulders and backs. In Texas, it was not only legal. It was commonplace. The state has long been a bastion of pro-gun sentiment and the kind of place where both Democrats and Republicans openly talk about the guns they own and carry, on their person, in their vehicles, at their offices, at their homes and even in the halls of the Texas Capitol. And in recent years, as gun rights continued to expand, activists have exploited a decades-old freedom to openly carry a rifle in public by showing up at demonstrations with their so-called long guns. Advocates have carried their rifles at the Alamo in San Antonio and outside mosques in the Dallas suburbs. But city and county leaders said the presence of armed protesters openly carrying rifles on Thursday through downtown Dallas had created confusion for the police as the attack unfolded, and in its immediate aftermath made it more difficult for officers to distinguish between suspects and marchers. Two men who were armed and a woman who was with them were detained, fueling an early, errant theory by the police that there was more than one gunman. NEW DELHI Protesters clashed with the police in the Indian state of Jammu and Kashmir on Sunday, the second day of widespread unrest after a separatist militant leader was killed in a gun battle with security forces on Friday. The death toll from two days of violence rose to at least 19 on Sunday, according to a police official in Srinagar, the states summer capital, who requested anonymity because he was not authorized to speak with reporters. In addition to the protesters who were killed, a policeman died when protesters pushed the vehicle he had been driving into the Jhelum River, the police said in a statement on Sunday. The demonstrations began Saturday after security forces killed Burhan Muzaffar Wani, a young commander for the Hizbul Mujahedeen, a Kashmiri rebel group. SCARBOROUGH SHOAL, South China Sea We could see the glistening turquoise waters in the distance, a haven where deep-sea waves soften and, fishermen say, the grouper and snapper could feed a village for eternity. But guarding the waters, the mouth of Scarborough Shoal, was a 130-foot Chinese Coast Guard ship. If we were to get more than a glimpse of this speck of coral and rock the latest potential point of contention between China and the United States in the South China Sea our boat would have to be quick. Capt. Alex O. Tagapan, who usually takes tourists on sightseeing cruises, steered toward the entrance of the boomerang-shaped atoll and accelerated. Turning to a small statue of Santo Nino de Cebu, a patron saint of the Philippines known for miraculous powers, he prayed. Within minutes, the Chinese sent a speedboat painted with the coast guards red stripes racing toward us. Get out! Get out! a man on the boat wearing a bamboo hat and an orange vest shouted in English, waving his arms. GLASGOW In May 2014, a small fire that started in the basement of the Charles Rennie Mackintosh building at the Glasgow School of Art here ripped through its wooden innards, working its way from the basement to the upper stories and destroying much of the west wing of a building long considered the jewel in Glasgows architectural crown. Now, after two years of planning, preservation and debris removal, restoration on the Mackintosh is set to begin. Its one of those unique moments where you have to rethink how you actually use a building, said the art schools director, Tom Inns. The devastating fire, he said, was actually a significant catalyst in how we think about the space. Mackintosh, a Glaswegian architect, completed the Art Nouveau building in 1909. He was highly regarded in both Scotland and the German-speaking world, where his fondness for florid lines and Japanese-inspired designs synced well with tastes at the time. But his ability to readily secure work petered out in the years after the buildings completion. It wasnt until after his death in 1928 that a renewed appreciation for his work, especially the Glasgow School of Art, flourished again. Still in regular use by students and administrators until the fire, the building attracted around 25,000 visitors each year. After last weeks shootings, an orchestral concert to benefit the Black Lives Matter movement, scheduled for Wednesday night at Cooper Union, has become tragically timely. The reasons why were having this concert are not good ones, said the clarinetist and music teacher Eun Lee, who has been organizing the Sing Her Name event for the past year. But I am looking forward to a communion of people. We need that right now, she added, rather than us all isolated, looking at our phone screens or computer screens and feeling these feelings all by ourselves. Sing Her Name is the second benefit concert that Ms. Lee has organized as part of the Dream Unfinished project. In December 2014, as activists gathered across the country to protest the deaths of Michael Brown in Ferguson, Mo., and Eric Garner in New York City, both at the hands of police officers, Ms. Lee wondered how she could contribute. I was sitting on the question, Is there anything we can do as classical musicians? she recalled. It has been a year of fundamental change for Politico. Already dealt one of the most chaotic election years in history, the news organization has also had to confront a series of prominent departures, including that of one of its co-founders. Its signature early-morning newsletter, Politico Playbook, long under the purview of the star reporter Mike Allen, is for the first time in new hands. But in a sign of perhaps less turbulent times ahead, the company has selected one of its own to lead its Washington newsroom. On Monday, Politico said Carrie Budoff Brown, one of the organizations first reporters, who now oversees its European newsroom, would be its next editor, succeeding Susan B. Glasser. Ms. Glasser will lead the newsroom through the presidential election. Ms. Brown, currently working in Brussels, will return to Washington in late summer and is expected to take over the newsroom after the election. But to Mr. Trumps point, the chamber does not publicly describe how it makes decisions regarding what policies or politicians to support. Inside the chamber is a bit murky. Its board is a mix of small-business leaders, trade associations and executives from large corporations, including IBM, Caterpillar, Phillips 66, Dow Chemical Company and Allstate Insurance. But over all, the chamber does not make its membership public. A search of tax records for donations to the chamber shows that while it has received significant donations from the Bill and Melinda Gates Foundation and the foundation set up by the billionaire investor Steven A. Cohen, some of its biggest contributions have come from the types of conservative political action committees that Mr. Trump likes to target. Crossroads GPS, the PAC founded by Karl Rove, gave $5.2 million to the chamber while Freedom Partners Chamber of Commerce, the PAC founded by the Koch brothers, gave $2 million, according to 2014 tax filings, the most recent that were available. In an email, a spokeswoman for the chamber responded to a query by noting that the chamber has a $250 million operation that represents American businesses of all sizes from across the country. When not tackling Mr. Trump on trade, the chamber is throwing its considerable political muscle and money behind Republican candidates in critical congressional races who share its ideology on free trade. Those candidates include Senator Rob Portman, who is fighting for re-election in the battleground state of Ohio. Before he entered the Senate, Mr. Portman was the United States trade representative for former President George W. Bush, where he supported the Central American Free Trade Agreement. Yet Republican strategists are curious how the gap between Mr. Trump and traditional conservatives over trade and other major themes will play out in the coming months. Basically, Trump has a position on trade that no one who has ever been a nominee of any party has ever had a 45 percent tariff on goods, Mr. Stevens, the Romney strategist, said. How is that going to work where you have joint efforts between the presidential campaign and the state parties with candidates who want free trade? Are you going to have half the phone bank calling for a 45 percent tariff, and the other half calling for reasonable trade policies? Grindr, the gay dating app, is an effective way to get gay black and Hispanic men to try home H.I.V. self-testing kits, according to a recent study. The small study was confined to Los Angeles, and fewer than 400 test kits were distributed, but the idea has broader potential. Grindr is used by at least five million men in 192 countries, according to its developer. In the United States, young gay black and Hispanic men are the groups most likely to be infected with H.I.V. and the least likely to be tested for it, because they often lack health insurance and fear being rejected by their families. In some other countries, gay men may be harassed, jailed or even executed. The study used banner ads on Grindr to offer free test kits. Recipients received a kit in the mail, a voucher that could be redeemed for a kit at a pharmacy, or a code that would produce a kit from a vending machine in the parking lot of the Los Angeles Gay and Lesbian Center. Nobody looks forward to having a cavity drilled and filled by a dentist. Now theres an alternative: an antimicrobial liquid that can be brushed on cavities to stop tooth decay painlessly. The liquid is called silver diamine fluoride, or S.D.F. Its been used for decades in Japan, but its been available in the United States, under the brand name Advantage Arrest, for just about a year. The Food and Drug Administration cleared silver diamine fluoride for use as a tooth desensitizer for adults 21 and older. But studies show it can halt the progression of cavities and prevent them, and dentists are increasingly using it off-label for those purposes. Female physicians at some of the nations most prominent public medical schools earn nearly $20,000 less a year on average than their male colleagues, according to an analysis published on Monday in JAMA Internal Medicine. Before adjusting for factors that could influence income, the researchers found that the absolute difference between the genders was more than $51,000 a year. Several studies have found a persistent pay gap between male and female doctors. But those reports relied mostly on doctors reporting their own incomes, or focused on pay disparities in one specialty or one region, or on starting salaries. The new study draws on salary information from a much larger, objective sample. The researchers went to great lengths to account for a variety of factors that can influence income, such as the volume of patients seen by a physician and the number of publications he or she had written. The long delays in the Garner investigation, which has been especially closely watched, have come about for several reasons: There are inherent complexities in the federal civil rights law and a desire among officials to move with caution in a delicate matter. But there has also been, according to those involved, a heated disagreement over how and even whether to go forward. Prosecutors with the United States attorneys office in Brooklyn, whose jurisdiction also extends to Staten Island, Queens and Long Island, have expressed doubts that they can prove in court that a crime had been committed; their counterparts in Washington have claimed that they are confident they have sufficient evidence to proceed. The dispute came to a head at a recent meeting in Washington, where both offices offered their opinions to Attorney General Loretta E. Lynch. Ms. Lynch, formerly the top federal prosecutor in Brooklyn, must now decide between siding with her former colleagues or with the special civil rights team that investigates such cases. It is unclear both what and when she will decide. It is taking quite a bit of time, said William Yeomans, a fellow at the American University School of Law, who once served as the acting assistant attorney general for civil rights. Id almost say its been longer than expected, especially since a video exists. That video, which, by now, has been viewed around the world, showed Mr. Garner, 43, struggling in the grasp of Officer Daniel Pantaleo, who was trying to arrest him on suspicion of selling loose cigarettes on a Staten Island street in July 2014. Five months later, a state grand jury declined to bring charges against Officer Pantaleo, touching off a wave of protests in New York and a national debate about policing in minority communities. Naomi Klein, an author on environmental and economic issues, has sharply criticized what she called a very deep denialism in the environmental movement among the big green groups, like the Environmental Defense Fund, which has worked with fossil-fuel companies to research methane leaks and to pursue market-based solutions to the climate crisis, like putting a price on carbon. Ms. Klein argues that capitalism inherently worsens climate change. Working within the system as the institutional players do, she has said, is more damaging than the right-wing denialism in terms of how much ground weve lost. Mr. McKibben said the kind of noisy activism that characterizes the work of organizations like 350.org helps correct what he sees as the institutional inertia of the established groups. He said the lack of mass-movement activism was a key reason behind the failure of legislation like the 2010 effort to develop a system to limit and put a price on greenhouse gas emissions. If were going to win the climate fight, it will come with a change in the zeitgeist, he said. And that not particular pieces of legislation is the ultimate point of building movements. Fred Krupp, the president of the Environmental Defense Fund, disagreed. Working with industry, he said, had helped deepen the understanding of such issues as methane leakage, which could produce remedies. More and more businesses want to be part of the solution, Mr. Krupp said. Collaborative efforts helped lead to last months bipartisan passage of an overhaul of toxic substances legislation, he said, adding, And were getting close to being able to do it with climate change. Given these fault lines on various issues, a question naturally arises: Are they hurting the overall environmental movement? And, from time to time, the media prints accounts of patients who have been in comas and have regained consciousness after many months. Cases like this give patients families false hopes. Finally, doctors are under immense legal pressure to do all that medical science can offer in order to defend against accusations of negligence. Edward Volpintesta, M.D., Bethel, Conn. TO THE EDITOR: In 1960, at age 45, my father died of amyotrophic lateral sclerosis (Lou Gehrigs Disease) after having lived with its progressive deterioration for over five years. I was 17 at the time of his death. I did not know what disease he had, much less its prognosis. Neither did he, according to my mother. During the time of its awful unfurling, my father was fortunate to be able to continue to work. He was a radio writer and could dictate scripts to his sister, who worked as his amanuensis. The family pulled together; our mission was support and survival. All (except perhaps my mother) were ignorant of what the prognosis was. Ive often wondered what effect knowing would have had. Would my in-spite-of-it-all cheerful father have become depressed and stopped working? Would I have done the same and dropped out of school? Would our family, without income, have slid rapidly into crushing poverty? In looking back, I am grateful that I didnt know and that my father didnt know. It wasnt so much that ignorance engendered hope, it was that it allowed us to live in the moment, day by day. Erik Lewis, Brooklyn Anti-Obesity Blueprint TO THE EDITOR: Re The Fight Against Obesity Begins Early (Personal Health, July 5): The importance of preventing and reversing obesity in children and teenagers is clear from the preponderance of research that Jane Brody cites. At the Mount Sinai Adolescent Health Center, we serve over 10,000 low-income young people each year. As part of our intake for primary care, we ask our patients to assess for themselves the amount they eat, how much physical activity they get, how they feel about their body or shape, and whether they would like to consult a nutritionist. This technique avoids labeling and shaming. Q. Is Lyme disease new, or was it always around? A. It is newly identified, but perhaps not that new. Borrelia burgdorferi, the tick-borne spirochete that causes the famous bulls-eye rash and other symptoms of Lyme disease, was identified in 1981, according to the National Institute of Allergy and Infectious Diseases. In 1975, a cluster of illnesses around Lyme, Conn., set off a search for the cause. The culprit, a spiral bacterium, was eventually named after Willy Burgdorfer, the scientist who linked the deer tick and the bacterium it carries to human victims. His research was published in 1982 in the journal Science. A similar ailment had been reported in Europe in the early part of the 20th century. Called erythema migrans, it was also found to be caused by a tick-borne spirochete. A new study confirms that black men and women are treated differently in the hands of law enforcement. They are more likely to be touched, handcuffed, pushed to the ground or pepper-sprayed by a police officer, even after accounting for how, where and when they encounter the police. But when it comes to the most lethal form of force police shootings the study finds no racial bias. It is the most surprising result of my career, said Roland G. Fryer Jr., the author of the study and a professor of economics at Harvard. The study examined more than 1,000 shootings in 10 major police departments, in Texas, Florida and California. The result contradicts the image of police shootings that many Americans hold after the killings (some captured on video) of Michael Brown in Ferguson, Mo.; Tamir Rice in Cleveland; Walter Scott in South Carolina; Alton Sterling in Baton Rouge, La.; and Philando Castile in Minnesota. Before they see a doctor, most patients turn to websites and smartphone apps. Caution is advised. Research shows they arent very good. A few years ago, doctors from the Mayo Clinic tested the wisdom of online health advice. Their conclusion: Its risky. According to their study, going online for health advice is more likely to result in getting no advice or incomplete advice than the right advice. The doctors assessed the quality of advice on the top sites returned from Google, Yahoo and Bing for searches on common health complaints like chest pain or headache. No site they examined listed all the necessary symptoms so that a user could obtain an accurate triage whether to rush to the emergency room, call the doctor or treat the condition at home. A third of the sites did not list any of the key symptoms. Among sites that checked any critical symptoms, four in 10 provided no triage advice. DALLAS The gunman who fatally shot five police officers in Dallas last week had bomb-making skills and a large cache of material to build bombs, the citys police chief said on Monday, but investigators still do not know how he learned to make explosives or how he planned to use them. He knew what he was doing this was not some novice, Chief David O. Brown said, based on a search of the killers home. Detectives are trying to determine whats on his laptop, how he learned that. The gunman, Micah Johnson, was a carpentry and masonry specialist in the Army Reserve, which would not explain his knowledge of explosives, the chief said, adding, You can learn all that online, I guess. During a standoff after the shooting, Mr. Johnson, 25, told the police that he had planted explosives, but they have not found any. The standoff ended when officers killed him. The concern is we havent found something thats out there, Chief Brown said. I dont know whether or not he planned to escape, and then the bombing would start. We just dont know how the bombing aspects of his plan were going to play out. The chief made his comments amid another day of protests, vigils and calls for peace around the country after a week of unsettling violence that began with the fatal police shootings of Alton Sterling in Baton Rouge, La., and Philando Castile in Falcon Heights, Minn. The city attorney in St. Paul, the site of demonstrations since Mr. Castiles death, said on Monday that 46 adult protesters arrested during a blockade of Interstate 94 on Saturday night would be charged with third-degree riot, a gross misdemeanor. Bail was set at $1,500, and the city attorneys office said protesters could make their initial court appearances as early as Tuesday. No charging decision was announced for one juvenile arrested during the protest. Separately, county prosecutors charged one man with felony second-degree riot and accused of him of throwing rocks and construction debris at officers during the highway shutdown. And in Baton Rouge, law enforcement officials defended themselves from criticism over their response to protests over the weekend when over 180 people were arrested. Amid the protests, a shooting in a Michigan courthouse on Monday underscored the danger faced by law enforcement personnel. Two court bailiffs were fatally shot in St. Joseph, in southwestern Michigan, before the gunman was killed, sheriff officials said. At a wide-ranging news conference, Chief Brown reiterated that the sheer volume of bomb-making material in Mr. Johnsons home in Mesquite, a Dallas suburb, indicated that he had planned more mayhem. One of the bomb techs called me at home to describe his concern of how large a stockpile of bomb-making materials he had, he said. Mr. Johnson, armed with a rifle and a handgun, and clad in body armor, opened fire Thursday night on officers who were monitoring a demonstration in downtown Dallas against shootings by the police in Louisiana and Minnesota. In addition to the five officers who were killed, Chief Brown said, nine officers were wounded officials had previously said seven as were two civilians. He said that 11 officers had returned fire, revised from the figure of 12 given earlier in the investigation. The chief has said that during the standoff inside El Centro College, Mr. Johnson, who was black, told police negotiators that he specifically wanted to kill white officers. His Facebook page indicated support for black militant groups that have advocated violence against white people. Chief Brown said that since the shootings, officers nationwide were on edge about angry or unstable people who could do grave damage to us, and revealed that he had received a death threat delivered to the departments Facebook page. WASHINGTON After defending the Affordable Care Act in all its intricacies for six years, President Obama proposed ways to improve it on Monday, saying that Congress should provide larger subsidies for private health insurance and create a public plan like Medicare to compete with private insurers in some states. At the same time, he accused the pharmaceutical industry of trying to protect its profits by opposing any constraints on drug prices. Mr. Obama offered his views in a valedictory message summarizing what he sees as his legacy on health care, together with his ideas to improve the Affordable Care Act. He said he was proud of the progress of the last six years, especially the fact that 20 million people had gained coverage because of the law. But he acknowledged that despite the title of the law health care and health insurance were still unaffordable for some people. When campaign aides convened on a morning conference call, Mr. Trump readily agreed to scrap his schedule, according to several people briefed on the campaigns internal discussions but who were not authorized to speak publicly about them. Mr. Manafort said Mr. Trump felt it was not appropriate to campaign under the circumstances. An improvisational scramble ensued. Trump advisers had hoped earlier in the week to address the killings in Louisiana and Minnesota with a statement from black leaders who support Mr. Trump. By Friday, when the situation had escalated, several senior aides began drafting a more sweeping statement. It was a halting process: An early, discarded version made reference to crime as foremost in the countrys list of priorities, according to people involved in the drafting. Instead, the first statement released to the news media acknowledged the killings of police officers and two men it referred to erroneously as motorists. (Only one man, Philando Castile, was in a car, when he was shot by a police officer in Falcon Heights, Minn.) What we consider before using anonymous sources. Do the sources know the information? Whats their motivation for telling us? Have they proved reliable in the past? Can we corroborate the information? Even with these questions satisfied, The Times uses anonymous sources as a last resort. The reporter and at least one editor know the identity of the source. Learn more about our process. By midday, Mr. Trumps campaign decided to record a statement on video. Speaking from his office at Trump Tower, Mr. Trump finally mentioned Mr. Castile and Alton Sterling, the man shot by the police in Baton Rouge, La., by name. For the first time Friday, he returned to the ominous language that has defined his campaign. We must stand in solidarity with law enforcement, Mr. Trump said, which we must remember is the force between civilization and total chaos. Mr. Trump gave no interviews, and his normally active Twitter account sent only five posts. Four were uncontroversial expressions of grief, and the fifth was a jab at Hillary Clinton for her email lies. WASHINGTON After years of warfare over fiscal cliffs, government shutdowns and the debt ceiling, congressional Republicans pledged in January to work diligently through the dozen regular spending bills needed to finance the federal government and Democrats promised to cooperate. But as Congress prepares to recess until September, it has become clear that a presidential election year was not the ideal time to get back to so-called regular order. Failed appropriations bills are now piled up in the Senate like a multicar crash on the highway. The annual military spending bill has been derailed over a core disagreement on spending policy. The military construction and veterans affairs bill has stalled, in part, because of a clash over money to combat the Zika virus. The commerce, justice and science bill hit a wall after a fight over amendments on gun control. So far, only one Senate spending bill, for water and energy programs, has not been sabotaged by Washingtons acidic politics. WASHINGTON The Obama administration said Monday that it had transferred two longtime detainees from the United States military prison at Guantanamo Bay, Cuba, to Serbia. The announcement came one day after officials disclosed the transfer of a prisoner to Italy, suggesting that all three former detainees left on the same plane. The transfers of the prisoners underscored that even though Congress has most likely thwarted President Obama in his effort to close the prison before he leaves office, he is still pursuing a lesser goal: getting out every detainee on a list of those recommended for transfer if security and humane-treatment conditions can be met in the receiving country. The three departures reduced the remaining population at the wartime prison in Cuba to 76 men, of whom 27 are on the transfer list. The last thing in the world we need is anybody walking around here with AR-15s strapped to their back, he said. And the absolute tragedy in Dallas is proof positive that we just cannot allow that to happen. I would really just beg these folks, just leave your guns at home. Come, say whatever it is that you want to say, make whatever point it is that you want to make, but its going to be very, very difficult to deal with the R.N.C. as it is. He added that officers were already in a heightened state because of the passions generated by the presumptive Republican nominee, Donald J. Trump, on both sides and the security challenges as thousands of delegates fill the city. Eric Pucillo, the vice president of Ohio Carry, a gun rights group based in Kent, Ohio, said he understood Mr. Loomiss concerns, but stressed that people could not be legally prevented from carrying their guns downtown. Convention planners and city officials emphasize that they are prepared for the Republican gathering and the crowds it will attract. The Cleveland police chief said Friday that after the Dallas shootings the city would be changing its security plans but did not go into detail. Dan Williams, a spokesman for Mayor Frank G. Jackson, also declined to describe how Dallas had reshaped the citys security plans, or whether officials were concerned about the states gun laws. We are going to follow the law and the law is the law period, Mr. Williams said. We believe that we are prepared. Meanwhile, some are planning to take their own security forces to Cleveland. Tim Selaty, director of operations at Citizens for Trump, said his group was paying for private security to bolster the police presence. While Mr. Selaty said people should be allowed to carry guns, his group is banning long weapons from a rally in a park it is hosting on Monday. There is this feeling, and I think its in full bloom and full display today, and the feeling is this: that its O.K. to cut down laws, its O.K. to cut through things, in cloaking ourselves in good intentions, said Representative Peter Roskam, the Illinois Republican who chairs the Ways and Means oversight subcommittee. Its a dangerous game because if we accept this, then, mark my words, there will come a day when theres going to be a different administration or a different disposition or a different attitude, and were going to say, Where were the people who should have stood up for these things at the time? he said. Democrats werent buying it. There is real work to be done. There are real issues that need to be addressed, said Representative John Lewis of Georgia, the senior Democrat on the panel. We should not waste the time and energy debating how to tear apart the good part of health care reform. We should not be trying roll back what helps people make ends meet. This spending conflict is the type of legislative fight that in the past might have been worked out with a subsequent fix to clarify that an appropriation exists for the subsidies paid to insurance companies or another solution. But with the parties so deeply divided over the health care law, no such resolution is possible. The final word may come in federal court, where a district judge has already found the spending to be unconstitutional. The administration is appealing that decision. While Republicans have been scoring some points against the executive branch, it has hardly been a knockout for them. Some conservatives complain that if House Republicans are so certain the administration is acting illegally, they should take action to punish the White House. Those critics see it as yet another failure of Republicans to hold President Obama accountable. Democrats say it is strictly a political fight, another chapter in the Republican playbook aimed at undermining the health care law. Yet Obama administration officials last week were unable to cite legal authority for the spending during a House hearing and could not identify any specific appropriations. African-Americans in San Francisco are stopped and searched by police officers in disproportionate numbers and are subject to a host of other actions that appear to be discriminatory, according to a report issued on Monday that found the Police Department was in need of significant overhaul. The report also said that the departments disciplinary system was riddled with shortcomings. San Franciscos police force has been shaken by a series of scandals over the past two years, including racist and homophobic text messages exchanged by officers, cellphone videos of officers abusing residents, and questionable shootings of Latinos and African-Americans including the fatal shooting in May of an unarmed black woman. Gregory P. Suhr, the police chief, resigned under pressure in May, and the department is undergoing a review by the Justice Departments Office of Community Oriented Policing Services. That review is separate from the city analysis released Monday. The report, by the Blue Ribbon Panel on Transparency, Accountability and Fairness in Law Enforcement, found that while African-Americans make up 5.8 percent of the citys residents, they constituted about 40 percent, 20 of 51, of the victims of officer-involved shootings from January 2010 through July 2015. (The study noted that no race was listed for suspects in 18 of the 69 total shootings during that period). Ibraahim Aadan Najah, the governor of Lower Shabelle Province, told reporters that heavy fighting broke out after a car bomb was driven into the entrance gate of the base, which is in the village of Laanta Buuro. The insurgents then stormed the base on foot, and Mr. Najah said they stole two military vehicles. The Shabab, a Somali militant group that has gained a reputation for violent attacks, claimed to have killed at least 30 members of the armed forces, according to its radio station Andulus. The arrests were a new development for South Africa, which has a small Muslim population and has not been known to be a hub of Islamic State activity. There were cases where weve stopped people from traveling to Syria before, but in terms of an arrest, this is the first time, Mr. Mulaudzi said. The brothers, Brandon-Lee Thulsie and Tony-Lee Thulsie, 23, appeared on Monday in Johannesburg Central Magistrates Court, where they were charged with conspiracy and incitement to commit the crime of terrorism. According to the charges, the brothers had acted since last October to further the political, religious or ideological motives and objectives of the Islamic State. The brothers are scheduled to appear again in court on July 19, when more details could emerge about how far they had carried out their plans. Martin A. Ewi, a terrorism expert at the Institute for Security Studies in Pretoria, said that the arrests possibly signaled a change in policy by the South African government. Until now, he said, the authorities had quietly tried to handle cases involving South Africans who tried to go to Syria, or even some who had gone and returned. The government didnt want to antagonize certain segments of South African society, so once they knew about you, you were warned and monitored and told to reintegrate yourself, and there were no cases of repeat behavior that we know of, Mr. Ewi said. But maybe they now feel that the situation is getting out of hand, or they may have had no alternative because the suspects didnt change their behavior even though they were under surveillance since last year. JUBA, South Sudan South Sudan slid rapidly closer to war on Monday as helicopter gunships pounded targets, two United Nations peacekeepers were killed, foreign governments scrambled to get their citizens out and worries grew about the fate of civilians trapped in crowded displaced persons camps. Gunfire rang out from different quarters, moving across Juba, the capital, like a thunderstorm, on and off, and witnesses said hundreds of people had been killed in the past three days. The fighting completely overshadowed the fifth anniversary this weekend of the countrys independence. In Juba, few celebrated because people were hunkered down indoors. South Sudan, the worlds youngest country and one of its poorest, cracked open into civil war in December 2013 after the nations two top politicians, President Salva Kiir and Vice President Riek Machar, bitterly split. Mr. Machar became the leader of a rebel group, and an estimated 50,000 people have been killed since then. Each side has been blamed for widespread atrocities against civilians. But after a peace agreement, Mr. Machar returned to Juba in April and was sworn into his old position as Mr. Kiirs vice president, essentially returning the country to the fragile political situation it was in before the war. TOKYO The Liberal Democratic Party of Prime Minister Shinzo Abe has governed Japan in one way or another for all but four of the past 61 years, a winning record that reflects the political inertia of a society that values stability and tradition. But even by the standards of Japanese politics, Mr. Abes landslide victory in national elections on Sunday was stunning. For the first time, voters gave the Liberal Democrats and their allies more than two-thirds of the seats in Parliament a supermajority that could allow Mr. Abe to realize his long-held ambition of revising the clause in the Constitution that renounces war and make Japan a military power capable of global leadership. Opinion polls show only lackluster support for Mr. Abes security agenda or even his program to revitalize the Japanese economy, but the public appeared unwilling to take another chance on the opposition Democratic Party, which stumbled badly in its last, rare stint in power, most notably in its response to the 2011 earthquake and Fukushima nuclear disaster. The vote for stability at home, though, is likely to provoke unease across Asia, where memories of Japanese militarism in World War II endure and the prospect of a more assertive Japan will add to worries over Chinas territorial ambitions and North Koreas nuclear program. SEOUL, South Korea North Korea said on Monday that it had cut off its only official channel of diplomatic communications with the United States in retaliation for Washingtons sanctions against its leader, Kim Jong-un, over human rights abuses. The Norths diplomatic mission to the United Nations in New York notified the United States government of its decision on Sunday to sever the channel, the Norths official Korean Central News Agency said. Although the countries have no diplomatic ties, the United Nations mission has long served as a point of contact and was often used to arrange talks aimed at ending the Norths nuclear weapons program. Because the United States did not accept our demand that the sanctions be retracted, we are taking concrete actions one by one, the first of which is to completely cut off the New York channel of communication, the only official point of contact that has existed between the two sides, K.C.N.A. said, citing the notice. COLOMBO, Sri Lanka The oldest son of Sri Lankas former president, Mahinda Rajapaksa, was arrested Monday on charges of money-laundering in the governments latest effort to prosecute members of the previous administration many of whom have been under investigation since Mr. Rajapaksas election defeat last year. The son, Namal Rajapaksa, 30, who is a member of Parliament representing his fathers home district of Hambantota, was placed in the custody of the police by a magistrate in Colombo, the capital of Sri Lanka, on charges of accepting $480,000 from a real estate company. Over the last 18 months, several high-ranking members of the Rajapaksa family have been arrested on corruption charges. Namal Rajapaksa is the third member of the former presidents immediate family to be arrested. He was widely believed to be his fathers heir apparent. The new government, led by President Maithripala Sirisena, came to power in January 2015, promising to investigate corruption allegations against the Rajapaksa administration. But critics say the authorities have been slow to act, with a spate of interrogations, arrests and bail applications leading to very few actual indictments and prosecutions. KABUL, Afghanistan The early tenure of the Talibans new leader, a low-key religious scholar seen as a potential unifier, has been notable for lacking the drama his predecessor seemed unable to shake. But even after two months in the role, Mawlawi Haibatullah Akhundzada remains something of a mystery to the Taliban rank and file, according to analysts and insurgent commanders. And he has yet to make any high-profile mark on an insurgency that is stretched by internal divisions. Many view him as lacking the grip and influence that his predecessor, Mullah Akhtar Muhammad Mansour, had amassed before being killed in an American drone strike in May. Mullah Mansours tenure was marked by purges and open rebellion that have receded into the background. Despite that, some commanders have refused to pledge allegiance to Mawlawi a title reserved for Islamic scholars Haibatullah, according to interviews with Taliban commanders and officials. Emine Akarsu, 48, an architect, praised the party for helping to transform the district from a trash-strewn field into rows of pastel high-rises and landscaped parks, complete with artificial streams, a lake and a nearby subway stop. But as the party has become visibly wealthier and corruption allegations have surfaced, Ms. Akarsu said she had stopped voting for it. They have changed, and so has my view of them, she said, packing up her thermos of tea. I dont believe they are telling us the truth about things anymore. Kurtulus Turan, a textiles businessman, said he used to like Mr. Erdogans party for its pro-business stance. But now the president seems to speak exclusively for Sunni Turks, Mr. Turan said, adding that as a member of Turkeys Shiite minority, he feels discrimination. It wasnt like this at the beginning, he said. So where is Turkey headed? Its intellectuals are not sticking around to find out. Mr. Candar, who was formally charged with insulting the president in articles he wrote on the conflict with the Kurds, is now a visiting fellow in Stockholm. Even some upper-middle-class Turks are looking at property abroad. Portugal is a popular choice. Those who cannot leave keep waiting. Mr. Engin compared these days to a storm that is buffeting Turkish people. He said there would be damage, but how much remained to be seen. We have to wait for it to finish, for the air to clear, he said. He said he did not know if that would take a month or a decade, but he believed change would come because things cant go on like this. Still, the president said Iraqi forces had made important gains in the last several weeks. Most important, they retook Falluja, a victory that he said, got a little bit lost in the news, but thats a big town. Theyre now positioning themselves so that they can start going after Mosul, the president said. The Islamic State fighters, he said, were on their heels, and were going to stay on it. White House officials resisted suggestions that more American troops might be needed to uproot the Islamic State, also known as ISIS or ISIL, from Mosul. The president has been very clear about what our mission is and what our mission isnt, the press secretary, Josh Earnest, said on Monday. This is an effort to reinforce our support for Iraqi forces that are enjoying some success in driving ISIL out of strategic, important areas in Iraq, that can put them in a position to succeed on a much bigger goal: driving ISIL out of Iraqs second largest city. Some of the American troops who will be stationed at the airfield, known as Qaiyara Airfield West, specialize in infrastructure projects, like building bridges, which is a technical skill the Iraqis will need for the assault on Mosul because the Islamic State has destroyed many around the city. The new deployment comes two years after Mr. Obama said that while the United States would help Iraq reclaim territory from the Islamic State, its efforts would not involve American combat troops fighting on foreign soil. Since then, he has steadily increased the number of troops and given them more authority. Three service members have been killed. In April, Mr. Carter came to Baghdad to announce that Mr. Obama had given American military advisers the approval to work closer to the front lines of the conflict with smaller units of Iraqi forces. As part of that announcement, Mr. Obama deployed an additional 217 troops. The arrival of BlackTail could hardly be better timed. The Cuban-themed cocktail bar and restaurant, from the team behind the Dead Rabbit Grocery and Grog, will open this summer, just a few months after the historic visit to Cuba by President Obama, whose administration has started a diplomatic thaw with that nation. One could suspect the Dead Rabbit group of opportunism. But one would be wrong. We actually thought about this concept before we did Dead Rabbit, said Jack McGarry, a managing partner of BlackTail. Pier A Harbor House, a huge dining and drinking complex at 22 Battery Place on Manhattans southern tip, wasnt the kind of space they had envisioned for the project. But when they were approached about taking over the sprawling second floor, locale and concept came together in the creators minds. BlackTails harborside berth certainly doesnt hurt matters. Just look south across the bay: Cuba is thataway. BlackTail is meant to suggest what an American bar in Havana may have been like during Prohibition, when Americans flocked to Cuba in search of the liquid refreshment denied them (legally, anyway) in their own country. The bars name refers to Aeromarine Airways, a short-lived luxury fleet that made regular trips to Havana. Its tail fins were painted black. Irvine-based Western Digital has appointed chief strategy officer Mark Long as its new chief financial officer, effective Sept. 1. Long will replace Olivier Leonetti. Western Digital said Leonetti was leaving to pursue other opportunities. Long helped with the SanDisk acquisition. In March, the companys shareholders voted to approve the $16 billion acquisition of SanDisk Corp. through an issuance of Western Digital common stock. Leslie Heisz has been appointed to the board of Irvine-based Edwards Lifesciences Corp. Heisz held executive roles in investment banking and financing in firms such as Lazard Freres & Co., Wasserstein Perella & Co. and Salomon Brothers. She also serves on the board of directors at Kaiser Permanente and Ingram Micro, Inc. Frank Alvarez has been appointed to the Apartment Association of Orange County Board of Directors. The Apartment Association of Orange County is a nonprofit trade group representing more than 3,000 property owners and 78,000 apartment homes. Irvine-based Hiperwall has named Robert Cameron its global accounts executive. Hiperwall develops video wall and distributed visualization software. Cameron will provide sales support for the company. He has more than 25 years of sales experience. Frontier Communications has named Trent Anderson as senior vice president for the Southern California Eastside Territory. The territory includes parts of Los Angeles, Orange, Riverside, San Bernardino and Kern Counties. Anderson has more than 25 years telecommunication experience. He previously served as senior vice president and general manager of Frontiers Oregon operations. The Girl Scouts of Orange County has added four members to its Board of Directors. They are: Jacqueline Akerblom of Newport Beach, managing partner of Grant Thornton LLPs West region; Holly Garcia of Anaheim Hills, the executive director, sales major accounts at Ingram Micro Inc.; Lisa Perrine Ed.D of Newport Beach, the chief executive of system integration firm Cibola Systems Corporation; and Jeff Winston of Yorba Linda, who has more than 40 years experience in I.T. Costa Mesa-based branding and PR agency Idea Hall has named Anita Mellon group director, Public Relations. The role is new to the company. Mellon has 20 years of experience in the industry. She has worked at Citizen Relations for the past 16 years. Aliso Viejo-based settlement advisers Ringler Associates Inc. has named Melissa Evola Price chief strategy and business development officer. She previously served as president of Structured Financial Associates. Yaron Shaham has joined McGlinchey Stafford LLPs Irvine office. Shaham has more than 15 years of law experience in commercial litigation, lender liability litigation, foreclosure disputes, loss mitigation, lien validity and priority challenges. Tustin-based mortgage banker New American Funding loan consultant Linda Ayres has been named to the U.S. Department of Housing and Urban Developments Housing Counseling Federal Advisory Committee. Ayres has 23 years of experience in the mortgage industry. NEW VENTURES Huntington Beach-based insurance company Confie has acquired two insurance brokerages in New York: Lloyd D. Sprague & Son Inc. and J.N. Mason Agency Inc. Confie has completed 11 acquisitions so far this year. Irvine-based therapeutic development company AiVita Biomedical will collaborate with the California Institute for Regenerative Medicine on stem cell-derived, 3D-transplantable retinas that will treat vision loss, the company announced. AiVita will be collaborating on a early translational research awards grant worth almost $4 million. MILESTONES Santa Ana-based Nekter Juice Bar was one of Nations Restaurant News 2016 Hot Concepts award winners. Every year Nations Restaurant News honors five up and coming restaurant concepts. The Girl Scouts of Orange County has recognized seven local women as 2016 Celebrate Leadership honorees. They are: Ellen S. Bancroft, office managing partner of Orange Countys office of law firm Morgan Lewis & Bockius LLP; Karen Caplan, president and chief executive of Friedas Specialty Produce; Jackie Caplan Wiggins, vice president and chief operating officer of Friedas Specialty Produce; Lucy Dunn, president and chief executive of the Orange County Business Council; Mary Tennyson, chief executive and founder of StashAll; Socorro Vasquez, volunteer focused on bringing arts education to Orange County; and Kelly Vlahakis-Hanks, president and chief executive of Earth Friendly Products. GOOD WORKS Irvine-based OSI Creative has awarded Samantha Ranney of Brookfield, Wis. and Samuel Niemiec of Carol Stream, Ill. the William Belzberg Humanitarian Award Scholarship. The scholarship recognizes high school juniors or seniors who give back to the community. The winners receive a $5,000 scholarship. Ranney will attend Luther College in Decorah, Iowa this fall to study nursing. Niemiec will attend the University of Illinois, Urbana-Champaign to study statistics and economics. Fountain Valley-based Hyundai North America, through its Hyundai Hope on Wheels program, will award 18 hospitals a $50,000 Hyundai Impact Grant for pediatric cancer research. This year the program will award more than $13 million in pediatric cancer grants. NOMINATIONS The Registers ninth annual Top Workplaces nomination process has launched. If you work at an outstanding Orange County business with more than 35 employees, nominate the firm at ocregister.com/nominate. Submit executive promotions, business transactions and related high-resolution pictures to ocr.statusupdate@gmail.com Contact the writer: SACRAMENTO California Gov. Jerry Brown has launched a campaign to extend some of the most ambitious climate-change programs in the country and ensure his environmental legacy when he leaves office in two years. The centerpiece of the push is a cap-and-trade program that aims to reduce the use of fossil fuels by forcing manufacturers and other companies to meet tougher emissions limits or pay up to exceed them. The program has been one of the most-watched efforts in the world aimed at the climate-changing fuels. The four-year-old program, however, is only authorized to operate until 2020 and faces a litany of challenges, including a lawsuit questioning its legality, poor sales of credits, and lukewarm support among Democratic legislators to extend it. On Tuesday, the California Air Resources Board will release a proposed blueprint for continuing the cap-and-trade program until 2030, with a vote expected next year. Supporters credit the strategy born under previous Gov. Arnold Schwarzenegger, a Republican, and stemming from other climate change programs initiated under Brown with helping to cut Californias overall output of emissions by 1.5 percent in its first two years, despite the massive energy demands of the states thriving economy. With Brown set to leave office in 2018, a state appeals court is considering a challenge from the California Chamber of Commerce contending the pollution-credit program is an illegal tax, not a fee. Environmental groups say the lawsuit and overall uncertainty about the survival of the program are undermining the market for pollution credits. A May auction saw companies buy only one-tenth of the available credits, leaving the state billions of dollars short in projected revenue from the sales. Meanwhile, groups representing oil interests confirmed last week that they are in direct talks with the Brown administration over cap-and-trade. California oil companies have long sought to alter or repeal the states low-carbon fuel standard. By 2020, those companies would be required to reduce the carbon content of gasoline and other fuels by 10 percent, a significant jump from the current 2 percent. Any deal-making on climate change would reflect a pragmatic approach to the oil industry by Brown, who took office encouraging immediate boosts in oil and gas drilling to spur Californias economy, even as he promoted incentives that would reduce long-term reliance on fossil fuels. What youre seeing now is an all-hands-on-deck effort to formulate the most responsible way forward. said Derek Walker, an associate vice president of the Environmental Defense Fund. Theyre talking to the oil industry, talking to environmental groups, to organized labor theyre talking to everybody. Brown has leveraged his position as governor to help draw attention to the battle against climate change. He has set non-binding mandates for increased use of solar, wind and other renewable energy sources in California in the decades to come while signing accords and global support statements aimed at easing climate change. At home, though, Brown has encountered trouble from moderate Democrats in the state Assembly who last year blocked his plan to slash statewide petroleum use in half within 15 years. The same lawmakers refused to endorse legislation by Sen. Fran Pavley, D-Agoura Hills, seeking to dramatically cut greenhouse gas emissions through 2050. Pavley was forced to scale back her proposal that now would extend only to 2030. She has since compromised even further, agreeing last month to merge parts of her plan with legislation mandating that revenues from such programs be spent in low-income communities affected by pollution. Winning legislative support could be key for Brown in ensuring the survival of the cap-and-trade program, said Jessica Levinson, a political science professor at Loyola Law School. His ability to solidify cap and trade is a big part of being able to say to other governors, to other countries, Were doing something big and specific and real and it works, Levinson said. Should the program succumb to legal challenges, market weakness or legislative ambivalence: Jerry Browns fingerprints will be on whatever we ultimately decide to do, Levinson said. Violent crime rose 10 percent and property crime rose 8 percent across California last year, according to a report by the states attorney general. Though significant, such an increase actually tells us little about what caused it, and even less about what to do about it. We have seen significant increases in crime before. In 2011, the U.S. Supreme Court ordered California to reduce its prison population, citing concerns with overcrowding. The state responded with Assembly Bill 109, known as realignment, which shifted responsibility for non-violent, non-serious, non-sexual offenders to the county level. In 2012, violent crimes increased more than 3 percent and property crimes more than 7 percent. This prompted the state Senates Republican Caucus to report, It seems increasingly likely that, unless realignment is properly funded and fixed, the result will be a continued increase in crime rates. But what followed, in 2013 and 2014, were consecutive years of crime decreases, which actually took the state to historically low levels of crime. According to data from the states attorney general, there were fewer violent crimes defined as homicide, rape, robbery and aggravated assault in 2014 than at any point since 1976. There were also fewer property crimes defined as burglary, motor vehicle theft and larceny-theft than at any point. Drawing from this, research published in The Annals of the American Academy of Political & Social Science in February concluded realignment had little-to-no impact on crime. But in 2014, voters approved Proposition 47, which reduced from a felony to a misdemeanor certain drug possession offenses and certain crimes when the amount involved is less than $950, including petty theft, receiving stolen property and writing bad checks. There has been concern that Prop. 47 might have the unintended consequence of driving up crime, particularly property crimes. In 2014, there were 151,425 violent crimes and 946,682 property crimes. In 2015, there were 166,588 violent crimes and 1,023,828 property crimes. Drawing any conclusions about the impact of Prop. 47 from these numbers, though tempting, would be largely speculative. Part of what makes it difficult to draw conclusions is that the crime increases of 2015 followed two years of historically low levels in crime. For context, in 2006, there were 194,128 violent crimes and 1,156,010 property crimes. In 1996, there were 274,675 violent crimes and 1,382,812 property crimes. Crime numbers were even higher in the late 1980s and early 1990s. Similarly, crime rates, which take population into account, indicate that both property and violent crime are still at historic lows. In fact, both property and violent crimes have declined since 2010. But those with an inclination to back tough-on-crime policies wont acknowledge this reality. Despite the low levels of crime, state lawmakers this year voted to allocate nearly $10.6 billion of the general fund toward the state corrections budget, the highest its ever been. The state also decided it was a good idea to throw more money at prison guards, who received a generous new contract full of raises and benefit increases nearly $600 million in spending commitments by 2018-19 which wont enhance public safety but will keep the prison guards union happy. Meanwhile, the state struggled to allocate the savings from Prop. 47, which are supposed to go toward crime prevention and rehabilitative services. The Legislative Analysts Office estimated savings in excess of $100 million annually, but the state went with lower estimates from the Department of Finance. Given these decisions by the state, it is clear California still has a lot of work to do to prioritize crime prevention. Still, contrary to what any pundit or politician might say, California remains almost as safe as it has ever been. If anything, thats despite the inability of Sacramento and local law enforcement agencies to appropriately implement criminal justice reforms, not because of those reforms. A Newport Beach man who offered customers false promises of debt relief pleaded guilty Monday to federal fraud charges. John Vartanian, 57, is the fifth Orange County resident to plead guilty to conspiracy to commit mail and wire fraud in connection with a scheme run by Nelson Gamble & Associates and later Jackson Hunter Morris & Knight, the U.S. Department of Justice said. Employees portrayed their business, located in Irvine and then Newport Beach, as a law firm that would help people negotiate favorable settlements with creditors, federal prosecutors said. Clients would make monthly payments, believing they were going toward paying down their debts. Instead, prosecutors say, employees took much of the payments for themselves as undisclosed, up-front fees. When complaints mounted, employees changed the name of the business from Nelson Gamble to Jackson Hunter. This defendant preyed upon victims that were already burdened by significant debt, U.S. Attorney Eileen M. Decker said in a statement. He gave them false hope while stealing the money that could have been used to reduce their obligations. Authorities did not specify how much was taken from the victims. In the plea deal, Vartanian admitted to running the scheme from February 2010 to September 2012. He acknowledged that he didnt tell the customers about the upfront fees and said he falsely told them that their money would be refunded if they werent satisfied. When sentenced later this year, Vartanian faces up to 20 years in prison. Also awaiting sentencing are Jeremy Nelson of Laguna Niguel, Elias Ponce of Santa Ana, Christopher Harati of Anaheim and Athena Maldonado of Lake Forest, all of whom have pleaded guilty in connection to the same debt-relief scheme. Contact the writer: semery@ocregister.com MIAMI The Obama administration on Sunday announced that it had transferred a Yemeni detainee to Italy. The U.S. military had held the man in indefinite wartime detention without trial at Guantanamo Bay, Cuba, for 14 1/2 years. The transfer of the prisoner, Fayiz Ahmad Yahia Suleiman, reduced the detainee population to 78. The move comes at a time of heightened scrutiny on detainee releases because of the disappearance of a former prisoner who had been resettled in Uruguay, which Republicans have cited in expressing opposition to further transfers. The transfer on Sunday showed that the Obama administration, which most likely will be thwarted by Congress in its efforts to close the prison before President Barack Obama leaves office, continues to pursue a lesser goal of getting out every detainee on a list of men recommended for transfer if security conditions are met in receiving countries. Suleimans departure leaves 29 detainees on the list of those awaiting transfers. He was among the earliest detainees taken by the George W. Bush administration to the wartime prison when it opened in January 2002. According to a leaked military dossier, he was arrested near the Afghan border by Pakistani police in late 2001 and was turned over to the U.S. military. The dossier shows that details of Suleimans alleged involvement with al-Qaida largely were based on claims made to interrogators by a single detainee who was known for providing information about alleged terrorist activities by many dozens of fellow prisoners and who received favorable treatment in the prison. Intelligence officials and judges later discounted the credibility of his accusers information absent corroboration. Suleiman had been waiting on the transfer list since 2009, when career national security officials on a six-agency task force unanimously said he posed a low enough threat that he should be released to a stable country. But, like many low-level Yemenis on the list, he remained stranded because the administration deemed his home country too chaotic to accept repatriated detainees. In 2014, the administration gave up waiting for security conditions to improve in Yemen and began diplomatic efforts to find other nations willing to resettle them. Of the 29 remaining detainees on the transfer list, 22 are also from Yemen. Another Yemeni on the list, Abd al Malik Abd al Wahab, was resettled June 22 to Montenegro. Obama administration officials familiar with negotiations for Guantanamo transfers have said they think that everyone on the list will be transferred within several months. Still, the list grows from time to time as a parolelike review board periodically re-examines detainees not recommended for transfer. Most recently, in a Wednesday determination made public Friday, the board recommended that Mohammed Rajab Sadiq Abu Ghanim, another Yemeni who previously was not on the transfer list, should be transferred to a Gulf state with the capacity to implement robust security measures. A motorcyclist was killed when he was struck by a pickup truck that veered out of its lane Monday on Santiago Canyon Road, authorities said. The California Highway Patrol has closed Santiago Canyon Road in both directions at Falcon Street so the Orange County Sheriffs Department can investigate. The accident occurred about 8:30 a.m. The Toyota Tundra, traveling southbound, collided with the touring-style motorcycle traveling northbound while the two were rounding a bend, fire Capt. Larry Kurtz said. Both vehicles and their passengers wound up in in a ravine. The motorcyclist was pronounced dead at the scene. The truck driver was taken to Mission Hospital with non-life-threatening injuries, Kurtz said. The road is expected to remain closed through the early afternoon, Orange County Sherriffs Lt. Mark Stichter said. Contact the writer: 714-796-7802 or jsudock@ocregister.com MADRID President Barack Obama on Sunday urged respect and restraint from Americans angered by the killing of black men by police, saying anything less does a disservice to the cause of ridding the criminal justice system of racial bias. He also urged law enforcement to treat seriously complaints that they are heavy-handed and intolerant, particularly toward minorities. Id like all sides to listen to each other, Obama said in response to a reporters question after he met with Spains acting prime minister, Mariano Rajoy, during an abbreviated first visit to Spain as president. Obamas appeal for greater understanding from opposing sides of the emotionally charged debate over police practices followed the weekend arrests of scores of people in Louisiana and Minnesota who protested the shooting deaths by police of black men in both states last week. Those deaths were followed by a stunning sniper attack last Thursday in Dallas that killed five police officers and wounded seven others as they watched over a peaceful protest of the weeks earlier shootings. Obama, in his remarks, urged protesters to recognize that police officers have a difficult job. Obama repeated Sunday that most U.S. police officers do a good job, and said rhetoric portraying them as doing otherwise does little to build support for eliminating racial bias from the criminal justice system. Maintaining a truthful and serious and respectful tone is going to help mobilize American society to bring about real change, Obama said. The president also called for balance from law enforcement. I would hope that police organizations are also respectful of the frustrations that people in these communities feel and not just dismiss these protests and these complaints as political correctness, he said. It is in the interest of police officers that their communities trust them, Obama said. Some of Obamas critics have accused him of helping create an environment for attacks on law enforcement by blaming their conduct in previous incidents and sympathizing with Black Lives Matter and other groups that complain about racial unfairness in the justice system. The president traveled to Spain after attending a NATO summit in Poland, but the sheer horror over the killings overshadowed most of his public appearances in both countries. Spain, nevertheless, was thrilled to welcome the first U.S. president to visit in more than a decade. Tourists and curiosity seekers lined some streets in hopes of catching a glimpse of Obama, and local TV aired wall-to-wall coverage of his movements. Obama was supposed to spend two days in Spain but cut the visit to about a day because of the shootings. Weve had a difficult week in the United States, he told King Felipe VI before they met privately at the Royal Palace. Obama also expressed gratitude for Spains military contributions as a fellow NATO ally, and for hosting U.S. sailors and guided missile destroyers at a naval base on the southern coast. Obama visited the base and met with troops stationed there before the flight back to Washington. It took the White House more than seven years to lock in Spain on Obamas foreign travel schedule. But the shootings and the depth of the response to them forced Obama into a more hurried visit instead. I was hoping for a longer stay, Obama told Rajoy. He pledged to return as an ex-president some day because Spains food, culture and climate are hard to resist. ROTA, Spain For President Barack Obama, war is never far from his thoughts. On Sunday afternoon, he flew to this sprawling naval air station near the mouth of the Mediterranean to tour the USS Ross, one of four guided-missile destroyers that patrol from the Baltic to the Black Sea, potent symbols of Americas military presence in Europe. Thats pretty impressive, Obama said to Petty Officer 2nd Class Garrett Nelson, after the sailor told his commander-in-chief about the accuracy of a 5-inch, 54-caliber gun mounted on the ships foredeck. Thats better than I do at skeet shooting. Obamas advisers fought to keep this stop on his five-day trip to Spain and Poland, even after he decided to cut the trip by a day and return home on Sunday to deal with the deadly shootings in Dallas. Sightseeing in Seville, as the president had planned to do, was easy to skip; surveying the military hardware in Rota was not. Throughout this trip, Obama has confronted the reality that the United States is engaged in military operations around the world. At a NATO summit meeting in Warsaw, Poland, he announced that U.S. troops would lead a battalion stationed in Poland to deter an aggressive Russia. The destroyer in Rota is a pillar of a missile-defense program that Obama has stuck with despite the tensions it raises with Moscow. Small wonder, then, that Obama was in a reflective mood on Saturday when a reporter asked him at a NATO news conference about the nature of war in the 21st century and, specifically, how he felt about the likelihood that he would be the first two-term president to have presided over a nation at war for every day of his presidency. Obama said: As commander-in-chief of the most powerful military in the world, I spend a lot of time brooding over these issues. And Im not satisfied that weve got it perfect yet. But he said, I can say, honestly, its better than it was when I came into office. The president pointed out that when he took office in 2009, the United States had 180,000 troops in Iraq and Afghanistan. Now that number is about 15,000. He also said these service members were not engaged in combat but in training, advising and equipping Iraqi and Afghan troops though such roles have increasingly put them in combat situations. Still, a week after announcing that the United States would leave 8,400 troops in Afghanistan for the foreseeable future, Obama acknowledged that the threat of terrorism, the resilience of groups like al-Qaida and the Islamic State, and the weakness of governments in Iraq and Afghanistan made it difficult for the United States to ever leave. Its very hard for us ever to get the satisfaction of MacArthur and the emperor meeting and a war officially being over, he said, referring to the surrender of Japan at the end of World War II. Obama characterized his approach to war as a hybrid: committing limited numbers of U.S. troops to conflict-ridden countries, but working with those countries to develop their own armies and police. He drew attention to an announcement at the Warsaw meeting that NATO would begin training Iraqi troops inside the country. (The alliance had already been training them in neighboring Jordan.) The result of such efforts, Obama acknowledged, is mixed. Iraqs U.S.-trained army melted away in the face of the Islamic States jihadi fighters in 2014, forcing him to send troops back into a war he thought he had ended two years earlier. Weaknesses in the U.S.-trained Afghan army allowed the Taliban to retake some lost territory. What Ive been trying to do is to create an architecture, a structure and its not there yet, the president said. The difficulties of working with unreliable partners is probably going to be something that we have to continue to grapple with for years to come. For Obama, who was a lawyer, the shadowy legal status of this hybrid form of warfare is another heavy burden. That, he said, helped explain why the White House issued a report two weeks ago disclosing estimates of the civilian casualties from drone strikes. What Im trying to do there is to institutionalize a system where we begin to hold ourselves accountable for this different kind of national security threat and these different kinds of operations, he said. The president granted that outside experts disputed the casualty numbers as too low. He did not address another criticism: that by failing to break down those numbers by country, the report did not provide enough insight into the nature of the drone program. Obama also looked on the bright side. There are fewer wars today between states, he said, and no wars between great powers. That is a testament to institutions like NATO, he said, and a reason that Russias revanchism was such a big concern at the summit meeting. As Obama enters the final six months of his presidency, his approach to war clearly remains a work in progress. But he insisted that whether it was drone strikes, the surveillance programs of the National Security Agency, the long effort to close the military prison at Guantanamo Bay or the training of soldiers of other countries he had tried to bring 21st-century warfare out of the shadows. But were going to have more work to do, he said. Its not perfect, and we have to wrestle with these issues all the time. Once-struggling retailer J.C. Penney is going on a hiring spree this summer in Orange and Los Angeles counties, the company said. The Plano, Texas-based company will hire 350 employees at its 20 stores in the two counties ahead of the back-to-school selling season. All stores in Los Angeles and Orange counties are seeking associates, J.C. Penney spokesman Joseph D. Thomas said in an email. Many positions will be part-time, but most available positions are long-term opportunities to build a career with J.C. Penney. The hiring is a good sign, even if some positions will be temporary, said economist William W. Roberts, director of the San Fernando Valley Economic Research Center at Cal State Northridge. Thats a surprise, he said of the news. They have been floundering for a long time, and it sounds like they are coming back. They wouldnt be hiring if they werent coming back. J.C. Penneys announcement comes as the economy shows signs of improving. On Friday, the Labor Department said employers added 287,000 jobs in June while the nations unemployment rate rose slightly as more people looked for work. Re: Republicans and Hispanics [Letters, July 5]: It is not often that I agree with Ed Pyle, but in his letter, he rips the fig leaf off the Democrats claim of supporting amnesty for illegal aliens purely because of compassion and exposes the policy for what it is: A cynical partisan political strategy that they hope will keep Democrats in power in perpetuity. As for the wet foot, dry foot immigration policy which he blames on Republicans, it was, in fact, that rabid conservative Bill Clinton who negotiated this policy with Cuba in 1995. This amended the Cuban Adjustment Act of 1966 which allowed Cuban refugees to enter the United States and pursue residency after one year. This act was signed by that other noted right-wing politician, Lyndon Johnson. Steve Irons Dana Point Letter-writer Ed Pyle was right on when he said the Democrats blatantly prey on Hispanics for votes, especially by offering illegal immigrants the right to bypass our laws and move ahead of those making legal requests to enter the U.S. The Republicans are actually better friends because they are for job creation for those legally here. And, Mr. Pyle, please note that the Cubans came as refugees from a nation that is oppressive of human rights. Your letter could have been written by Hillary Clinton in the way facts were distorted and/or lies were stressed. Please check your letters for truth before submitting them for print. Bill Chase Mission Viejo Once again, Ed Pyle is Pyling on the misinformation about his favorite topic, Evil Republicans with their fairy tales. He obviously does not understand the meaning of the word illegal as he compares the tens of thousands who break our laws by entering illegally to the legal immigrants. Then he compares them to the few Cubans who are admitted here as they flee the brutal Communist dictatorship there. Finally, he projects his own pick-and-choose mentality upon the Republicans. Robert W. Grebner Brea Ed Pyle should be ashamed of himself. He falsely claims that Republicans make up murders by illegal immigrants. He should have to personally apologize to the parents of Kate Steinle, who was killed by an illegal immigrant. He has gone too far this time. Greg Woodard Mission Viejo California is a huge state with people of every race, creed, ethnic identity and country of origin on planet Earth. Because of this diversity, we have voters with every political philosophy across the spectrum from Reagan Republicans in Orange County to Nancy Pelosi liberals in San Francisco. But when voters go to the polls in 2018 to select a replacement for termed-out Gov. Jerry Brown, it looks as if they will likely have to pick between a handful of white, liberal men from Northern California and one liberal Asian man from Southern California. The candidates Im referring to are California Lt. Gov. Gavin Newsom, former state Controller Steve Westly, billionaire hedge fund manager Tom Steyer and state Treasurer John Chiang. Former Los Angeles Mayor Antonio Villaraigosa once looked like a sure bet to jump into the race, but after he completed his Hillary Clinton-style listening tour through the Inland Empire and Central Valley, hes gone radio silent. Usually, candidates seriously considering running for governor are willing to go to the opening of an envelope to get their name in the paper, but Villaraigosa has kept a low profile. This inaction is causing some to speculate that Villaraigosa may ultimately decide to pass on running. If he does, that would create an opening for another high-profile Latino candidate on the ballot. Theres no obvious heir waiting in the wings, but several lawmakers could make credible runs. If Orange County Rep. Loretta Sanchez is unsuccessful in her bid to replace retiring U.S. Sen. Barbara Boxer this November, but makes a strong showing, she could parlay her newly acquired name identification into a bid for governor. Sanchez has previously expressed interest in running for the states top job, going as far back as the 2003 recall election of former Gov. Gray Davis. Becoming the states chief executive wouldnt be a bad consolation prize. California state Senate President pro Tem Kevin de Leon is another potential candidate. Currently, de Leon is running for lieutenant governor in 2018, but could easily switch races if he thinks he has a shot. Thats what Gavin Newsom did in 2010. Originally, Newsom launched a bid for governor, but when it became apparent that Jerry Brown was going to crush him, he decided to run for lieutenant governor instead. De Leon could do the inverse. The conflict of interest for de Leon would be that he would have to run against his pal and political benefactor Tom Steyer. This would be a reminder that politics is a game of temporary alliances where nothing is permanent not even friendships. Not to be left out, Los Angeles Rep. Xavier Becerra is quickly becoming an emerging voice on the Democratic side of the aisle. Becerra has worked his way up the Washington, D.C., food chain to become chairman of the House Democratic Caucus. This leadership position puts the one-time assemblyman in front of top politicians and major donors on a regular basis and lands him bookings on national news programs. Becerra is also believed to be on Hillary Clintons short list of vice presidential prospects. Having a national political profile would give Becerra a big leg up on the competition, as most news agencies pay little attention to state lawmakers. If none of these candidates decide to enter the race, dont count out Californias Secretary of State Alex Padilla, Los Angeles Mayor Eric Garcetti or Palm Desert Rep. Raul Ruiz. In a field littered with liberal white Democrats and no obvious Republican, a Latino candidate with moderate resources is an instant player. We just dont know which player will be taking the field. Staff opinion columnist John Phillips can be heard weekdays at 3 p.m. on The Drive Home with Jillian Barberie and John Phillips on KABC/AM 790. DALLAS As a demonstration against police shootings made its way downtown Thursday in Dallas, it differed from others around the country in one startling way: Twenty to 30 of the marchers showed up with AR-15s and other types of military-style rifles and wore them openly, with the straps slung across their shoulders and backs. In Texas, it was not only legal. It was commonplace. The state has long been a bastion of pro-gun sentiment and the kind of place where both Democrats and Republicans openly talk about the guns they own and carry, on their person, in their vehicles, at their offices, at their homes and even in the halls of the Texas Capitol. And in recent years, as gun rights continued to expand, activists have exploited a decades-old freedom to openly carry a rifle in public by showing up at demonstrations with their so-called long guns. Advocates have carried their rifles at the Alamo in San Antonio and outside mosques in the Dallas suburbs. But city and county leaders said the presence of armed protesters openly carrying rifles on Thursday through downtown Dallas had created confusion for the police as the attack unfolded, and in its immediate aftermath made it more difficult for officers to distinguish between suspects and marchers. Two men who were armed and a woman who was with them were detained, fueling an early, errant theory by the police that there was more than one gunman. Mayor Mike Rawlings of Dallas suggested in an interview on Sunday that, in the wake of the attack, he supported tightening the states gun laws to restrict the carrying of rifles and shotguns in public. There should be some way to say I shouldnt be bringing my shotgun to a Mavericks game or to a protest because something crazy should happen, said Rawlings, a Democrat. I just want to come back to common sense. The states open-carry culture, the mayor said, had imperiled people on the streets of Dallas. This is the first time but a very concrete time that I think a law can hurt citizens, police and not protect them, he said, adding that he was not anti-gun and that he owned a shotgun himself. I think its amazing when you think that there is a gunfight going on, and you are supposed to be able to sort who the good guys are and who the bad guys are. According to the authorities, Micah Johnson, 25, opened fire on police officers who were accompanying marchers protesting policing practices. Johnson, who had been in the Army Reserve, used a rifle to fire from a parking garage and while on foot on the streets below, killing five police officers. The Dallas police chief, David O. Brown, described to CNNs State of the Union on Sunday the amount of confusion the armed protesters initially caused. He said the event had attracted 20 or 30 people who showed up with AR-15 rifles slung across their shoulder. They were wearing gas masks, Brown said. They were wearing bulletproof vests and camo fatigues, for effect, for whatever reason. When the shooting started, they began to run, he said. And because they ran in the middle of the shooting, he said, the police on the scene viewed them as suspects. Someone is shooting at you from a perched position, and people are running with AR-15s and camo gear and gas masks and bulletproof vests, they are suspects, until we eliminate that. Doesnt make sense to us, but thats their right in Texas, he said. He declined to say whether he supported restricting the carrying of rifles on the streets. On Saturday, President Barack Obama also told reporters that one of the challenges for the Dallas officers who were being shot at was that Texas was an open-carry state. Imagine if youre a police officer and youre trying to sort out who is shooting at you and there are a bunch of people who have got guns on them, Obama said. One of the states most prominent open-carry activists, C.J. Grisham, the founder and president of Open Carry Texas, disputed the extent of the confusion caused by marchers carrying rifles. In videos from the scene, he said, you can see that police are walking right past people who are open-carrying rifles and its not a problem. So obviously its not that difficult to tell who the good guys and the bad guys are. It was unclear what effect the comments from Rawlings, Brown and Obama would have on Texas gun laws. Pro-gun Republicans control the governors office, the state Legislature and all but one of the nearly 30 statewide elected offices. Alejandro Garcia, a spokesman for Lt. Gov. Dan Patrick, said that Patrick was not surprised the president and anti-gun forces are once again attempting to use a shooting tragedy to score points for their own political agenda. The Rev. Terry Holcomb, an open-carry leader and a pastor of the Crossroads Baptist Church in Oakhurst, Texas, said he and others would oppose any efforts to ban the open carrying of so-called long guns as a result of the Dallas attack. You would expect something like this in New York or California, but it will not see the light of day in Texas, Holcomb said. Lets just call it for what it is: The liberal left is anti-Constitution and anti-liberty. Even Democrats said they were not optimistic that substantial changes to Texas gun laws were in store. From my perspective, I dont see anything changing in Texas, said Rep. Beto ORourke, a Democrat, who represents El Paso. The legality of carrying a rifle on the streets is just one element of a gun culture that continues to define and divide the state. It is not just that many Texans are armed. It is that many are allowed to display the fact that they are armed, and more now do so than at any point in modern Texas history. Beyond the carrying of rifles in public a tactic used by a small group of pro-gun advocates more than 1 million Texans have state-issued permits to carry concealed handguns. Last year, the Legislature voted to give those with concealed-carry licenses the option of carrying their weapons unconcealed, in holsters on their hips or on their shoulders. That law, which is now in effect, did not affect the carrying of rifles in public. Lawmakers also allowed students and faculty members at Texas public and private universities to carry concealed handguns into classrooms and other campus buildings. The law, which has drawn fierce opposition at many universities, takes effect on Aug. 1. Amid the confusion on the scene, the Dallas police on Thursday released a picture on social media of one of the armed marchers. The police called the man a person of interest and asked for the publics help in identifying him. That man, Mark Hughes, turned himself in and was later released. The other armed man was not allowed to legally carry a gun, and the police arrested him on a misdemeanor charge. He appeared to still be in custody on Sunday. A woman who was with the two men and who was detained was later released. A lawyer for Hughes said that his client was simply exercising his rights in Texas when carrying his rifle at a demonstration. The lawyer, Michael C. Campbell Jr., said, Hes within the parameters of the law. When Wally the whale washed up dead at Los Angeles popular Dockweiler State Beach just before the Fourth of July weekend, the 40-ton carcass was towed out to sea in hopes it would decompose far from the shoreline, allowing nature to take its course. But the bobbing, 45-foot whale didnt stay in the open ocean. Instead, it drifted close to shore in Newport Beach on Sunday, with lifeguards spending the day towing it away from the crowded beaches. Then a strong south wind overnight brought the humpback close to shore Monday and lifeguards were forced to haul it back out to sea once again. What they dont want is a situation similar to what happened at the popular Trestles surf break south of San Clemente in April: a rotting carcass washing ashore and stinking up the shoreline. It becomes a cleanup; the biomass of it is a huge amount, said Newport Beach lifeguard Battalion Chief Mike Halphide. People love nature until its rotting on their doorstep. Not to mention the stench could attract predators namely sharks which experts and longtime lifeguards say have been increasing in number and getting bigger off Orange County in recent years. A woman nearly died in late May when she was bitten by a 10-foot great white shark off Corona del Mar, not far from where the dead whale is threatening to wash ashore. We wouldnt want this attracting a food source sitting on our beach, Halphide said. Wally was already famous before washing ashore at Dockweiler weeks ago. Experts for years have tracked the whale, which they first thought to be male but later determined to be female, and it had frequented Orange Countys shoreline. Alisa Schulman-Janiger, research associate for the Natural History Museum of Los Angeles, said the whale appeared to be 15 years old, according to past reports. Wally was regularly spotted last summer from Dana Point to Newport Beach, where she was likely following a food source. Mission Viejo resident and whale enthusiast Mark Girardeau took drone video of Wally last July, with a bright rainbow showing in the whales spout. The video on YouTube went viral, generating more than 1 million page views. Girardeau also documented lifeguards Sunday towing Wally back out to sea, watching from the Nautilus out of Newport Landing Whale Watching, captained by Mike Mongold. People on the boat seemed kind of sad, Girardeau said. But some were happy because its something rare; we dont usually see a dead whale. For Girardeau, it was a somber moment. I know its just a wild animal, but it was a whale that became popular, he said. It was friendly. Girardeau said he knew it was the same whale because of markings on the tail. Its like a fingerprint, he said. Halphide said a call came in about 8:30 a.m. Sunday when the whale was three-quarters of a mile from Orange Street near West Newport. Lifeguards were able to hook onto an existing line from when it was towed out by Los Angeles lifeguards. The boat towed it until 5 p.m., able to travel only 11 miles because of the creatures size and weight. To say its large is an understatement, he said. They took it north of an area called 14-Mile Bank. The idea is that eventually it would sink, said Halphide. According to a story on GrindTV, Wallys carcass was being chomped on by great white sharks, the largest being 18 feet long, last week off the Palos Verdes Peninsula. A shark research boat reportedly was attacked by one of the sharks seven times. On Monday, the south wind had brought it back to about 4 miles from the Newport Pier, where Halphide could see the whale with his binoculars. So they spent Monday dragging it out to sea again, though theres no guarantee it wont wash up again on a beach farther south. In 2002, Newport lifeguards towed a dead blue whale out to sea, and a big great white shark was captured in photos sinking its teeth into its corpse. That whale ended up washing up near the San Onofre trails. Contact the writer: lconnelly@ocregister.com When it comes to weird tourist attractions, its hard to beat a stuffed black bear that died of what many people consider the worst cocaine overdose in history. Nicknamed Pablo EscoBear, the unique tourist attraction is currently on display at the Kentucky for Kentucky Fun Mall, in Lexington. On December 23, 1985, the New York Times reported about a 175-pound black bear that had apparently died of an overdose of cocaine in Georgias Chattahoochee National Forest. Three months earlier, drug smuggler Andrew Thornton II had dropped large quantities of drugs from an airplane over that area, before jumping from it himself. Unfortunately, Thornton got tangled in his parachute and fell to his death in someones yard, in Knoxville, Tennessee. Before turning to a life of crime, Kentucky blue blood Andrew Thornton II had worked as a narcotics officer of all things, and then as a lawyer. It is believed that he had built his network of connections during his time on the right side of the law, before becoming a drug smuggler. He was on a coke-smuggling run from Colombia when he dropped 40 plastic containers full of cocaine in Chattahoochee National Forest. Photo: Kentucky for Kentucky But instead of cocaine worth tens of millions of dollars, police found just 40 open containers with traces of cocaine in them, and a dead black bear. The animal had apparently feasted on the entire load and subsequently died of an overdose. Its stomach was literally packed to the brim with cocaine. There isnt a mammal on the planet that could survive that, the now-retired medical examiner who performed the bears necropsy recalls. Cerebral hemorrhaging, respiratory failure, hyperthermia, renal failure, heart failure, stroke. You name it, that bear had it. A black bear dead from a cocaine overdose is not something you come across every day, so instead of cremating it, the medical examiner decided to have it stuffed. The Cocaine Bear was then gifted to the Chattahoochee River National Recreation Area, where it was displayed in the visitor center, behind a plaque, without any mention of his special origin. 31 years later, the guys at Kentucky for Kentucky remembered the legend of the Cocaine Bear and decided to track it down. It was easier said than done, as over the last three decades, the stuffed animal had changed hands several times. During the early 90s, the threat of an approaching wildfire prompted the Chattahoochee River National Recreation Area to move its exhibit to a nearby warehouse in Dalton. From there, it was eventually purchased by country legend Waylon Jenning, who thought it would make the perfect gift for his good friend Andrew Thornton, a Kentucky native turned hustler in Las Vegas. So the Cocaine Bear lived in a Vegas mansion until 2009, when Thompson died. His possessions were then auctioned off and the legendary bear was purchased by Zhu Tang, a Chinese gentleman living in Reno, for just $200. Photo: Kentucky for Kentucky When Kentucky for Kentucky tried to get in touch with Tang, his wife told them that he had passed away in 2012, but that the bear was still in his old office. She had never liked it and even after hearing its bizarre story, she was more than willing to part with it for free, as long as whoever was interested agreed to pay for the shipping. So they made arrangements, and it is now the main attraction at their Kentucky for Kentucky Fun Mall, in Lexington. Now, people from all around the world are reportedly coming to Lexington for a chance to see the Cocaine Bear, many of them fans of the The Bluegrass Conspiracy book inspired by Andrew Thornton IIs exploits. Sources: Kentucky for Kentucky, Lex18, New York Times Jennifer Hawkins We all know in agency life that campaigns come and go, clients alter their scope of work on a whim, and journalists, well they always modify our beloved press releases to their advantage. What we become best at as PR pros is change and flexibility. The ability to be one step ahead and skate backwards while tweeting. Our clients look to us to be flexible and to change course when need be. To be ahead of the trend, if not creating the trend. This ability to spot change and be flexible adapters is an asset we use as we create our clever campaigns. Keeping up with the modes of communication alone when reaching out to journalists requires a full-court team (Snail mail! Faxes! Phone calls! Emails! Tweets!), and with the emergence of Instagramers and bloggers as media targets, publicists must constantly be one step ahead of the changing media landscape in order to do our jobs well. But savvy PR pros know that change and evolution can also be our best ally. Change is the driving force behind trends and they can be the secret weapon for keeping our clients in the news not to mention ahead of the curve in their industries and for enticing media to look to us as expert resources for insight on whats hot and whats next. Trends have been getting ink and dominating headlines since pamphlets were first passed on horseback during the American Revolution. In 1774, the Virginia Gazette was one of the first newspapers to record fashion trends regarding Colonial dress codes, documenting everything from powdered hair pieces to hoop skirts and knee breeches, to how slaves mended, patched and embellished their clothing to create an individual style. Despite hundreds of years not to mention the hundreds of media outlets that have come and gone since trends have prevailed as one of the most frequently covered topics by media. Take for example The Wall Street Journal, where recent headlines include everything from A Furniture Trend that Will Rope You In to Broccoli Rabe is Trying to be the Next Kale and Are High-Tech Hotels Alluring or Alienating? So while virtually everything has changed since the Virginia Gazettes debut, its safe to say that the concept of reporting on fashions and societal inclinations has remained relevant content. Trends by their very nature are driven by the human need for new the variations in human interest, in conversation, communication, and in global news. Consider the current trend of luxury experiential travel where affluent travelers are shifting away from simple leisure and seeking more authentic, hands-on memory-driven adventures. Our fabulously creative Napa Valley client knows travelers dont just want to order an expensive bottle of wine to accompany their gourmet meal, they want to visit the vineyard, pick the grapes, cultivate wine and bottle it themselves. Nothing tastes sweeter than sipping the fruits of your labor. This trend may seem fleeting, but it was inspired by a variety of complex cultural and socio-political issues as consumers are aspiring to connect to a local destination and understand where their food and beverages come from, wanting to become familiar with indigenous customs, and ultimately demanding that their vacations provide genuine, authentic experiences. Understanding and recognizing where societys interests are piquing, its clear that any publicist worth their salary, is a voracious media follower. Devouring news from every source pop culture publications and hard news channels, influencer posts and sponsored content from big brands. The simple notion of reading anything and all, yet editing and curating what we digest is one of the gifts a great PR pro possesses. It is the 360-degree perspective news, pop culture, politics, economics that collides to create an overlap in interest and ultimately groundswell trend. Being a skilled trend-spotter also lends itself to cleverly packaging them and turning them into press for clients. That way they dont have to be the news, they just have to be in the news. In being global experts, we can make educated recommendations on what will hook a potential new guest or story-searching journalist. For example, informed of the rise of beer tourism in Vermont, and at a time when craft beer was still just an under-the-radar niche beloved by a tight-knit group of beer geeks, our team identified an opportunity for our new boutique hotel client in Burlington, VT. After being introduced to one of the front desk agents, we quickly learned about his personal passion for this rising trend, and recommended leveraging him to create Vermonts first and only Beer Concierge. What initially started as an informal guest resource for local brewery recommendations evolved into an entire campaign and identity for the property as it created a dedicated hotel package and local tour around the concept. The Beer Concierge program has resulted in more than 170 million print and digital media impressions ranging from The New York Times, Food & Wine, Conde Nast Traveler, Travel + Leisure and Forbes.com. The property also generated over $25,000 in revenue throughout the campaign. This was a true testament to the fact that trends drive media awareness and income. While the evolution of some trends is organic, there is an overarching strategy behind trend-spotting. In addition to daily client counsel, our dedicated team also has a streamlined plan to help our clients prepare for trends throughout the year. We brainstorm and outline relevant trend-driven content containing specific client examples and how to pitch media accordingly. This allows us to not only share forthcoming trends with our clients, but ensure that theyre included and therefore part of the trend stories that will permeate the media from interactive Olympic programming that helps guests celebrate the summer games to highlighting Presidential stays at historic properties ensuring inclusion in Presidential election coverage. Considering trend-spotting as part of our scope-of-work with every single client is extremely important. It benefits our clients because it results in quality media coverage that positions them as trendsetters, ultimately driving awareness and in many cases business. At the same time, it helps us publicists because were able to package our variety of travel clients news as ready-made trend stories to media and serve as an invaluable and trusted resource to journalists. * * * Jennifer Hawkins is founder and president of Hawkins International Public Relations. Loading... OilVoice will be with you shortly... Khan alleges that the CPM government was intervening in varsities matters to appoint close associates of its ministers or their aides, especially as professors and vice-chancellors, flouting all UGC norms. Liz Kay Age: 41 Family: husband and three sons, ages 15, 13 and 11 Lives in: Omaha Profession: novelist, poet Will sign books: at 1 p.m. Saturday at The Bookworm, 90th Street and West Center Road * * * Omahan Liz Kay is a poet, which means her work is rejected about 95 percent of the time. When she does get published, its in little-known literary journals like Willow Springs, Nimrod or RHINO. Kay was hiding out from poetry when she accidentally wrote her first novel. She had an idea, started writing it in prose instead of verse and had finished a book before she knew it. I didnt really admit I was writing a novel until I was about done with it, she said. It was really fun for me to be writing fiction because its so different from the work that I do in poetry, which is very, very spare, very short, a lot of white space. And so just the scale of (writing a novel) was really liberating. The novel, Monsters: A Love Story, was released in June through Penguin Random Houses Putnam imprint. It has gotten raves from Vogue, Library Journal and Nebraska author Timothy Schaffert. The Omaha-set book follows Stacey Lane, a writer, mother and new widow whose novel in verse, a feminist reimagining of Frankenstein, gets the attention of Hollywood A-lister Tommy DeMarco. Together they make a movie and start an affair. They might be made for each other because they might both be terrible people hence the books title. Monsters: A Love Story was actually Kays working title. I didnt dwell on it too much because I assumed that someone was going to make me change it, she said. But I really wanted the title to give a clear expectation of what you were going to be opening. Its a love story, but its not a typical love story. Kays agent and editor liked the title, and it stuck. For the books Omaha setting, Kay used real spots. She didnt name them, but longtime Omahans will know what shes writing about. Blue Sushi and Ms Pub make appearances, along with a few of Kays other favorite places. I wanted people who are from Omaha to feel like theyre on a little treasure hunt, she said. Kay was an Army brat growing up. She says shes sort of from Utah, but Omahas the closest thing to a home shes had. She moved here after she met her husband and got her masters degree from the University of Nebraska at Omaha. Shes been here 17 years now, the longest shes ever lived anywhere. I love Omaha, she said. I know that Stacey doesnt seem to be as in love with the city as I am. I think its such a great city, and it has so much to offer, so I definitely wanted to capture that spirit. I hope that comes through. Kay said the experience of writing Monsters didnt really feel like work not compared with poetry, which for her moves with an excruciating slowness. Novels? What a breeze! Though I dont think the second one is going quite as swimmingly, she said. And while Monsters was a pleasure to write, finding an agent and a publisher? Not so much. If I had taken my first 20 rejections as evidence of the quality of my book, it would be in a drawer, she said. I just refused to accept that it wasnt a good book. You just have to have a ridiculous amount of faith. Here we are, only about halfway through the kids summer break, and I was starting to feel my stress levels rising. How do I keep these little people occupied?! I was running out of creative ways to keep them engaged and entertained not to mention running out of my own stamina and disposable income after all the puzzles, games, books, Legos, dress up, picnics in the park, trips to the pool and museum visits on the rainy days. Summer was starting to feel less and less like a fun vacation and more and more like a stressful job. And then the most wonderful article came up on my Facebook page. See, its not all adorable kid pictures and cat videos; sometimes actual news makes it onto the news feed. It was a link to an article outlining how child development experts and psychologists recommend letting kids be bored. Thats right. The professionals are telling us parents to just chill and let those kids of ours figure out fun for themselves. This is the kind of expert input I can really rally around. Its such a wonderfully reassuring piece. I know I needed to hear the words bored isnt bad. I had been shame spiraling for about a week before I stumbled across it. It was like God was saying, Look at this, sweet momma! Worry not, for boredom is good. And the Lord said it was good, and so it was. The pros actually make a pretty compelling argument for why bored isnt bad, and to let the kids find themselves less than engaged. When we as parents spend our time running around scheduling exciting engagements to occupy and enhance our little peoples lives, we are actually depriving them of the opportunity to find fun for themselves which, it turns out, is a very important life skill. Boredom breeds creativity and helps kids find out exactly what it is they like to do in life something that cant be taught or scheduled. Who knew that by letting them do nothing they are actually doing something? And then I watched it happen firsthand. It was a rainy day and the kids were bored. I was being a big screen meanie and not letting them watch any television and, instead, told them to go find something to do. They sulkily retreated to their bedroom. After the initial whining that there was absolutely NOTHING to do, something magical happened. They had been quiet for about an hour, so I went to check in on how my bored children were passing the time. They had created an entire make believe space station. Their room was an absolute mess and I couldnt have been more excited to see it. It was unbelievably elaborate. They constructed walkie talkies out of Legos and made a Mars habitat out of bed sheets and chairs. Their tepee became the surface lander and they wanted to know if I could send a shipment of space snacks from Earth. Now, Im not suggesting you cancel your summer camp plans or pull your kids out of swim team, but maybe just take a breath and let yourself off the hook when it comes to scheduling something fantastic for every minute of summer break. Maybe your kids will find that the whole world is just right in their own room. *** Nearly a decade ago, Carnegie Mellon University researchers surveyed a group of graduating college students and found just 7 percent of women said they had tried to negotiate their initial job offers, compared with a whopping 57 percent of men. This negotiation gap appears to stubbornly persist among todays young workers, though its less dramatic. The latest evidence comes from Earnest, a lending company in San Francisco, which recently asked 1,005 Americans nationwide, ages 18 to 44, about their approach to conversations about pay. Forty-two percent of men in the reports youngest age group, 18 to 24, reported asking for more money, compared with 26 percent of their female peers. The chasm appears to close with age, at least in these data: Forty-three percent of women ages 25 to 34 said they negotiated a job offer, compared with 35 percent of their male counterparts. Negotiations, of course, dont always yield favorable results. In Earnests 18-24 age group, the men were more likely to have a successful negotiation when compared with women, by a margin of 24 percent to 16 percent. In the 25-34 group, women were more likely to successfully bargain. Older men and women had about the same odds. No matter the experience level, firms apparently shut down workers left and right. Overall, the data show that its young women, perhaps in their first or second jobs, who shy away most from the negotiation process a perplexing revelation, considering women are outpacing men in college enrollment and degree attainment. So, whats going on? The Earnest survey didnt elaborate on the meaning of negotiate. So, the women in the older age brackets may have found more success in asking for benefits such as flexible schedules, rather than simply higher salaries. More generally, women who opt out of negotiating arent succumbing to some confidence problem, said Hannah Riley Bowles, a Harvard lecturer who studies gender in negotiation. They might be more accurately reading the social climate. They might see its just not a good idea. The answer has more to do with how women are treated when they negotiate than it has to do with their general confidence or skills at negotiation, Bowles wrote recently in the Harvard Business Review. Their reticence is based on an accurate read of the social environment. Women get a nervous feeling about negotiating for higher pay because they are intuiting, correctly, that self-advocating for higher pay would present a socially difficult situation for them, more so than for men. In three 2006 experiments, subjects of both sexes were asked to think like hiring managers and evaluate mock job negotiations. They penalized women more than men for making extra demands. That happened whether they watched women negotiate on video or read about their efforts on paper. People found men who negotiated to be generally more persuasive, even if they followed the same script as female hopefuls. Bowles and her colleagues theorize this may have something to do with an unspoken social norm that women are expected to be team players and men are supposed to be bold leaders. An April study from the Harvard Business School and Stanford University, meanwhile, found that always opting to negotiate a job offer, regardless of the circumstances, might actually backfire. Researchers set up an experiment in which people playing workers and firms entered wage-setting discussions. They forced some women to negotiate every offer and gave others the choice to accept the initial package or push for more. When women were forced to negotiate, their overall wages actually dropped. The rate by which final wages fell below the initial offer increased from 9 percent to 33 percent. In light of such complexities, the authors wrote, women may be good judges of whether or not they should lean in. Univision Holdings Inc. has sued Charter Communications Inc., accusing the cable company of attempting to shortchange it on programming fees after acquiring Time Warner Cable Inc. The case highlights the tension between programmers and distributors as pay-TV subscribers decline. Charter claims it is entitled to pay lower programming rates under a long-term contract between Time Warner Cable and Univision that runs through June 2022, according to the lawsuit filed last week in New York. One reason that Charter bought Time Warner Cable in May was to save on programming costs by paying Time Warner Cables rates. The combined company has millions more subscribers now, giving it more leverage when negotiating fees with programmers to carry their channels. Cable operators are trying to reduce the rising cost of programming, because they must pass on the costs to consumers in the form of higher monthly bills. That, in turn, is prompting more customers to cancel their pay-TV service and cobble together cheaper online options like Netflix Inc. and Dish Network Corp.s Sling TV, putting more pressure on the TV industry. Univision, the largest Spanish-language broadcaster in the U.S., accused Charter of breaching their contract by using the acquisition to impose fees that are dramatically below current market prices for the networks content. Charter, based in Stamford, Connecticut, closed its $55 billion takeover in May. The company says it intends to phase out the Time Warner Cable brand, which has earned low marks from customers for years. Charters contract with Univision expired June 30. Univision said when it went to renegotiate the rebroadcasting fees, Charter asserted that Univisions deal with Time Warner now covered the entire company. The Omaha Public Schools are snapping up more properties around the sites of future schools and preparing to invoke eminent domain when negotiations with property owners fall through. The district has been steadily buying land around the sites of two proposed high schools one in south-central Omaha, at 60th and L Streets, and the other in northwest Omaha, at 156th and Ida Streets. And OPS is now looking at a site on a busy commercial stretch of Fort Crook Road in Bellevue the old Sears building for a new elementary school. The $421 million school bond program approved by voters in 2014 includes $30.1 million for land acquisition and design for two elementary schools and two high schools. To actually build the schools, voters would have to approve a second OPS bond measure that includes funding for construction costs. Jim Ristow, president and CEO of the Greater Bellevue Area Chamber of Commerce, said residents and business owners have been waiting to see the old Sears building redeveloped since the store closed in 2009. The City of Bellevue drafted a Fort Crook Road redevelopment plan in 2008 to try to reinvigorate the commercial and industrial strip. We certainly would like to see something different happen in that area at some point in time, Ristow said. I dont think youll ever see a retail sector back in that segment. Those days are pretty well gone. The district plans to hold a public hearing on the proposed property purchase at Chandler View Elementary at some point. Last month the school board also approved the $2.075 million purchase of two properties near 54th and L Streets. The land, owned by Florida-based PMB Properties LLC, includes commercial property and a storage warehouse. Among its tenants are the Happy Cab Co. headquarters, and the two sites total about 5.6 acres. They were assessed at a combined $1.23 million by the Douglas County Assessors Office in 2016. The board also signed off on the purchase of a 22-acre piece of land near 156th and Ida Streets for $1.6 million. The land was most recently assessed at $712,300 by the Douglas County Assessors Office. The district also is preparing to move forward with eminent domain proceedings against two properties: the office of energy company Bes-Tech Inc. near the 60th and L high school site and Gilbert Kennels, a boarding kennel for cats and dogs near 156th and Ida. Neither property owner could be reached for comment. At this time we are still negotiating with the property owners in the hopes of reaching mutually agreeable terms, OPS spokeswoman Monique Farmer said. The district has not yet filed any eminent domain actions. OPS board members have expressed some reluctance to invoke eminent domain proceedings against homeowners, but they voted in April to start proceedings against two properties near the 60th and L high school site. OPSs bond counsel said negotiations over prices for those properties came to a standstill. OPS and the property owners of one of those sites, a small apartment complex, have come to an agreement without heading to court, Farmer said. The district expects to buy six to seven properties around the 156th and Ida site and close to a dozen near the 60th and L site. Some homeowners have expressed a willingness to sell, but others say theyll dig in and fight OPSs attempts to acquire their land. High school campuses typically sit on 60 to 80 acres. If built, the new school at 156th and Ida would likely draw students from the Northwest and Burke attendance zones. Burke is over capacity, and Northwests enrollment has been increasing the past few years. The south-central school at 60th and L Streets probably would ease crowding at South and Bryan; OPS plans to build two elementary schools in fast-growing South Omaha. Contact the writer: 402-444-1210, erin.duffy@owh.com Bail was set at $1 million on Monday for Jeffrey Loving, charged in the shooting death of an Omaha man on his 49th birthday. Loving, 31, was charged with first-degree murder, use of a weapon to commit a felony and possession of cocaine in connection with the death of Mickey Washington Jr. last Thursday. Loving must post 10 percent, or $100,000, to be released from jail. Lovings next court appearance is set for Aug. 24, when a preliminary hearing is scheduled. An attorney for Loving said he was a lifelong Omahan who has no children and had earned his GED. A lawyer from the Douglas County Attorneys Office said Lovings uncle Ted Loving was behind the wheel of a vehicle when Washington, a passenger, was shot by Jeffrey Loving. Washington was taken in grave condition to the Nebraska Medical Center, where he died. Washington had left Florence Home Healthcare, where he lived, last Thursday with a relative to celebrate his birthday, a spokesman for the north Omaha nursing home had said. Soon after, Washington who had diabetes, kidney failure and was on dialysis and disability was slain, shot in the head at 28th and Laurel Avenues. Washingtons daughter, Marneshia Washington of Kansas City, said last week that her father had three children and several grandchildren. The Omaha Police Department has placed an officer on paid administrative leave pending an internal investigation after he posted what the department said was potentially inappropriate content on his personal Facebook page. Police announced the decision regarding Omaha Police Officer Bryan Kulhanek on Monday afternoon. A spokesman had said Sunday night that officials were looking into Kulhaneks Facebook comments criticizing Black Lives Matter. Kulhanek posted the Facebook message against the national movement that arose in response to police shootings that have claimed black peoples lives. BLM is NOT a pro black group, Kulhanek wrote in what he called the Cliff Notes version of an earlier, longer post. They are a anti white police group. They are racist plain and simple. Thousands of blacks are killed by other blacks. Innocent black children are killed in the crossfire. Black police officers murdered. And they are completely silent. The post, and others that were attributed to him and were more inflammatory but could not be verified, prompted a Twitter campaign to have him fired from the police force. Kulhanek posted a note on his Facebook page Sunday saying that Facebook had taken down his earlier comments. By Sunday evening his site was blocked from public view. Kulhanek was hired by the Police Department in October 1998, police said Monday. John Wells, the president of the Omaha police union, declined to comment on the departments decision to place Kulhanek on leave. I dont comment on pending personnel matters, Wells said. Just like every American, hes entitled to due process, and well wait and go through the process. Earlier Monday, Wells said: Officers are citizens of the United States of America, and they are entitled to their First Amendment rights. I dont know that its our place to comment on anybodys political views. It has nothing to do with the association. Following the attack on officers Thursday in Dallas in which a gunman killed five officers and wounded seven other officers, Omahans held a peaceful demonstration Friday. People rallied in support of Black Lives Matter and also showed their support of police. In Overland Park, Kansas, the Police Department fired an officer Friday for a Facebook post in the wake of the Dallas slayings. A criminal investigation is also underway. Overland Park Police Chief Francis Donchez announced the firing in a Facebook post Friday and apologized for the officers statement. The chief did not elaborate on the officers post, but the Kansas City Star has reported that the officer made reference to the safety of the child of a black woman in Dallas. According to the Star, the officer posted this on the Texas womans Facebook page: Well see how much her life matters soon. Better be careful about leaving your info open where she can be found :) Hold her close tonight itll be the last time. Donchez said the department confirmed that the officer had made the post before firing him. Contact the writer: 402-444-1102, nancy.gaarder@owh.com A 34-year-old Pierce, Nebraska, woman is in custody after an infant was taken from a Norfolk hospital. Norfolk police said Lacee Tuttle, the infants mother, was arrested in Pierce by Pierce police about 5:30 a.m. Monday. The infant, Bentley Tuttle, disappeared from Faith Regional Medical Center with Tuttle about 8 p.m. Sunday, authorities said. Officials issued an Amber Alert about the disappearance a few hours later. The Amber Alert was canceled Monday morning after Tuttle and the boy were found. The baby was born Friday and placed in the custody of the Nebraska Department of Health and Human Services for safety concerns, Norfolk police said. Capt. Don Miller said it was determined that the boy needed to be in a protected environment. Police thought Bentley might be with his mother and her boyfriend, Chad Raff, 29. Police said Monday that Raff was questioned and released in connection with the case. Pierce police took Tuttle into custody under a kidnapping warrant issued by Norfolk police. She was placed in the Pierce County Jail and later transferred to the Madison County Jail, authorities said. The child was placed back in the custody of the Department of Health and Human Services, authorities said. A vehicle sought in connection with the disappearance of the boy was recovered several miles west of Norfolk on U.S. Highway 275 about 4:30 a.m. Monday by the Madison County Sheriffs Office. The child and Tuttle were not with the vehicle, police said. About 5:30 a.m., a family member had contact with Tuttle in Pierce, where police arrested her. Multiple law enforcement agencies assisted with the case, checking various locations for Tuttle and the child. The agencies included the Nebraska State Patrol; the Yankton, South Dakota, police; and the Pierce County, Knox County and Wayne County Sheriffs Offices. Contact the writer: 402-444-1259, jay.withrow@owh.com Trade ties are fine, but can India win the internal factionalism as a trading partner Feature oi-Pallavi Sengupta Prime Minister Narendra Modi's last leg in Africa is almost over. In Nairobi now, after visiting Mozambique, South Africa and Tanzania, Modi hopes to revive the trading relations with the continent that had its history dating back to the 1st century BC. But Africa has a problem of warring politics too, which plays an important role in framing the geo-political-economical scenario of the continent. [Read: Trailing the business and historical relations between India and Africa] Perhaps just a four-nation tour in the African continent is not enough to help the Modi government in building relations, but it has to understand the psychological and the political history of the states too, especially in the wake of unrest in South Sudan, which witnessed a devastating fight between the government and the opposition forced, killing many. [Read: Why Modi visited 4 African countries bordering Indian Ocean] South Sudan may not be India's target, but it narrates a torrid history. The Berlin Conference of 1884-1885 wa sresponsible for the inhuman division of the continent; inhuman for the very reason that the boundaries were drawn irrespective of the topographical and the tribal compositions of a particular region. This led to a permanent scar among the people of Africa, who were united by force, without any cultural similarity. The war began then and the fire continues to blaze the constinent ever since. It was initially the European invaders that were fought, but post independence, the scenario turned into factional war between tribes and the governing body representing them. The wars have gone down, thanks to the heads of the firstly independent countries who have decided that they will abide by the border trend set by their colonizers, not because they made any sense, but because they had to avoid further conflicts. But there still lies an inherent contradiction in the African system-leaders are committed to maintaining consistent borders, and yet as those governments become more democratic, they have to confront the fact that popular will might conflict. [Read: India, South Africa to deepen ties in defence, manufacturing sectors] The political war of Mozambique Mozambique still harbours the reminiscenes of the civil war. The rising tension between the civil war political enemies Renamo and Frelimo has created a massive rift between the government and the people. The tension between the two factions took a drastic turn last year when Renamo's leader moved to a former civil war base in the bush -- threatening a return to war unless the government renegotiated some of the terms of a peace deal signed in 1992. Incidentally, the war of the titans affected the mass immensely, causing them to flee the repurcussions of the continuous tension. But that is not all. Financial instabilities have also seeped in as the Finance Minister Adriano Maleiane admits to secret borrowing exceeding $1 billion (698m) from IMF. [Read: Mahatma Gandhi is okay, but India and South Africa have other issues to address ] While the money is lost somewhere within the nitty gritties of the hierarchy, IMF has refused to help the nation asecond time, seeing discrepency in the country's demands and how they spend the money. Crime and unstable judiciery in South Africa Although South Africa is one of the most advanced countries in Africa, it is ridden with increased crime rate and AIDS. In fact, it has the highest crime rate in the world. Racial discrimination is still inherent. Mismanagement, corruption and mismanagement of public funds are some of the other vices in the country. Corruption in Tanzania The dangerous of the lot, Tanzania is inflicted by corruption even in the highest rungs of the government. Although it is said that corruption has decreased in the recent past. While the Prime Minister and his entire cabinet was forced to resign on corruption charges, an audit by the Tanzanian Central Bank revealed that an estimated US$120 million was lost in a scandal where fictitious local companies were paid. [Read: Not all in South Africa are happy with Narendra Modi's visit there] Bureaucratic, political and judicial corruption are so inherent in the country's functioning that an establishment of the Prevention of Corruption Bureau and the Ethics Inspectorate Departments were framed. The unrest in Kenya A Kenyan group called the Mombasa Republican Council is just a new addition to the already existing 20-plus separatists movements, justifying the unstable political scenario in the country. Now consider this, the Mombasa group wants the country's coastal region to secede, noting its distinct heritage caused due to enturies of trade across the Indian Ocean. This has divided the nation as supporters of the group are more keen on pursuing borders that more closely reflect the continet's diversity. Certainly, the Modi government has its hands full. Trading ties may be in place, but India has to overcome a lot to reach the goal. Captain Radhika Menon, First Woman to win IMO Award Feature oi-Lisa By Lisa Women are not seen usually taking up tough jobs. In India at least since last few decades the situation is changing and women are taking up jobs that were once only considered suitable for men. We see women take up jobs in navy too and it may come as a surprise that Indian merchant navy has more than fifty women. The women do their jobs really well and win awards too. One such success story is of Captain Radhika Menon who in November this year will receive an award for exceptional bravery at sea. First woman to receive the award: Captain Menon is the first woman captain in the Indian Merchant Navy and will be the first woman to receive the IMO Award for Exceptional Bravery at Sea. The Awards ceremony is expected to take place at IMO Headquarters, on 21 November, at the end of the first day of the Maritime Safety Committee (MSC). Reasons why not many succeed: It is very difficult to find successful women in merchant navy as despite being good in their jobs women usually have to quit their jobs as they need to take of their families. Also women during time when they are expecting a child are not able to continue their jobs as they need rest and care. Also being in navy means women will have to be away from family for months together and so women find it really difficult to continue the jobs for long. Why Radhika Menon is an exception: Radhika Menon who is in her mid 40s is an exception to this as she has proved that she is capable for the job as a captain. She is the first Indian women captain of a merchant navy ship. From her school days she was determined that she will not live ordinary life and do a 9 to 5 job. She joined Indian navy after clearing her class 12 exam in the year 1991. Her first job in navy was to look after the communication system of the ship. She was the first woman radio officer of India. She continued appearing for exams and kept excelling in her career. She cleared her master's certificate exam in 2010 and sailed in MT Suvarna Swarajya twice before she took charge of the ship as captain. As per Captain Radhika balancing both the worlds is the key to success. She is married and a mother of seventeen-year-old son. The fact that her husband was a radio offider must would have helped her as he would know the pressure of the job. Lucky Captain Radhika has her husband and son join her sometimes when she is sailing. Staying away from the family for six months when she is at sea is difficult but the Captain says she spends quality time and makes up for the lost time when she is home for six months. Captain Radhika prefers to sail along the Indian coast so that she can spend more time with her family. As per her once the crew members realised that she was good at her job the task of managing a ship became easy. Just that she has to do her job better than male captains do. The award she won: Captain Radhika will be awarded the 2016 International Maritime Organisation Award for Exceptional Bravery at Sea for her role in the dramatic rescue of seven fishermen from a sinking fishing boat in tumultuous seas in the Bay of Bengal in June last year will be given to Captain Radhika Menon who is the Master of the oil products tanker Sampurna Swarajya. The International Maritime Organisation - is the United Nations specialised agency with responsibility for the safety and security of shipping and the prevention of marine pollution by ships. Nomination and selection for the award: Captain Menon was nominated by the Government of India, for the rescue of all seven fishermen from the fishing boat Durgamma, which was adrift following engine failure and loss of anchor in severe weather. Food and water had been washed away and they were surviving on ice from the cold storage. The IMO Council, meeting for its 116th session in London, endorsed the decision of a Panel of Judges that Captain Menon displayed great determination and courage in leading the difficult rescue operation in the Bay of Bengal in June last year. Rescue operation undertaken by Captain Menon: Through wave heights of more than 25 feet, winds of more than 60 knots and heavy rain, on 22 June, the second officer on the Sampurna Swarajya spotted the boat 2.5 kilometres away, off the coast of Gopalpur, Orissa. Captain Menon immediately ordered a rescue operation, utilising the pilot ladder and with life jackets and buoys on standby. It took three arduous attempts in the lashing wind and rain and heavy swells before all seven weak and starving fishermen, aged from 15 to 50 years old, were brought to safety on board the ship. Their families had already considered them to be lost at sea, but thanks to the rescue, led by Captain Menon, they were reunited with their loved ones a few days later. About the award: This annual Award was established by IMO to provide international recognition for those who, at the risk of losing their own life, perform acts of exceptional bravery, displaying outstanding courage in attempting to save life at sea or in attempting to prevent or mitigate damage to the marine environment. Nominations are scrutinised by an Assessment Panel made up of members of non-governmental organisations in consultative status with IMO, under the chairmanship of the Secretary-General. Subsequently, a Panel of Judges meets (under the chairmanship of the Chairman of the Council, with the participation of the Chairmen of the Maritime Safety Committee, the Marine Environment Protection Committee, the Legal Committee, the Technical Cooperation Committee and the Facilitation Committee) to consider the recommendations of the Assessment Panel and to select the recipient of the Award. The recipient of the Award is invited to a special ceremony at IMO to receive a medal and a certificate citing the act of exceptional bravery performed. There are three categories of honour: first, the Award itself, for the nominee judged to have performed the most outstanding act of bravery from among those described. Secondly, Certificates of Commendation are awarded to nominees who have committed acts of extraordinary bravery. And, thirdly, Letters of Commendation are sent to those nominees who are judged to deserve some special recognition for meritorious actions. For Breaking News and Instant Updates Allow Notifications Story first published: Monday, July 11, 2016, 10:59 [IST] 'Plant trees instead of building me a memorial,' Anil Dave's last wishes Challenges ahead of Anil Madhav Dave - New Environment Minister Feature oi-Lisa By Lisa The new Minister of Environment, Forest and Climate Change, Anil Madhav Dave is an expert in river conservation. Being an environmentalist himself, he cycles to work. It is not that he arrives to Parliament on cycle for photo opportunity, he has been doing it always. On assuming charge of his ministry he had said that he would maintain a balance between development and environment. Challenges for the new minister: Mr. Dave has been appointed as minister of state (independent charge) for environment, forest and climate change at a time when the government is being blamed for diluting environmental laws. I added a video to a @YouTube playlist https://t.co/QNZAUqdipC MoEFCC Shri Anil Madhav Dave holds meeting with senior officials Anil Madhav Dave (@anilmdave) July 6, 2016 The Forest Rights Act, 2006, for which the opposition is planning agitations saying that the rights of tribals and other forest dwellers have been diluted. He will have to work more tightly on country's forest policy as the ministry has been mired in controversy for promoting the idea of allowing industries to use government's forest land. The ministry is said to be working for ease of doing business rather than protecting environment. It is said that the ministry gives environmental and forest clearances at a very fast rate. With regards to hydro power projects on River Ganga the new environment minister feels that every river should flow. This puts him at loggerheads with water resources ministry. What goes in favour of Anil Madhav Dave: Here are some strengths for which he has been given the portfolio of Environment, Forest and Climate Change. He has been actively involved in conservation work on the Narmada river. He was also part of the parliamentary forum on global warming and climate change. He is an accessible person and prefers to work in informal way. On assuming charge of his ministry he had told officers, "I work hard. Since I do not have kids and don't have to take them out in evenings, you might have problems (due to late working hours). But I will ensure that your evenings and dinners are not spoiled." He has written a book on climate change called Beyond Copenhagen. He has been member of various parliamentary panels such as those on water resources. 1 crore saplings to be planted on Kalam death anniversary India oi-Oneindia By OneIndia Defence Bureau Ramewswaram, July 11: House of Kalam is gearing up to observe the first death anniversary of former President Dr A P J Abdul Kalam here on July 27. Elaborate plans are in place to mark the anniversary by launching few initiatives that were closer to Dr Kalam's heart. Former President was laid to rest at Pei Karumbu in Rameswaram last year. The proposed Kalam Memorial is being planned here, with a fencing wall already constructed by the Defence Research and Development Organisation. A family member from House of Kalam told OneIndia on Monday that around 1 crore saplings are being planted across India on July 27. "We are undertaking some missions that were closer to Dr Kalam's heart on the same day," says a family member. The July 27 events at Rameswaram is being organised by Dr A P J Abdul Kalam International Foundation (AKIF). AKIF wants to spread the library concept across homes, schools and colleges. "We want to launch Kalam Libraries in schools to promote the art of reading. We will provide 25 books to government schools, if they are unable to set up the library with their own resources," says a family member. The Foundation also plans to set up Kalam Learning Clubs across schools in a phased manner. "The learning clubs will inculcate leadership qualities among schoolchildren in addition to spreading the advancements India made in science and technology fields. We want to spread awareness on PURA concept among schoolchildren," he said. PURA (Providing Urban Amenities to Rural Areas) is a concept envisaged by Dr Kalam. The family is expected to share their thoughts on the events being planned on July 27 at a press meet organised in Chennai today. OneIndia News For Breaking News and Instant Updates Allow Notifications Story first published: Monday, July 11, 2016, 17:29 [IST] 2 houses of Kashmiri Pandits torched, a first since 2008 India oi-Vicky Srinagar, Jully 11: The setting of fire to two houses belonging to Pandits at Pulwama in Jammu and Kashmir has only added to the woes of security agencies. Two abandoned houses belonging to Pandits had been torched by persons protesting the death of Abdul Burhan Wani, the Hizbul Mujahideen terrorist who was gunned down by security agencies. Officials in the state say that this could be a one off incident and may have been a result of mob fury. Over 20,000 attend Hizbul commander Wani's burial When Wani had released a video, he had said that the Hizbul Mujahideen had nothing against the Pandits, but in the same breath warned of dire consequences if Sanik colonies and permanent shelter for the non local migrant population came up. A first since 2008: The torching of the two houses has been viewed very seriously by the security agencies. Security forces have been told to ensure that this incident does not lead to a chain reaction. When the Valley had seen violent protests in 2008 and 2010 no houses of the Pandits were attacked. The police say that they have a contingency plan in place. The police have also urged political leaders to exercise restraint while issuing statements. An independent MLA, Rashid Engineer had said at the funeral of Wani that he had ruled hearts. It is time for the government of India to treat the people of Kashmir as their own he had said. OneIndia News Accession Day: Valley lights up on this day when J&K became part of India Burhan Wani killed: 300 online accounts from Pakistan propagate hate India oi-Vicky Srinagar/ Islamabad, July 11: The death of Abdul Burhan Wani, the commander of the terrorist group Hizbul Mujahideen witnessed widespread protests in Jammu and Kashmir. With the death toll crossing 20 following violent protests, the security agencies are now coping with another problem. Hate mail, propaganda and calls for Jihad from Pakistan. The Union Home Ministry which has appealed for calm has also directed the Intelligence Bureau to step up online patrolling. All efforts are being made to add fuel to the fire from Pakistan, an Intelligence Bureau official informed OneIndia. Hate propaganda: Following the death of Burhan, there are nearly 300 social media profiles that have cropped up. All these handles have found to have hate propaganda. It is clear that those from Pakistan want to push the issue to the limits and ensure that Kashmir burns. Many of the profiles urge the people to take up arms and fight both the state police as well as the Indian army. The profiles urge the people of Kashmir to continue fighting. Security officials have spent nearly 48 hours at a stretch trying to curb the online propaganda. The problem is that there is a sustained attempt being made from Pakistan. One account is blocked only for another one to crop up. For the security agencies it has been nothing short of a nightmare. On one hand they are facing hostility from the locals and on the other hand they have to ensure that restraint is maintained. Moreover, the Amarnath Yatra which had been suspended has resumed and there is a considerable amount of security that has been deployed there as well. OneIndia News Executive-Judiciary relationship in tatters: Cong India oi-PTI New Delhi, July 11: Claiming that Executive- Judiciary relationship has been in "tatters as never before", Congress today accused government of hitting back at judiciary by "delaying" appointment of judges for striking down a law on judges appointments. "Never before in recent history has the Executive-Judiciary relationship been in tatters as now. "Congress places this blame on the Government of India. Modi Government has unleashed several... innuendos, sarcasm, open criticism of court judgements", party spokesman Abhishek Singhvi told reporters. Himself a senior advocate of the Supreme Court, Singhvi deplored the attempts by Government "to obstruct judicial appointments by the back door." He claimed that this was being done by "stealth and covert obstruction" and by "using and abusing" the Memorandum of Procedure to send the message that "government and not the courts have right over judicial appointments". "Whether you agree or disagree with National Judicial Appointments Commission Act, once the Supreme Court has come out with a judgement overruling the Government, there has to be a quietus", he said. Lamenting that this has not happened, he said the most recent example of the obstruction is the "supposed blocking or delay in appointment of 44 recommendees of the Allahabad High Court". He alleged that the same story is being repeated for several other recommendees in different High Courts in India. The matters assumes serious concern, given the fact that the all India High Court strength is 1100 judges of which 400 posts are vacant. Singhvi also alleged that the decision to impose President's rule in Uttarkhand earlier this year was taken the by the government at the behest of local and national BJP leaders. "BJP persisted with this in Court. Ultimately a virtue was made out of necessity by withdrawing it when no other alternative was possible", he said. He said that it has been reported that certain judicial transfers have been preceded by use of unauthorised telephone tapping tactics. "If true, this is direct, serious and unprecedented assault on the independence of the Judiciary", he added. PTI Accession Day: Valley lights up on this day when J&K became part of India Kashmir bleeds, once again: Can India afford to ignore the voices of Kashmiris? India oi-Oneindia By Maitreyee Boruah Srinagar, July 11: For mainstream India, Kashmir is a land of trouble, militancy and bloodbath. One of the world's most beautiful places, Kashmir unfortunately has been deprived of peace for long--always finding itself in the throes of violence. In the last few days, Kashmir is once again seething in pain as 21 people died following large-scale protests by civilians in the wake of the killing of Hizbul Mujahideen commander Burhan Wani by the security forces on Friday (July 8). As blood flows freely on the streets of Kashmir and government machineries make their best efforts to quell people's protests, the plight of common Kashmiris can't be ignored. While most of the debates and discussions revolve around security forces and militants, the voices of the common Kashmiris are often being dishonoured or at times gagged. Once again authorities on Saturday (July 9) suspended mobile internet services in Kashmir Valley to check the spreading of rumours by anti-social elements following the death of Burhan. Curfew-like restrictions were imposed in various parts of the Kashmir valley. How long the centre and state governments can afford to rule by muzzling the voices of the people of the valley? How long the army can fight against young boys as small as nine years old from pelting stones on their convoy? The answers to these complex questions are definitely not easy. But we can always try to listen to the voices emanating from the valley. Here we bring you a few tweets--echoing the sentiments of the local people of Kashmir-- as #KashmirSiege was trending on the micro-blogging site, Twitter, on Sunday (July 10): "Dear world wake up, I got Internet after two days India is starving us, poisoning, gasing & killing us, please save us #KashmirSiege," tweeted Daniyal Bashir (@Daniyalbr). "Use of pellet guns that have blinded more than 50 children -reports on ground #UNForKashmir #KashmirSiege," tweeted Inshah Malik (@InshahMalik). "Where are international authorities? #KashmirSiege," tweeted Fatimah Manshad (@FatimahLove92). "So are we winning or are we losing? I am so confused. All I know is that Innocent Indians are being killed. #KashmirSiege," Darab Farooqui (@darab_farooqui). "Our hearts bleed on #KashmirSiege but our premier adopted criminal silence! It shows he keeps his personal business dear than awam & HRights," Mehreen Sibtain (@Mehreen_Sibtain). OneIndia News For Breaking News and Instant Updates Allow Notifications Story first published: Monday, July 11, 2016, 10:31 [IST] In our better interest: Taliban on NSA level meet on Afghanistan convened by India Like to have normal relation with Pak, but tolerance threshold for terrorism is low: Doval Kashmir unrest: NSA cuts short Africa visit, says solutions will be found India oi-PTI New Delhi, July 11: With unrest continuing in Kashmir, National Security Adviser Ajit Doval returned home today, cutting short his visit to Kenya where he was with Prime Minister Narendra Modi and expressed confidence that solutions would be found to the situation in the Valley. Doval, who has been sent home 24 hours early by the Prime Minister in view of the situation in Kashmir, is regularly taking stock of the developments in the valley with officials. "If there are problems, there are solutions. We are quite confident & competent of finding solutions," he told PTI when asked to comment on the situation in Kashmir. Doval was accompanying the Prime Minister on his four- nation tour of African countries -- Mozambique, South Africa, Tanzania and Kenya. They were to return home tomorrow but the NSA came early. The NSA did not elaborate but official sources expressed confidence the situation would be under control in 72 hours. "People of Kashmir are law-abiding and solidly against terrorism. They believe in peace, prosperity and development," said a government official. At the same time, government sources asserted that those holding the gun and targeting civilians or security forces would be dealt with sternly. Kashmir has been on the boil ever since the killing of Hizbul Mujahideen commander Burhan Wani, who was the poster boy militant, on Friday last in an encounter with security forces in Anantnag. PTI Delhi LG and CM greet people on Diwali, ask people to be mindful of pollution BJP shreds Kejriwal's demand for Lakshmi on notes; calls it his 'new mask' Kejriwal again seeks Sanjiv Chaturvedi as OSD India oi-IANS By Ians English New Delhi, July 11: Days after the central government declined Delhi Chief Minister Arvind Kejriwal's request to depute IFS officer Sanjiv Chaturvedi as his OSD, the AAP leader has urged Prime Minister Narendra Modi to reconsider the decision. The Aam Aadmi Party leader also said that the central government was not properly utilising the services of the officer. "The officer is known for integrity and efficiency. However, it is unfortunate that his services were never utilised properly by the central as well as state government. Delhi government needs his services for the welfare of the people," he said in his letter of July 3 made public now. Kejriwal added: "I request you to kindly once again consider for inter-cadre deputation of Sanjiv Chaturvedi, in a more sympathetic manner, for the larger public interest, for the welfare of people of Delhi." Earlier, Kejriwal had on February 16, 2015 written to the central government seeking the services of 2002 batch Indian Forest Services (IFS) officer Chaturvedi as Officer on Special Duty (OSD) in his office. The central government's eventual 'no' came in June 2016. In his July 3 letter Kejriwal said: "It has been settled practice of our democratic polity that whenever an officer is required as a personal staff by any CM or minister of any government, same is deputed accordingly, irrespective of political differences. "However I am surprised to know that after inordinate delay of 16 months, which included four directions of the courts, the said request has finally been rejected." The central government had said that service rules bar deputation of Chaturvedi as OSD to Kejriwal as he needs to first complete the mandatory cooling-off period of three years before joining the Delhi government. Chaturvedi, of Uttarakhand cadre and a Magsaysay Award winner, is now Deputy Secretary at the All India Institute of Medical Sciences here. The Delhi Chief Minister is also a Magsaysay award winner. IANS Islamic State bomber detained in Russia for attempting attack in India was recruited through Telegram Why India should get access to Islamic State bomber detained in Russia Prosecutions story may be attractive but should be backed by evidence Kerala: Pregnant woman converts to Islam; joins ISIS with husband? India oi-Preeti Panwar New Delhi, July 11: As reports of 17 people from Kerala having joined the Islamic terror group ISIS have emerged, it has also been reported that among them is a 25-year-old pregnant woman. Nimisha, a final year Dental student in Kasaragod, got married to a Christian youth- 32-year-old Bexin, an MBA graduate, in November 2015. Both of them got converted to Islam soon after their marriage. Nimisha's mother, M K Bindu on Sunday, July 10, met Kerala Chief Minister Pinari Vijayan and sought help to locate her daughter. Bindu had submitted a petition before the Chandra police, stating that her daughter is missing and sought a probe. She revealed that she last met her daughter on May 16 this year and on May 18, Nimisha told her that she is leaving for Sri Lanka due to business-related work. She said she was in touch with her daughter till June 4 through messages, but after that, there was no contact with her. Presently, seventeen men and women are missing from Kerala's Kasargod district, in the extreme north of Kerala. The missing include at least 10 men and six women. Kerala Chief Minister Pinarayi Vijayan has said that reports on the missing people, including women, is a serious matter and that police are doing their job. OneIndia News Kerala's tryst with the ISIS continues: More suspected to have joined outfit India oi-Vicky New Delhi, July 11: Investigations are on in full swing after it has been found that at least 20 from Kerala are suspected to have joined the ISIS. The messages that the relatives have been getting from the missing persons are also part of the probe. While some of the messages have come from Afghanistan there are others from Egypt as well. Investigating officers say that it is still unclear where the messages have originated from. The one message that we have tracked is from an Afghanistan number, but it may not be the location from where it has been sent. Arrived at destination: At first the relatives did not want to believe that their near and dear have joined the ISIS. However the messages that they have been getting suggests that they may have left Kerala and joined some group either in Iraq or Syria. Messages such as, " time has come or we have reached our final destination," have sent panic waves among the relatives. Those who have gone missing are either from Palakkad or Kasargod. An officer in Kerala informed OneIndia that it is still not clear whether they had left together or in separate groups. However each one of them had told the family members that they were going on a pilgrimage. The police in other parts of the country while investigating similar cases had found that the missing persons had quoted a pilgrimage before making their advent into ISIS territory. The police officer says that it is too early to come to any conclusion and only further probing will help ascertain the right details. OneIndia News For Breaking News and Instant Updates Allow Notifications Story first published: Monday, July 11, 2016, 8:53 [IST] A dream, a call and some courage: How a 15-year-old stopped her marriage Mamata Banerjee expresses concern over J&K situation India oi-PTI Kolkata, July 11: West Bengal Chief Minister Mamata Banerjee on Monday expressed concern over the present situation in Jammu and Kashmir where clashes between protesters and security personnel following the killing of Hizbul commander Burhan Wani left 23 dead and over 250 injured. "We are all concerned about J&K. It is close to our heart. So many lives have been lost. We pray for peace, prosperity and humanity," Banerjee said in a statement. A police station was torched in Sopore and mobs targeted an air force airport in Pulwama on Monday even as normal life continued to be paralysed due to curfew-like restrictions and separatists-sponsored strike since Saturday. Wani was killed in an encounter by security forces and his death triggered massive protests in the state. IANS PM Modi to address Chintan Shivir of Home Ministers PM Modi likely to visit Arunachal on Oct 30 to inaugurate greenfield airport PM Modi praises spike in India's exports of musical instruments PM Modi pays tribute to Shree Vijay Vallabh Surishwer Ji Maharaj on his jayanti PM to flag off South India's first 'Vande Bharat Express' on Nov 11 Narendra Modi boosts Ties between India and Kenya News oi-Lisa By Lisa Prime Minister Narendra Modi was given a ceremonial welcome in Kenya by Uhuru Kenyatta, the President of Kenya. Prime Minister then went to pay tribute to the first president of Kenya Jomo Kenyatta and remembered his towering personality. Remembering a towering personality of Africa & 1st President of Kenya, Jomo Kenyatta. PM pays his tributes. pic.twitter.com/C6GXwwYg2Y PMO India (@PMOIndia) July 11, 2016 Post which PM was given a ceremonial welcome by President of Kenya. "We have agreed to deepen our security partnership including in fields of cyber security, combating drugs & narcotics & human trafficking." After addressing the joint press meet, Prime Minister Narendra Modi handed over a model of Bhabhatron to President of Kenya. Bhabhatron is state-of-art nuclear medicine cancer therapy machine, developed and manufactured in India. The Prime Minister hands over a model of Bhabhatron to President @UKenyatta. pic.twitter.com/nLYbSgu2YK PMO India (@PMOIndia) July 11, 2016 For Breaking News and Instant Updates Allow Notifications Story first published: Monday, July 11, 2016, 16:12 [IST] Court allows R K Pachauri to travel to Sharjah to get award R K Pachauri gets bail, allowed to go abroad for a month India oi-IANS By Ians English New Delhi, July 11: A court here on Monday granted bail to environmentalist R.K. Pachauri, who has been accused of sexually harassing a colleague, and allowed him to travel to Mexico and the US for over a month. Metropolitan Magistrate Shivani Chauhan took note of the fact that Pachauri was granted permission to travel abroad on several earlier occasions and has complied with the direction of the court. "In these circumstances the accused is permitted to travel as per his itinerary from July 12 to August 14," the court said, asking Pachauri to furnish surety of Rs 2 lakh. Court considers charge-sheet against RK Pachauri The court granted regular bail to Pachauri in the harassment case after furnishing a personal bond of Rs 50,000 and a surety of like amount observing that the investigation in the case has been completed. "He (Pachauri) was never arrested during the probe in the instant case which goes to show that his custody is not required for the purpose of investigation," the court said. "No fruitful purpose would be served by sending the accused person to jail." Pachauri, who was earlier granted anticipatory bail in the case, appeared before the court on Monday in pursuance of summons issued against him on May 14. Delhi Police has chargesheeted the former chief of The Energy and Resources Institute (TERI) under the Indian Penal Code's sections 354A (advances involving unwelcome and explicit sexual overtures), 354B (using criminal force against a woman), 354D (stalking), 509 (word, gesture or act intended to insult the modesty of a woman) and 341 (wrongful restraint). The court fixed October 6 for further hearing. A set of copy of the chargesheet was supplied to Pachauri. Pachauri was accused of sexually harassing a female colleague in 2015. He stepped down as chairperson of the UN Intergovernmental Panel on Climate Change in February last year and proceeded on leave from TERI, where he was the director general. In November, the woman researcher who accused him of sexual harassment quit her job at TERI, alleging she was treated badly. TERI denied the charges. On February 8, Pachauri was appointed executive vice chairman of the organisation. Following severe criticism, on February 12, he went on indefinite leave from the organisation. IANS Man booked for tweets against Maha CM; has a history of such posts against leaders Police launch probe into source of funds of Naik's Peace TV India oi-Vicky New Delhi, July 11: Investigators are probing the source of funds for the Dr Zakir Naik run Peace TV. A company in London through which Naik funds the Peace TV is under investigation. The police are trying to ascertain the flow of funds and if there are any banned outfits which are contributing to the channel or his activities. Dr Naik has come under the scanner following a request by Bangladesh which had sought a probe. The request from Bangladesh comes in the wake of of investigators finding that one of the Dhaka attackers had drawn inspiration from Dr Naik's speeches. Controversial Islamic preacher Zakir Naik delays return to India Naik, the Mumbai born controversial Islamic Preacher has been accused of making fiery speeches which have allegedly inspired some extremists. It is alleged that his speeches on Peace TV are fiery in nature and this is inspiring several youth. However the investigators have not yet been able to link him directly to any terrorist group or terrorist. To be questioned Naik who was supposed to land in Mumbai on Monday, July 11 and address a press conference has cancelled the same. He is likely to address the press through video conferencing. Naik currently is in Saudi Arabia. Police officials in Mumbai who are following up the case tell OneIndia that the probe is underway. We had decided on questioning him upon his return to India, officials say. The police are also checking all the speeches made by him and also details of the funding. Further Naik's offices apart from his contacts are also under the scanner of the investigating officials. OneIndia News For Breaking News and Instant Updates Allow Notifications Story first published: Monday, July 11, 2016, 14:31 [IST] Racism: Manipuri woman asked to prove Indianness at Delhi airport; inquiry ordered India oi-Preeti Panwar New Delhi, July 11: In yet another case of racial discrimination, a woman from Manipur was on Saturday, July 9, asked to prove that she is an Indian national, at Delhi international airport. An inquiry has been ordered into the incident. Reports said that the woman was harassed by the airport staff authorities at the immigraton check. The shocking matter came to light when Monika Khangembam, who was scheduled to visit Seoul, narrated her ordeal on Facebook. Union Minister Kiren Rijiju said that an inquiry has been instituted into the allegation of racial harassment and action will be taken if anyone is found guilty. "We are examining the case. We have asked for a full report. There have been previous cases of harassment. We will take action if there was a harassment," he told reporters. The inquiry will be conducted by the Bureau of Immigration, which comes under the Ministry of Home Affairs. After learning about the matter, External Affairs Minister Sushma Swaraj expressed regret over the incident and said she would take up the issue with Home Minister Rajnath Singh "Monika Khangembam - I am sorry to know this. Immigration is not with me. I will speak to my senior colleague Shri @rajnathsingh ji to sensitise Immigration officials at the airport," Swaraj tweeted. Monika posted on the social networking website, "The bloody racist immigration desk at it again! Looks at my Passport and says, "Indian toh nahi lagti ho". I get that all the time so don't react much to it but then he goes on, "Pakka Indian ho?" with a smirk. I still don't react. What really got me was when he said, "See... You yourself need to know your Indianess. How many states are there in India?" The lady at the next counter is giggling. I tell him I am really running late. He goes, "nahi nahi bolo bolo". I helplessly answer him as he is not proceeding with the process. And then he continues, "kaha se ho". I said Manipur and he is like, "so tell me how many states Manipur shares borders with. Name them". I totally lose it and I look away and roll my eyes and don't answer. And he is still insisting me to answer. This bloody moron has all the time to ask all this. I said I was really getting late and he goes like, "aircraft aapko chodke kahi nahi jaa rahi. Aaram se jawab do". What a bully and never felt so humiliated. It was like it was his mission to make me realise my 'Indianness' and he thought he was being funny. I was running late and felt I was giving in to the bully. Spoiled my night. Is there a way to complain against these bullies?" On Sunday night, July 10, Monika again posted, "Last night I was just venting out my frustration on FB about what happened last night at IGI Airport and I had no idea it will get viral and some news agencies will carry the news. A lot of you came out to support me and thank you for that. But I also saw some comments in other pages saying," stupid woman, that's not racism. Immigration people ask all sorts of questions... don't play victim card bla bla" (liberal Manipuris please don't give lectures on reverse racism now. Some other time). Let me get it straight. I wouldn't have minded if he asked me TRIP RELATED QUESTIONS like what's the conference about, how long are you there, where do you work currently, who is funding, what's the purpose etc. It's even perfectly fine to ask where I am from but it's not fine bossing around asking with that sarcastic smile "HOW MANY STATES ARE THERE IN INDIA?" "NAME THE STATES BORDERING MANIPUR?" This is NOT RELATED TO THE TRIP nor I am a kid. And yes I regret not raising the issue then and there as there wasn't much time left for my departure and honestly I was worried he might not stamp my visa. I am right now in Seoul for my programme and I have a hectic schedule ahead so might not be able to do anything from here. I can only do anything after I am back after 15 days. I couldn't get the name of the officer but a friend working at the IGI airport told me they can find out from CCTV footage. Me and a lot of people from North East have constantly faced subtle racist jibes whether in the form of a sarcastic remark, smile, or attitude. Sometimes you cannot define it but you just feel it so you never express. Maybe this time it was something tangible so I could express it. Sadly you have to be killed to prove there is racism. (Richard Loitam, Nido Taniam) We constantly talk about auto walas and rickshaw walas harassing the NE people but what do you do when it's someone who deals with people from all over the world from different backgrounds and ethnicity daily? If they cannot be sensitive then how do we expect others to be respectful?" Such type of treatment is unacceptable, concerned authorities must look into it: Sister of Monika Khangembam pic.twitter.com/w5H3bKMepe ANI (@ANI_news) July 11, 2016 OneIndia News Rajnath speaks to Sonia, Omar Abdullah on Kashmir situation India oi-PTI New Delhi, Jul 11: With Kashmir in turmoil, Home Minister Rajnath Singh today reached out to opposition leaders including Congress chief Sonia Gandhi and former Chief Minister Omar Abdullah, and discussed the prevailing situation there. During the telephonic conversation with Gandhi and National Conference leader Omar, the Home Minister discussed with them efforts to bring back peace and normalcy in Kashmir Valley, which is witnessing violent protests after killing of militant leader Burhan Wani on Friday, official sources said. The Home Minister's discussions with Gandhi and Omar, who ruled Jammu and Kashmir between 2009 and 2015, are believed to be an attempt by the central government to take opposition leaders into confidence. Rajnath Singh assures all support to Mehbooba Mufti In a statement, Gandhi today said there can be no compromise on matters relating to national security even as she voiced anguish over the loss of lives in the clashes. Omar had yesterday said his party was ready to contribute towards maintaining peace in Kashmir but Chief Minister Mehbooba Mufti should take the lead in showing the way. Singh is also speaking to other opposition leaders on the Kashmir situation, sources said. The Home Minister has already spoken at least twice to Jammu and Kashmir Chief Minister Mehbooba Mufti and assured her all central assistance to tackle the violent protests, which so far claimed 23 lives. Meanwhile, the Home Minister reviewed the Kashmir situation for the second time in as many days and instructed officials to do the needful to bring back normalcy in the state. Normal life remained paralysed for the third day today in the Valley due to curfew-like restrictions and separatists- sponsored strike in the wake of the killing of Wani. Mobile internet services continued to remain suspended for since Saturday. Authorities have strengthened the presence of security forces in vulnerable areas of the city and elsewhere in the Valley to contain the protests. Restrictions were being enforced strictly today to avoid any further loss of life or damage to property, officials said. PTI Delhi-NCR likely to choke in the coming days 'Red light on, gaadi off' postponed as LG hasn't given nod: Delhi Minister Russian woman abuse case: Akhilesh keeps Sushma request India oi-PTI New Delhi, Jul 11: External Affairs Minister Sushma Swaraj on Monday sought the help of Uttar Pradesh Chief Minister Akhilesh Yadav in a case involving a Russian woman, who alleged abuse by her in-laws in Agra. The Russian woman posted a video on Twitter in which she mentioned that she was protesting outside the house of her mother-in-law, who is an Indian, and alleged that she was being abused by her. [Saas-Bahu feud: Russian woman thrown out of house in Agra for not bringing dowry!] After seeing the message, Swaraj tweeted requesting Akhilesh to help the woman following which the Uttar Pradesh chief minister informed the minister that the matter was resolved. Swaraj later tweeted thanking Akhilesh. "Thank you Akhilesh ji for resolving this. Such incidents affect country's image," she said in her tweet. Thank you Akhilesh ji for resolving this. Such incidents affect country's image. @yadavakhilesh https://t.co/LpKvOTEi9F Sushma Swaraj (@SushmaSwaraj) July 10, 2016 PTI Delhi-NCR likely to choke in the coming days 'Red light on, gaadi off' postponed as LG hasn't given nod: Delhi Minister Shiv Sena activists block traffic in Punjab, Delhi-Lahore buses diverted India oi-PTI Phagwara (Pb), July 11 : Activists of Shiv Sena Punjab on Monday demanding resumption of Amarnath Yatra from Jammu blocked traffic on NH1 here, prompting authorities to divert two Delhi-Lahore buses. Led by Sena's state senior Vice-President Rajesh Palta, the protesters demanded immediate resumption of the Amarnath Yatra, which had been suspended due to "chaotic conditions" in Jammu and Kashmir, police said. Tension in Kashmir, Amarnath pilgrims stranded in Srinagar The protesters started from Hanumangarhi Temple and converged on National Highway 1, blocking its two sides, leading to long queues of vehicles towards Jalandhar and Ludhiana, they said. Raising slogans against Narendra Modi government and PDP government, they demanded dismissal of J&K government and imposition of President's Rule there. They also burnt a poster of Chief Minister Mehbooba Mufti, police said. In view of the protest, the Delhi-bound Sada-e-Sarhad bus from Lahore was diverted via Kartarpur, Kapurthala, Nakodar, Noormahal, Phillaur onto the NH 1. Lahore-bound bus coming from New Delhi was also diverted from Phillaur, Noormahal, Nakodar, Kartarpur onto the NH 1. PTI Why killing Hizbul terrorist Burhan Wani was "absolutely" necessary India oi-Vicky New Delhi, July 11: In the aftermath of the killing of Hizbul Mujahideen terrorist, Abdul Burhan Wani, there has been widespread violence and not to forget debates by many whether such action was necessary. For one he was a terrorist who posed with automatic rifles giving sermons to take up arms. Two, he had called for a list of police personnel in Kashmir while terming them as enemies. He recruited youth into the Hizbul Mujahideen and some statistics do show that thanks to him the number of local militants outnumbered the foreigners. Officials say that taking up arms is no way to make a point. The killing of police and army personnel is also no way to prove one's point. While this is one part of the story, the other is how dangerous Wani had become. He had become an icon for the youth in Kashmir and he made many believe that his way was the right way. With Hizbul Mujahideen's poster boy Burhan dead, another worry emerges in the Valley He used the social media to his advantage and his posts and pictures had become a rage with the youth. He drilled the point that the only way out was by killing. He had begun setting in a dangerous precedent and had the encounter against him not taken place not only would violence by the Hizbul Mujahideen increase but many more so-called misguided youth would have joined the outfit. Intelligence Bureau officials tell OneIndia that it is such poster boys who are extremely dangerous. We are not trying to indicate that the killing of Wani will end terror in the Valley. But we are confident that recruitments will at least go down now and the youth will understand that no one is invincible the officer also noted. The violence: With regard to the violence that erupted in Kashmir, the officer noted that it was very much on expected lines. Yes on day one the establishment was caught a bit off guard, but then the situation now is under control. What do the security forces who take the bullet every day do. Stop acting against terrorist out of fear that violence may erupt. We cannot let a mob take control over the system. Another officer while speaking about the killing of Wani said that it was a bonafide encounter. Had he not been shot down one of our men would have. Moreover those terming it as an extra judicial killing are wrong because our men too were injured in the operation. It is not as though there were no signals sent to him several times in the past asking him to surrender. He refused to do so and decided that he would continue to kill the security forces and hence ended up meeting the same fate that all terrorists do, the officer further noted. OneIndia News For Breaking News and Instant Updates Allow Notifications Story first published: Monday, July 11, 2016, 11:29 [IST] Woman asks AIIMS doctors for dead hubby's sperm to conceive India oi-Mukul Kumar Mishra New Delhi, July 11: One may not believe this, but it is true. Doctors of All India Institute Of Medical Science(AIIMS), Delhi have received an usual request from a woman. Reportedly a widow of a young man has asked for her dead husband's sperms. According to TOI report, woman whose husband recently died on the way to hospital, wants doctors to retrieve sperms of her husband. As the woman doesn't have any child, so she wants to conceive with the help of her husband's retrieved sperms. Reports say that her in-laws have also supported the demand. TOI report says that doctors have rejected the request saying that in our country there are no clear guidelines on postmortem sperm retrieval (PMSR). Though AIIMS doctors have rejected the demand, they want clear cut policy on the issue so that in near future they don't have to face such predicament again. One of the doctors was quoted as saying, "Time has come to have guidelines about the procedures to collect sperm posthumously, to preserve them and to effectively use them with maximum benefits to the individual as well as society". Doctors says that whole process of sperms retrieval can be done within 5 minutes but there are lots of legal and ethical issues involved with the process. Doctors believe that dead bodies' sperm survive for a day and it can be retrieved within that span of time. OneIndia News Zakir Naik's return to India may take time India oi-Vicky New Delhi, July 11: Controversial Islamic preacher, Dr Zakir Naik is unlikely to return to India soon. Due to some commitments it is likely that he may return to India only after a couple of weeks. Police launch probe into source of funds of Naik's Peace TV Sources say that he is likely to come back after 3 weeks. However police officials in Mumbai informed OneIndia that they will still keep a tab to see if attempts to slip in without their knowledge. The Mumbai police had expected him to land in India today. They had decided to question him upon his arrival. However there was an indication that he may not reach India today. In fact a press conference that he had scheduled today too was cancelled. He was expected to address the media through video conferencing. Naik was not in India when the Dhaka attack took place. His name had cropped up after Bangladesh asked India to probe him. It had been found that one of his speeches had inspired a Dhaka attacker. In addition to this, the police are also probing to find out his source of funds and if he had any direct connection with any terrorist group. So far his direct association has not been found. The police are also scanning his videos posted online apart from the dealings of Peace TV an illegal channel in India on which Naik preaches. OneIndia News Shubham couldn't have enmity with anyone, says father on son's stabbing in Australia Australian PM to decide cabinet following election win International oi-IANS By Ians English Canberra, July 11: Australia's Prime Minister Malcolm Turnbull has on Monday returned to capital Canberra to decide on his cabinet ministers for the 45th Parliament, after he secured victory in the 2016 federal election. Turnbull proclaimed victory on Sunday, Xinhua news agency reported. However, before Turnbull can be sworn into Parliament, he must decide on which ministers will take up cabinet positions, after three cabinet members were not re-elected at the election. Turnbull said there would likely be "many new and younger faces" in the new-look coalition government, to be decided on over the next week. "Regrettably, several ministers have not been returned and so there will be some changes," Turnbull said on Monday. Also prior to the swearing in ceremony, Turnbull must negotiate a new coalition deal with Nationals leader Barnaby Joyce. The Nationals are expected to be in a better bargaining position for cabinet positions after they secured a higher than expected percentage of seats in the lower house at the election. It is expected that Liberal and National MPs will meet in Canberra on July 18, and will be sworn in once Governer-General Peter Cosgrove returns from an official visit to France next week. Debate has continued to rage over the future prospect of implementing an online or electronic voting system for future election; counting continues in five seats which are determined too close to call. Prime Minister Turnbull said he has been "an advocate of electronic voting for a long time", while Labour Leader Bill Shorten said it is unacceptable to not have a clear result in the election eight days after voters went to the polls. "We're a grown up democracy - it shouldn't be taking eight days to find out who won and who lost," Shorten said over the weekend, "We can't afford to let Australia drift for eight days after an election." The elections took place on July 2. IANS Canada judge asks alleged rape survivor: "Why couldn't you keep your knees together?" International oi-Shubham Ghosh Ottawa, July 11: A judge of Canada's Federal Court recently faced flak after he allegedly asked an alleged rape survivor: "Why couldn't you keep your knees together?" Justice Robin Camp, who is now struggling to save his job, later acknowledged that his comments were indeed "hurtful" but reiterated that training and counselling would make him a better judge and that he should not be ousted from his position. Camp will defend himself before the Canadian Judicial Council comprising five judges and counsellors in an upcoming hearing. The veteran judge was charged with six allegations of misconduct following a public protest over his remarks in the 2014 rape case, reported the Canadian Broadcasting Corporation. The case involved a 19-year-old woman who accused one Scott Wagner of raping her over a bathroom sink at a house party. Hearing the case, camp even asked the woman why she couldn't sink her bottom into the basin to avoid being penetrated. Camp had acquitted Wagner but a new trial has been ordered against the latter who has been re-arrested. Oneindia News A plane from Seattle had to return because somebody left a human heart on board! Dallas shooter plotted a bigger assault: Dallas police chief International oi-Sandra Marina Fernandes Dallas, July 11: Days after shooter Micah X Johnson fatally shot five police officers here during a protest, officials have a reason to believe that Johnson was plotting a larger assault. Dallas Police chief David Brown said: "We're convinced that this suspect had other plans." Johnson a veteran who served in Afghanistan, is said to have taken advantage of the protest to execute his plans. Dallas cop shooter was Army reservist in Afghanistan Officials also believe that he took months to plan his sniper attack and practised his combat tactics in preparation. Johnson had opened fire on police officials during the 'Black Lives Matter' march, where people were protesting the the fatal shooting of two Black men. One shooting took place in Minnesota while the other was in Arkansas. Brown said that the police tried to negotiate with Johnson for close to two hours. "He basically lied to us, playing games, laughing at us, singing, asking how many did he get and that he wanted to kill some more," he added. Officials found explosives, rifles and ammunition at Johnson's home and said that he was planning to use those against law enforcement targets. While officials tried to negotiate with him, Johnson told police officers that he wanted to kill more White people. Johnson shot at 12 police officers, killing five of them and injuring many. He served as a reservist for six years until 2015 and was deployed in Afghanistan between November 2013 and July 2014, authorities said. OneIndia News For Breaking News and Instant Updates Allow Notifications Story first published: Monday, July 11, 2016, 11:54 [IST] India slams Pakistan, says 'ironic that it's even speaking of minorities' rights' J&K: Modi-Sharif friendship damaged Kashmir's cause, says Bilawal Bhutto International oi-Shubham Ghosh Lahore, July 11: Pakistan People's Party (PPP) chairman Bilawal Bhutto on Sunday (July 10) alleged that the "friendship" between Prime Minister Narendra Modi and his Pakistani counterpart Nawaz Sharif has "irreparably" damaged the Kashmir cause, Pakistani daily Dawn reported. Zardari, son of late Pakistan prime minister Benazir Bhutto and former Pakistani president Asif Ali Zardari, condemned the killing of civilians in Jammu and Kashmir while protesting against the Indian security forces, the report added. "While Muslims celebrated Eidul Fitr across the world, our Kashmiri brethren marked the day of festivities amid violence carried out by the Indian Army," the report quoted Bhutto as saying. [Sharif condemns killing of Burhan Wani, J&K civilians] Taking a dig at Indian democracy: "Scores of people, including women, were injured on a day that was supposed to be an occasion of joy and celebrations. Kashmiri leaders were denied the right to offer Eid prayers and people were traumatised by brutality of Indian army. Such aggression by Indian authorities has exposed the sham democracy prevailing in India." Bhutto, 27, would return from London this week and address rallies in Azad Kashmir where he is expected to attack India and criticise Pakistani Prime Minister Nawaz Sharif for taking a 'soft stand' in India. He said it seemed Modi and Sharif had taken "a similar standing" over the killings in Kashmir. He also accused Sharif of damaging Pakistan's foreign policy by giving Modi a "certificate of friendship". Oneindia News Slip of Tongue in Pak Parliament: Speaker pronounces Nawaz Sharif's name instead of Shehbaz Sharif Kashmir violence: Nawaz Sharif condemns Burhan's killing; is he trying to avoid home pressure? International oi-Shubham Ghosh Islamabad, July 11: Pakistani Prime Minister Nawaz Sharif has condemned the killing of Hizbul Mujahideen militant Burhan Wani by the Indian security personnel and many other civilians during protests with the forces in Jammu and Kashmir. [Why killing Burhan Wani was "absolutely" necessary] Sharif, who recently returned from London where he underwent an open-heart surgery to face a possible challenge to his authority, made a late-night statement on the issue on Sunday. "The Prime Minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces," Sharif's office said in the statement. Sharif, who has is facing heat from both the Opposition and the Pakistani Army over the allegations against his kin of amassing wealth outside and responding to his Indian counterpart Narendra Modi's goodwill gesture, said it was "deplorable that excessive and unlawful force was used against the civilians" who were protesting against Burhan's killing. He also said such oppressive measures could not stop the people of Jammu and Kashmir from going forward with their demand of "exercising their right to self-determination in accoridance with the UN Security Council resolutions." [Burhan Wani's killing will not end militancy in Valley: Omar] Sharif expressed concern over the deterntion of separatist leaders and asked India to abide by its human rights obligations and resolutions under the UN. Meanwhile, the death toll due to the violence following Wani's death went up to 30. Oneindia News US did not share Osama bin Laden's location with Pakistan due to lack of trust Osama's son Hamza bin Laden threatens US in an audio message International oi-Preeti Panwar Dubai, July 11: The son of slain al Qaeda leader Osama bin Laden has threatened revenge against the US for his father's assassination. Osama's youngest son, 23-year-old Hamza bin Laden sent the warning in an 21-minute audio clip entitled- "We are all Osama", according to SITE intelligence group. According to media reports, Hamza is heard saying as in his latest audio message, "We will continue striking you and targeting you in your country and abroad in response to your oppression of the people of Palestine, Afghanistan, Syria, Iraq, Yemen, Somalia and the rest of the Muslim lands that did not survive your oppression." "As for the revenge by the Islamic nation for Sheikh Osama, may Allah have mercy on him, it is not revenge for Osama the person but it is revenge for those who defended Islam, he further says. On May 2, 2011, Osama was shot dead by the US Navy Seals during a covert operation, at his hideout in Abbottabad in Pakistan. Who is Hamza bin Laden? Hamza's full name is Hamza bin Osama bin Mohammed bin Awad bin Laden. He is the son of Osama's third wife Khairiah Sabar, who was from Saudi Arabia. His first audio message was released in June 2015 and he was first publicly seen in 2001 at the marriage of his brother Mohammed bin Laden, in Kandahar city of Afghanistan. In 2005, a video titled, 'The Mujahideen of Waziristan', Hamza was seen killing Pakistani security forces in Waziristan tribal region. In 2007, his name surfaced in the assassination of former Pakistani prime minister Benazir Bhutto, but later it was said that during that time, he was under house arrest in Iran. In one of the letters recovered from Osama's hideout, it came to light that Osama was reportedly grooming Hamza to be his heir following the death of Hamza's older brother Saad in a 2009 US drone strike. Hamza was with his father in Afghanistan before 9/11 terror atacks in the US. At that time, he was only 10-year-old. OneIndia News Man booked for rape of 12-year-old after video of injured girl surfaces on internet Meerut demolition: Executive Engineer sent to judicial custody Lucknow oi-PTI Meerut, Jul 11: Executive Engineer of the Cantonment Board, Anuj Singh, arrested following the deaths of four people during an anti-encroachment drive, was sent to judicial custody on Sunday. Singh was presented at the local court which remanded him in judicial custody for 14 days, police said. Local businessmen, led by Naveen Gupta, staged a protest outside the court over Assistant Engineer Piyush Gautam not being presented in the court today, they said. [4 buried alive during demolition drive in Meerut] They withdrew their protest after SP (City) Omprakash assured them of strict action against all the accused. In an anti-encroachment drive, Bungalow No 210, was demolished by the Cantonment Board authorities yesterday during which a few people, who apparently were still in the building, were buried under the rubble, leading to the death of four of them. Singh and Gautam were arrested yesterday. Meanwhile, on the direction of Acting District Magistrate Dinesh Chand, City Magistrate Keshav Kumar has begun a magisterial enquiry the report of which has to be submitted within 15 days. PTI Man booked for tweets against Maha CM; has a history of such posts against leaders Controversial Islamic preacher Zakir Naik delays return to India Mumbai oi-Sandra Marina Fernandes Mumbai, July 11: Controversial Islamic preacher Zakir Naik has delayed his return to India. Naik was set to return to India on Monday, July 11 from Saudi Arabia and was supposed to hold a press conference. However, it is now reported that his press conference, scheduled for tomorrow, Tuesday has been cancelled. Naik, whose links to the Dhaka cafe attack are being investigated, is likely to be summoned by the Mumbai Police and National Investigation Agencty (NIA) upon his return. Dr Zakir Naik: The rabid Islamic preacher who causes unrest There is no official confirmation from Naik on his return to India. Meanwhile, security has been tightened near Naik's residence here. Mumbai Police is tight-lipped about the developments on Naik's return but reports suggest that officials will keep a tight vigil on any protests or support marches that might take place. Naik's name cropped up after it is said that two of the Dhaka attack terrorists said on their Twitter profiles that they were inspired by his speeches. Peace TV continued transmission in India despite I&B ministry refusing licence An advisory has been put into effect on the transmission of Peace TV that broadcasts Naik's speeches. As per the advisory issued to all states, a directive has been issued to ensure that the channel is not broadcast. The channel has been a major medium through which Naik would deliver his speeches. Bangladesh government too cracked the whip and banned the channel and intelligence agencies in the Bangladesh are said to be investigating Naik. OneIndia News Mann Ki Baat kiosks to come up in 8 cities this month New Delhi oi-Sandra Marina Fernandes New Delhi, July 11: In an effort to include more public participation in prime minister Narendra Modi's radio programme, Mann ki Baat, the government has decided to set up kiosks in eight cities. According to a report in Economic Times, Modi's aides handling the programme have said that kiosks will be set up in 8 cities-Haridwar, Gorakhpur, Indore, Puducherry, Dehradun, Udaipur, Bhilwara and Chennai. Narendra Modi shares his Mann Ki Baat The government earlier used to take up suggestions via toll-free number and website. By installing kiosks, more people will be encouraged to call in before the broadcast of the programme and it will also help the government to gauge the mood at the grassroot level. In each of his programmes, Modi refers to calls made by people and letter written to him from different states. The government has been strict about avoiding political potshots during the programme and focusses only on people's stories and anecdotes. "The PM does not want Mann ki Baat to be about day-to-day governance matters," an aide was quoted in the report. The programme gets close to 50,000 calls every month on the toll-free number and about 1,200 letter to AIR. OneIndia News For Breaking News and Instant Updates Allow Notifications Story first published: Monday, July 11, 2016, 15:46 [IST] Community Its now easier than ever to connect and chat with others in your local area. You can connect with your community by asking general questions, give area updates and recommendations and even let your community know about local events that are taking place. 2008-2022 One News Page Ltd. All rights reserved. One News is a registered trademark of One News Page Ltd. Eurasia Review 24 Mar 2021 The modern world is more interconnected than before due to the impacts of modernization and technological changes globally... BANG Showbiz 05 Jul 2022 Steven Tyler is "doing extremely well" after leaving rehab and can't wait to get back on stage with Aerosmith. Rumble 22 Oct 2022 The next day, October 18, Corless and her attorney (name unknown) arrived at Pensacola to speak with JAG investigators. Corless had.. BBC News 27 Oct 2022 Clips on social media show hundreds protesting in one of the most tightly-guarded places in China. BANG Showbiz 15 Sep 2022 Theresa May has paid her respects to the late Queen Elizabeth at Westminster Hall, with her husband Sir Philip May joining her at.. 15 Unbelievable Gambling Facts History shows that gambling is able to produce some quite unbelievable stories. Check out these 15 unbelievable game facts that will make your bettor's jaw drop to the table! While modern societys interest in gambling is undoubtedly at an all-time high, our love affair with the popular social pastime was established much, much earlier. Going as far back as Ancient Greece, gambling has had a profound effect on the course of history, resulting in many quirky tidbits being passed into modern casino folklore. In this article, well take a closer look at 15 of the most outlandish gambling facts in existence and explore the stories that led to each one becoming canon. What Happens In Vegas... Where better to start our countdown of unbelievable gambling facts than the home of high stakes action, Las Vegas? Colloquially known as Sin City, the desert settlement has long-since established itself as the worlds most popular gambling destination with millions of visitors flocking to the casinos of downtown Vegas and the Strip every year. With that in mind, its no surprise that some tall tales have emerged from Americas gambling Mecca, and here are five that didnt stay in Vegas. 1) One of the earliest pieces of Las Vegas folklore came back in 1950, when an unnamed sailor recorded an incredible 27 consecutive wins in a game of craps. With the odds against such an unlikely streak coming in at a prohibitive 12,467,890-to-1, you might have though our anonymous hero would have been set for life, but unfortunately his modest wagering meant he only made $750. 2) One man who did walk away from Vegas with an absolute fortune, however, was a 25-year-old engineer, who to this day holds the record for the largest ever land-based slot win. Playing on the Megabucks machine, the lucky gambler managed to out-spin odds of 16.7 million-to-one to land a top prize of $39,710,826.36, instantly earning himself a worthy spot in Sin City gambling immortality. 3) Las Vegas McCarran International Airport is currently the 8th busiest terminal in the world with 850 flights a day and well over 2.5 million visitors arriving each month. With the vast majority of these guests coming to Las Vegas to gamble, it should come as no surprise that slot machines are liberally dotted around the airport after all, a reported 68% of gamblers prefer reel-based games. 4) While weve all heard the expression blue-chip companies before, most of us dont realise that this phrase has its origins in Las Vegas too. Given casinos typically use blue chips to denote higher values than their white or red counterparts, the saying has since become common parlance when referring to an established business enterprise, conveying a sense of prestige and trustworthiness. 5) Although Las Vegas is the most-visited gambling destination in the world, in terms of casino profits and stake-per-player, it actually pales in comparison to Macau. Known as the Vegas of the East, the Asian peninsula reportedly brought in profits of $45.2 billion in 2013, with resident high rollers taking just a day to bet what Vegas veterans typically wager in a week at the casino tables. The Strip A First Time For Everything Of course, while Las Vegas is the perfect source for some eyebrow-raising statistics when it comes to gambling, it would be foolish to assume that it is the only place to look for outlandish facts. With modern gambling pre-dating Sin City by hundreds of years, there are a number of firsts that have occurred all over the globe, many of which have gone on to shape the industry we know today. In light of this, here are five more facts about gambling origins and how certain games came to be. 1) While America is largely seen as the go-to place for gambling activity, the first bricks-and-mortar casino was actually opened in Venice as far back as 1638. Owned by the ever-famous Casanova, the building was used to host civic activities such as dancing, music and gambling, with the name casino actually being derived from the Italian casa a summerhouse or other place of pleasure. 2) The first online casino, meanwhile, was opened in Antigua in 1996. Named InterCasino, the online site originally offered just 18 casino games as well as access to National Indian Lottery, with more titles being added as online players grew accustomed to greater choice over the years. Subject to favourable gambling regulation and tax laws, Antigua still remains a popular gaming hub to this day. 3) Ever wondered where the four suits used in modern decks of cards first originated from? Well, the common belief is the symbols derive from early French decks, where they were used to represent four distinct classes of society; clubs being symbolic of the peasantry, diamonds denoting merchants and traders, hearts representing the clergy and spades being emblematic of the military classes. 4) Another gambling innovation to supposedly originate in France was the worlds first roulette wheel. With records suggesting that French mathematician Blaise Pascal developed the device while trying to create a machine that would remain in perpetual motion, his designs have since been adjusted and adopted by modern casinos, where they now form the basis of the popular table game. 5) Returning to America for a moment, the first ever slot machine was designed by Charles Fey, a car mechanic whose goal was to create something for customers to do while they waited for repairs. Featuring three spinning reels, the machine utilised just five symbols diamonds, hearts, horseshoes, spades and Liberty Bells with the last item on that list giving the slot its iconic name. Las Vegas Boulevard History Is Written By The Winners There has always been a close link between gambling and the well-to-do of society, with everyone from the foremost thinkers of ancient history to the modern political elite known to have enjoyed a flutter over the years. Indeed, with gambling providing an endless source of fascination for scholars and world leaders alike, table games have shaped the course of history in a very real sense and to reflect this fact, our final tidbits focus on the public figures that have been influenced by gambling. 1) The earliest figure of historical importance to leave their mark on the gambling world was undoubtedly the Greek philosopher, Aristotle, who wrote a detailed guide explaining the probabilities of dice and how they can be manipulated. Although the invention of craps would be years later, suffice to say Platos student probably wouldnt be welcomed at most modern casinos. 2) Although not a direct contribution in the field of gambling, table games were certainly the catalyst for one of the greatest inventions of all time: the sandwich. Created by notorious card player John Montagu a.k.a. the Earl of Sandwich the bread-based treat was designed so that he could continue to eat at the tables without getting his cards dirty and is now a popular lunchtime staple. 3) One of the famous gambling stories that has earned its place in American folklore is that of former President, Richard Nixon, who funded his congressional election campaign with the money he won playing cards in the South Pacific during World War II. A more recent example of a prominent politician gambling is Barack Obama, who is also known to have been something of a card-sharp. 4) While its a common saying that the rich get richer, no better was this evidenced than in 2000, when President of Zimbabwe, Robert Mugabe, won 100,000 Zimbabwean dollars playing in a private lottery. Though President Mugabes win only amounted to around $2,600 USD at the time, to this day the controversial leader still remains the only modern Head of State to have won the lottery. 5) Speaking of lotteries, have you ever wondered where the money spent on your losing tickets actually ends up? Over the years, the proceeds from state lotteries have been used to fund many public projects particularly in China, where landmarks such as the Great Wall of China have benefited from an influx of money. We hope that makes you feel better the next time you strike out. Komfie Manalo, Opalesque Asia: The decision of the United Kingdom to leave the European Union in last months referendum has created opportunities for Chinese hedge fund managers who turned bullish on stocks. Not surprisingly, the Chinese managers who are looking to gain in stocks include those who made money during last years market rout in China, Bloomberg reported. Jiao Ji, chairman of the Jilin-province based Sunrise Investment in northeastern China, commented, "I believe the market is basically at its bottom and well keep buying. The systemic risks in the market have been released after all." Sunrise Investment manages roughly $45m in assets. A survey by Shenzhen Rongzhi Investment Consultant Co., which tracks Chinese hedge funds, has found that the number of hedge funds planning to add stock holdings this month jumped to about 76% from 50% in June. The exodus towards stocks was triggered after self-taught hedge fund manager Huang Weiming predicted in June that the Shanghai Composite Index may increase by 18% above its current level or as high as 3,430 in the third quarter. Huang made a name for himself when his fund made more than 6,200% last year by betting on the boom and bust in the Chinese stock index. Huang ...................... To view our full article Click here In the week ending 08 July, 2016, it was reported that North America-based institutional investors account for 62% of capital committed to hedge funds and Europe-based investors 23% respectively, a slight increase from 12 months ago (21%). These numbers refer to institutional investors which have committed more than $1bn to hedge funds. The same report said that pensions are divided regarding further allocations to the hedge fund industry. Other reports claimed hedge funds have provided lower average net annual returns to U.S. pension funds while Dutch pension scheme Provisum said that hedge funds have delivered more than one-quarter of its return. Sweden's AP2 said it prefers alternative risk premia over hedge funds; and Koreas National Pension Service outperformed the worlds top national pension funds with a return of 4.57% last year. SJL Capital LLC has announced the launch of its maiden hedge fund, SJL MarketDNA. Karim Bennani has just received the go-ahead from the UK regulator to launch his own hedge fund, Quantum Investing. GAM has announced the launch of a new merger arbitrage strategy in July; WisdomTree has launched a Eurozone Quality Dividend Growth U...................... To view our full article Click here Michael Collins (Image by anonymous) Details DMCA My guest today is journalist and political observer, Michael Collins. Joan Brunwasser: Welcome back to OpEdNews, Michael. Lots to talk about today. What's your take on the Comey announcement? Was he talking out of both sides of his mouth? Was he hemmed in by political considerations? Michael Collins: FBI Director James Comey shirked his duty as a law enforcement officer and, of equal importance, his role as a citizen by failing to indict Hillary Clinton. His rationale was the inability to prove "intent" to violate the law on the part of Clinton. The lack of intent excuse can be dispensed with so easily. We can do this by referring to the excellent summary of media articles on all aspects of this issue, the Clinton Email Investigation Timeline by Paul Thompson. Here are two of the many examples in the timeilne showing clear intent to violate federal laws and regulations. 1) Clinton was aware that she was violating federal regulations and guidelines by using private email server and account. Awareness of laws and regulations combined with continued violation of of laws and regulations is a clear indication of intent. State Department policy on secure electronic communication was established on November 4, 2005 stating that "normal day-to-day operations be conducted on an authorized [government server], which has the proper level of security control to provide nonrepudiation, authentication, and encryption, to ensure confidentiality, integrity, and availability of the resident information." (US Department of State, 1/12/2016)." Clinton Investigation Timeline Next Page 1 | 2 | 3 | 4 | 5 | 6 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). In response to the killing of five police officers and wounding of seven more, David O. Brown, Chief of Police of Dallas, Texas became the first city or state official to order a remote controlled execution of a suspected killer with whom hours of negotiation had not resulted in surrender. The decision of David O. Brown, the local city police chief, to remotely assassinate the cornered suspect rather than make an attempt to incapacitate him is a stark continuation of what appears to be a U.S. military and police tactic of kill rather than capture. Brown has 30 years of law enforcement experience with training at many police schools including the National Counter-Terrorism Seminar in Tel Aviv, Israel. Due to the past 15 years of U.S. ground and drone wars in Afghanistan, Pakistan, Iraq, Libya and Somalia, many veterans of the U.S. military and CIA paramilitary are on local, state and federal police forces. These officers have served under wartime rules of engagement which should be much different from civilian law enforcement. However, with the militarization of U.S. police forces, it appears that the Dallas police chief used the military tactic of assassination by a remote controlled weapon system to protect the lives of the police and sacrifice the rights of an accused to trial. No doubt the Police Chief will argue that he could have ordered snipers shoot to kill the suspect -- the method of death didn't matter when the decision to kill has been made. The Chief of Police and the President of the United States use the same rationale to execute without trial someone suspected of a crime. Community activists should ask their city council members what rules of engagement their police officers use. I suspect that in many cities the rules say shoot to kill rather than shoot to incapacitate/capture/detain, certainly the statistics on police shootings seem to indicate that the national tactic for police departments is to shoot to kill. Will U.S. government officers at all levels -- national, state and local -- argue that shooting to kill is safer for the police and cheaper than holding a trial, jailing the accused and imprison a person convicted of a crime? It appears that shooting to kill is easier in all aspects whether it's unmanned aerial drones killing people outside the United States or unmanned ground robots with bombs. Next Page 1 | 2 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). Reprinted from Smirking Chimp President Bush and Prime Minister Tony Blair (Image by georgewbush-whitehouse.archives.gov) Details DMCA This week's Chilcot report on Britain's role in the 2003 invasion of Iraq was as polite and guarded as a proper English tea party. No direct accusations, no talk of war crimes by then Prime Minister Tony Blair or his guiding light, President George W. Bush. But still pretty damning. Such government reports and commissions, as was wittily noted in the delightful program "Yes, Prime Minister," are designed to obscure rather than reveal the truth and bury awkward facts in mountains of paper. And beneath mountains of lies. The biggest lie on both sides of the Atlantic was that the invasion and destruction of Iraq was the result of "faulty intelligence." The Bush and Blair camps and the US and British media keep pushing this absurd line. This writer, who had covered Iraq since 1976, was one of the first to assert that Baghdad had no so-called weapons of mass destruction, and no means of delivering them even if it did. For this I was dropped and black-listed by the leading US TV cable news network and leading US newspapers. I had no love for the brutal Saddam Hussein, whose secret police threatened to hang me as a spy. But I could not abide the intense war propaganda coming from Washington and London, served up by the servile, mendacious US and British media. The planned invasion of Iraq was not about nuclear weapons or democracy, as Bush claimed. Two powerful factions in Washington were beating the war drums: ardently -- pro-Israel neoconservatives who yearned to see an enemy of Israel destroyed, and a cabal of conservative oil men and imperialists around Vice President Dick Cheney who sought to grab Iraq's huge oil reserves at a time they believed oil was running out. They engineered the Iraq War, as blatant and illegal an aggression as Hitler's invasion of Poland in 1939. Britain's smarmy Tony Blair tagged along with the war boosters in hopes that the UK could pick up the crumbs from the invasion and reassert its former economic and political power in the Arab world. Blair had long been a favorite of British neoconservatives. The silver-tongued Blair became point man for the war in preference to the tongue-twisted, stumbling George Bush. But the real warlord was VP Dick Cheney. There was no "flawed intelligence." There were intelligence agencies bullied into reporting a fake narrative to suit their political masters. And a lot of fake reports concocted by our Mideast allies like Israel and Kuwait. After the even mild Chilcot report, Blair's reputation is in tatters, as it should be. How such an intelligent, worldly man could have allowed himself to be led around by the doltish, swaggering Bush is hard to fathom. Europe's leaders and Canada refused to join the Anglo-American aggression. France, which warned Bush of the disaster he would inflict, was slandered and smeared by US Republicans as "cheese-eating surrender monkeys." In the event, the real monkeys were the Bush and Blair governments. Saddam Hussain, a former US ally, was deposed and lynched. Iraq, the most advanced Arab nation, was almost totally destroyed. Up to one million Iraqis may have been killed, though the Chilcot report claimed only a risible 150,000. As Saddam had predicted, the Bush-Blair invasion opened the gates of hell, and out came al-Qaida and then ISIS. The US and British media, supposedly the bulwark of democracy, rolled over and became an organ of government war propaganda. Blair had the august BBC purged for failing to fully support his drive for war. BBC has never recovered. Interestingly, this week's news of the Chilcot investigation was buried deep inside the New York Times on Thursday. The Times was a key partisan of the war. So too the Wall Street Journal, Fox News, and the big TV networks. Without their shameful connivance, the Iraq War might not have happened. Bush and Blair have the deaths of nearly 4,500 US soldiers on their heads, the devastation of Iraq, our $1 trillion war, the ever-expanding mess in the Mideast, and the violence what we wrongly blame on "terrorism" and so-called "radical Islam." The men and women responsible for this biggest disaster in our era should be brought to account. As long as Bush and Blair swan around and collect speaking fees, we have no right to lecture other nations, including Russia and China, on how to run a democracy or rule of law. Bush and Blair should be facing trial for war crime at the Hague Court. This is another occasional article in my crusade to put venal corporate America and its pawn, corrupted, captive government, in their well deserved slime light, one that can't be missed by anyone who is observant and not fooled. Nevertheless, this article may shed some further slime light. Industry Overview The industry evolved from the public's and various organizations' and enterprises' needs to be protected at a cost less than being unprotected from the risks that might occur from various mishaps such as those associated with property damage, death, automobile accidents, health care; etc. The earliest instances of transferring or distributing risk date back 5,000 years to Chinese and Babylonian traders. In the U.S. today the industry is a booming trillion dollar annual business comprising over 6,000 companies and employing over two and one-half million people. There are nearly 30 different kinds of insurance offered by the industry. There are even "back-up" reinsurance companies to insure the up-front insurance companies. No company offers all of the different kinds of insurance. Most insurance companies specialize in only one or a few of the different kinds. Overview of the Regulators Because the insurance industry can affect the public in bad ways there are various State and Federal regulatory entities with the purported purpose of implementing and enforcing laws designed to protect the public from wrongdoing by the protectors. Initially, insurance companies were regulated solely by the States where the companies operated, but a US Supreme Court self-reversing decision in the early 19th century led to some Federal laws and implementing regulations such as in cases of price fixing. Not that it mattered though as we shall see. Capture of the Regulators by the Regulated There probably isn't any industry operating intra and inter-state in America that has not "captured" their regulators, making them protectors of the regulated and leaving the public unprotected. That is simply the way America's corpocracy operates; namely, Government America's acquiescence to Corporate America. The insurance industry, of course is no exception. Regulators become the protectors of unscrupulous protectors, so to speak. There are several ways in which the insurance industry has captured State and Federal regulators; by financing political campaigns; by lobbying; by ghost writing lax and loophole regulations; and by skirting accountability or by minimizing fines for malfeasance. These modalities, of course, are not peculiar to the insurance industry. Greasing Politicians' Palms Campaign donations are simply bribes in disguise since corporations expect returns from their donations. The insurance industry is a major donor, giving in the 2012 election cycle nearly $55 million to parties and candidates. Lobbying and Ghost Writing. In 2015 the industry spent over $150 million to lobby politicians to favor the industry. This amount triples the financing contributions, which tells us that some candidates who won weren't the most favored ones so lobbying becomes much more important and expensive. A lobbyist's penultimate achievements I should think are being allowed to ghost write favorable regulations and to thwart the passage of unfavorable legislation and ensuing unfavorable implementing regulations. Revolving Door Public officials with responsibilities for governing the insurance industry leave government and join the industry or vice versa. The revolving door is a proven way to keep influence peddling by the industry moving along and the soaking of the public unabated. Never Get In Jail and Wrist Slapping Because government, rather than being public controlled, is corporate controlled, that very same government will bend over backwards to be ignorant of or lenient with corporate wrongdoing. The insurance industry, like the other industries, benefits from government's cover. When was the last time you read about an insurance executive going to jail or an insurance company given more than a slap on the wrist for legal wrongdoing? Three Examples of the Industry's Malfeasance and Government Complicity I have searched for examples of legal and/or unethical industry malfeasance and government complicity in three of the larger and more familiar sectors; namely, health insurance, auto insurance, and home owners' insurance. Health Care Insurance The primary interest of the largest health insurance companies, being publicly traded on Wall Street, is to satisfy it and shareholders of huge financial investment firms. America's health is incidental. And, according to the activist group, Americans for Health Care Now, the business practices of these companies "have become the model for the nonprofit insurance companies with which they compete." Next Page 1 | 2 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). Abdul Sattar Edhi, a celebrated famed philanthropist who achieved a saintly status in Pakistan has passed away at the age of 88 on July 8 in Karachi. He had been undergoing treatment for renal failure. Motivated by a spiritual quest for justice, over the years Edhi and his team created maternity wards, morgues, orphanages, shelters and homes for the elderly -- all aimed at helping those who cannot help themselves. He once said "my religion is humanitarianism, which is the basis of every religion in the world. No religion is higher than humanity. Beware of those who attribute petty instructions to God." In a country where government run services have been glaringly ill equipped to deal with humanitarian crises, Edhi's social welfare system has become a trusted household name. The most prominent symbols of the Edhi foundation -- its 1,500 ambulances -- are deployed with unusual efficiency to the scene of terrorist attacks that tear through the country with devastating regularity. Revered by many as a national hero, Edhi created a charitable empire out of nothing. He masterminded Pakistan's largest welfare organization almost single-handedly, entirely with private donations. His name became synonymous with charitable causes. Edhi had done extensive work in the field of social service including formation of the Edhi village 25 years ago. The village served as a home to the homeless, destitute, street children, elderly, abandoned babies and addicts. One of the small girl brought to his shelter home 15 years ago was Geeta, a deaf-mute girl from India who accidentally crossed over to Pakistan. She was adopted by Edhi's wife Bilquees and lived with her in Karachi. Geeta, now 23, was returned to India in October 2015. Geeta's homecoming was seen as a rare example of humanitarian cooperation between the two hostile nuclear neighbors. Since its inception, the Edhi Foundation has rescued over 20,000 abandoned infants, rehabilitated over 50,000 orphans and has trained over 40,000 nurses. It has run relief operations in Africa, Middle East, the Caucasus region, Eastern Europe and United States where it provided aid following Hurricane Katrina in 2005. He was referred to as Pakistan's version of Mother Teresa by India Today in 1990, and the BBC wrote that he was considered "Pakistan's most respected figure and was seen by some as almost a saint." To many, Edhi was known as the "Father Teresa" of Pakistan. In a nation often riven by social, ethnic and religious strife, Edhi won respect from every strata of society for an ascetic lifestyle that was devoted to helping the poor regardless of their background. What he has established is something of a safety net for the poor and destitute, mobilizing the nation to donate and help take action -- filling a gap left by a lack of welfare state. His work earned him numerous awards at home and abroad, including the Gandhi Peace Award, the 2007 UNESCO Madanjeet Singh Prize, the 2011 London Peace Award, the 2008 Seoul Peace Award and the Hamdan Award for Volunteers in Humanitarian Medical Service. Edhi has been nominated several times for the Nobel Peace Prize, and appeared on the list again this year. Next Page 1 | 2 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). With their government under the control of corporations and special interests, the People of the United States may think they have the right to vote, but, unfortunately, they do not. When the Constitution and the Bill of Rights were written, the authors intentionally omitted this very significant detail. They failed to include the right to vote, and the error has never been corrected. Most Americans are unaware that they, unlike the citizens of most other democracies, do not have a basic constitutional right to vote. The constitutions of Germany and Japan adopted after World War II include a specific right to vote. Even in nations, such as Afghanistan, Iraq, and Syria--where Americans are fighting to impose democratic governments--the people already have a constitutional right to vote. Of 120 constitutional democracies in the world, only 11, including the United States, fail to explicitly guarantee a right to vote in their constitutions. This critical omission from the Constitution was acknowledged by the U.S. Supreme Court in 2000, when a majority stated in Bush v. Gore: "The individual citizen has no federal constitutional right to vote for electors for the President of the United States unless and until the state legislature chooses a statewide election as the means to implement its power to appoint members of the Electoral College." As the result of a series of amendments, people of color, women, and young people over the age of 18 cannot be deprived of the right to vote because of their status; however, nowhere in the Constitution does it say they have a fundamental right to vote in the first place. Why the Right to Vote Was Omitted From the Constitution. Fearing an "excess of democracy," a majority of those who gathered at the Constitutional Convention decided to replace the Articles of Confederacy with a central representative government that preserved the power of the economic and social elite and left voting matters up to the states. James Madison, the principal author of the Constitution and the subsequent Bill of Rights, publically stated the electors of the new government would be "the great body of the people of the United States." In private, however, he worried that, "In future times, a great majority of the people will not only be without landed, but any other sort of, property. These will either combine under the influence of their common situation; in which case, the rights of property and the public liberty will not be secure in their hands; . . ." John Adams was even more direct. In opposition to allowing electors other than property owners, he said "There will be no end of it. New claims will arise. Women will demand a vote. Lads from 12 to 21 will think their rights not enough attended to, and every man, who has not a farthing, will demand an equal vote. . . ." The new constitution provided that members of the House of Representatives "shall be chosen every second Year by the People of the several States" and goes on to provide that the "Electors" shall have the same "Qualifications" as that for the "most numerous Branch of the State Legislature." In other words, each state determines who can vote for state and congressional representatives. Composition of the Senate was even more closely controlled, in that Senators shall be "chosen by the Legislature thereof. . . ." Finally, the Constitution held that "The Times, Places and Manner of holding Elections for Senators and Representatives, shall be prescribed in each State by the Legislature thereof; . . ." In electing the president and vice president, the Constitution imposed an even greater barrier between the "People" and the election of their principal representatives. The Constitution provides that "Each State shall appoint" presidential Electors "in such Manner as the Legislature thereof may direct. Thus, it is these "Electors" who actually vote in the "Electoral College" for the president and vice president. The result has differed from the popular vote four times in history, the last being in 2000. Participation by the People in presidential elections--even today--is almost entirely at the discretion of the legislature in each state. States have enacted legislation allowing for primary and general presidential elections, but it is still the Electors who actually elect the president. The state legislatures still have the power to directly appoint presidential Electors without elections, and there is nothing in the Constitution to prevent it. The Constitution and the Bill of Rights may not have included a specific right to vote; however, over the subsequent two centuries, the voting power of the People steadily increased and the United States republic gradually become more democratic. Expanding the Suffrage. Initially, all states required voters to own property; however, Vermont began to allow all men to vote, and for a time, Tennessee provided universal male voting, including free blacks. Only New Jersey allowed the possibility of female suffrage; however, it was later revoked. Pennsylvania, New Hampshire, Delaware, Georgia, and North Carolina soon expanded the franchise to all taxpayers, but in 1800, the New Hampshire and Massachusetts legislatures suspended elections and directly appointed their presidential electors. With westward expansion, voting was extended to include non-property owners. By the presidential election in 1828, there were 24 states, and they had all adopted free white male suffrage. The new Democratic Party represented the farmers and artisans against the business and financial interests. The Democratic candidate, Andrew Jackson--who believed even the poorest white male should be allowed to vote--ran against President John Quincy Adams. Three times as many white men voted in the election as did four years earlier, and most voted for Jackson. Following the Civil War--and to ensure the vote of freedmen in the South for the Republican Party--the Fifteenth Amendment was enacted. It held that: "The right of citizens of the United States to vote shall not be denied or abridged by the United States or by any State on account of race, color, or previous condition of servitude." The corresponding Fourteenth Amendment imposed penalties on states whenever "the right to vote at any election" was "denied to any of the male inhabitants of such State. . . ." The new constitutional guarantees of a freedman's right to vote, did not, however, improve the fundamental voting rights of all citizens. The states may not have been allowed to overtly discriminate on the basis of race; however, they could impose other restrictions on voting--which had the same effect. Democrats quickly regained political dominance in the southern states and passed "Jim Crow" laws effectively depriving African Americans of their right to vote or to hold office. These laws included discriminatory literacy tests, the imposition of poll taxes, and a "whites only" primary system. Next Page 1 | 2 | 3 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). This article originally appeared at TomDispatch.com. To receive TomDispatch in your inbox three times a week, click here. Give the guy credit. Donald Trump makes perspective -- on him at least -- almost inconceivable, and that's no small accomplishment. Is he heading up or down? Polling well or poorly? Going to win or lose? Who knows? Take Nate Silver whose FiveThirtyEight website recently launched its poll of polls with The Donald having only a 19% chance of taking the presidency. Silver was remarkably on target in election years 2008 and 2012, but he's been off when it comes to Trump (and he's hardly alone), so who really has a clue what that 19% may really mean on November 8th? For months and months, Trump has performed a masterful version of media jiu-jitsu, leveraging the interest in him from what seems like every journalist, newspaper, website, and cable news network on Earth into more free publicity and coverage than any individual may ever have gotten. It's been impossible to escape the man. There probably wasn't a day in months without a Donald Trump story (or often multiple ones) and he's regularly dominated the news cycle with his latest outrageous statement or provocation, no matter what else is going on. There is no Brexit without Donald Brexit; no ISIS without Donald ISIS, no Hillary without Donald Hillary. He hires, fires, invites, rejects, embraces, insults, tweets, challenges, denies, refuses, ingratiates, blackballs -- and whatever he does, it's news. By definition. And don't forget the endless scribblers and talking heads, faced with his all-invasive version of reality, who cough up reams of "analysis" about him, which only furthers the way he Trumps the world, no matter what they write or say. You can almost hear the echoing voice from some ninth rate horror film echoing down the corridors: I tell you, you can run, but you can't hide, ha, ha, ha, ha... In Donald Trump's world, as far as I can tell, there is only one reality that matters and it can be summed up in two words that begin with D and T. Were he to become president, he would give Louis XIV's famed phrase -- whether or not the French king actually said it -- "L'etat, c'est moi" ("I am the state"), new meaning. During these past many months of Trumpery, Nomi Prins has been sorting out the nature of the money game in American politics (onshore and off) for TomDispatch. Now, she turns to the billionaire who has taken possession of us all. Her focus: his frenetic version of "You're fired!" this election season and how that's played out with the Republican establishment, without whom (and without whose money) she doubts he can make it to the Oval Office. Tom Donald Trump's Anti-Establishment Scam The Insider Posing as an Outsider Trying to Get Back on the Inside By Nomi Prins with Craig Wilson "Establishment: A group in a society exercising power and influence over matters of policy, opinion, or taste, and seen as resisting change." -- Oxford Dictionary Early on in his presidential bid, Donald Trump began touting his anti-establishment credentials. When it worked, he ran with it. It was a posture that proved pure gold in the Republican primaries, and was even, in one sense, true. After all, he'd never been part of the political establishment nor held public office, nor had any of his family members or wives. His actual relationship to the establishment is, however, complex in an opportunistic way. He's regularly tweeted his disdain for it. ("I wish good luck to all of the Republican candidates that traveled to California to beg for money etc. from the Koch Brothers. Puppets?") And yet, he clearly considered himself part of it and has, at times, yearned for it. As he said early on in his run for the presidency, "I want the establishment -- look, I was part of the establishment. Let me explain. I was the establishment two months ago. I was like the fair-haired boy. I was a giver, a big giver. Once I decided to run, all of a sudden I'm sort of semi-anti-establishment." An outsider looking to shake up the government status quo? An insider looking to leverage that establishment for his own benefit? What was he? He may not himself have known. He once rejected the idea of taking establishment (or Super PAC) money, only -- more recently -- to seek it; he rebuffed certain prominent establishment players, only to hire others to help him (and fire yet more of them). He's railed against the establishment, then tried to rally it to his side (even as he denounced it yet again). Now, with the general election only four months away, it turns out that he's going to need that establishment if he is to have a hope in hell of raising the money and organizing the troops effectively enough to be elected. There, however, is the rub: power brokers don't suffer the slings and arrows of "outsider" scorn lightly. As a result, if he now needs the establishment more than he'd publicly admit, it may not matter. He may find himself ostracized by the very party he's set to represent. Once upon a time not so long ago, making America great again involved a bankroll untainted by the Republican political establishment and its billionaire backers. There would, The Donald swore, be no favors to repay after he was elected, no one to tell him what to do or how to do it just because they had chipped in a few million bucks. But for a man who prides himself on executing only "the best" of deals (trust him) this election has become too expensive to leave to self-reliance. One thing is guaranteed: Donald Trump will not pony up a few hundred million dollars from his own stash. As a result, despite claims that he would never do so, he's finally taken a Super PAC or two on board and is now pursuing more financial aid even from people who don't like him. Robert Mercer and his daughter Rebekah, erstwhile influential billionaire backers of Ted Cruz, have, for instance, decided to turn their Make America Number 1 Super PAC into an anti-Hillary source of funds -- this evidently at the encouragement of Ivanka Trump. In the big money context of post-Citizens United presidential politics, however, these are modest developments indeed (particularly compared to Hillary's campaign). To grasp what Trump has failed to do when it comes to funding his presidential run, note that the Our Principles Super PAC, supported in part by Chicago Cubs owners Marlene Ricketts and her husband, billionaire T.D. Ameritrade founder J. Joe Ricketts, has already raised more than $18.4 million for anti-Trump TV ads, meetings, and fundraising activities. (On the other hand, their son, Pete, Republican Governor of Nebraska, has given stump speeches supporting Trump.) Next Page 1 | 2 | 3 | 4 | 5 | 6 (Note: You can view every article as one long page if you sign up as an Advocate Member, or higher). When one first encounters the surreal sensibilities of David Lynch on film, its hard to know what to expect of the man behind them. Is he a tortured recluse, working out his demons onscreen? A demented auteur with issues? But Lynchs explorations of the violence and sadism lurking beneath Americas shiny veneer come to us too leavened by absurdist humor to be the product of a man who takes himself too seriously. And when you first encounter Lynchin interviews or his own cameo role, say, on Twin Peaksyou find exactly that: hes an affable, seemingly well-adjusted-if-eccentric gentleman from Missoula, Montana who doesnt at all seem beset by dark forces in the way that many of his memorable characters have been over many decades of filmmaking. Lynch seems instead remarkably free from anxiety, as his work is free from the pernicious influences of a venal Hollywood studio culture he eviscerates in Mulholland Drive. Lynch would credit his psychological and creative good health to meditation, but there are other reasons that his body of work feels so consistently elevated to the level of purist high art: the filmmaker himself is a purist when it comes to filmperhaps one of the last few high-profile directors to remain almost fully independent of the dictates of commercialism. Witness his attitude toward such crude, invasive compromises as product placement in the interview clip at the top of the post (Lynchs verdict in a word: bullshit). Or, just above, see him opine on the phenomenon of the iPhone, or smartphone equivalent, as media platform. If youre playing the movie on a telephone, says Lynch, you will never in a million years experience the film. You may think you have experienced it. But youll be cheated. Get real. Like the interview clip at the top, the iPhone mini-rantan extra from the Inland Empire DVD, Lynchs last feature filmshows us the director at his crankiest, a side that of him that seems to emerge only when the subject of artistic compromise for commerces sake arises. But should we consider Lynch a Luddite, an opponent of the digital revolution in filmmaking? Far from it. Lynch shot Inland Empire on a small digital camera, as you can hear him discuss above in another clip from the films DVD. And if we were to assume that he hates Hollywood and the studio system, wed be wrong there as well. He goes on to explain what he loves about L.A.: the dream, the light, the smell, the feel of the golden age of Hollywood, the sound stages (factories for making cinema), and even the star system. Keep watching for more of Lynchs idiosyncratic opinionson his favorite actress Laura Dern, on making films for a particular audience, and on a subject very dear to him: dreams influencing thoughts. Related Content: David Lynch Explains How Meditation Enhances Our Creativity David Lynch Creates a Very Surreal Plug for Transcendental Meditation 9 New Episodes of David Lynchs Twin Peaks to Air in 2016 Josh Jones is a writer and musician based in Durham, NC. Follow him at @jdmagness Global Vinychloride-Vinyacetate-Maleic Copolymer Resin Market 2016: Regional Outlook, Analysis, Size, Share, Forecast -2021. Vinychloride-Vinyacetate-Maleic Copolymer Resin http://www.mrsresearchgroup.com/market-analysis/global-and-chinese-vinychloride-vinyacetate-maleic-copolymer-resin.html http://goo.gl/I6mdqv MRS Research Group addsGlobal Vinychloride-Vinyacetate-Maleic Copolymer Resin 2016 Market Share,Size,Trends and Forecast to 2021 Market Research Reportreports to its database.This report on the global Vinychloride-Vinyacetate-Maleic Copolymer Resin industry is the thorough analysis of various factors surrounding Vinychloride-Vinyacetate-Maleic Copolymer Resin market. The report addresses different drivers, restraints and opportunities that exists and expected to arise in future. Delivered by the team of experts, the report offers an overview of all the key performance indicators of the industry. The analysis covers the industry on the accounts of revenue, volume, wherever applicable.Access Complete Report @:The report has taken in to consideration all the internal and external factors influencing Vinychloride-Vinyacetate-Maleic Copolymer Resin industry. Report has covered the key players of the industry along with current and potential competitors.Report does not stop on giving the glimpses of market, but it goes in details of the industry, current scenario, revenues of the competitors and their position in the market in terms of region, economy and brand value.Get Free Sample Report @:This valuable information is coupled with opportunities present in the Vinychloride-Vinyacetate-Maleic Copolymer Resin industry and probable growth options in near future. Report considers the importance of R&D in the Vinychloride-Vinyacetate-Maleic Copolymer Resin industry and fathoms upcoming innovations. It compares the current status of Vinychloride-Vinyacetate-Maleic Copolymer Resin industry with the future goals and growth.In this report, the expert tem has been able to deliver a complete and realistic picture of the future course, that the Vinychloride-Vinyacetate-Maleic Copolymer Resin is expected to adopt. The report stands all question that a business faces in a bid to sustain its industrial position.MRS Research group provides a range of marketing and business research solutions designed for our clients specific needs based on our expert resources. The business scopes of Prof Research cover more than 30 industries including energy, new materials, transportation, daily consumer goods, chemicals, etc. We provide our clients with one-stop solution for all the research requirements.3422 SW 15 Street,Suit #8138Deerfield Beach,Florida 33442 The Big Idea Advertising announces partnership with Kentico Software http://www.kentico.com www.tbi.ae www.accelerate.ae Dubai, UAE, July 10, 2016 The Big Idea Advertising (TBI), today announced that it has entered a partnership with Kentico Software (), the Web Content and Customer Experience Management provider, to provide customers with all-in-one solution for Online Marketing platform.TBIs Technology division Accelerate provides solutions for collaboration, customer engagement and business process management. They focus on emerging technologies across web, mobile and cloud platforms to offer custom application development, enterprise solutions and mobile application development services. As a part of their strategic vision, TBI chose to partner with Kentico Software due to the scalability and ease of use that it offers to the end customer.Providing consistent content and experience across multiple channels has become a critical success factor for a digital strategy in todays digital ecosystem said Abhinav Kottalgi, VP Technology, TBI. By using Kentico, we will be able to provide our clients with a versatile Web Content Management and customer experience platform that will enable them to develop cutting edge customer experiences."It's important to choose the solution that's right for your web project, but success also depends on the skills and expertise of the company that delivers your website," said Dana Tousova, Channel Marketing Manager at Kentico.Through this partnership, we are confident that the end users of our product will get top-level professional services like stability, usability & the phenomenal expansive options.About The Big Idea Advertising (TBI)Founded in 1999, TBI (), a member of Transworld Advertising Agency Network, focuses on providing Communication, Advertising, Digital and Technology Solutions. Over the years, TBI has developed expertise in above the line, below the line marketing solutions, digital marketing, social media, as well as, developing solutions on web, client-server and mobile strategy and technologies.TBI Accelerate () is a software development & IT consulting company. Accelerate implements innovative technology solutions that enable their clients to achieve business objectives while deriving the maximum value from their technology investments.About KenticoKentico is an all-in-one CMS, E-commerce, and Online Marketing platform that drives business results for companies of all sizes, both on-premise or in the cloud. It gives customers and partners powerful, comprehensive tools and customer-centric solutions to create stunning websites and manage customer experiences easily in a dynamic business environment. The Kentico Web Content Management Solutions rich selection of out-of-the-box web parts, easy customizations, and open API, quickly gets websites up and running. When combined with the full set of integrated solutions, including Online Marketing, E-commerce, and Intranet and Collaboration, Kentico fully optimizes the digital customer experience across multiple channels.About Kentico SoftwareFounded in 2004, Kentico is a Microsoft Gold Certified Partner headquartered in the Czech Republic with offices in the US, UK, Netherlands, and Australia. Kentico has 1,000 digital agency partners and powers more than 25,000 websites across 100 countries. Customers include Gibson, Twinings, Ingram Micro, Mazda, Kingspan, Hunter Fan, Starbucks, and Allergan.All product and company names herein may be trademarks of their respective owners.Accelerate has the expertise in providing Microsoft SharePoint, Office 365, .NET based, OpenSource and custom software applications which also support the evolution of the mobile workforce.Accelerate provides solutions for collaboration, customer engagement and business process management.The Big Idea14-03 Tower A Empire Heights,Business Bay, Dubai UAETel: +971 4 421 5744Fax: +971 4 421 5748Email: enquiries@tbi.ae UK EU referendum: doing business in a post-Brexit world After the stunning 52:48 vote in favour of the UK leaving the European Union on 23 June 2016, businesses the next day woke up to a new world. Initial responses from politicians as much as the markets have done little to abate a sense of disbelief. But in the face of such unprecedented change, it would be wrong to lose a sense of context. The FTSEs 100 has now recovered to the level it has maintained since September 2015; commentators point to a firming market in safe-haven gilts; the pounds dramatic adjustment augurs a new and more competitive environment for exporters.The Brexit vote, of course, raises issues of immediate uncertainty for businesses in terms of financial reporting, indirect taxation, financial services, M&A, mobility and personnel particularly for those trading cross-border. A situation not helped by current controversies as to when Article 50 the start-point for Brexit negotiations might (or should) be invoked, and the likely response of EU Member States, when it is.Against this background, one point must be made. Post-2008, for any UK or European SME business owner it is a fact that change and uncertainty are the new normal. In such a challenging business environment, flexibility is key. And SMEs and mid-market organisations have proved themselves among the most adept at this.In the short term, Russell Bedford member firms in the UK, Europe and beyond it will be working closely with clients to monitor the full implications of the UKs new relationships, as these become clear.The immediate outlook for many businesses is likely to be one of reflection and readjustment. But, in an environment of uncertainty and change, those best placed to benefit from the opportunities provided by new business environment are likely to be those most able to adjust to it. It could well be the case that, for many, there might be more to gain than to fear.About Russell Bedford InternationalEstablished in 1983, Russell Bedford International is a global network of independent firms of accountants, auditors, tax advisers and business consultants. Ranked amongst the worlds leading accounting and audit networks, Russell Bedford is represented by some 600 partners, 5000 staff and 290 offices in more than 100 countries in Europe, the Americas, the Middle East, Africa and Asia-Pacific. Russell Bedford International is a member of the IFAC Forum of Firms and a member of EGIAN, the European Group of International Accounting Networks and Associations.3rd Floor, Paternoster House65 St Paul's Churchyard Objective IT wins Highly Commended across all categories at the North Essex Business Awards 2016 http://www.objectiveit.com www.objectiveit.com Essex software development company Objective IT have been awarded Highly Commended across all categories at this years North Essex Business Awards.On Friday 1st July the team at Objective IT attended the Newsquest North Essex Business Awards evening, where the company was awarded the title of Highly Commended across all categories.Previously called the Colchester and Mid-Essex business awards, this years event was revamped and given a new look; event organisers even gave entrants the ability to submit their application online. This new faster digital submission process led to a massive increase in the number of applications, making the competition tougher than ever!Held at Braxted Park Estate, Witham and hosted by Michael J Fisher, the evening began with reception drinks, which were followed by a delicious dinner and the awards presentation. Guests then enjoyed the chance to party the night away until carriages arrived at midnight.With lots of fantastic Essex companies vying for recognition, Objective was thrilled to receive the Highly Commended award, says Karen Harding, Marketing Manager.It comes at the start of our 30th year in business celebrations, which is perfect timing.For more information on Objectives wide range of web and app development solutions, please visit the website.Objective IT is a leading software development company based in Chelmsford, Essex and serving clients throughout the UK. Specialising in building forward-thinking bespoke software, cross-platform mobile and tablet apps, Objective has remained a respected Microsoft Partner since 1992. The company also offers business intelligence and data analytics consultancy services.Objective IT is a leading software development company based in Chelmsford, Essex and serving clients throughout the UK. Specialising in building forward-thinking bespoke software, cross-platform mobile and tablet apps, Objective has remained a respected Microsoft Partner since 1992. The company also offers business intelligence and data analytics consultancy services.Karen Harding, Marketing ManagerWebsite:Telephone: 01245 330360Objective Computing Ltd47 Church Street,Great Baddow,ChelmsfordEssex UKCM2 7JA PiiComm Announces New Facility in Ottawa www.piicomm.ca Ottawa, ON (July 11, 2016) PiiComm Inc., a leading provider of mobility solutions proudly announcesd today the opening of their third facility located in the greater Ottawa region. The new office will strategically position the company to accommodate the growing demand for PiiComms products, solutions and services.Initially located in Alfred, Ontario, PiiComm has added two new locations within the past year. Last spring, PiiComm relocated their headquarters to Plantagenet, Ontario, situated halfway between Ottawa and Montreal. The new Ottawa facility will allow the company to expand capacity by over 40% to support both the current and anticipated growth. The Ottawa facility will continue to work in tandem with both the Alfred and Plantagenet locations.PiiComm is witnessing remarkable growth and building some incredible momentum. We are expanding significantly both in terms of workforce and capacity of innovative solutions. I am confident that even after 10 years of working in the IT industry, we will stay at the cutting-edge of technology for many years to come. By exceeding both our client and company expectations, this truly marks the beginning of a new era for us. said CEO at PiiComm, Shawn Sicard."Ottawa, known as the Silicon Valley of the North, continues to reveal itself as an important technology hub with steady economic growth. We are tremendously excited to announce the opening of PiiComms Ottawa facility. This new location will enable PiiComm to utilize Ottawas thriving talent pool for further recruitment initiatives concurrent with the companys current objectives. said Brock Gourlay, Senior Vice President of Sales.This expansion will contribute to the dramatic growth that PiiComm has undergone in 2016 and anticipates for 2017. Additional real-estate will provide the company with the space necessary to acquire more personnel; effectively speeding up processes in customer support, sales, services and development. PiiComm is now operating under three separate locations in order to satisfy the increasing demand for Managed Mobility Services (MMS).About PiiCommPiiComm is one of the most experienced firms in North America for designing, deploying and supporting mobility solutions. PiiComm takes the complexity out of implementing emerging technologies into a specific business model through tailoring a custom mobility solution. PiiComm can assist in selecting the best technology, creating custom software or integrations and planning a successful deployment to keep businesses running at peak efficiency. With many years of providing Managed Mobility Services, PiiComm keeps clients operational and enjoying substantial reductions in lost productivity costs with a truly innovative mobility solution for profitable business growth. Learn more atPiiComm425 Water StreetPlantagenet, ONK0B 1A0 Neal Communities becomes largest-ever Big Backpack Event donor www.multiculturalcentre.org www.multiculturalcentre.org Historic $11k donation adopts more than half the students to be served by Multicultural Centre of Southwest Floridas back-to-school celebrationLeonardo Garcia, the co-founder of The Multicultural Centre of Southwest Florida, is pleased to announce that Neal Communities South Region has just become the single largest donor in the 17-year history of the Big Backpack Event. The Southwest Florida home builder collected contributions from staff, trade partners, and residents of its communities, and presented the record-breaking $11,131 at a Big Fat Check presentation at its Watermark sales office in Fort Myers on July 5.Every year an estimated 12,000 people attend the event, and more than 2,000 students receive free backpacks and school supplies while celebrating diversity in our community. The donation made by Neal Communities South Region will adopt more than half of the 2,000 students who will receive support at the Big Backpack Event on Sunday, July 31 from 10 a.m.-2 p.m. at Harborside Event Center, said Multicultural Centre of Southwest Florida Executive Director Leonardo Garcia. We are overwhelmed and extremely grateful for the generosity shown by Neal Communities South Region, which will serve to provide a meaningful and lasting impact on our community in need, said Connie Ramos-Williams, a 17-year volunteer and past event chair for the BIG Backpack Event.Neal Communities Southwest Florida Regional President Michael Greenberg said, As a Southwest Florida-based company, Neal Communities recognizes the importance of helping students and families get off to a great start for the school year. We are so proud of the way our staff, residents and trade partners at our communities pulled together to support students in need.Admission is free to the Big Backpack Event, which has become the largest back-to-school outreach program in Lee County. In advance, community members and businesses are invited to participate in the Adopt-A-Student fundraiser. Each $10 donation provides a student with a new backpack and school supplies that include notebook paper, folders, crayons, glue, pencils and pens that will be presented to the students at the annual event.Other sponsors for the 2016 BIG Backpack Event include WINK/MundoMax, Walmart, Jasons Deli, Lee County Family News, The News-Press Media Group, CONRIC PR & Marketing, Beasley Broadcasting, DLatinos, Fuller Online Solutions, HABA, the City of Fort Myers and Harborside Event Center. For more information on the Adopt-A-Studentprogram, or to become a vendor, volunteer or business sponsor, visitMulticultural Centre of Southwest FloridaThe Multicultural Centre of Southwest Florida is a private non-profit 501(c)(3) tax-exempt organization established in Fort Myers with the purpose of promoting programs aimed at bringing people of different cultures together and culturally linking Southwest Florida with other communities around the world. For more information visitCONRIC PR & Marketing | Publishing6216 Whiskey Creek Drive, Suite B, Fort Myers, FL 33919 ATM Towing Service Announcing the Launch of the Brand New Website Texas Towing Companys Vast Variety of Towing ServicesATM Towing Services is your one-stop-shop for all of your towing needs in Garland, Texas. They also serve the surrounding cities of Richardson, Plano, Allen, McKinney, and Frisco. You can expect nothing but the best when you choose ATM Towing Services. Professional and fast results are the top two goals of ATM Towing Service. The team at ATM Towing Services is proud to announce that they have a brand new website launching. Youre going to love the features of the site and the user-friendly interface that comes with it.This Texas towing company offers a vast variety of towing services. Car towing, light truck towing, medium truck towing, flatbed truck towing, emergency jump start, emergency car recovery, and local & long distance towing services are all included. No matter what your towing needs are, ATM has got you covered in the nearby Garland and surrounding communities.The new website for ATM Towing Services has just gone live and is ready for your use. The website offers clients detailed information about the services provided by this premier towing company. You can view the gallery of ATMs top-notch fleet of tow trucks as well as contact information when visiting the site. You can also link to their Twitter, Facebook, and Google Plus pages directly from the new website.The fact is, transportation is sometimes faulty. The good news is ATM Towing Services is available to get you to where you need to go quickly and safely. Friendly drivers who are highly skilled in their trade, are on standby to provide efficient and professional service for your towing needs.ATM Towing Service is a family-owned and operated business that has been serving the residents of Garland, Texas and surrounding communities for years. ATM Towing Services is built on value, expertise, trust, and dependability. Day or night, ATM Towing Services is at your disposal. It can be terrifying to experience a breakdown in the middle of the night. ATM Towing Services quick response time will give you peace of mind and security.ATM Towing Services not only takes care of you, they take care of your vehicle as well. The professional towing company employs drivers that know what they are doing. The last thing anyone wants after a breakdown experience is to discover their vehicle has been damaged in the process. ATM Towing Services ensures that your vehicle stays intact and scratch-free throughout the towing process.ATM Towing Services worked with Click4Corp to create a quality web page for the towing business, helping customers find speedy and professional towing services. In business since 1999, Click4Corp specializes in digital marketing services including social media, search engine optimization, web design and brand management. Click4Corp designed the ATM Towing Services website with customizable features based on the needs of the company.Contact Information:ATM Towing Services1925 Timber Oaks DriveGarland, Texas 75040Phone: 469-769-9090Click4Corp115 Richardson CtAllen, TX 75002This Texas towing company offers a vast variety of towing services. Car towing, light truck towing, medium truck towing, flatbed truck towing, emergency jump start, emergency car recovery, and local & long distance towing services are all included.ATM Towing Services1925 Timber Oaks DriveGarland, Texas 75040Phone: 469-769-9090 Global Automotive MEMS Industry 2016: Analysis, Research, Expert Review, Growth and Forecast to 2021 http://www.qyresearchreports.com/sample/sample.php?rep_id=736806&type=E http://www.qyresearchreports.com/report/global-automotive-mems-industry-2016-market-research-report.htm http://www.qyresearchreports.com The dynamic nature of the global Automotive MEMS market has made it difficult for the new as well as existing market players to project its future growth. In addition to this, a number of developments in the global economy have impacted the growth of the market. The report offers an insightful analysis of the various factors steering the growth of the global Automotive MEMS market and takes note of the present trends that would define the future of the market. Through primary and secondary research methods, and advanced analytical tools, the report gathers information about the current demand and supply scenario existing in the Automotive MEMS market worldwide. The impact of Porters five forces on the markets growth has been also analyzed in the report.The report studies the global Automotive MEMS market on the basis of product types, applications, and regions. The demand for each of the product types has been analyzed across various application segments. The distribution channels prevailing in the global Automotive MEMS market have been described in the report to offer insights into the supply chain of the market. Furthermore, the report studies the growth of the market across some of the key regions and takes into account the various micro- and macro-economic factors that impact each of the regional Automotive MEMS markets.To Get Sample Copy of Report visit @Describing the competitive hierarchy, the report profiles some of the major players operating in the global Automotive MEMS market and provides detailed information about the players including their company overview, financial overview, product specifications, regional presence, and recent developments. Through SWOT analysis, the growth opportunities for the market players have been analyzed in the report. The report further identifies the various development trends in the market and projects the feasibility of new investments in the market.Browse Complete Report with TOC @Table of Contents1 Industry Overview of Constant Automotive MEMS1.1 Definition and Specifications of Constant Automotive MEMS1.1.1 Definition of Constant Automotive MEMS1.1.2 Specifications of Constant Automotive MEMS1.2 Classification of Constant Automotive MEMS1.5.2 Global Major Regions Status of Constant Automotive MEMS2 Manufacturing Cost Structure Analysis of Constant Automotive MEMS2.1 Raw Material Suppliers and Price Analysis of Constant Automotive MEMS2.2 Equipment Suppliers and Price Analysis of Constant Automotive MEMS2.3 Labor Cost Analysis of Constant Automotive MEMS2.6 Manufacturing Process Analysis of Constant Automotive MEMS3 Technical Data and Manufacturing Plants Analysis of Constant Automotive MEMS3.1 Capacity and Commercial Production Date of Global Constant Automotive MEMS Major Manufacturers in 20153.2 Manufacturing Plants Distribution of Global Constant Automotive MEMS Major Manufacturers in 20153.3 R&D Status and Technology Source of Global Constant Automotive MEMS Major Manufacturers in 20153.4 Raw Materials Sources Analysis of Global Constant Automotive MEMS Major Manufacturers in 20154 Capacity, Production and Revenue Analysis of Constant Automotive MEMS by Regions, Types and Manufacturers4.1 Global Capacity, Production and Revenue of Constant Automotive MEMS by Regions 2011-20164.2 Global and Major Regions Capacity, Production, Revenue and Growth Rate of Constant Automotive MEMS 2011-20164.4 Global Capacity, Production and Revenue of Constant Automotive MEMS by Manufacturers 2011-20165 Price, Cost, Gross and Gross Margin Analysis of Constant Automotive MEMS by Regions, Types and Manufacturers5.1 Price, Cost, Gross and Gross Margin Analysis of Constant Automotive MEMS by Regions 2011-2016QYResearchReports.com is an unimpeachable source of market research data for clients that comprise acclaimed SMEs, Chinese companies, private equity firms, and MNCs. We provide market research reports on various categories such as Energy, Chemicals, Alternative and Green Energy, Manufacturing, Machinery, Pharmaceuticals and Materials, and Glass.1820 AvenueM Suite #1047Brooklyn, NY 11230United StatesToll Free: 866-997-4948 (USA-CANADA)Tel: +1-518-621-2074Web:Email: sales@qyresearchreports.com IQ4I Research & Consultancy published a new report on Healthcare Analytics Global Market Forecast To 2022 Healthcare analytics extensively uses information technology tools, data, statistical, qualitative analysis and predictive modelli Federal health mandates in U.S., increasing pressure to reduce healthcare expenditure, digitization of healthcare, the emergence of big data and advancements in analytical technologies, rising incidence of ageing population are the factors driving the market growth. However, factors such as data breach, security issues, transparency, interoperability issues, and high cost of implementation and adoption barriers, complex regulatory environment are hampering the market growth.The Healthcare Analytics global market by type is segmented based on Tool types, applications, components, delivery mode and End-Users. The market by tool type is divided into Descriptive, Predictive and Prescriptive analytics, based on applications into clinical data, financial, administrative/operational, research analytics and others. The clinical data applications is further sub-segmented into quality care, medical error reduction, physical performance evaluation, clinical decision support system, population health management and customer relation management. The financial analytics is sub-segmented into claim analytics, revenue cycle analytics and risk analytics. The Administrative analytics is further segmented into supply chain analytics, Human resource analytics, practice management analytics and strategic analytics. The administrative analytics occupied highest market in healthcare analytics application market.The healthcare analytics component market is segmented into Hardware, software and services, the delivery mode market is classified into on-premises, web-hosted and cloud computing sub-segments. The cloud computing sub-segment is estimated to grow at strong CAGR from 2015 to 2022. The End-users consists of Payers, Providers, healthcare analytics Information Exchange (HIEs) and others. The payers contributed the largest revenue as per estimates of IQ4I Research.The Healthcare analytics global market by geography is divided into North America, Europe, Asia-Pacific and Rest of the World (RoW). North America commanded the largest market share in 2015 due to Federal mandates, increasing pressure to reduce healthcare expenditure, emergence of big data and advancements in analytical technologies, digitalization of healthcare records, proliferation of cloud computing are contributing for growth and is also projected to occupy largest market share.Some of the technological advancements such as cloud technology, Wearable patient monitoring devices, healthcare mobile app and telemedicine, cognitive computing and Image analytics are set to improve the adoption and reach of healthcare analytics tools.The major players in healthcare analytics market are Allscripts Healthcare Solution, Inc. (U.S.), Cerner Corporation (U.S.), Epic Systems (U.S.), IBM Corporation (U.S.), Information Builders, Inc. (U.S.), McKesson Corporation (U.S.), MedeAnalytics, Inc. (U.S.), Oracle Corporation (U.S.), Optum, Inc. (U.S.), and Verisk Analytics (U.S.).IQ4I (Intelligence Quotient for Innovation) Research and Consultancy Pvt. Ltd. is a global strategy, consulting and a leading market research company. Our clients include leading businesses, investment banks, researchers and government agencies.We are a team of highly qualified consultants and market researchers, committed to help clients make strategic decisions by providing relevant and firmly reliable Intelligence support. We enable our clients to identify the market opportunities with best-in-class market intelligence reports.M/s IQ4I Research and Consultancy Pvt. Ltd.No- 11, Industrial Suburb, 1st Stage, West of Chord Road,RajajiNagar, Bangalore- 560010 JIMS, Rohini Embraces the Entrepreneurial Culture http://www.jimsindia.org/ New Delhi:- Jagan Institute of Management Studies,(JIMS) Sector-5 Rohini under its incubation center UDGAM is helping students in developing their entrepreneurial skills. Recently the institute has funded students for their new ventures.A PGDM Pursuing student, Jyoti has got funding for her venture Globox. Manhar Gupta and Prachi, MCA Students at JIMS were also supported financially for their online venture Wholesale Bazaar. Another student Anurag, PGDM batch 2014-2016 in spite of getting Job offer has decided to be an entrepreneur and has started his venture Mad over Print.The CEO of UDGAM - Divya Gupta, says this generation of students are keen to be a part of the Start-up culture. The zeal and enthusiasm showcased by students in a Business Idea Presentation Platform speaks volumes about the exclusive and progressive exposure that they get at the campus.JIMS Rohini Chairman Manish Gupta said There is no bigger motivation than seeing your dreams getting fulfilled. The entrepreneurial streak among the youngsters these days is not just an upshot of the times that they are in but also their sheer grit and determination to be a part of the Start-up India campaign.The students are guided at each and every step in their start up journey. From getting right industrial guidance, exposure, finding right contacts to regular guidance on their project development, support functions, mentorship and technical guidance which helps them put the plans on paper and make them sustainable.The aim is to provide learning that will be expedient for business professionals who are entrusted with the task of setting up independent business units.The E-cell of the institute Tarkash also organizes events for the knowledge enhancement, exposure, guidance and hand holding of its students. The students get diverse faculty experience in developing their ideas and getting them incubated.About JIMSJagan Institute of Management Studies (JIMS) imparts professional education at post graduate and graduate levels in the fields of Management and Information Technology. The Institute has been working for the attainment of a mission: to develop highly skilled and professional human resource for industry and business. From a very modest start, it has now acquired a commendable position as one of the premier institutes of the country.For more details -Brannia is an intergrated marketing and public relation agency. The ongoing branding activity carried out by brannia has immensely enhanced the brand image.Brannia B-5 Sector-9 Noida - 201301 Uttar Pradesh July 14 Artemis Gallery Auction Features Classical Antiquities, Rare Cultural Relics Important Olmec (southern Mexico/Guatemala) blue-green jade were-jaguar figure, circa 900-500 BCE, est. $15,000-$20,000 http://www.artemisgallery.com BOULDER, CO Collecting fads may come and go, but one blue-chip category whose following has grown exponentially over the last several decades is ancient antiquities. There will always be a fascination about the way people lived many centuries ago, from prehistoric times through more recent periods. The people may be long gone, but their cultures continue to intrigue us through the art and artifacts they left behind, said Teresa Dodge, co-founder and executive director of Artemis Gallery.The Colorado-based specialty auction house has handled some of the worlds most prestigious collections of antiquities and tribal art. Its next offering, slated for Thursday, July 14, features a choice selection of 366 antiquities from Ancient Egypt, Greece, Italy, the Near and Far East; Pre-Columbian Central and South America; and other geographic locales. An additional highlight is Part I of a 1,000-piece collection of primarily Central and South American folk art that is being auctioned to benefit The Fowler Museum at UCLA.As is the case with all Artemis Gallery auctions, each and every item offered is unconditionally guaranteed to be authentic, as described, and legal to acquire according to federal guidelines. A certificate of authenticity will accompany each purchase.A wonderful selection of classical antiquities awaits bidders on July 14th. Among the key pieces is Lot 16, a highly important silver rhyton, or drinking horn, with a ribbed body and decorative base shaped as a mythical beast with a birds head and wings, antelope horns, and a lions legs and paws. With distinguished provenance from the Estate of Julien Hovsepian, it is expected to reach $12,000-$15,000 at auction.Lot 13A is an extremely rare circa 2nd/3rd century CE Roman/Roman Imperial basalt acroterion from the corner of a sarcophagus lid. It is carved to replicate a mans head, with large eyes, deeply incised locks of hair at each side of the face, and a slightly agape mouth. Formerly in the Sismann private collection of France, it is estimated at $18,000-$25,000.One of several ancient edged weapons in the sale, Lot 37 is an extremely rare circa 700-900 BCE bronze and iron sword from Luristan (northwestern Iran). The 29-inch sword has a stable, fully intact blade and a hilt replicating a mythical beast. Estimate: $4,000-$6,000. Another highlight among the weaponry is Lot 174, a hammered and riveted Saxon helmet dating to the 6th-8th century CE. This extremely desirable war relic was formerly part of a British private collection. Estimate: $16,000-$24,000.The Olmec civilization of Mesoamerica is considered by most historians to be the forerunner of the Maya, Aztecs and others who followed. A rare opportunity to own an Olmec art treasure presents itself as Lot 57A. The exquisitely carved and detailed blue-green jade figure of a were-jaguar has pierced ears, double-pierced pupils, and an elongated head indicative of the artificial cranial deformation practiced by the Olmec people. Positioned on a custom stand, the miniature figure dating to circa 900-500 BCE is offered with an auction estimate of $15,000-$20,000.The exceptional array of Pre-Columbian art and art of the Americas also includes a Nazca polychrome trophy-head jar, painted pottery, beaded textiles, a Sioux Indian painted-hide box, and Lot 114, a circa 550-900 CE Mayan Ulua Valley (Honduras) polychrome cylinder estimated at $3,500-$4,500.Lot 140A, a charming, circa-1900 naively painted niche box from Mexico has double doors that open to reveal a figure of The Holy Child of Atocha. The boy wears a Shell of Saint James, which is symbolic of those who make the pilgrimage to the Shrine of Santiago de Compostela in Spain. According to legend, the Child is said to roam hills and valleys, especially at night, bringing aid and comfort to the needy. Ex Morgan collection and Historia Gallery (Santa Monica, Calif.), the colorful religious artwork is estimated at $1,000-$1,500.Other folk art pieces of note include painted dance masks, an Ajitz doll from Guatemala, a George Lopez signed santo, a festive hand-modeled clay bandstand with mariachi musicians, a 1950s Mexican ceramic tableau of The Last Supper, and a 19th-century child-size wood table from Guatemala.A trove of jewelry from a variety of cultures is led by Lot 54A, a beautiful late 19th/early 20th-century high-karat gold pendant studded with cabochon rubies, an emerald, and a polki diamond (white sapphire) on and around the depiction of an elegant bird. From a Southern California private collection, this very wearable piece in excellent condition is estimated at $1,200-$1,500.Bidders may participate in Artemis Gallerys Thursday, July 14, 2016 auction live online, by phone (please reserve phone line in advance) or by leaving an absentee bid that will be lodged confidentially and competitively on their behalf. The sale begins at 11 a.m. Eastern Time and will be conducted simultaneously on three online bidding platforms: ArtemisGalleryLIVE, LiveAuctioneers and Invaluable. For additional information call Teresa Dodge at 720-890-7700 or email teresa@artemisgallery.com. Visit Artemis Gallery online.Artemis Gallery is an ancient art gallery and antiquities dealer specializing in Greek, Roman, Egyptian and Pre-Columbian art, as well as collections of Near Eastern, Far Eastern and Oceanographic antiquities for sale. Our extensive inventory includes ancient pottery, stone, metal, glass, textile objects and Pre-Columbian art from South America, Central America and Mexico, as well as art from Greece Italy, Rome, Egypt, the Middle East, China, India, Japan and the South Pacific. All antiquities for sale are unconditionally guaranteed authentic for as long as you own them.Teresa DodgeArtemis Gallery400 W. South Boulder Rd.Lafayette, CO 80026720-890-7700teresa@artemisgallery.com Global Mobility scooters -The alternatives of wheelchairs and an easy mobility aid| Beige www.beigemarketintelligence.com The mobility scooter is a mobility aid designed like wheelchairs but configured like motorized scooter. It is also referred as power scooters or electric scooters.Mobility scooters are preferred more as a main alternative for wheelchairs.The use of electric scooters for adult and handicap scooters has been seen increasing because the handicap scooters are cost-effective because of government schemes.The used mobility scooters are easily available in second-hand market.Most disabled and aging people feel very uncomfortable to use traditional wheelchairs where as different type of mobility scooters have been more trendy and advanced giving the person a feel of modernity instead.The rise in geriatric population and increasing incidences of knee disorders and disabilities in mobility is a key factor for the increasing demand of handicap scooters and other mobility aids. The Government initiatives and Other R&D investment on these mobility device is going to escalate the market.Based on the current scenario and future market, a recent report published by Beige Market Intelligence on Global Mobility Scooter Market forecasts a CAGR of 3.08% till 2021. The analysts expect the global mobility scooter market to reach approx. US $2.4 billion by 2021.The market research report provides an in depth analysis of the market size and market growth of these mobility aid.Mobility Scooter by Design Type/SizeBoot ScootersMid-Size ScootersRoad ScootersMobility Scooter by Wheels3 Wheel Scooters4 Wheel Scooter5 wheel ScootersMobility Scooter by Geography SegmentationAPACEuropeAmericaROWFurther, a detailed mapping of the region wise regulations and associated legalities governing the usage of the mobility scooter is provided in the report. The report also provides market share and profiles of the key vendors operating in the Global Mobility Scooter Market and further shows a detailed competitive landscape of key players.This market research report on mobility scooter profiles the major companies in the market and also provides a competitive landscape of key players. The major players in the market includeDrive MedicalElectric MobilityGolden TechnologiesPride MobilitySunrise MedicalQuingoSome of the other prominent vendors featured in the report includeAmigo Mobility InternationalInvacareAfikim Electric VehiclesVan Os MedicalHoveround CorporationRoma MedicalMerits Health ProductsKymcoTGA MobilityVermeiren InternationalThe Market players are adopting sustainability strategies such as mergers & acquisitions, strategic collaborations, and expansion of product portfolios to accentuate market growth.Beige Market Intelligence is a provider of competitive business intelligence, working across various industry verticals. Our expertise and knowledge ensures that the market analysis Beige provides is comprehensive, detailed and complete. The analysis helps our client organizations become aware and to make educated decisions, as far as investing or devising a marketing strategy is concerned. The actionable insights delivered through our market research provide a comprehensive market analysis for every level of market segmentation in an industry.Our team of experts ensure the analysis you receive is not just analyzed and presented, but can also be customized based on the clients requirement. Our deliverables guarantee our current global client base do not look beyond Beige when it comes to competitive intelligence.Beige has an employee base present across the globe. Our analysts come with numerous years of industry experience, which ensures we not only understand our clients but deliver high quality reports as well.Name: Khyama patraBeige Market IntelligenceAddress:Chinnapannahalli, Doddanekundi, Bangalore-560037Contact No.9986433385Email: Khyama@beigemarketintelligence.com/media@beigemarketintelligence.com iCARE Clinics Improves Patient Satisfaction and Enhances Business Opportunities with New Contact Center Solution from AGC Networks Executives of iCARE Clinics Dubai, United Arab Emirates - 10 July, 2016: AGC Networks Limited, a part of the $40Bn Essar enterprise and a Global Solution Integrator, has successfully delivered a comprehensive contact center solution based on Avaya technology for iCARE Clinics that has enabled the healthcare provider to enhance customers booking experience and drive customer satisfaction. As a result of shifting to a single contact number (800-iCARE), and streamlining in-bound calls, the Clinic has seen a 40 percent increase in appointment bookings and has achieved near 100 percent customer satisfaction.Individuals calling our clinics are already under emotional stress so we are dedicated to alleviating a part of this by providing the most personalized and hassle-free experience. By leveraging a cutting-edge contact center solution, we have consolidated calls to all our clinics into a single expertly managed platform. Our customer care agents now have full insight into the availability of doctors and specialists across all clinics and can provide a level of personalized patient care that is a hallmark of our brand, said Mr. Amit Kanchan, Head of IT for iCARE Clinics.The creation of a centralized contact number (800-iCARE), and the standardization of the call handling process to ensure and improve customer satisfaction were the driving factors behind the deployment. Mr. Kanchan and his IT team evaluated a number of solution providers and stated that AGC stood out from the pack because of their keen understanding of iCAREs business and requirements, sound technical designs, and transparency regarding processes and timeframes. AGC proposed the implementation of the Avaya Contact Centre Solution as it offered a great user experience not only from the external but even internal stake holders. The solution was designed to give the highest level of uptime and features which would ensure that the customer experience enhances when they interact on this system.The solution integrator then expertly planned and executed the implementation with the systematic on-boarding of each of the brands six clinics onto the new contact center without any disruption to the business. While the new system grants agents access to the information they need to better serve patients, it has been implemented in strict adherence to best practices and standards such as HIPPA to ensure the security of sensitive patient records.AGC also delivered in depth trainings to both the technical and contact center agent teams which has ensured that the new system is leveraged to its full potential and that all policies and procedures are understood and followed. We conducted thorough testing during and after the deployment to ensure process adherence and were very happy with the results. We conduct 8 mystery shopping audits a month and in each case, the system has enabled our agents to achieve near 100% positive rating- which is calculated after due consideration of a number of quality factors including time taken to answer a call, amount of time on hold, information provided, ease of booking and many others, said Dr. Sanjay Agrawal, Chief Operating Officer at iCARE Clinics.With detail insight into metrics such as peak call hours, call volumes, and types of enquires now readily available, iCARE Clinics has been able to fine tune its service in line with customer needs. Mr. Kanchan believes that this alignment of management with IT is vital to the organizations success. Increased efficiency and the convenience of a single number for all clinics has resulted in call volumes growing from 200-250 a day to over 400. This in turn has driven up the number of bookings and consequently the Clinics patient volumes.iCARE Clinics has further enlisted AGCs support with an annual maintenance contract for the solution and is looking to engage with the solution provider to on-board additional branches onto the system in the coming months. We are extremely excited to be a partner for ICare in its growth trajectory and cater to business solutions which meet their needs and enhance their business outcomes, said Sanjay Ahuja, VP, AGC Networks.AGC Networks:AGC Networks (AGC) is a Global Solution Integrator representing the worlds best brands in Unified Communications, Network Infrastructure & Data Center, Cyber Security and Enterprise Applications & Services. AGC is a leader in Enterprise Communications in India and has a significant presence in the Middle East / Africa, North America, Australia / New Zealand and Philippines serving over 3000 customers.In collaboration with global technology leaders like Avaya, Cisco, HP, Juniper, Verint and Polycom among others, AGC delivers domain-focused, flexible and customized technology solutions and seamless services that accelerate our customers business and ensure Return on Technology Investments (ROTI). AGC differentiates itself through exemplary Responsiveness, Execution excellence and Design superiority (RED) across the customers technology landscape.Procre8Villa 41, 81-d Street, Uptown MirdifP.O. Box 78835, DubaiUAE Music Discovery Free App Comes To iOS & Android https://itunes.apple.com/us/app/id1080221470 https://play.google.com/store/apps/details?id=jp.oriental_soft.dancenapp http://oriental-soft.jp/dance-n-app-for-ios-android/ https://twitter.com/dancenapp_en/ http://oriental-soft.jp July 10th Sanjo, Japan In the past, discovering new music was often difficult but a new free app is making it easier for users to discover top new artists and songs. Just released, Dance 'N App is a new music discovery app for Android and iOS devices. The app features some of the industries top artists, from Grammy Nominees to todays hottest DJs; no matter what your genre, youll find new music you love.While users can discover their new favorite songs, the app offers much more including music videos, twitter, news and interesting articles. This allows users to build a connection with the music they discover by learning more about the artists.If youre looking to discover todays hottest new music, from EDM to Hip Hop and Club music, youll find it on Dance N App by downloading the app at the links below.iOS:Android:Document:Twitter:In our company, through the software development, with the aim of contributing to the development of society in general, we have established this site. Individuals, organizations, and as much as possible and growth to the entire society and the driving force of development, so we will continue to vegetarian, I would like to humbly thank you.For more information on the Dance 'N App app, contact:Masato Kikkawa110-11 Takayashiki, Sanjo, Niigata 955-0165info@oriental-soft.jp+81 256 46 0041 MWI Consultants Inc in Singapore: Research and Analysis http://mwiconsultants.com/ The Foundation of Efficient InvestingResearch serves as the foundation of what MWI Consultants Inc does. For us to be able to provide the best and most suited strategy for your portfolio, MWI Consultants Inc aim to work only with the most qualified and capable professionals.To determine the best options in the market, our research-and-analysis teams work hand-in-hand with our account managers to assess your investments and offer valuable insights into the securities you have chosen.To assure that you always receive up-to-date information pertinent to your portfolio, our research teams will deliver fresh and consistent confidential reports, including recent developments and market patterns affecting you and your investments.Individual Stock AnalysisMWI Consultants Inc provides individual stock evaluation for Developed Market as well as for Emerging Market equities. Our team can develop an equity-model portfolio customized to fit your needs and help you come up with educated investment decisions.Furthermore, all securities analyzed are converted into holdings within our Discretionary Portfolios. Our equity research is also strictly managed by the Structured Products team by consistently covering stocks designed using derivative structures.Specialized Research TeamsMWI Consultants Inc advisory teams consist of expert financial professionals with broad institutional research experience. MWI Consultants Inc pays close attention to your requirements and provides simple, direct recommendations on the best-suited strategy for your individual situation.To assure that we obtain the most relevant and well-informed macroeconomic perspective, we collaborate with specialized analysis teams in various sectors. This serves as the foundation of all of our recommendations, whether we deal with bond, equities, funds or alternative investments.MWI Consultants Inc likewise take full benefit of the knowhow and experience of third-party industry leaders in order to provide you regularly with the broadest possible access to expert advice, while at the same time acquiring the excellent insights.Clear, Transparent, Up-to-date AdviceMWI Consultants Inc appreciates how important it is for you to know your portfolio is under the expert and attentive care of qualified managers. Hence, we assure our clients that all research and analysis data are provided to you using clear, transparent and uncomplicated manner.MWI Consultants Inc also aims to identify the primary options, trends and developments that affect you. Our team will collate and analyze information pertinent to any possible traded asset type in order to make sure that you obtain only the freshest and relevant advice.MWI Consultants Inc is a globally-known Financial Planning Consultancy Service providing financial strategies and advisory to top net-worth companies, families and individuals.MWI Consultants Inc34 Floor, 77 Robinson Road, Singapore, 068896Email: admin@mwiconsultants.comTel: +65 6826 4194Fax: +65 6491 5769 Rising Number Of Certified Clinical Laboratories Offering Dependable IVD-Based Diagnostic Services Is Expected To Drive In Vitro Diagnostics Quality Control Market Till 2024 http://www.grandviewresearch.com/industry-analysis/in-vitro-diagnostics-ivd-quality-control-market http://www.grandviewresearch.com/industry-analysis/in-vitro-diagnostics-ivd-quality-control-market http://www.grandviewresearch.com/industry-analysis/in-vitro-diagnostics-ivd-quality-control-market/request The global IVD quality control market() is expected to reach over USD 1.13 billion by 2024 according to a new report by Grand View Research, Inc.The continually evolving technology-oriented changes in the diagnostics field and the growing requirement to ensure patient safety necessitate the implementation of quality assurance programs in various medical disciplines including radiology and point-of-care devices.The patients rely on self-testing IVD devices for long-term disease management and hence it is important for such IVD devices to be checked for quality in terms of result reproducibility and validity in order to guarantee patient safety. The rising number of certified clinical laboratories offering dependable IVD-based diagnostic services directly correlates with increased patient confidence, thus driving the quality control market.In addition to quality assessments, amendments to the regulatory framework are made intermittently to enhance the present quality standards with the main objective of safeguarding qualitative superiority of the diagnostic services rendered to patients.In May 2016, the European Union passed an agreement to update the pre-existing regulations pertaining to IVD devices wherein the updates were in concern with raising the patient safety levels, particularly for disabled persons. Furthermore, the presence of third party quality control agencies for independent assessment of the IVD devices is expected to elevate the current safety standards, which is expected to further propel the market growth.Browse full research report on Global In Vitro Diagnostics Quality Control Market:Further key findings from the study suggest: In 2015, the clinical chemistry segment dominated the IVD quality control market with a share of over 35%. The increasing demand for preventive medicine and the rapid transformation of clinical laboratories into highly automated and efficient businesses are some of the factors for its large market share. The molecular diagnostics is one of the fastest growing segments during the forecast period owing to the increasing technical complexity of molecular diagnostic testing coupled with the constant need for quality evaluation to ensure standards. The molecular diagnostics tests are of prime importance as the test outcomes enable healthcare practitioners make critical treatment decisions. The hospital segment held the largest share in 2015 due to the presence of highly advanced technology-based devices, such as Next Generation Sequencing (NGS), mass spectrophotometry, and microarrays, and the rising applications of the optimized quality-control procedures The North America contributed over 43% of the market share in 2015 attributable to the presence of over 150,000 registered diagnostics laboratories. The clinical laboratories are required to provide accurate results and maintain the accuracy standards in order to retain their license to operate. Many pharmaceutical companies are implementing the new draft guidance enforced by the U.S. FDA for data integrity on current Good Manufacturing Practices (cGMP).It provides information in relation to establishing robust operating procedures and strong quality management systems, obtaining high-quality raw materials, investigating quality deviations, and maintaining reliable diagnostic laboratoriesRead detailed report or request for sample of this research report:Grand View Research has segmented the IVD quality control market on the basis of application, type, end-use, and region:IVD Quality Control Market Application Outlook, by Revenue (USD Million, 2013 - 2024) Clinical Chemistry Immunochemistry Hematology Molecular Diagnostics Coagulation Microbiology OthersIVD Quality Control Market Type Outlook, by Revenue (USD Million), 2013 - 2024 Quality Controlso Plasma-based Controlso Serum-based Controlso Whole Blood-based Controlso Other IVD Quality Controls Data Management Quality Assurance ServicesIVD Quality Control Market End-use Outlook, by Revenue (USD Million), 2013 - 2024 Home-care Laboratory Hospitals OthersIVD Quality Control Market Regional Outlook, by Revenue (USD Million), 2013 - 2024 North Americao U.S.o Canada Europeo Germanyo UK Asia Pacifico Japano China Latin Americao Brazil MEAo South AfricaAbout Grand View ResearchGrand View Research, Inc. is a U.S. based market research and consulting company, registered in the State of California and headquartered in San Francisco. The company provides syndicated research reports, customized research reports, and consulting services. To help clients make informed business decisions, we offer market intelligence studies ensuring relevant and fact-based research across a range of industries, from technology to chemicals, materials and healthcare.Press ContactSherry James - Corporate Relations Specialist28 2nd Street, Suite 3036San Francisco, CA 94105United StatesPhone: 1-415-349-0058Email: sales@grandviewresearch.com FMI Releases New Report on the On Purpose Technologies (Impact of Shale Gas) Market http://www.futuremarketinsights.com/reports/sample/rep-gb-996 http://www.futuremarketinsights.com/toc/rep-gb-996 http://www.futuremarketinsights.com/reports/shale-gas-market www.futuremarketinsights.com With the increase in Shale gas E&P activities across the globe, leading with North America, Europe, Argentina and now China the global chemical/petrochemical industry downstream is expected to witness a critical make over due to the supply shortages in various chemical feedstock. Ethylene, propylene, butadiene and benzene are the four building blocks of various chemicals or petrochemicals produced downstream for consumption by end use industries.Shale Gas is an unconventional, un-associated gas which contains 75-90% Methane, 15-5% Ethane and the rest C3s and heavier hydrocarbons. With lower percentage of heavier hydrocarbons the supply of propylene, butadiene and benzene are expected to decline with respect to Ethylene supply. Excess of Shale production has resulted into an increasing preference for ethane cracking compared to Naphtha cracking, which would result in a supply shortage for C3s and above. The result would be lower availability of propylene and other basic chemicals. In order to ensure optimum supply, on purpose technologies are being built in North America and Asia Pacific.On purpose technologies include CTL/CTO (Coal to Liquids/Olefins), MTO/MTP (methanol to olefins/propylene), PDH (propane dehydrogenation) and Metathesis. Use of Coal to produce synthetic fuels and petrochemicals has been present for more than 50 years with Germany utilizing this technology to provide fuel for its units during the 2nd World War. The technology was not utilized due to its high operational cost and lower market feasibility with adequate Crude oil supplies. But the decrease in the use of Crude Oil due to environmental concern opened up opportunities for Coal to be used as a feedstock for production of petrochemicals and synthetic fuels.Request Free Report Sample@With the increasing production of Shale Gas the demand for ethane cracking has increased hence resulting in a lower yield of propylene. Propane de-hydrogenation operation unlike other operations provides a higher yield of Propylene (82%) ensuring a stable supply for the production of Polypropylene. North America with its expected increase in demand for Polypropylene by 2025, has made investments to increase PDH production capacity by 1.8 Mn MT/y. China has also made investments in PDH operations and has made arrangements with a South Korean trader to supply LPG to its plants. Metathesis which is a technology which was commercialized for the synthesis of higher olefins has also seen traction in the petrochemical industry however, Methanol to Olefin/Propylene technology witnesses a greater growth rate.China with its anticipated increase in Coal and Natural Gas production is expected to focus on CTO/L operations in the coming years in order to meet its growing demand. China has the biggest Natural Gas reserves in the world, however chooses not to utilize it to its potential. PDH operations in the country are anticipated to witness an increasing trend due to future Natural Gas E&P activities.In India, Tata and Sasol have signed up for a CTL plant in Orissa which is expected to come on stream by 2018. The plant would cost Rs. 90,000 Crores and is expected to provide synthetic fuel and petrochemicals.On purpose technologies Impact of Shale Gas: Drivers & RestraintsWith the increase in availability of Natural Gas in North America and higher preference to ethane cracking to take advantage of the cheaper Natural gas the availability of Propylene, butadiene and benzene are expected to decline which are quintessential for the production of downstream petrochemicals and other chemicals. Also, with low Natural Gas prices the technology has become affordable and economical for profitable production of downstream chemicals and petrochemicals.Request For TOC@On purpose technologies Impact of Shale Gas: Region-wise OutlookNorth America is anticipating an increase of 1.8 Mn MT/y of PDH production capacity by end of 2019. China has also started constructing PDH facilities to utilize the feedstock advantage of Coal with CTL plants in China. India is expected to finish its first CTL plant by end of 2019. In South America, Argentina is expected to start E&P activities from its Shale Gas reservoirs which is expected to provide the region an advantageous feedstock position.On purpose technologies Impact of Shale Gas: Key PlayersSome of the major players in the refrigerated display cases are AHT Cooling systems, Epta Spa, Hussman corporation, Nekano refrigerators co. ltd, ISA Italy etc.Browse Full Report@Future Market Insights (FMI) is a leading market intelligence and consulting firm. We deliver syndicated research reports, custom research reports and consulting services, which are personalized in nature. FMI delivers a complete packaged solution, which combines current market intelligence, statistical anecdotes, technology inputs, valuable growth insights, an aerial view of the competitive framework, and future market trends.616 Corporate Way, Suite 2-9018,Valley Cottage, NY 10989,United StatesT: +1-347-918-3531F: +1-845-579-5705Email: sales@futuremarketinsights.comWebsite: Pearlescent Pigment Market to expand at a CAGR of 4.1%, by 2025 http://www.futuremarketinsights.com/reports/sample/rep-gb-139 http://www.futuremarketinsights.com/toc/rep-gb-139 http://www.futuremarketinsights.com/reports/global-pearlescent-pigment-market www.futuremarketinsights.com Future Market Insights (FMI) offers key insights on the global pearlescent pigment market in its latest report titled Pearlescent Pigment Market: Global Industry Analysis and Opportunity Assessment, 2015 - 2025. According to the report, the global pearlescent pigment market is expected to increase at 4.1% CAGR through 2025 in terms of value.Preference for aesthetically-appealing finishes in cars is a key factor driving the growth of the pearlescent pigment market. Demand for pearlescent pigments from coatings and automotive paints sector is expected to create sustained demand during the forecast period.A key trend identified in the global market is that over the last few years, numerous small and medium-scale players have exited the market, owing to challenges in fulfilling regulatory compliance. Further, cost of pearlescent pigments is higher in Western Europe and North America as compared to Asia Pacific, where low labour costs and easy raw material availability have helped in keeping price at competitive levels.Many key players are shifting production from natural mica based pearlescent pigments to synthetic variants. Further, many companies are setting up base in Asia Pacific to leverage on the highly lucrative market in the region.Global Pearlescent Market SegmentationThe global pearlescent pigment market is segmented on the basis of product type, structure type, application and region.Request Free Report Sample@On the basis of product type, the market is segmented into natural, synthetic, and glass. Among the product types, the natural pearlescent pigment segment is the largest contributor to the market growth in terms of revenue, accounting for more than 65% of the market share in 2014; however, the synthetic pearlescent pigments segment is anticipated to expand at the highest CAGR during the forecast period, with the key players shifting their focus form natural to synthetic pearlescent pigments.On the basis of structure type, the market is segmented into monolayer, substrate free, and multilayer.On the basis of application, the market is segmented into personal care, plastics, paints & coatings, automotive paints, printing and others (decorative paints, home appliances, architecture, and textiles). In 2014, demand for pearlescent pigments was highest in the automotive sector, accounting for 39.5% market share. In terms of volume, paints and coatings segment dominated the global demand.The report also offers a region-wise analysis of the pearlescent pigment market, and covers, offering 10-year market forecast in the regions of Western Europe, Eastern Europe, North America, Latin America, Middle East & Africa, and Asia Pacific Excluding Japan (APEJ), and Japan.Western Europe and North America were the most lucrative markets for pearlescent pigments in 2014. APEJ is forecasted to witness the highest growth rates over the forecast period.Request For TOC@Key Companies in Pearlescent Pigment MarketKey companies covered in the report include Fujian Kuncai Material Technology Co Ltd, Sudarshan Chemicals Industries Ltd, Spectra Colours Ltd, DIC Corporation, Merck KgaA, Huaian Concord Industrial Product Co. Ltd, Sinpearl Pearlescent Pigment Co. Ltd Shanghai Zhuerna Oxen Special Chemicals Co. Ltd, BASF SE, ALTANA AG, CRISTAL, High-Tech Powder Material Co. Ltd, Aal Chemicals Ltd, Effect Pigments Co. Ltd, Lansco Colours, Zhejiang Ruicheng, and Kolorjet Chemicals Pvt Ltd.Merck KgaA, Fujian Kuncai Material Technology Co. Ltd and BASF SE are estimated to account for the leading share of the global pearlescent pigment market in 2015.Browse the full "Pearlescent Pigment Market: Global Industry Analysis and Opportunity Assessment, 2015 - 2025" market research report atFuture Market Insights (FMI) is a leading market intelligence and consulting firm. We deliver syndicated research reports, custom research reports and consulting services, which are personalized in nature. FMI delivers a complete packaged solution, which combines current market intelligence, statistical anecdotes, technology inputs, valuable growth insights, an aerial view of the competitive framework, and future market trends.616 Corporate Way, Suite 2-9018,Valley Cottage, NY 10989,United StatesT: +1-347-918-3531F: +1-845-579-5705Email: sales@futuremarketinsights.comWebsite: Global High Purity Tin Market 2016 Industry Research, Demand, Supply, Trends, Growth & Analysis 2025 http://goo.gl/wsUR7C http://goo.gl/2mJSvb A market study based on the "High Purity Tin market" across the globe, recently added to the repository of QY Market research, is titled Global High Purity Tin Market 2016. The research report analyzes the historical as well as present performance of the global High Purity Tin market, and makes predictions on the future status of High Purity Tin market on the basis of this analysis.The report studies the market for High Purity Tin across the globe taking the existing industry chain, the import and export statistics in High Purity Tin market & dynamics of demand and supply of High Purity Tin into consideration.Get Free Sample Copy of Report Here :The 'High Purity Tin'research study covers each and every aspect of the High Purity Tin market globally, which starts from the definition of the High Purity Tin market and develops towards High Purity Tin market segmentations. Further, every segment of the High Purity Tin market is classified and analyzed on the basis of product types, application, and the end-use industries of the High Purity Tin market. The geographical segmentation of the High Purity Tin market has also been covered at length in this report.The competitive landscape of the global market for High Purity Tin is determined by evaluating the various market participants, production capacity, High Purity Tin market's production chain, and the revenue generated by each manufacturer in the High Purity Tin market worldwide.Read More Research with TOC :The global High Purity Tin market 2016 is also analyzed on the basis of product pricing, High Purity Tin production volume, data regarding demand and High Purity Tin supply, and the revenue garnered by the product. Various methodical tools such as investment returns, feasibility, and market attractiveness analysis has been used in the research to present a comprehensive study of the market for High Purity Tin across the globe.About Us:QY Market Research is a single destination for all the industry, company and country reports. We feature large repository of latest industry reports, leading and niche company profiles, and market statistics released by reputed private publishers and public organizations.Contact Us:Joel JohnDeerfield Beach, Florida 33442United StatesToll Free: +1-855-465-4651 (USA-CANADA)Tel: +1-386-310-3803Web: QY Market ResearchEmail: sales@qymarketresearch.com Global Peripheral Intravenous Catheters Market : Estimated to Value US$ 5,224.7 Mn By 2021 http://www.persistencemarketresearch.com/market-research/peripheral-iv-catheter-market.asp http://www.persistencemarketresearch.com/market-research/peripheral-iv-catheter-market/toc Persistence Market Research delivers key insights on the Global Peripheral Intravenous Catheters Market in its latest report titled Global Market Study on Peripheral Intravenous Catheter: Increasing Use of Safety I.V Catheters to Drive the Market for Peripheral I.V. Catheters . The global peripheral intravenous catheters market is anticipated to expand at a healthy CAGR during the forecast period.By product type, the global peripheral intravenous catheters market is segmented into short PIVC and integrated/closed PIVC. Among these, integrated/closed PIVC segment is expected to register fastest CAGR of 8.9% during the forecast period. Short PIVC segment is expected to contribute a maximum share to the global peripheral intravenous catheters market. Non-ported PIVC product type sub-segment is expected to register fastest CAGR over the forecast period.By technology, the global peripheral intravenous catheters market is segmented into conventional and safety. Among these, safety segment is anticipated to be dominant throughout the forecast period and projected to account for around 66% share of the total global peripheral intravenous catheters market revenue by 2021.By end-user, the peripheral intravenous catheters market is segmented into hospitals, ambulatory surgical centers, clinics, home use, and others. Among these, hospitals segment is estimated to be the largest segment in terms of share, at 60% of the total global peripheral intravenous catheters market revenue by 2015 end. Hospital segment is expected to register fastest CAGR over the forecast period. Ambulatory surgical centers segment is estimated to be the second most attractive segment of the global peripheral intravenous catheters market by 2015 end.Browse the full report :Global peripheral intravenous catheter market growth is mainly driven by factors such as an increase in hospitalization rate in emerging countries such as India, China, Brazil, etc., enhancement in peripheral IV catheter technology, increasing the prevalence of diseases among the population, and increase in per capita healthcare expenditure across the globe. Technological advancements and increase in usage of non-irritant material in the manufacturing of peripheral intravenous catheters to avoid associated side effects are also expected to drive demand for peripheral intravenous catheters. However, complications associated with peripheral intravenous catheters such as venous puncture, blood exposure, and needle-related injuries, and the high price of safety peripheral IV catheter in developing regions such as APEJ, MEA, and Latin America are expected to hamper the growth of the global peripheral intravenous catheter market.This report covers the trend of each segment and its sub-segments along with an analysis of the potential of peripheral intravenous catheters market in these regions. North America and Asia-Pacific regions are estimated to collectively account for over 60% revenue share of the total peripheral intravenous catheters market by 2015 end. North America is estimated to contribute a maximum share to the global peripheral intravenous catheters market. Asia-Pacific is projected to be the fastest growing market due to increase in hospitalization rate along with improvement in healthcare facilities in the region.Request TOC (table of content), Figures and Tables of the Report:Key players in the global peripheral intravenous catheter market include B. Braun Melsungen AG, Smith Medical, Terumo Corporation, Inc., Becton Dickinson and Company, Tangent Medical, Vygon Group, C. R. Bard, etc. Manufacturers of peripheral intravenous catheters are working in partnership with other key healthcare solutions and services providers in order to gain maximum market share.About UsPersistence Market Research (PMR) is a third-platform research firm. Our research model is a unique collaboration of data analytics and market research methodology to help businesses achieve optimal performance.To support companies in overcoming complex business challenges, we follow a multi-disciplinary approach. At PMR, we unite various data streams from multi-dimensional sources. By deploying real-time data collection, big data, and customer experience analytics, we deliver business intelligence for organizations of all sizes.ContactPersistence Market Research305 Broadway7th Floor, New York City,NY 10007, United States,USA - Canada Toll Free: 800-961-0353Email: sales@persistencemarketresearch.com Soda Ash Market size, Growth, Trends, Absolute Opportunity 2020 by SMR http://www.syndicatemarketresearch.com/market-analysis/soda-ash-market.html http://www.syndicatemarketresearch.com/request-for-sample.html?flag=S&repid=52722 http://www.syndicatemarketresearch.com The trade name for sodium carbonate (Na2CO3) is soda ash. Soda ash is a white, anhydrous, powdered or granular material. It is an essential raw material used in the manufacturing of glass, detergents chemicals and other industrial products. Soda ash is eminent for its everyday use as a water softener.Rising demand from the glass industry is mainly driving the demand for soda ash. In addition, increased use of soda ash in soaps and detergents and pulp & paper industries is expected to boost the demand of soda ash. The soda ash market is experiencing significant growth, especially in Asia-Pacific and North America, due to the increased demand of soda ash products in construction and chemical sectors.Browse the full report atThe global soda ash market is segmented on the basis of applications and regions. Based on different application, market is segmented as glass, chemical, soap, metallurgy, water treatment, pulp & paper, and others. Glass was the largest segment of the market. However, soap segment of the global soda ash market is projected to grow at a strong CAGR during the forecast period.The report provides a comprehensive view on the soda ash we have included a detailed competitive scenario and product portfolio of key vendors. To understand the competitive landscape in the market, an analysis of Porters Five Forces model for the soda ash market has also been included. The study encompasses a market attractiveness analysis, wherein application segments are benchmarked based on their market size, growth rate and general attractiveness.The report forecasts value of the global soda ash market and its various applications with respect to main regions namely, North America, Europe, Asia-Pacific, Latin America and Middle East & Africa with its further bifurcation into major countries including U.S., Germany, France, UK, China, Japan, India, and Brazil. This segmentation includes demand for soda ash market based on individual products in all the regions and countries.Get Sample Research Report at :Asia-Pacific held the largest share of the global soda ash market in 2014. The soda ash market in Asia-Pacific is estimated to remain prolong segment due to the increasing demand of glass industry especially from China and India countries during the forecast period.An in-depth market share analysis, in terms of revenue, of the top companies is also included in the report. The leading players of this industry with their recent developments and other strategic industry activities which include, Solvay SA, Tata Chemicals Limited, FMC Corporation, OCI Chemical Corporation, Nirma Limited, Ciech SA, Soda Sanayii AS, GHCL Limited, DCW Limited, and Oriental Chemical Industries are some of the active and important players of the global soda ash industry.Key segments of the Global Soda Ash MarketGlobal Soda Ash Market: Application Segment AnalysisGlassChemicalSoapMetallurgyWater TreatmentPulp & PaperOtherGlobal Soda Ash Market: Region Segment AnalysisNorth AmericaU.S.EuropeUKFranceGermanyAsia-PacificChinaJapanIndiaLatin AmericaBrazilMiddle East & AfricaSyndicate Market Research provides a range of marketing and business research solutions designed for our clients specific needs based on our expert resources. The business scopes of Syndicate Market Research cover more than 30 industries includsing energy, new materials, transportation, daily consumer goods, chemicals, etc. We provide our clients with one-stop solution for all the research requirements.Contact US:Joel John3422 SW 15 Street,Suit #8138Deerfield Beach,Florida 33442United StatesToll Free: +1-855-465-4651 (USA-CANADA)Tel: +1-386-310-3803Email: sale@syndicatemarketresearch.comWebsite: Antihormonal Cancer Therapies Market : Analysis, Market Size, Application Analysis, Regional Outlook to 2014 - 2020 http://www.persistencemarketresearch.com/samples/3327 http://www.persistencemarketresearch.com/toc/3327 Antihormonal cancer therapy refers to a process of treatment in which hormones, that are responsible for growth of tumor, are suppressed. Antihormonal cancer therapy can be done by various procedures such as radiations, drugs or surgeries. Antihormonal cancer therapies market is growing at a significant rate due to increasing incidence of cancer cases and growing awareness in the field. Antihormonal cancer therapy is gaining popularity as a result of its success rate in cancer cases.Interested in report: Please follow the below the links to meet your requirements; Request for the Report Sample:Antihormonal cancer therapies are most commonly used for the treatment for breast cancer and prostate cancer. North America, followed by Europe, dominates the global market for antihormonal cancer therapies due to large number of aging population and technological advancement in the region. Asia is expected to witness high growth in antihormonal cancer therapies market, due to increasing government initiatives, rising economy and improvement in healthcare conditions in the region. Some of the key driving forces for antihormonal cancer therapies market in emerging countries are increasing R&D investment, large pool of patients and rising government funding.Some of the key factors that are driving the antihormonal cancer therapies market are increasing prevalence of cancer, rising government involvement, increasing funding from various government and non-government organizations. In addition, ethical acceptance of antihormonal therapy for treatment of disease and high unmet needs in some regions are driving the antihormonal cancer therapies market. However, less awareness and high cost involved in treatment are restraining the global antihormonal cancer therapies market. In addition, introduction of generic drugs in some countries is restraining the antihormonal cancer therapies market.Request TOC (table of content), Figures and Tables of the Report:Innovation of some innovative therapy with better success rate is expected to offer good opportunity for cancer gene therapy. In addition, despite of high cost involved in R&D, pharmaceutical companies are showing increased interest in this field. This is expected to offer good potential for antihormonal cancer therapies market. Some of the latest trends that have been observed in the antihormonal cancer therapies market include companies involved in partnerships and R&D for more efficient technologies. Some of the major companies dealing in antihormonal cancer therapies market are AstraZeneca, Novartis, Pfizer and Sanofi-Aventis and Takeda Pharmaceutical Company.About UsPersistence Market Research (PMR) is a third-platform research firm. Our research model is a unique collaboration of data analytics and market research methodology to help businesses achieve optimal performance.To support companies in overcoming complex business challenges, we follow a multi-disciplinary approach. At PMR, we unite various data streams from multi-dimensional sources. By deploying real-time data collection, big data, and customer experience analytics, we deliver business intelligence for organizations of all sizes.ContactPersistence Market Research305 Broadway7th Floor, New York City,NY 10007, United States,USA - Canada Toll Free: 800-961-0353Email: sales@persistencemarketresearch.com MedKart Denounces Doctors for not Prescribing Generic Drugs Ankur Agarwal, CEO of MedKart Pharmacy Ahmadabad: In the absence of stringent regulations and monitoring system, generic medicines in India, which are much cheaper than the branded ones having a market share of over $13 billion, are yet to take wings to reach the poor and middle class people, who are already burdened with mounting medical bills.Doctors, who are supposed to mention the generic names of the medicines in their prescriptions as per the Medical Council of India (MCI)s diktat, are simply flouting the rules by writing the brand names which are invariably costlier than the cheap and genuine alternatives containing the same chemical composition. In simple terms, the right to prescribe medicine is being misused by them for the benefit of a few pharma brands.The governments initiative to open up Jan Aushadhi stores across India to supply low-priced and quality generic medicines to poor has found a chink in its own armor as Drugs Technical Advisory Board (DTAB) under Union Health ministry has recently instructed all retail chemists and pharmacists not to sell cheaper drugs with identical ingredients.However, the government is determined to bring in a new legislation in the Parliament to make doctors write the chemical composition of drugs instead of the names given by the pharma brands. DTAB, however, argues that it cant let pharmacists/chemists to select the cheap generics alternative to patients, though all generic medicines usually get the mandatory approvals and considered safe.Arguing that pharma giants are allegedly colluding with medical practitioners to promote their brands thereby denying patients cheaper alternatives, Ankur Agarwal, CEO of MedKart Pharmacy, who strives to spread the awareness on generic medicines in India, says, It is unfortunate that though most of the leading Indian pharmaceutical companies are making a good use of the huge international market for generic medicines, when it comes to India, they want their brands to be used by colluding with physicians and drug stores. There is also a lack of awareness among doctors and general public about the advantages of generic medicines. Government should break this sinister campaign and create a level playing field for all drug companies to sell their products through an open market system.Allying DTABs apprehension that retail chemists/drug stores may offer ineffective and even harmful cheap drugs to patients, Ankur says, Patients can always cross-check the medicines with the doctors if druggists offer them with generic medicines. For this, doctors should be cooperative and not be brand conscious. For drugs other than the patented ones, they should encourage patients to use cheaper alternatives by prescribing generics. DTABs notion that drug stores are not authorized to sell matching drugs of branded medicines prescribed by the doctor cant be accepted as they are the last mile gateways for patients to get prescribed medicines.Though we welcome the governments initiative to introduce a Bill in the Parliament to mandate the sale of generic drugs, much needs to be done to plug the loopholes in the system as drug stores selling generic medicines also need to be monitored and regulated for the benefit of needy patients, feels Ankur, whose MedKart Pharmacy not only ignites the idea of generic medicines among Indians but also strives to instill social responsibility among doctors to prescribe low cost alternative drugs to patients instead of the costly branded ones.According to a recent study by the leading industrial body ASSOCHAM and market research firm RNCOS, the generic drug market in India is expected to cross $28 billion by 2020 from the current level of $13 billion registering a Compound Annual Growth Rate (CAGR) of about 16.3 per cent. The growth is apparently due to the expiry of USFDA patent to over 20 Indian drugs by 2019, which the other drug companies would capitalize it by developing their own generic drugs in India. Presently the market size of the pharmaceutical industry in India stands at US$ 20 billion.About MedKart PharmacyMedkart Pharmacy is a leading generic medicine store which guides patients to get low-cost and quality generic alternatives from the best pharmaceutical companies following international quality standards. In the last 18 months alone, MedKart has helped over 35000 patients to save over Rs 8 crore by switching to generics. The purpose of launching Medkart was not just to spread the idea and availability of generic drugs among people but also ignite a campaign against doctors who are forcing patients to buy the prescribed branded medicines. Medkart, which has an exhaustive list of medicines, also offers online support to find the most authentic generic medicine with complete details.Medkart Pharmacy,3, First Floor, Ishwar Complex,Opp S P StadiumNavrangpuraAhmedabad 380009Gujarat, India. Global Etravirine Market 2016: Industry Analysis, Opportunities, Challenges and Geography Forecast Report to 2022 http://goo.gl/FqOqnH http://www.marketresearchstore.com/report/global-etravirine-market-2016-industry-trends-production-sales-65616 http://www.marketresearchstore.com/ The 'Global and China Etravirine Industry, 2015-2022 Market Research Report' is the professional and comprehensive in-depth analysis of the global as well as China market. The report provides quantitative forecasting and trends analysis on the Etravirine market status. The report also provides important and manufacturers and key sources includes in the study which guide and direct companies and individuals interest in the industry.Request For Sample:The global and China Etravirine market is affected by many internal and external elements. The report represents synopsis of the industry including definition, types, applications, DROS, technology and others. The report has provides forward-looking insight of the experienced team of analysts and researchers. The report includes major competitors in global and China Etravirine us sources, tool and techniques use to gather information like company profile, product specifications, future trends, value chain analysis and 2015-2022 revenue for each company.The report helps to clear market picture with suitable schematics diagrams, statistical analysis, company profit, supply-demand and Chinese importexport. The global and China Etravirine market is categorized on the basis of types, application, technology and end-users, geography whichever is applicable.The report has studies in-depth analysis and deep segmentation to possible micro levels and possible segmentation, dominant segments in terms of types, application, end-user, downstream demand, along with current market dynamics. Moreover, includes future projects in the market with most reliable information indispensable for marketplace.Access Full Report With TOC:Market Research Store is a single destination for all the industry, company and country reports. We feature large repository of latest industry reports, leading and niche company profiles, and market statistics released by reputed private publishers and public organizations.Joel John3422 SW 15 Street,Suit #8138Deerfield Beach,Florida 33442United StatesToll Free: +1-855-465-4651 FREE (USA-CANADA)Tel: +1-386-310-3803 FREEEmail: sales@marketresearchstore.comWebsite: Addiction research uncovers potential of social interaction http://www.plasmaspiegel.at/ http://www.fwf.ac.at/en http://www.prd.at/en Animals prefer contact with other animals rather than drug consumption. This has been shown by neuroscience studies providing first-time evidence of the positive impact of social interaction and opening up new therapeutic avenues.After talking things over with one's best friend, the world is a happier place again. People who have made this experience know just what positive effects social interaction can have on one's sense of well-being. Researchers from Innsbruck now set out to conduct neurological investigations to establish how much potential there really is in social interaction with one's peers. Using animal tests, the neurobiologist Rana El Rawas and fellow researchers Gerald Zernig and Alois Saria from the Medical University of Innsbruck have already been able to demonstrate the positive effect of social interaction with respect to drug dependence.Natural rewards are strongerIn sophisticated test arrays, El Rawas, Junior Researcher at the Experimental Psychiatry Unit, studied what happens in certain areas of the brain in cases of drug consumption or social interaction. It has been shown that almost the same areas in the brain's reward centre are activated in both cases. As the experiments have proven, the effect of social interaction was so strong that it could even result in erasing the addiction memory. When given a choice, the cocaine-dependent animals increasingly preferred animal companions over drugs. "Our current research focus aims at investigating the effect of social interaction at molecular level in order to help drug dependent persons in finding a way out of addiction through positive social experiences, and we want to use these insights for preventing drug dependence", explains Rana El Rawas.Innovative approachesWith support from the Austrian science fund FWF, the neurobiologist is now studying the mechanisms underlying the positive effect of social interaction. She explores what signalling pathways are triggered by a natural reward such as "meeting a friend" as opposed to the reward triggered by drug consumption. With her method El Rawas is pursuing a novel approach shifting the focus from the commonalities to the differences between natural reward and drug reward. The young scholar hypothesises that the two reward systems communicate through different neuronal networks. One of the issues studied by the research team from Innsbruck is the significance of the signalling path of CREB (cAMP response element binding protein), a protein that plays an important role in the effect of drugs. In the process, the scientists also want to find out whether the rewarding effect of social interaction is as persistent as that of drug consumption.The anti-stress effectIn another ongoing FWF project, El Rawas was able to demonstrate that certain brain areas react to social interaction by a lowered stress response. "Playing with another animal reduces the level of the p38 protein, which increases upon drug consumption but also in response to stress or fear", the scientist elucidates. El Rawas now intends to delve deeper into the anti-stress effect of social rewards by demonstrating the impact of p38 on stress behaviour and dependence disorders and by decoding an even greater number of molecular factors in the brain. "Apart from facilitating effective approaches in behavioural therapy, these findings could open up new vistas for developing drugs against addiction and other mental disorders", says Rana El Rawas.Scientific Contact:Dr. Rana El RawasExperimental Psychiatry UnitMedical University of InnsbruckInnrain 66a6020 InnsbruckT +43 / 699 / 104 068 80E rana.el-rawas@i-med.ac.atAustrian Science Fund FWF:Marc SeumenichtHaus der ForschungSensengasse 11090 Vienna, AustriaT +43 / 1 / 505 67 40 - 8111E marc.seumenicht@fwf.ac.atDistribution:PR&D Public Relations for Research & EducationMariannengasse 81090 Vienna, AustriaT +43 / 1 / 505 70 44E contact@prd.atFWF Austrian Science FundThe Austrian Science Fund (FWF) is Austria's central funding organization for basic research.The purpose of the FWF is to support the ongoing development of Austrian science and basic research at a high international level. In this way, the FWF makes a significant contribution to cultural development, to the advancement of our knowledge-based society, and thus to the creation of value and wealth in Austria.Distribution:PR&D Public Relations for Research & EducationMariannengasse 81090 Vienna, AustriaT +43 / 1 / 505 70 44E contact@prd.atW prd.at/en Trans-iX B.V. Cuts Down Spam by Employing SpamExperts Professional Email Filtering www.trans-ix.nl www.spamexperts.com www.spamexperts.com Amsterdam, July 11th, 2016 Dutch company Trans-iX B.V. has bolstered SpamExperts client pool by acquiring a subscription for both Incoming and Outgoing filtering services via the Local Cloud. As spam impacted network reputation, and increased server loads, Trans-iX decided to fight back by applying professional email security solutions. After SpamExperts was cued in, and the email filtering services were properly installed, spam was no longer an issue of concern. Network reputation wasnt under fire anymore, and the company started recuperating lost time and resources.Everything is working accordingly and we are more than pleased with how the software functions - it hasnt just fulfilled our expectations, it has exceeded them. Weve even started offering it to more customers on the go. Installation was swift, and Support teams were super helpful all the way. We chose the Local Cloud solution because we want to keep everything in our own backyard, so to speak, and we got all the bang for our buck, notes Diederik Wennekes, Trans-iX B.V. COO.We are very pleased to help our Dutch partner improve its network reputation by providing compelling email security solutions. Spam can provoke loads of damages and, as we all know, every business setback is crucial considering how competitive the Web Host industry is, explains Sam Renkema, SpamExperts CEO.More information on the implementation of the SpamExperts solution can be read in their case study.About Trans-iX B.V.Trans-iX B.V. is a Dutch company founded in the late 90s by two friends. With a clear mission, and set of clear-cut skills, the company quickly became one of the key players in the region. Now, with years of experience backing them up, Trans-iX B.V. is one of the to-go companies in the industry.For more information, please visit their official website atAbout SpamExpertsSpamExperts solutions are tailored to (shared) web hosts, ISPS/Telcos and large organizations, as well as distributors and resellers. They offer inbound & outbound email filtering services, as well as email archiving. The services can run either within the redundant SpamExperts Hosted Cloud or on a Local Cloud directly deployed on the customers (virtual) hardware.For more information, please visit the official website ator blog.spamexperts.com.More information:Contact person: Marilena DobreSpamExperts BVRokin 113-1151012 KP AmsterdamNetherlandspress@spamexperts.comPhone: +31 20 820 0004Fax: +31 43 711 3401 Global Laundry powders Market 2016: Industry Growth, Size, Share, Analysis and Forecast to 2021 Laundry powder http://goo.gl/O6e4Ng http://www.mrsresearchgroup.com/market-analysis/global-and-chinese-laundry-powders-market-2016-industry.html MRS Research Group added new research report on Global Laundry powders Market 2016 Market Share,Size,Trends and Forecast to 2021 to its database.The Global and China Laundry powders industrial analysis on the basis of market size, annual report etc.The report includes current and future scenario of the company.The industry was steadily improving in the last few years and moving fast to achieve standard position in the Global market. The entire analysis is given by our experts on some strong basis. So that client will be able to see the current and projected realities of the Global and China Laundry powders Industry.Thus, company is focuses on the new product launches, R&D initiatives acquisition decisions etc. The mergers and acquisition has open new opportunities in the market. It has affected the economic status of the company. It also includes macro and micro economic factors which is responsible for the growth in the Global and China Laundry powders Industry. The report successfully delves into specific areas within the IndustryCheck Free Sample Report On Laundry powders Market @The information share on the basis of analysis and guidelines it offer client more transparent picture of the growth drivers, restraints, etc that currently prevail in the Global and China Laundry powders Industry. The report covered the strategic information from both sides the supply side and the demand side. The company strategy is to grab market position in near coming future.The entire reports consist of an array of tables, graphs and figures to pictorially analyze the Industry which offer clear picture of the market. Furthermore, it tries to cover some major points to understand market and reasons behind the maximum potential for growth.Table of Content Of Laundry powders Market :Chapter One Introduction of Laundry powders Industry1.1 Brief Introduction of Laundry powders1.2 Development of Laundry powders Industry1.3 Status of Laundry powders IndustryChapter Two Manufacturing Technology of Laundry powders2.1 Development of Laundry powders Manufacturing Technology2.2 Analysis of Laundry powders Manufacturing Technology2.3 Trends of Laundry powders Manufacturing TechnologyChapter Three Analysis of Global Key Manufacturers3.1 Company A3.1.1 Company Profile3.1.2 Product Information3.1.3 2011-2016 Production Information3.1.4 Contact Information3.2 Company B3.2.1 Company Profile3.2.2 Product Information......Access Complete Report On Laundry powders Market @MRS Research group provides a range of marketing and business research solutions designed for our clients specific needs based on our expert resources. The business scopes of Prof Research cover more than 30 industries including energy, new materials, transportation, daily consumer goods, chemicals, etc. We provide our clients with one-stop solution for all the research requirements.3422 SW 15 Street,Suit # 8138Deerfield Beach,Florida 33442 Global Shadow Banking Market Will Grow At A CAGR Of 11.28% During The Period 2016-2020 http://www.researchmoz.us/enquiry.php?type=S&repid=741823 http://www.researchmoz.us/enquiry.php?type=E&repid=741823 http://www.researchmoz.us/ http://bit.ly/1TBmnVG Researchmoz added Most up-to-date research on "Global Shadow Banking Market 2016-2020" to its huge collection of research reports.A Shadow banking system is a network of financial institutions comprising non-depository banks like the structured investment vehicles, hedge funds, investment banks, and money market funds. Based on the size and scale of operations these financial intermediaries are classified as small to big insurance brokerage firms or financial institutions. The services offered by financial intermediaries include commercial banking, asset management, and investment banking. The members of the non-bank financial intermediary are not subjected to regulatory oversight. The working style and regulations of shadow banks are different from those of regular banks.Technavios analysts forecast the global shadow banking market to grow at a CAGR of 11.28% during the period 2016-2020.To Get Sample Copy of Report visit @Covered in this reportThe report covers the present scenario and the growth prospects of the global shadow banking market for 2016-2020. To calculate the market size, the report considers the revenue generated from the shadow banking market reported from the Americas, APAC, and EMEA.The market is divided into the following segments based on geography:AmericasAPACEMEANew report, Global Shadow Banking Market 2016-2020, has been prepared based on an in-depth market analysis with inputs from industry experts. The report covers the market landscape and its growth prospects over the coming years. The report also includes a discussion of the key vendors operating in this market.Key vendorsBank of America Merrill LynchBarclaysHSBCCredit SuisseCitibankOther prominent vendorsDeutsche BankGoldman SachsMorgan StanleyMarket driverQuicker access to creditFor a full, detailed list, view our reportMarket challengeLack of an official liquidity backstop from a central bankFor a full, detailed list, view our reportMarket trendDemand for crowdfunding and peer-to-peer lending as part of shadow bankingFor a full, detailed list, view our reportKey questions answered in this reportWhat will the market size be in 2020 and what will the growth rate be?What are the key market trends?What is driving this market?What are the challenges to market growth?Who are the key vendors in this market space?What are the market opportunities and threats faced by the key vendors?What are the strengths and weaknesses of the key vendors?Make an Enquiry of this report @About ResearchMozResearchMoz is the one stop online destination to find and buy market research reports & Industry Analysis. We fulfill all your research needs spanning across industry verticals with our huge collection of market research reports. We provide our services to all sizes of organizations and across all industry verticals and markets. Our Research Coordinators have in-depth knowledge of reports as well as publishers and will assist you in making an informed decision by giving you unbiased and deep insights on which reports will satisfy your needs at the best price.Mr. NachiketState Tower,90 State Street,Suite 700,Albany NY - 12207United StatesWebsite @Tel: 866-997-4948 (Us-Canada Toll Free)Tel: +1-518-621-2074Follow us on LinkedIn @ At A CAGR Of 11.27% Global Mask Inspection Equipment Market Will Grow During The Period 2016-2020 http://www.researchmoz.us/enquiry.php?type=S&repid=741831 http://www.researchmoz.us/enquiry.php?type=E&repid=741831 http://www.researchmoz.us/ http://bit.ly/1TBmnVG Researchmoz added Most up-to-date research on "Global Mask Inspection Equipment Market 2016-2020" to its huge collection of research reports.The semiconductor market is shifting toward complex and miniaturized devices. Semiconductor device manufacturers need higher inspection sensitivity as they move toward lower node sizes (10nm and beyond). The migration will introduce complex structures and designs, as well as new materials.Mask inspection equipment is fab equipment that is used, before lithography, to inspect photomasks for defects during the production of semiconductor wafers. It is also used for R&D purposes. The increase in the application of semiconductor integrated circuits (ICs) in segments like consumer electronics, automobiles, and industries is prompting IC vendors to raise their production scales. This will compel the vendors to demand more mask inspection equipment to ensure maximum reliability of their offerings.Technavios analysts forecast the global mask inspection equipment market to grow at a CAGR of 11.27% during the period 2016-2020.To Get Sample Copy of Report visit @Covered in this reportThe report covers the present scenario and the growth prospects of the global mask inspection equipment market for 2016-2020. To calculate the market size, Technavio considers the sale of mask inspection equipment or systems to global, regional, and local end-users, mainly to captive and merchant mask shops.The report does not include revenue generated from the sale of components that are used in the production or resale of mask inspection equipment.The market is divided into the following segments based on geography:AmericasAPACEuropeNew report, Global Mask Inspection Equipment Market 2016-2020, has been prepared based on an in-depth market analysis with inputs from industry experts. The report covers the market landscape and its growth prospects over the coming years. The report also includes a discussion of the key vendors operating in this market.Key vendorsKLA-TencorApplied MaterialsLasertech CorporationOther prominent vendorsCarl ZeissFEIHermes Microvision (HMI)JEOLNanometricsNikonPlanar CorporationRudolph TechnologiesMarket driverIncrease in number of fabsFor a full, detailed list, view our reportMarket challengeDependency on limited key suppliersFor a full, detailed list, view our reportMarket trendEvolution of IoTFor a full, detailed list, view our reportKey questions answered in this reportWhat will the market size be in 2020 and what will the growth rate be?What are the key market trends?What is driving this market?What are the challenges to market growth?Who are the key vendors in this market space?What are the market opportunities and threats faced by the key vendors?What are the strengths and weaknesses of the key vendors?Make an Enquiry of this report @About ResearchMozResearchMoz is the one stop online destination to find and buy market research reports & Industry Analysis. We fulfill all your research needs spanning across industry verticals with our huge collection of market research reports. We provide our services to all sizes of organizations and across all industry verticals and markets. Our Research Coordinators have in-depth knowledge of reports as well as publishers and will assist you in making an informed decision by giving you unbiased and deep insights on which reports will satisfy your needs at the best price.Mr. NachiketState Tower,90 State Street,Suite 700,Albany NY - 12207United StatesWebsite @Tel: 866-997-4948 (Us-Canada Toll Free)Tel: +1-518-621-2074Follow us on LinkedIn @ Teleprotection Market Grows With Rising Demand for Reducing Transmission and Distribution Losses http://bit.ly/29H2dxj http://bit.ly/29CJaDW http://www.transparencymarketresearch.com Teleprotection systems prevent failure and damage to systems by disconnecting the faulty part automatically and often remotely. Teleprotection has gained significant traction around utilities and telecom. There is an increased demand for teleprotected grids. Ageing grid infrastructures, improved communication systems and protocols, demand for reducing transmission and distribution losses along others are driving the growth of teleprotection market. Emerging opportunities for teleprotection systems lie in aerospace and defense sector. However, high cost factor, lack of interoperability standards can be a restraint to the growth of teleprotection market. Various components of the market include teleprotection unit, teleprotection software, and communication network technology. The teleprotection unit part is segmented into multi-service access equipment, optical interface equipment, directional electrical interface equipment, data circuit terminating equipment (DCE) and others. The technology for communication networks can be sub-segmented into conventional and advanced systems. Various hardware components include switches, relays, connecters, voice and teleprotection card, connecter ports, routers, controllers, programmable logic controller (PLC), displays, interface device, and other communication network components.Free PDF Sample For More Market insight can be Downloaded @Various application markets for teleprotection include industry verticals such as telecom, power, information technology (cyber security), oil and gas, transportation, and aerospace and defense, among others. Teleprotection has received significant interest, and the favorable government regulations and policies mainly in developed economies and its demand is expected to grow over the coming years globally. North America and Europe have been experiencing increasing demand for teleprotection units in recent years. Asia Pacific and Latin America are also expected to gain increased traction over the coming years. Key players in this market include Alcatel Lucent S.A., ABB Ltd., Alstom S.A., DNV GL, GE Energy, Cisco Systems, Inc., Nokia Solutions and Networks, Telco systems, Siemens AG, and Schweitzer Engineering Laboratories, Inc., among others.Browse Full Market Report With Complete TOC @Geographies analyzed under this research report includeNorth AmericaAsia PacificEuropeRest of the WorldThis report provides comprehensive analysis ofMarket growth driversFactors limiting market growthCurrent market trendsMarket structureMarket projections for upcoming yearsTransparency Market Research (TMR) is a global market intelligence company, providing global business information reports and services. Our exclusive blend of quantitative forecasting and trends analysis provides forward-looking insight for thousands of decision makers. TMRs experienced team of Analysts, Researchers, and Consultants, use proprietary data sources and various tools and techniques to gather and analyze information.Mr. Sudip. S90 Sate Street, Suite 700Albany, NY 12207Tel: +1-518-618-1030USA - Canada Toll Free: 866-552-3453Email: sales@transparencymarketresearch.comWebsite: Global Physical Vapor Deposition Market Finds New Growth Avenues in Microelectronics and Medical Devices http://www.transparencymarketresearch.com/sample/sample.php?flag=S&rep_id=1202 http://www.transparencymarketresearch.com/ http://globalresearchanalysis.blogspot.in/ The global physical vapor deposition market is progressing at a CAGR of 9.5% within a forecast period from 2013 to 2019. This market was valued at US$10.9 bn in 2012, and through a series of progressive growth drives, is expected to reach US$20.4 bn before the end of 2019.A report released by Transparency Market Research explains why the global physical vapor deposition market will grow to nearly double its market value in a span of seven years. Physical Vapor Deposition Market - Global Industry Analysis, Size, Share, Growth, Trends and Forecast, 2013 - 2019, is an in-depth and precision-driven presentation on the market and all the factors that are expected to influence its growth pattern in the near future. The report considers both present and future trends to reach its conclusions.Download And Get FREE Sample PDF File Of Physical Vapor Deposition Market :PVD is considered as a high level technology or process that can produce extremely thin films over specific surfaces. This involves the application of vapor to these surfaces. The vapor has to come from a specific material as well. PVD is largely used to improve the resistance of a surface to wear and tear, corrosion, and some amounts of mechanical stress. The properties of the PVD process make it invaluable to industries that rely on multiple instruments in harsh environments or have to manufacture devices meant for similar cases.For instance, the key driver of the global physical vapor deposition market is being stated to be the high demand for this procedure and its providers in the fields of medical devices and microelectronics. Other application segments of the global physical vapor deposition market include computers, defense, automotive, and aerospace.Another driver expected to promote the growth of the global physical vapor deposition market in the near future is the rapid rate of advancements made in the field of microelectronics. These developments will increase the scope of application of PVD in the field of microelectronics.However, there are some restrictions that players cannot bypass. The greatest challenge to new entrants in this market are the patent protection laws in effect on an already high number of PVD technologies. These protection laws are inhibiting the overall growth of the global physical vapor deposition market by limiting the access points to the market for new players. Yet another factor hinders the growth of this market, which is the very low rate of efforts conducted in PVD-related research and development.The regional analysis carried out by the reports authors reveals around half the market to be occupied by Asia Pacific. The analysts expect the APAC to remain as the dominant region in the market for the given timeline, owing to a high demand through a rapid rate of industrialization and healthcare infrastructure development.Of the many related companies discussed in the report, the global physical vapor deposition market is a major base of operations for several top players, such as Oerlikon Balzers, AJA International, ELVAC Technologies, Semicore Equipment, Platit, Leybold Optics, Hauzer Coating Technology, and KDF.About UsTransparency Market Research (TMR) is a global market intelligence company providing business information reports and services. The companys exclusive blend of quantitative forecasting and trend analysis provides forward-looking insight for thousands of decision makers. TMRs experienced team of analysts, researchers, and consultants use proprietary data sources and various tools and techniques to gather and analyze information.TMRs data repository is continuously updated and revised by a team of research experts so that it always reflects the latest trends and information. With extensive research and analysis capabilities, Transparency Market Research employs rigorous primary and secondary research techniques to develop distinctive data sets and research material for business reports.ContactMr. Sudip. STransparency Market Research90 State Street, Suite 700Albany, NY 12207Tel: +1-518-618-1030USA - Canada Toll Free: 866-552-3453Email: sales@transparencymarketresearch.comWebsite:Visit Blog : Global Ultrasonic Cleaning Machine Production, Supply, Sales and Demand Market Growth & Market Trends 2016 Global Ultrasonic Cleaning Machine Production, Supply, Sales and Demand Market 2016 http://www.marketintelreports.com/report/QYR10048/2016-global-ultrasonic-cleaning-machine-production-supply-sales-and-demand-market-research-reportn http://www.marketintelreports.com/pdfdownload.php?id=qyr10048 www.marketintelreports.com Global Ultrasonic Cleaning Machine Production, Supply, Sales, and Demand Market Research Report is a professional and in-depth research report on Ultrasonic Cleaning Machine. From two aspects: production and sales, the report provides detailed information of production, supply, sales, demand, price, cost, income and revenue on Ultrasonic Cleaning Machine in US, EU, China, Japan and rest of the world.Check Complete Report @In terms of production, the report gives ex-factory price, cost, production value and gross margin etc. of different types of Ultrasonic Cleaning Machine produced in different regions and different manufacturers.In terms of sales, the report gives sales volume, sales price, cost, sales income, and profit margin etc. of Ultrasonic Cleaning Machine used in different fields, sold in different regions and by different companies.Get Sample Brochure of the Report @The past price of 2013-2015 and future price of 2016-2021 are analyzed according to the supply-demand relation, as well as perspectives and forecasts.Besides production and sales data, the report provides data on deals (distributors) and Buyers, giving readers an insight into the supply chain and sales details of Ultrasonic Cleaning Machine.The import, export, stock size and relevant data of major GDP regions such as EU, US, Japan and China are listed in the report. As a global report, in addition to above-mentioned major GDP regions, we provide breakdown data of Germany, UK, and France of the EU, and data of other countries such as India, South Korea, Brazil and Australia.The report concludes with a comprehensive research result on the industry chain of Ultrasonic Cleaning Machine.About us:MarketIntelReports (MIR) aim to empower our clients to successfully manage and outperform in their business decisions, we do this by providing Premium Market Intelligence, Strategic Insights and Databases from a range of Global Publishers.A group of industry veterans who are well experienced in reputed international consulting firms after identifying the sourcing needs of MNCs for market intelligence, have together started this business savior MarketIntelReports.MIR intends to be a one-stop shop with an intuitive design, exhaustive database, expert assistance, secure cart checkout and data privacy integrated. It curates the list of reports, publishers and studies to ensure that the database is constantly updated to dynamically meet the targeted, specific needs of our clients.MarketIntelReports currently has more than 10,000 plus titles and 35+ publishers on our platform and growing consistently to fill the Global Intelligence Demand Supply Gap. We cover more than 15 industry verticals being: Automotive, Electronics, Manufacturing, Pharmaceuticals, Healthcare, Chemicals, Building & Construction, Agriculture, Food & Beverages, Banking & Finance, Media and Government, Public Sector Studies.Contact us:Mayur SSales Manager2711 Centerville Road, Suite 400,Wilmington,Delaware,19808United Statessales@marketintelreports.comTelephone: 1-302-261-5343 Britains vote to leave the EU has left politicians and markets reeling. But while the new political order undoubtedly presents challenges, those businesses most likely to weather the storm will be those with the flexibility to adapt to it. And for these, the new economic order could promise opportunities in the longer term. After the stunning 52:48 vote in favour of the UK leaving the European Union on 23 June 2016, 1280px-20_Dollars_art4.jpg ((Man-ucommons/Wikimedia Commons) ) On December 1, if you're a salaried employee who makes less than $47,476 a year, your pay structure will very likely be changing. That's because a new federal overtime rule is going into effect, which updates the threshold at which salaried "white collar" employees are eligible for overtime. Right now, if you make $23,660 or more per year, in many cases you don't get paid overtime. After December 1, that number changes to $47,476. So if you make less than $47,476 per year, you will be eligible for overtime pay. Essentially, many of the country's lowest salaried workers will either earn money for hours that previously went unpaid or not have to work over 40 hours a week. The government estimates 4.2 million people will be impacted by this change, 55.6 percent of them women and 31.3 percent between the ages of 25 and 34. But Republicans and Democrats disagree on whether or not the impact will be good or bad for America. "This rule will hurt the very people it's supposed to help," says a spokeswoman for the Republican's House Committee on Education and the Workforce. "Many workers will be demoted, have less flexibility at work, and enjoy fewer opportunities to advance up the economic ladder," she adds. Suzanne Bonamici, U.S. Representative for Oregon's 1st congressional district (D) and a member of the Democrat's Committee on Education and the Workforce, disagrees. "I think we're just going to see people getting fairly compensated for working overtime which only makes sense," she says. "Everybody pretty much agrees that this is going to create jobs." "There are a lot of Oregon businesses that are seeing the benefits of these kinds of policies," says Bonamici. But Republicans aren't giving up quite yet. Rep. Virginia Foxx (R-NC) introduced a resolution under the Congressional Review Act to block the Department of Labor from implementing the new rule and Rep. Tim Walberg (R-MI) introduced legislation to stop the rule from taking effect and to require an economic analysis of the rule's impact on non-profit organizations, small businesses and higher education. Will these things stop the rule for taking effect on December 1? Well, first Congress would have to pass them and then President Obama would have to sign them. Since the president is one of the forces behind the new rule, he's unlikely to sign anything to stop it from happening. In that case, Congress would need a two thirds majority to override his veto. "We need a responsible update to federal overtime rules, and unfortunately, the department's approach isn't it," says the Republican spokeswoman. Again, Bonamici disagrees, saying this rule is good for workers, for businesses and for the U.S. economy. One main thing a higher overtime threshold will help? Worker productivity. "If people are stressed out and working overtime, they're not going to be doing their best," says Bonamici. "Healthier, happier workforce is better for employers." -- Lizzy Acker 503-221-8052 lacker@oregonian.com, @lizzzyacker Hydro Flask Hydro Flask, a Bend-based company, gave $250,000 to Oregon State to help develop an outdoor products degree program. (Courtesy of Hydro Flask) Oregon State said Monday it received $250,000 from Bend company Hydro Flask to help develop a new outdoor products degree program at the university's growing Central Oregon campus. The gift comes as OSU prepares to open a new building this fall at its Cascades campus in Bend, the first new four-year public campus in the state in decades. Two additional buildings, a residence hall and dining center, are expected to open in January. Bend is a growing hub for outdoor recreation companies like Hydro Flask, which designs and manufactures insulated stainless steel water bottles. In a statement, OSU officials said the gift will help the school hire an experienced outdoor-products expert to help develop a bachelor's degree program. The new faculty member will lead the curriculum-planning process and "work with regional outdoor products companies" to pinpoint necessary skills that should be taught in the program. Becky Johnson, vice president of OSU-Cascades, thanked Hydro Flask for its innovation and business perspective. "Their generous commitment will help us align even better with Central Oregon's dynamic economic landscape, and particularly the growing outdoor-product industry," Johnson said. Hydro Flask was founded in 2009 in Bend. Scott Allan, the company's general manager, said he's excited to work with the university "in a region that appreciates the natural environment and the importance of outdoor recreation in people's lives." OSU estimated it would take two years to develop the degree program, which will eventually help students develop product proposals and bring them to to fruition. The school plans to team with local companies on internships and student projects. UPDATE: This story has been updated to reflect that OSU-Cascades is opening two new buildings in Jan. 2017, and one building this fall. -- Andrew Theen atheen@oregonian.com 503-294-4026 @andrewtheen Play Court with lead.jpg Children frolic on the play court at Alameda Elementary in Northeast Portland. Officials declare part of the school grounds off limits Monday after tests confirmed the presence of dangerous lead debris. Portland Public Schools ran tests in October 2013 that showed fraying lead paint on the play court ceiling exceeded a federal safety level, but they never addressed it. Tests conducted in June found paint debris outside Alameda with lead at more than five times a state danger threshold. Lead paint is far more dangerous to children than lead in water. (Betsy Hammond / The Oregonian) The grounds of Alameda Elementary School contain hazardous lead paint debris at levels more than five times the threshold set by the Oregon Health Authority, district officials told Alameda families Monday. That confirms what The Oregonian reported a month ago today: Portland Public Schools knowingly failed to fix lead paint hazards at the Northeast Portland elementary school, even after tests showed kindergartners were playing on a tainted playground. Portland Public Schools officials sealed off a portion of the Alameda playground at Monday to prevent children and adults from accessing the space. Portland Public Schools officials are trying to prevent young children from accessing parts of the school grounds where very high levels of lead paint hazards were confirmed by testing conducted in late June and early July. The district's message to families said, "We will be contracting with a firm to clean up these areas as soon as possible." Prompt action to close off the tainted area is important, as young children attending a summer day care program at the school as well as neighborhood children looking to play outdoors use the playground each day. The affected area is in a courtyard of the school near the cafeteria doors, not the play court. The school district chose not to test a sample of paint debris and dust from the play court, said David Hobbs, the senior director of facilities and asset management. The district couldn't test everywhere on the Alameda grounds, so its lead expert took samples from four spots where lots of dust had accumulated, Hobbs said. He has worked for Portland Public Schools for only one year and has not seen the 2013 results showing extremely high levels of lead in the flaking paint on the play court ceiling that falls to the play court floor and gets crushed under foot. No one knows for sure how many other Portland schools may have lead paint-tainted grounds. The district's lead testing expert does a visual check for lead chips around each school once a year, but the school district has never systematically tested for lead school grounds, Hobbs said. The district plans to move aggressively to remove and paint over peeling paint at all 40 of its schools that serve children in second grade or younger, Hobbs said. Rather than spend time and money running tests on lead paint debris, the district will assume any peeling paint contains lead and will used trained employees, both in house and from outside firms, to remove chipped or peeling paint and seal what remains under clean lead-free paint, he said. Visual inspections to decide what fixes to which schools should come first will be completed before school resumes in August, he vowed. Former Alameda parent Virginia LaForte, who first raised the issue of lead hazard at the school in 2013, complained vehemently to the school board on June 28. She told the board that district employees failed to act on test results, including air quality tests, that repeatedly showed elevated lead paint hazards around the school. On June 29 and June 30, a district safety employee collected paint dust and debris samples from four spots on the school's playground. Results that reached the district Friday showed three of the samples tested positive for lead. The Oregon Health Authority recommends property owners take action if lead is detected at 400 parts per million, the district told families. Two of the samples were below that threshold -- at 260 and 220 parts per million -- but one was far above it. That sample tested at 2,100 parts per million, more than five times the state health threshold, lab results show. "Out of an abundance of caution," the district closed off access to all three areas identified as having lead paint dust, not just the one section where it registered above the action threshold, Hobbs said. Lead paint debris is by far the leading cause of lead poisoning in young children in Oregon, health authority data shows. Portland Public Schools conducted lead testing on the Alameda grounds in October 2013. Those tests showed high levels of lead paint debris on the school's covered play court, where children play four square and wall ball, as well as on many other parts of the playground. The school district hired a certified firm to remove and cover the lead paint on most of the building's exterior. But the district never cleaned up and repainted the play court. A district employee said that was because the wing of the building that contains the play court is made of cement, and the peeling lead paint on the wooden exterior of the school was considered a greater "emergency." Chief Operating Officer Tony Magliano, who was personally involved in that decision, and the district's environmental health and safety chief, Andy Fridley, both have been out on paid leave since June 2. Superintendent Carole Smith said they "didn't deliver" on handling lead hazards, communicating with the public and providing her with accurate and timely information. -- Betsy Hammond betsyhammond@oregonian.com Growing clinic chain draws fans, critics ZoomCare's Mississippi Avenue location is pictured here in 2011. The expanding company has since changed its name to Zoom and changed its logo. (Thomas Boyd/The Oregonian/2011) Protesters are gathering outside ZoomCare clinics in Portland and Seattle against the company's policy of refusing health care plans for the elderly and poor. Zoom, which has several dozen clinics in the Portland area, Salem and Seattle area, does not accept Medicare, Medicaid or Tricare, a health care plan sponsored by the U.S. military. Protesters say that policy shifts the care of the old and poor to nonprofit providers. "Segmenting the population like this is a prescription for inefficient and lower quality health care," a statement said. The company's website says it cannot accept Medicare because the rates are too low. Dr. Dave Sanders, chief executive and co-founder of the company, based in Hillsboro, said Zoom was created to serve a niche of people who want to use their phones to set up appointments and get quick neighborhood care. He says online services are prohibited by Medicare. "It's not meant to take care of everybody," he said of Zoom. "We're one of the many options that will be out there. Don't think that we have to be all things to all people." The company, which bills itself as an innovator, accepts a range of private insurance plans. Unlike other providers, it posts its prices on the wall. Zoom has 33 clinics in Oregon and Washington, mostly in the Portland and Seattle areas. The Portland protest is outside the ZoomCare clinic at 3325 S.E. Hawthorne Blvd. In Seattle, protesters are gathering at the ZoomCare clinic at 624 N. 34th St. The protests will include union members and groups favoring a single-payer system. In Oregon, they're backed by Portland Jobs with Justice. In Washington state, the protests have been spearheaded by Puget Sound Advocates for Retirement Action, a group that supports the elderly. -- Lynne Terry Seattle Seahawks defensive back Brandon Browner is being investigated for assault and his case has been referred to the district attorney for possible charges. The former Oregon State star came under investigation after an alleged altercation with his girlfriend's father in Pomona, California last week. The two had a disagreement when Browner turned violent, according to the alleged victim. The veteran cornerback left the residence before police arrived. According to reports, the father of Browner's girlfriend claims Browner assaulted him in the driveway of Browner's home. The father was not injured and no charges have been initially filed. However, the district attorney will ultimately decide if charges will be filed. Browner signed a one-year contract with the Seahawks in April. He rejoined the team after playing in Seattle from 2011-13. -- Geoffrey C. Arnold | @geoffreyCarnold Trump5.JPG Donald Trump (AP Photo) "This election," a spokesman for Sen. Ben Sasse, R-Neb., said Thursday, "remains a dumpster fire." Well, yes, the two major-party candidates for president are historically unpopular. But if this election is unusually bad, it is not because both parties chose bad candidates. There is no equivalence between Donald Trump and Hillary Clinton - as even responsible Republicans should be able to recognize. Clinton is a knowledgeable politician who has been vetted many times over. She understands and respects the U.S. Constitution. She knows policy. She can cite accomplishments in the public interest, such as pressing through an important children's health insurance program during her husband's administration. As a senator, she was respected by colleagues on both sides of the aisle. She completed four years as secretary of state to generally positive reviews. She began her presidential campaign by rolling out a series of serious policy papers. None of this means you have to like Clinton or believe she would be a good president. You may disagree with her views; we have done so often enough and will do so again when we think she is wrong. You may believe she was foolish to push for the Libya intervention, arrogant to keep her emails out of the official State Department server, greedy to take large speaking fees as a private citizen. But measured against other major-party candidates of recent times, Clinton is well within established bounds of competence, knowledge, commitment and integrity. She is not a dumpster candidate. Trump, by contrast, has waged a campaign based on bigotry, ignorance and resentment. He has no experience as a public servant, and his private record of bankruptcies and exploitation should be disqualifying. He regularly circulates falsehoods. He has no discernible interest in or knowledge of policy. Just in recent days, Trump tweeted out an anti-Semitic image circulating on neo-Nazi websites and attacked the media for reporting as much. He called one sitting senator a loser and threatened another while proving that he lacks even a passing familiarity with the Constitution. He praised one of the most vile dictators of the 20th century. Those Republicans with enough self-respect to be mortified by the man their party is about to nominate continually hold out hope for some magical transformation. Yet even if Trump flipped his agenda - not a problem for a man with almost no fixed beliefs - he would still be the candidate who mocked a disabled reporter, proposed banning Muslims from entering the United States, attacked a judge based on his ethnicity, celebrated violence at his rallies, demeaned women and promised to round up and deport 11 million undocumented immigrants. He would still be the candidate who vaulted to political prominence with race-based attacks on the incumbent president and launched his campaign by calling Mexicans rapists. Sasse has proved to be a rare Republican official with the moral courage to speak as honestly about Trump after he clinched the nomination as he did before. It's not surprising that the senator would want to dismiss the whole campaign as a mess, and we don't doubt that he genuinely fears the direction in which Clinton would lead the nation. But to equate the two candidates as indistinguishably unqualified products of a rigged or failed system only feeds public cynicism while blurring distinctions that should not be blurred. Clinton is a politician, long in the arena, whom you may or may not support. Trump is a danger to the republic. (c) 2016, The Washington Post Monday 11 July 2016 11:35am An international research team led by University of Otago scientists has shown that New Zealands Chatham Islands were once home to a unique population of sea lion that was driven to extinction soon after first human settlement. The researchers used ancient-DNA analysis, radiocarbon dating and computational modelling to reveal the relationships of the unique prehistoric population, and also to understand the reasons for its sudden extinction a few hundred years ago. Dr Nic Rawlence, who carried out the genetic study, says the team found a previously undiscovered lineage of sea lion on the isolated Chatham Islands, 650 km east of mainland New Zealand. The unique prehistoric Chathams sea lion was genetically clearly distinct from the modern population that persists in the Auckland and Campbell Islands, and mainland New Zealand today. The Chathams supported a large, genetically diverse population of this unique sea lion, which went rapidly extinct around 1650 AD, following Polynesian settlement of the islands only 200 years earlier, says Dr Rawlence. The regional New Zealand sea lion population now contains only a fraction of the genetic diversity it once had, says Dr Catherine Collins, who was also involved in the study. The Otago team used computational modelling to determine the level of human hunting likely to have caused the Chathams sea lion extinction. Modelling indicated that hunting rates greater than one sea lion/person/year resulted in the extinction of native populations within 200 years of first human settlement, says Dr Justin Maxwell, an Otago archaeologist involved with the study. Sea lions were not able to withstand even low levels of sustained hunting pressure, says Dr Maxwell. The findings may also have important implications for the continued survival of New Zealands modern sea lions, says project leader Professor Jon Waters. We used the same modelling approach to estimate the survival prospects for the modern population under different mortality rates. Sea lions are listed as endangered in the International Union for Conservation of Nature Red List. With only around 10,000 individuals remaining, the population is in serious decline (50 per cent decline in pup births since 1998), with fisheries bycatch and resource competition the likely culprits. The teams computer models suggest that current reported and unreported bycatch levels may be unsustainable for the longterm survival of the species. Our study adds to the growing evidence that undetected sea lion bycatch may still be driving the decline of the species, something the governments recently released sea lion threat management plan (TMP) dismisses, says Associate Professor Bruce Robertson, an Otago sea lion biologist, who was involved in the study. Overall, this study is a great example of how ancient DNA can be used to inform conservation strategies of currently endangered species, says Dr Rawlence. What our research shows is that human harvesting and sea lions do not mix. Unless measures are taken to mitigate continuing bycatch levels, the outlook for our sea lions is bleak. This Marsden- and Allan Wilson Centre- funded research included team members from the University of Otago, University of California, San Diego, and Southern Methodist University, as well as the Museum of New Zealand Te Papa Tongarewa and Canterbury Museum. The research appears in the international journal Molecular Ecology. For more information, contact: Dr Nic Rawlence Department of Zoology University of Otago Tel 03 479 4039 Email nic.rawlence@otago.ac.nz Professor Jon Waters Department of Zoology University of Otago Tel 03 479 5847 Email jon.waters@otago.ac.nz DETROIT (AP) Detroit police have arrested four men after Facebook postings that the city's police chief says represent threats against officers. The Detroit News reports one of the men is accused of urging people to kill white officers. Vietnamese drink manufacturers give up home market to foreign firms VietNamNet Bridge - Losing out in the carbonated soft drink market segment, Vietnamese drink manufacturers also cannot compete with foreign ones in products which use materials available in Vietnam. Losing out in the carbonated soft drink market segment, Vietnamese drink manufacturers also cannot compete with foreign ones in products which use materials available in Vietnam. Phan Thanh Huong, a teacher of English, who reporters met at a Metro supermarket in district 2 in HCMC, said she came there to buy fruit juice.Previously, I bought apple, grape, orange and kiwi juice made by Berri (Australia). But now I like canned products from Thailand, she said.The products contain pieces of fruit pulps and they taste naturally sweet which my family members like so much, she said.A liter of Berri fruit juice is priced at VND43,000, while six cans of Thai Chabaa fruit juice are priced at VND60,000, about VND10,000 for a 230 ml can of mango juice. The prices, according to Huong, are acceptable though the imports are always more expensive than domestic products of the same kinds.Huong commented that selling prices are not the factor which determines buyers decisions. A liter of fruit juice made by a domestic company is sold at VND33,000-38,000. Meanwhile, import products, which are much more delicious, are only 15-20 percent more expensive.An analyst commented that some Vietnamese manufacturers have tried to make fruit juice in cartons and cans as they understand the high demand of the market. However, domestically made products do not fit Vietnamese taste.Our market surveys found that Vietnamese dont like Vietnamese products because they are too sweets and unnatural "A representative of Bidrico, a Vietnamese manufacturer, said the company has five fruit juice products, but admitted these are still not strong products.Robert Tran, a consultant, commented that Vietnamese enterprises do not make products that fit Vietnamese taste.He noted that people would turn their back to products which do not contain fruit pulp, because they think they have artificial flavor.He also said that Vietnam is also rich in tropical fruits like Thailand, but still cannot make products favored by customers like Thailand because of the bad processing industry.Both Doan Dinh Hoang, a branding expert, and Le Phung Hao, chair of the Vietnam Marketing Association, when asked what Vietnamese enterprises should do to compete with foreign manufacturers, said that enterprises should conquer niche markets.It would be better to make products from materials specifically available in Vietnam, or introduce products targeting different groups of customers such as middle-class customers or students, Hao said.Bizlive GLEN ARBOR TOWNSHIP, Mich. (AP) Authorities say divers have found the body of an 81-year-old suburban Detroit man who was missing after jumping from a boat in northern Michigan to go for a swim. The Traverse City Record-Eagle and MLive.com report the body of Edward James Koenigbauer of Warren was found on Sunday. The Leelanau County Sheriff's Department says that he was reported missing last Tuesday afternoon on Big Glen Lake. GARFIELD TOWNSHIP, Mich. (AP) Some homeowners say officials in northwest Michigan are being shortsighted in banning them from renting out their properties as cottages, saying it isn't good for tourism and it hurts them financially. "I've pleaded with this area to realize this is a sustainable, needed industry for our area, which is based on tourism," Kelly Kazmierski told the Traverse City Record-Eagle (http://bit.ly/29FWoll ). Kazmierski and her husband Mike bought a house overlooking Silver Lake in Garfield Township two years ago for $279,000 and invested $60,000 in renovations, planning to rent it for $2,900 a week. But a cease-and-desist letter that appeared on their door last July forced the Kazmierskis to abandon vacation rental operations and refund $40,000 to people who had paid to stay at the cottage. Garfield Township and other residential areas near Traverse City have banned short-term rentals anything less than 30 days for years. Housing shortages caused the township to start cracking down last year. "When we bought the property we had no reason to believe we couldn't rent it out," Kelly Kazmierski said. "We received our letter July 6 and had 10 days to comply." She has become a familiar voice at Garfield Township meetings for more than 40 short-term rental owners. "Everybody that owns these rentals are just trying to make it work right now," Kelly Kazmierski said. "A handful are just renting and hoping they don't get caught." She and others say allowing home rentals would help the tourism industry. "There's a real economic benefit to short-term rentals," said Kim Pontius, executive vice president of the Traverse Area Association of Realtors. "The rental price does jump because of high demand, but it brings a lot of money to local business." But Fife Lake resident Rene Bills-Walls, 53, said skyrocketing rents keep her from moving. "Tourism really keeps Fife Lake going, but the rent is atrocious," Bills-Walls said. "I can't even move anywhere and where we live now feels like a box." Cheri Garvin, housing locator at Northwest Michigan Continuum of Care, said seasonal prices can put low-income renters in tents or on the streets during summer. The organization's homeless shelter hotline received 188 calls this June, a 38 percent jump from May. The Kazmierskis say they believe rental regulations and taxing short-term rentals are the answer. "The township should regulate the industry so people do pay those taxes or regulatory fees," Mike Kazmierski said. ___ Information from: Traverse City Record-Eagle, http://www.record-eagle.com MOUNT PLEASANT, Mich. (AP) Central Michigan University is holding a reunion for alumni who were migrant workers before getting scholarships to attend the Mount Pleasant campus. The program began in the early 1970s as a partnership between CMU and a group called United Migrants for Opportunity. CMU says more than 40 people were part of a program that lasted a decade. DETROIT (AP) A replica Viking longboat and a 170-foot Spanish galleon are expected to sail up the Detroit River, Lake St. Clair and St. Clair River sometime between Monday and Wednesday. The Grand Rapids Press reports the Draken Harald Harfagre of Norway and the El Galeon Andalucia of Spain and other replica vessels are making their way through the Great Lakes. The fleet stopped in Toronto for a festival from July 1 to 3. 673d Security Forces Squadron conducts high risk response training Security forces personnel, assigned to the 673d Security Forces Squadron, wait to enter a conduct high risk response training at Joint Base Elmendorf-Richardson, Alaska, June 29, 2016. High risk response training provides security forces personnel an intensive, hands-on course based in various real-life scenarios emphasizing effective tactics to engage and eliminate active shooters or other threats. (U.S. Air Force photo/Alejandro Pena) BLOOMINGTON For 14-year-old John Faulkner of Normal, his trip overseas met a very important goal. I didnt miss it back here at all, he said with a laugh. Faulkner, who will attend Normal Community High School this fall, was one of four teens to visit Asahikawa, Bloomington-Normal's sister city in Japan, as part of an annual junior ambassadors trip. The group spent two weeks on Hokkaido, Japan's second-largest island, living with host families, visiting local landmarks, trying Japanese cuisine and strengthening the bond between the two communities. I would definitely recommend (the trip) to anyone, as long as theyre willing to put in a lot of work and be busy. They will do both, said Jenna Schaefer, a 15-year-old Normal resident who will be an NCHS sophomore this fall. Between June 2 and June 19, the teens and chaperone Steven Campbell of Bloomington met with Asahikawa Mayor Masahito Nishikawa at City Hall, spent a day as students at Chuo Junior High School, toured a local fire station, took cooking, judo and tea ceremony classes, visited a science museum and more. We went to the top of Mount Asahi-dake, which is the tallest mountain peak in Hokkaido, Schaefer said. On the tops of those mountains, theyll stack stones for a good-luck charm. There were stone piles everywhere. The trip going up and down is really cool because it gets foggier and foggier and foggier, said Ethan Clay, a 14-year-old from Bloomington who attended Kingsley Junior High School last year. The only not-cool part was I got my whole foot stuck in the snow. The teens said they were also impressed by Hokkaido's food, especially ramen. Asahikawa is very famous for its ramen, and it was so good. I ate it pretty much every time I could get it," Schaefer said. Spicy miso ramen was probably my favorite food there, said Mitchell Ratcliff, a homeschooled 15-year-old from Normal. The Bloomington-Normal Asahikawa Sisters City Committee coordinates the trip, which usually takes about a dozen local students as an audition of sorts for its 10-month high school exchange scholarship program. Each student and his or her family paid for the trip, about $2,000 overall. After filling out an application including an essay on why he or she wanted to make the trip, the teens met weekly for six weeks with committee members, including Campbell, to learn Japanese phrases and customs. "It just kept building up until we went to Japan, where everything was completely different, Faulker said. Its a reality check as soon as you enter. The group also worked together to make a project demonstrating Bloomington-Normal that they presented to officials in Asahikawa. The product was a 7 1/2-minute video with all four narrating the sights of the Twin Cities, including the McLean County Museum of History, Illinois State University and Historic Route 66. I stayed up until like four oclock in the morning putting that together. I was so into it. That was awesome, Clay said. It was pretty good for a video by high schoolers, Schaefer said with a laugh. They were really impressed by it." Each of the teens said they'd like to go back to Japan, and all of them except Clay who is moving out of the Twin Cities plan to apply to be exchange students on behalf of the sister city committee. Theres no way I cant apply. Its the greatest place on Earth, Ratcliff said. (Visiting) is definitely an experience that you will probably remember for the rest of your life, and youll probably want to go back." "I know people might be nervous about going to a new place, but every day was eventful, and something amazing and cool happened every day, Faulkner said. "Id even go as far as to say I want to live there one day." Rumors have been circulating that Derick Dillard and Jill Duggar will soon return from their mission trip. The speculations were then confirmed after the family announced that Jill Duggar and Derick Dillard will be going home along with their son. It an amazing news for that their very own Jill Duggar will be going home from her mission trip in Latin America with her husband whos been preaching with her as well. Though the rest of the Duggar family are thrilled for their comeback, speculations then emerged the couple with their son would only return to the US to join the rest of the family as they return to television. According to Inquisitr, it was already confirmed that they would make a comeback but people following them were outraged. They pointed out that Jill Duggar and Derick Dillard might potentially misuse the funds from their mission work. Their return caused speculations that their frequent trip from Latin America to the United States may be a huge waste of their missionary funds. With their frequent travel expenses, it was noted that they are not using their mission funds responsibly. It was then added that Jill and Derick are accepting mission trip donations while the rest of the family is busy preparing for their television comeback. In a previous report, TLC announced that Jill Duggar and Derick Dillards mission trip will be featured on TLC. Fans were then disappointed as it was announced that the TLC should be funding their mission trip if they would be featuring the couples mission work. Speculations even emerged that the couple is just faking the photos of their mission trip to generate funds. Jill Duggar and Derick Dillard have been making the headlines. It was previously reported on Parent Herald that Derick Dillards recent appearance caused speculations that he is under opioid abuse. The second half of the year is just starting and the United States is hearing another news of gun violence. Just a month ago, Father's Day was celebrated to honor dads. This month, Dallas and the rest of the world honor dads once more, only for a different purpose: to remember the lives they lived. Last July 8, Micah Johnson, a skilled sniper killed five and injured seven police officers and two civilians. Among the police officers were dads who, according to The Sacramento Bee, were volunteers in their children's schools and churches aside from their job posts as protectors of the state and commitment to their families. One of the slain officers, Michael Smith, is a 55-year-old dad who won a "Cops' Cop" award from the Dallas Police Association. Before joining the Dallas Police Department, he was a U.S. Army Ranger. His friends have nothing but good words to say about him which commended, most especially, his love for his wife and kids (via The Sacramento Bee). Another killed officer is Lorne Ahrens who on a day before his death, did his last good deed to a stranger, buying dinner for a homeless man. The dad had a wife cop and together they raised their children, 8 and 10, who completed their family committed to the law (via The Sacramento Bee). The other dead husband to is Brent Thompson, 43, who left his wife in excruciating grief as they will no longer have the married life they have been looking forward to living. Two weeks ago, the Dallas Area Rapid Transit authority officer just got married with another officer. He had also left his 6 children from a previous marriage without a dad and three grandchildren whose upbringing he would not be able to contribute to (via The Sacramento Bee). Another one is Patrick Zamarippa, 32, who before becoming a Dallas police officer was serving the Navy. He has been in Iraq for three times in the line of service. He was just a month away from his 33rd birthday which he won't get to celebrate with his wife, 2-year-old daughter and 10-year-old stepson anymore (via The Sacramento Bee). According to CNN, the sniper responsible for the deaths, injuries and damages done had larger plans. Dallas Police Chief David Brown said that they found bomb-making materials and a journal at the sniper's home. The police believe that the sniper thought he was doing a righteous act by killing policemen, people, who he thinks, punish Blacks unfairly. As per The Guardian, the shooting highlights the persisting racial divide in the police force and the U.S. criminal justice system. There had been two recent killings of blacks by police in Louisiana and Minnesota that are believed to have provoked Micah Johnson to pull the trigger and aim the gun to white policemen. The Sacramento Bee reported that a vigil was held in honor of the dead policemen and the families, children and wives, they have left. This week, Barrack Obama will visit Dallas to address the racial disparities and talk to the loved ones of the victims. How do you think can racial discrimination be dealt with? If done so, would killings, hate crimes and other forms of violence stop? Sound off your thoughts in the Comments section below and follow Parent Herald for more news and updates. Cash incentives are on the way for educators who have experience in specialized fields. San Francisco, California is willing to pay thousands of dollars to STEM and special education teachers for the 2016-17 school year. Shortage In STEM And Special Ed Teachers San Francisco's school district will give a $4,000 signing bonus for 70 special education teachers, which are needed in the next five weeks, the San Francisco Chronicle reported. Signing bonuses are also provided for special education teachers' aides and veteran teachers, who needs to return to school to obtain a special education credential. The Pittsburg Unified School District in Contra Costa County, California is willing to provide a $5,000 signing bonus for teachers who are certified in special education, math, science, and Spanish bilingual or dual-immersion. The San Francisco Bay Area and beyond has the most shortage when it comes to STEM and special education teachers. The schools that need teachers in specialized fields are often situated in poor districts. Other Schools Offering Signing Bonuses San Francisco isn't alone when it comes to offering signing bonuses to teachers. Mobile County Public Schools in Alabama is also offering a $10,000 signing bonus for math and science teachers. They will have to teach in designated schools for three years starting from the 2016-17 school year, MCPSS reported. The Alabama schools in need of math and science teachers are Calloway-Smith, Chastang, Denton Magnet School of Math and Science, Eanes, Mobile County Training, Scarborough, Pillans and Washington Middle Schools; as well as Blount, Rain, Vigor and Williamson High Schools. Interested applicants should possess a current Valid Alabama Teaching Certificate and a highly qualified teaching certification in math and science. Roanoke City schools in Virginia, meanwhile, are recruiting North Carolina teachers with signing bonuses between $2,000 and $10,000, WCTI12 reported. Specifically, they are looking for teachers that have specializations in math, English, special education, health/physical education, and Spanish/French. The shortage of teachers stemmed from the United States' 2008 recession, a time when plenty of educators were laid off. Teachers have departed big urban districts and transferred to less impoverished districts that offer higher wages, the San Francisco Chronicle noted. In 2008, California has nearly 45,000 people enrolled in teacher preparation programs, but that number dropped to less than 20,000 by 2013. Now, the state government is scrambling to attract more teachers and teaching assistants by giving raises, signing bonuses, new textbooks, and technology equipment, Fresno Bee listed. The return of Nina Dobrev and her imminent reunion with Ian Somerhalder in "The Vampire Diaries" Season 8 made the TV series more interesting. For those who want to see the ex-lovers together, according to reports, Dobrev and Somerhalder will reconcile at the San Diego Comic Con. Nina Dobrev And Ian Somerhalder To Reunite At 'The Vampire Diaries' Comic Con According to Yibada, Ian Somerhalder will be attending the 2016 San Diego Comic Con. The actor already confirmed it and the report is not surprising as the "The Vampire Diaries" star is a regular in the said event. However, aside from Somerhalder, there are reports claiming that his ex-flame Nina Dobrev will also be attending the said event. At the time, it is still not confirmed though if Nina will be there for "The Vampire Diaries" or if she will be attending for another show. Although many take this report as shocking, Nina Dobrev already announced that she has no bad blood with Ian Somerhalder. Parent Herald reported that the ex-lovers are good friends and per Dobrev, the rift between her and Somerhalder is only due to the media reports. Nina Dobrev Will Return In 'Vampire Diaries' Season 8 As Sybil On a side note, "The Vampire Diaries" fans have been wondering if Nina Dobrev will return as Elena or Katherine. However, new reports suggest that the actress will be playing another role, actually a new one. Yibada reported that Nina Dobrev will be playing as Sybil in "The Vampire Diaries" Season 8. Parent Herald previously reported that two new characters will be joining the new season of "TVD," they are Sybil and Seline. Deadline reported that Kristen Gutoskie will be playing the role of Seline, a warm and nurturing nanny. Meanwhile, there are still no updates as to who will be playing Sybil. There are rumors that the role is not given to anyone because Nina Dobrev will be giving life to the character. According to TVLine, Sybil is "an immortal who uses her immeasurable power and influence to control other people." The character is not very friendly and is close to Dobrev's character Katherine, who is the most ruthless, notorious and infamous vampire in history. Do you believe that the actress will be playing a new role in the upcoming "TVD" season? What do you think, is it better for Nina Dobrev to return in "The Vampire Diaries" Season 8 with a new character? Share your thoughts in the comment section below. "The Vampire Diaries" Season 8 will premiere on Oct. 21 at the CW. The first Zika-related death in the United States has been reported in Utah and it was stated that the deceased was an elderly patient. Health officials said that the death was recorded at the end of June and is the first ever Zika-related death in the continental United States. The resident is from Salt Lake County in Utah and has not been identified. The patient has also traveled to an undisclosed destination where the virus has spread like wildfire. Dr. Dagmar Vitek, the medical director for the Salt Lake County Department of Health, added that the person had an underlying medical condition and tested positive for the virus adding that Zika contributed to the resident's death. Vitek added, "It may not be possible to determine how the Zika infection contributed to the death." Gary Edwards, the executive director of the Salt Lake County Department of Health, also said that Zika virus was only determined after the death certificate was reviewed. Laboratory confirmation of the virus came back only after the resident died. Edwards cited health privacy laws that is why no additional information about the deceased patient was not released. Tech Times also reported that the Utah resident displayed symptoms related to Zika such as rashes fever, and conjunctivitis. This is the first time that a Zika-related death was recorded in continental United States but is not the first death to occur in a U.S. territory. Last April, the Centers for Disease Control and Prevention said that a patient died in Puerto Rico. The man was in his 70s and died from internal bleeding due to developing severe thrombocytopenia, which pertains to abnormally low levels of platelets. All of the Zika-related cases in the United States are not locally transmitted and they are said to be travel-associated. A total of 1,132 cases have been recorded in the United States. Videos Sorry, there are no recent results for popular videos. In February, Patently Apple posted a report titled "U.S. Treasury Secretary Calls on the EU Commission to Reconsider their Tax Probes targeting U.S. Companies," followed by a report in March titled "U.S. Treasury Investigates Retaliatory Measures against the EU's Aggressive Investigation of Apple & Others." Obviously the letter sent by U.S. Treasury Secretary Jack Lew in February to the EU Commissioner Margrethe Vestager fell on deaf ears. Today, Bloomberg reports that "Lew is now set to meet with Vestager as she prepares to deliver a final verdict on a probe into Apple's tax affairs in Ireland." The Bloomberg report further noted that "The showdown comes days after Vestager's team delivered two possible scenarios on how much tax Apple owes in Ireland, according to two people familiar with the case, who asked not to be identified because the matter is private. Lew has contacted Vestager urging her to avoid ordering any collection of back taxes from Apple, according to one of the people." It seems, at present, by the Treasury Secretary's action that the EU Commissioner isn't Kowtowing to U.S. pressure to back off the Apple case. With the UK having rebuked the EU with their surprising Brexit vote last month, I rather doubt that the EU Commission is in any mood to be further bullied by the U.S. to further weaken its authority. Time will tell if at the last minute they blink, but it wouldn't surprise me in the least if the EU Commission plows forward with a heavy fine levied on Apple. About Making Comments on our Site: Patently Apple reserves the right to post, dismiss or edit any comments. I grew up in a conservative homeschool family and religious community firmly ensconced in the Christian Right. I was raised to be a culture warrior. I was raised to create change, to be a mover and a shaker. But only for the conservative side of things, of course, and theres the rub, because Im no longer conservative. My mother recently told me she thinks I should write historical childrens booksshes always suggesting careers that she thinks would allow me to work from home and homeschool my childrenbut she had a caveat. Just so long as you leave religion and politics out of them, she said. I almost laughed out loud. As a young teenager, I enjoyed writing fiction, including historical fiction. The story I developed most fully was set in the present. It was about a teenage boy who finds an island off the coast of the United States. In my story, this island is inhabitedIm not even kiddingby a community of large conservative Christian homeschooling families that fled the wickedness of the United States to establish a secret colony of sorts on an island that had somehow never landed on peoples maps. I cringe when I think of the book, because its content consists primarily of painfully obvious religious and political platitudes. Still, there was a reason the book looked like that. I was raised to change the world. I was explicitly taught that I, and my fellow Christian homeschoolers, had a mission to retake the United States for Christ. I was taught (by Michael Farris of the Home School Legal Defense Association, among others) that our parents were the Moses generation, taking us out of Egypt and homeschooling us in the wilderness, and that we were the Joshua generation, tasked with retaking the promised land. When Farris founded Patrick Henry College, he strategically chose the majors he did so that we, the products of the Christian homeschool movement, could infiltrate and target key areas of government and culture in our battle to remake the country in a Christian image. My parents were political as far back as I can remember. I walked in more parades than I can count, put up yard signs, worked the polls, attended rallies, and staffed phone banks. I spoke with reporters and we ran campaigns out of our home. My parents counted my work on various political campaigns for high school government credit on my homeschool transcript. One year, I was a delegate at our state convention for the Republican Party. Conservative officials at the state capital started referring to us as their local welcoming committee, because we were always there ready to show our support when they visited our area. I assumed I would always be in politics, most likely as the wife of a political candidate. This was not accidental. My mother sometimes told me that the reason she and my father werent out changing the world as missionaries, pastors, or politicians was that they were instead investing their time and energies in raising me and my burgeoning collection of younger siblings to do those things. We were to go out and change the world as missionaries, pastors, and politicians, with multiplied impact. But we had to be trained and prepared first, and that, of course, was why we were being homeschooled. Some of my siblings grumbled at this expectation, and checked out at an early agethough they were still required to attend functions and participate in political activism. Me? I was excited. I was motivated. I was passionate. As a teen, I attended a number of conservative summer camps that touched on politics. I went to one anti-government summer camp that consisted primarily of lectures on the evils of environmentalism (a trumped up plot to control the world) and the failed socialism of programs like Social Security. We used pebbles to form slogans like Get the U.S. out of the U.N. on the ground outside of our cabins to gain cabin inspection points. I also attended Constitutional Law Camp at Patrick Henry College. At one point during a session, Farris pointed to various sections of the room, waving his hands over us, and declared that those students over there would be Congressmen, someday, and those up in the front would be Supreme Court Justices, and so on. The messianic vision was strong and our mission was clear. It does something to you, when the weight of the world is put on your shoulders. You can no longer just stand back and let things happen. You feel responsible to fix injustice and actively work to make the world a better place. At this point, you can probably see why I almost laughed out loud when my mother suggested that I write historical childrens books, but only if I included no mention of religion or politics. She would never have suggested such a thing to me when I was a teen, nor would I have considered it if she had. I did think about writing, sometimes, even about writing historical fiction, but my writing would have been religious and political of necessity. I was taught, after all, that I was to use my talents and skills to change the worldto win converts and to sway the public, to restore the United States to its (at least partially fictional) Christian, small-government past. To write a piece of fiction, especially historical fiction, without any mention of religion or politics would have been almost blasphemous. In 2008, the Obama campaign somehow ended up with my parents home phone number. I was no longer living at home, but its theoretically possible that I may have put that phone number on a form I filled out with them. I got a cell phone comparatively late, and was still in college at the time. And so it happened that the Obama campaign called my parents home and asked for me. And that is how my parents learned that my politics had changed. In the eight years since then Ive become increasingly willing to voice my progressive politics on social media, and, sometimes, in conversations with my parents. Ive also informed my parents that I attend a Unitarian Universalist Church (I wouldnt have told them, except that they had to keep asking about church attendance). It seems my parents desire that I be a culture changer was conditional on my sharing their view of what our culture should be. Im not surprised, really. Still, its fascinating to see it laid out that waywe know we raised you to be a culture changer, but now that youre all progressive and such, wed prefer that you stay silent on religious and political issues, thanks. Im sorry, but it doesnt work that way. I still have the weight of the world on my shoulders. I still feel responsible to fix injustice and actively work to make the world a better place. I cant just turn that off. Iranian Lawmaker Survives Assassination Attempt 07/11/16 Source: RFE/RL Iranian lawmaker Heshmatollah Falahatpishe has survived an assassination attempt in Kermanshah Province in the west of the country, Iranian news agencies reported. Falahatpishe sustained minor injuries but a local official and their driver were killed when gunmen opened fire on their vehicle, the reports said. Another official injured in the attack was reported to be in serious condition. Read report by Arman daily Lawmaker Farhad Tajari said security and judicial officials are investigating the attack. He said the "terrorists" set fire to their car and left the area on foot. No group has claimed responsibility for the attack. Lawmaker Ahmad Safari told Mizan Online that the attackers belonged to the Party of Free Life of Kurdistan (PJAK), an Iranian Kurdish group with close links to Turkey's outlawed Kurdistan Workers Party (PKK). In past weeks, Iranian forces have clashed with Kurdish rebels in the northwest of the country. Based on reporting by IRNA, Mehr, and AFP Copyright (c) 2016 RFE/RL, Inc. Reprinted with the permission of Radio Free Europe/Radio Liberty, 1201 Connecticut Ave., N.W. Washington DC 20036. www.rferl.org Labor Rights Activists Detained Incommunicado in Iranian Kurdish City of Sanandaj 07/11/16 Source: International Campaign for Human Rights in Iran Labor rights activists Hashem Rostami and Mozaffar Salehinia have been imprisoned in Sanandaj, the capital of Irans Kordestan Province, for more than two weeks without charge or access to a lawyer, informed sources told the International Campaign for Human Rights in Iran. A one-month detention order has been issued for Hashem Rostami and he is not allowed visits by his family or lawyer, said Mohammad Ali Salehi, a member of the independent Coordinating Committee for the Establishment of Labor Organizations. The charges against him are unclear because he was not particularly active and it seems his arrest is related to his friendship with other labor activists. Rostami, who is in his seventies, was on his way to the funeral of a former labor activist at a mosque in Sanandaj when three Intelligence Ministry agents arrested him. Salehi told the Campaign that in recent years Rostami had been arrested at workers rights rallies on a number of other occasions and interrogated, but never formally charged. Salehi said that when the Intelligence Ministry is investigating detainees they are usually not allowed access to a lawyer until their case is handed over to the Judiciary. But according to Article 190 of Irans Criminal Code of Procedure suspects can have a lawyer in the initial investigation stage and this right should be communicated to them before the investigations begin. Mozaffar Salehinia, a board member of the Free Workers Union of Iran, has meanwhile been detained in Sanandaj Central Prison since June 21, 2016. On July 5 the authorities said he was going to be released and his family brought a property deed [as collateral] for his bail, but it turned out that the judge had gone on a holiday, so Salehinia remains in prison, another source, who wished to remain anonymous, told the Campaign. Salehinia was previously arrested in 2004 and 2011 for peacefully protesting for labor rights but was released after a short time. Independent labor unions are banned in Iran, strikers are often fired and risk being detained, and labor leaders face long prison sentences on trumped up national security charges. Thousands Attend Funeral For Iranian Film Director Abbas Kiarostami 07/11/16 Report by RFE/RL; photos by Islamic Republic News Agency Thousands of Iranians, including artists, intellectuals, and others have attended the funeral ceremony in Tehran of the country's top filmmaker, Abbas Kiarostami, who died last week at the age of 76. The funeral for Iranian film director Abbas Kiarostami Kiarostami, the winner of the Palme d'Or at the Cannes Film Festival in 1997 for Taste Of Cherry, died in Paris, where he had been undergoing medical treatment. Despite the global attention on his work, Kiarostami did not receive official support in Iran, where his movies were rarely screened. Some at the memorial service held posters that said "First Welcome, Last Farewell" -- a reference to the lack of official attention he received in the Islamic republic. "Thank you for not abandoning this land despite all the neglect and lack of gratitude," Oscar-winning film director Asghar Farhadi said at Kiarostami's July 10 memorial service at Tehran's Center for the Intellectual Development of Children and Young Adults. Asghar Farhadi Kiarostami was later buried in a private ceremony in Lavasan, northeast of Tehran. His death has been mourned by many, including legendary Hollywood director Martin Scorsese, who has praised Kiarostami's "extraordinary body of work." cartoon by Hadi Heidari, Shahrvand daily Read the report by Arman daily Based on reporting by IRNA, ILNA,AFP, and AP Deputy (which begins at $2.50 per user per month) wins its Editors' Choice award in the employee scheduling and shift planning category. Here, its strengths include its great user interface (UI), solid mobile support, long list of integrations, wide range of customization options, and 24/7 support. These benefits topped our reviews in this category along with fellow competitors, Humanity and Paycor Scheduling. Deputy also adds enough general human resource (HR) features to make it a viable competitor in that space, too. Once we tested it against the likes of HR Editors' Choice winners, BambooHR or Zenefits, however, we found its range of features in this category simply weren't as broad as the leaders in that space. But if you're a small business that needs both a staff scheduler and a general HR tool, Deputy is well worth a very close look as it may solve both problems for you with a single price tag. Pricing That price tag, unfortunately, has increased since we last reviewed it, but the service has also beefed up its third-party integrations and added new features to its web and mobile apps. Deputy's lowest price is $2.50 per user per month. This includes shift scheduling and auto-scheduling, and also adds meal and rest/break planning, point-of-sale (POS) integration, personal time off (PTO) and leave management, news feed, tasking, and unlimited 24/7 support. Deputy is also available for $4.50 per user per month for the Premium scheduling and timesheets subscription. This level adds Reporting and auto-scheduling among other features. Finally, Deputy offers an enterprise-level version of the software for companies with 100 or more workers; this version begins at $8 per user per month, though you should contact Deputy for a precise quite at this tier. Regardless of what they pay, customers get 24/7 support, lots of help prompts, how-to videos on all major functions, and a User Help Forum(Opens in a new window). There is no option for an annual plan. Dashboard and Setup Once signed into Deputy's dashboard, you're met with an uncluttered and attractively laid out page. Navigation is managed by a blue horizontal ribbon at the top of the page. It has the following sections: Locations, Me, News Feed, People, Reports, Schedule, Tasks, and Timesheets. Deputy's layout is surprisingly uncluttered and clean. Fastidious managers and users will value how well everything is compartmentalized and arranged, which makes the system easy to learn and remember. The Me section is where employees can see an overview of their calendars and start shifts and breaks, and where they can request time off. The News Feed section is a community forum in which important company and personal notes can be added. This is a useful tool for leaving messages for co-workers and managers on different shifts or at different locations. The Tasks section is a basic task scheduler with personal and assigned tasks and indicators for incomplete or completed tasks. The Locations section is where you add your various locations. This section gives a snapshot of various schedules broken down by location and information on pending open shifts as well as upcoming schedules. The People section reveals a searchable and scrollable, alphabetical listing of employees. Clicking an employee's name brings up all relevant contact, work, and shift information. There are also buttons for starting shifts, finding replacements (for dropped shifts), and access to Shifts, Timesheets, Unavailability, Leave, Journals, and News Feed. Help options may not be as abundant or obvious as those in our other Editors' Choice selection Humanity, but they are easily accessible. There's a Help drop-down menu that brings up links to the Help Portal as well as Hide Help Chat, Disable Support Access, Book Time With an Expert, Feature Suggestions, and Hide Getting Started sections. Similar to Humanity is an ever-present chat widget at the bottom right of the page. Clickin this widget invokes the "Depubot," which is a chatbot that routes your query to the best possible person or department to handle the query or issue. Unlike in Humanity, Deputy does not have a self-serve Search function. Simplicity in Scheduling Company owners, managers, and system administrators log into the same home screen as employees but have access to more functions. For example, a restaurant manager can use the News Feed or Tasks sections to leave one or more employees instructions for that day's shift (e.g., "Come to an all-hands meeting today at 5 p.m. in the break room"). Managers will appreciate this integrated approach and the various ways with which they can interact and communicate with their staff. As with most other employee scheduling and shift planning software, Deputy's core functions consist of an employee database, list of locations, scheduling module, and reportsall of which are displayed on a main navigation bar that runs across the top of the screen regardless of what you're doing in the program. Companies with a handful of locations and employees can easily create a new account and manually enter all of the information they need. Larger businesses can use People > Add People > Import or Upload to upload existing personnel data from payroll providers and POS software, including Gusto, Intuit QuickBooks Online Plus, and Oracle NetSuite OneWorld. To create locations, use Locations > Add Location, and then follow the prompts that ask for a name and street address (which automatically generates a Google Maps view that will show up on shifts). Additional prompts ask you to assign types of jobs (e.g., cashier, server, driver, and supervisor) and employees to a location. With employee and location information in the system, you can start creating schedules. Deputy offers a variety of shortcuts that makes what can be a fairly daunting process a little less painful, including the ability to upload schedules from Microsoft Excel or, once you've created shifts, using Schedule > Copy Shifts to copy or import shifts from a previous time period. The default Weekly view displays a calendar-style grid of days of the week and positions to be filled each day, with a listing of all employees authorized to work at that location running down the far left-hand side column. Clicking the "plus" sign in any cell opens up a pop-up window to let you add a new shift, with boxes for searching for an employee; setting start, finish and break times; designating a manager, and adding notes. Recently, Deputy added a Monthly Calendar view, a feature that's standard on competitors such as When I Work and ZoomShift, plus additional ways to sort employees in the scheduling grid. You can also create new shifts by dragging and dropping an employee from the employee list into an empty shift cell. If you try to schedule someone who is not available, already working, or not authorized for a specific position, then a warning will show up on their employee profile and on the schedule. Some businesses want employees to choose their own shifts. To create an open shift, use the Add a New Shift > Shift Actions > Send Offers to notify some or all of the employees qualified to work that position that an open shift is waiting to be claimed. Once a schedule is set, use the yellow Publish button in the top right-hand corner of the screen to finalize and distribute it to the appropriate staff via email, text message, push notification, or printout. The software's Schedule view can be set up to display metrics across the top so that schedulers can see how different options for filling the day's or week's shifts would affect labor costs and measure them against expected sales for the time period. This makes it easy to determine the most profitable staffing option (e.g., "If I put Pete, Laura, and Sam on the 6 p.m.-to-midnight shift, my wage costs will be 50 percent of sales. But if I put Jonah, Laura, and Sam on during the same time, then wage costs will be 40 percent of sales. So I better to go with Plan B"). One unique feature of Deputy's Schedule function is a "stress profile," which a manager can use to set how many hours an employee can work per shift, day, or week, or to regulate hours between shifts. This kind of feature can help restaurants, retailers, and other small businesses that have a lot of shift workers limit how much they're paying in overtime or make sure workers aren't scheduled to close one night and open the next. Employee Self-Service On the employee side, checking in for work is simple. Workers enter a PIN to log into their personal account, and then click a green, red, or yellow button that's visible at the top right-hand corner of the home screen to clock in or out of a shift or break. Whether they clock in from their own phone or from a shared device such as an iPad, the software can be set up to signal the device's camera to take and store their picture. This is a handy feature for dissuading employees from punching in for a late co-worker or working an unauthorized shift. Employees can use their accounts to check schedules, request shifts or scheduled time off, see tasks that managers have assigned to them, or read messages on the News Feed. In addition to claiming open shifts, they can also swap shifts with other employees (pending manager approval). If an employee is logging in from a mobile device, then they can view Deputy's main navigation bar that runs down the left-hand side of the screen; it includes neatly labeled icons for Calendar, News Feed, and Tasks. Scheduling is represented by a big blue "plus" sign that workers can click to request a shift or a shift change. If you log in through the web version of the software, then the same main navigation bar and icons run across the top of the page. Helping employees gain access from anywhere is Deputy's mobile-readiness strength. The service is built to be used on Android or Apple iOS mobile devices, including the Apple Watch. Company officials say that 80 percent of their customers' employees access it via their smartphones or tablets. It's also available as a web-based app. The mobile app lets you create and update employee schedules; share schedules via email, SMS, or push notifications; and offer or replace open shifts or let employees swap shifts base on their availability. You can also communicate, monitor, and assign tasks to individual employees or to a company's entire employee base, and create and approve timesheets in real time. You can also instantly export finalized timesheets into your company's existing payroll software, according to the company. We found the ability to customize the Deputy dashboard with your company's logo a very nice touch. Customers who opt for this can upload their organization's logo and choose from a color theme that's consistent with their own branding collateral to give employees a consistent experience across internal tools. You can even customize your business name into your Deputy URL. Deputy does have a noticeable downside, though. The iPad employee check-in kiosk is available in Spanish and seven other languages, which is helpful for companies with large populations of non-native English language speakers. However, the downside is the website and mobile apps are not available in all of these languages. HR Management "Lite" Shift scheduling is Deputy's strong suit, but the service also includes stripped-down versions of other core HR features suitable for businesses with 50 up to several thousand employees. Some of these features include onboarding, timesheets, and a News Feed that can replace email communications. Customers can use the software's open application programming interface (API) to integrate with close to 300 providers that provide time and attendance, payroll, and other HR services. These providers are located in and outside the United States (provided you're willing to invest in some custom development). Deputy provides a performance management (PM) capability of sorts but probably not in the way most people expect. In place of a standard PM function, Deputy has a Journal feature. To see this feature, you would just need to go to People > Name of the Employee > Write Journal. Supervisors or managers can use it to publicly or privately recognize employees or teams for their accomplishments or to maintain a written record of problems. When it's time to do reviews or to back up disciplinary action, managers can use the Reports > Journal Usage feature to read positive and negative comments that any employee has accumulated. The Reports module automatically produces reports that managers can see on employee statistics and locations, schedules versus sales, and time-off schedules. While this approach may throw people off who are used to traditional PM, it can actually be an effective method, not just for annual or semi-annual employee evaluation but for day-to-day work management as well. Integrations Deputy has done a lot of work to integrate easily with leading payroll, POS, and HR systems. It boasts over 52 off-the-shelf integrations with all kinds of well-known HR and payroll software solutions. These will benefit mutual customers not only through data synching, but also ensuring that workflows and processes aren't duplicated between applications. The feature also includes real-time payroll integration between partner services. While its HR capabilities are really only suited to smaller businesses with basic needs, its shift scheduling and planning capabilities put Deputy at the very top of that pack. An excellent mobile strategy, generous customer support, friendly UI, and its long list of ready-made integrations make this a well-earned Editors' Choice. Deputy 4.5 Editors' Choice (Opens in a new window) Check Price (Opens in a new window) Pros Uncluttered and intuitive user interface Great mobile solutions Extensive third-party integration system Offers open shifts and easy shift swapping View More Cons Not robust enough to be a comprehensive human resources (HR) management tool Website and mobile apps are available in English only The Bottom Line Deputy is one of the best all-around shift schedulers we've tested and throws in bonus human resources functionality, which may meet the needs of some small to midsize businesses. Indias large number of software developers has top smartphone companies like Google and Apple trying to coax them to work on their operating systems. Google on Monday said it will be training, over the next three years, some 2 million developers in the country on its Android operating system. In a similar move, Apple announced in May it will set up a facility in Bangalore by early next year to help developers on best practices and to improve the design, quality, and performance of their apps on the iOS platform. That announcement came during CEO Tim Cooks first visit to India. India will have an estimated 4 million software developers by 2018, by then the largest population of developers in the world. Currently, less than 25 percent of these developers are trained to develop and build for the mobile platform. In contrast, almost all the future Internet users in India and many of the worlds emerging countries will be mobile only, Peter Lubbers, senior program manager at Google Developer Training, wrote in a blog post. The key elements of the Google program are end-to-end Android training, setting up training channels, and an associate Android developer certification. The Android Developer Fundamentals course, which teaches final-year computer science students with Java programming experience how to develop Android apps, will be made available across public and private universities. The course will also be available at training institutes of the National Skill Development Corporation of India, a public-private partnership for skills development. The course will be available as open source to everyone for free, Google said. After completing the course and building the apps in the course, students should be ready to take the exam for the Associate Android Developer Certification, it added. A mobile chip faster than the one in flagship smartphones like Samsungs Galaxy S7 and LGs G5 will start appearing in handsets this quarter. The Snapdragon 821, announced by Qualcomm on Monday, is an incremental upgrade to the Snapdragon 820. In addition to mobile phones, its also aimed at tablets, drones, robots and virtual reality headsets. The Snapdragon 821 is about 10 percent faster than its predecessor. It is also more power-efficient, meaning batteries in smartphones and phablets will last longer. Smartphone buyers can look at smartphone specifications to see if a device has a Snapdragon 821 or 820. Qualcomm is now the top dog of mobile chip companies, with Intel exiting the race. The company routinely releases incremental upgrades to its top-line chips. It released the Snapdragon 801 chip in 2014, with performance and graphics improvements, as an upgrade to the Snapdragon 800. The Snapdragon 821 will boast minor graphics improvements, so smartphones with the new chip will be better equipped to handle Googles DayDream mobile VR platform, for example. The chip can also process 4K video in smartphones to show on external displays. The Snapdragon 821 will have an integrated modem that can provide download speeds up to 600Mbps (bits per second), which is the same as its predecessor. The CPU will operate at speeds of up to 2.4GHz. The chip is based on a homegrown architecture code-named Kryo. Qualcomm didnt provide further details, saying they would be shared at a later date. But its safe to assume the new chip will support LPDDR4 memory, and even Windows 10 Mobile, which works with Snapdragon 820. The families of victims of five recent attacks in Israel are suing Facebook for more than US$1 billion, saying the social media site helps terrorists plan their violence. The lawsuit, filed in a New York court, accuses Facebook of helping Palestinian group Hamas recruit members, communicate, and plan attacks. The U.S. government designated Hamas a terrorist organization in 1995. Plaintiffs in the lawsuit are family members of five terrorist attacks in Israel in the past two years, the most recent being a March 8 stabbing attack in Tel Aviv that killed 29-year-old U.S. citizen Taylor Force. Four of the people who died in the attacks were U.S. citizens, and another U.S. citizen was injured. The lawsuit, filed Monday, was brought under the Anti-Terrorism Act of 1992, which prohibits U.S. businesses from providing material support to designated terrorist groups. This lawsuit should be no surprise to Facebook, which has knowingly provided its social media platform and services to Hamas, its leaders, and affiliates for years, despite repeated complaints and warnings, Israeli lawyer Nitsana Darshan-Leitner, representing the plaintiffs, said by email. Hamas leader would not be allowed to open a U.S. bank account, shop on Amazon or receive a U.S. cell phone, Darshan-Leitner added. But somehow Facebook believes it can provide him and his terrorist associates with social media accounts. Facebook didnt comment directly on the lawsuit but said it doesnt want violent messages on its website. We want people to feel safe when using Facebook, the company said in a statement. There is no place for content encouraging violence, direct threats, terrorism or hate speech on Facebook. Facebook users who see violent messages should report it so the company can investigate and take swift action, Facebook added. Hamas didnt immediately respond to requests for comments on the lawsuit. Social media has become a necessary component of international terrorism, said Darshan-Leitner, who has filed several lawsuits against companies accused of aiding terrorists. Hamas has recognized the tremendous utility and value of Facebook as a tool to facilitate this terrorist groups ability to communicate, recruit members, plan and carry out attacks, and strike fear in its enemies, the plaintiffs lawyers wrote in their complaint. For years, Hamas has openly maintained and used official Facebook accounts with little or no interference. This story has been updated to add Facebooks comments in the seventh and eighth paragraphs; it also recasts the ninth paragraph. Microsoft made the biggest pitch to date for HoloLens as a business computing device on Monday during its Worldwide Partner Conference in Toronto, Canada. When Arantxa Lasa Cid, a program director at the company, took the stage for a HoloLens demo, she pulled up a workspace that looked a lot like a massive, multimonitor desktop setup, complete with virtual monitors showing an Outlook calendar, email and two web browsers. It looked a lot like a traditional desktop setup, with one catch: Cid was standing in front of an empty table, wearing one of Microsofts augmented reality headsets. And then, with the tap of her finger, she pulled up a model of a jet engine. Microsoft/IDGNS Microsofts Hololens used for jet engine training is demonstrated at the companys Worldwide Partner Conference in Toronto on July 11, 2016. A friendly British voice explained the various components of the engine, first at a small scale, and then at full size, allowing Cid to explore the engine and how it operatesall on stage inside the Air Canada Center. If you think Microsofts HoloLens office is cool, check out this HoloLens desk from 8ninths. Its part of a partnership between Microsoft and Japan Airlines to use the augmented reality headgear as a training device. The goal is to make it possible for people to complete training courses without having to leave their normal workspace. Despite first showing it off with whiz-bang demonstrations of consumer 3D modeling and Minecraft, Microsoft has been pitching the HoloLens as a business computing device. Mondays presentation was the most concrete realization of that vision, with clear examples of how the device could be used in an everyday business context as well as on special occasions. There was a clear message in that for attendees: HoloLens is open for business. Microsoft General Manager Lorraine Bardeen encouraged interested companies to reach out to a sales representative for access to the devices, which are currently shipping. Right now, HoloLens is available as a developer edition, which requires users to fill out a survey in order to get access to the devices. Its still an open question how business users will get the most mileage out of a HoloLens outside one of Microsofts staged demos. By reaching out to the partners in the audience in Toronto, Microsoft has taken another step toward driving business adoption. The creator of Megaupload plans on reviving the infamous file sharing site on Jan. 20, five years after the U.S. government shut it down. It will be better than the original and it will feel like home, tweeted Megauploads creator Kim Dotcom on Sunday. He made the announcement as U.S. authorities are trying to extradite him to face copyright infringement charges. In 2012, the U.S. government pulled the plug on his file-sharing site, which was accused of helping to distribute pirated music and video. Dotcom, who is based in New Zealand, has appealed his extradition to the U.S., and a court hearing is set for August. In the meantime, Dotcom has been trying to restore his file sharing business. In 2013, he created Mega, a cloud storage service, but he later disowned it. Dotcom claimed that a Chinese investor, and later the New Zealand government, had taken over the service through control of the companys shares. I dont trust Mega anymore. I dont think your data is safe on Mega anymore, he said in an interview last year. However, he vowed to create a competitor to Mega that would be completely open source and non-profit and run similar to Wikipedias model of accepting donations. Now Dotcom is tweeting out details of the upcoming Megaupload revival site. It will offer 100GB in storage and encryption, and none of the servers will be based in the U.S., what Dotcom called the mass surveillance empire. Dotcom also suggested that bitcoin would have some role in the site. Most of the old accounts from the original service will also be carried over to the new one. But no legacy code will be used to build Megaupload 2.0, he said. Dotcom hopes for 100 million users on launch day, and hes asked old Megaupload employees to return to the business. It took a while, but the results of Californias June 7 primary election have been certified in Riverside and San Bernardino counties. There are some interesting findings in both counties statements of vote, which break down vote totals in each race by city and legislative district. Ill take a look at San Bernardino Countys statement of vote later. But for now, heres a closer look at the Riverside County vote. TURNOUT: Total turnout for the primary in Riverside County was 44 percent, according to the Registrar of Voters. Thats up from the June 2012 presidential primary, when 28 percent of registered voters cast ballots. Its not surprising, given the hotly contested race between Hillary Clinton and Bernie Sanders leading up to the primary. Democratic voter turnout was 52 percent while Republican turnout was 47 percent. Turnout for no party preference voters was just 12 percent, something that may have hurt Sanders. No party preference voters, who tended to back Sanders, were allowed to vote in the Democratic primary. Of the almost 404,000 ballots cast in the primary, 68 percent were cast by mail. PRESIDENTIAL RACE: Speaking of Clinton, she received 56 percent of the vote compared to 43 percent for Sanders. She also won every city in the county except for Wildomar and Temecula, and Clinton also beat Sanders in the countys unincorporated communities. Donald Trump received 81 percent of the vote in Riverside County. While four other candidates were on the ballot Ben Carson, Ted Cruz, Jim Gilmore and John Kasich they all had dropped out by the time Californias primary took place. SUPERVISOR RACE: Shellie Milne finished about 1,800 votes ahead of Randon Lane for second place in the Third District Riverside County Board of Supervisors race. Shell face incumbent Chuck Washington in November because while Washington finished in first place, he did not get 50 percent plus one of the total vote. Still, the results show good news for Washington, who was appointed to the Third District seat in March 2015 and is running for a full four-year term. He received 31,083 votes compared to 24,220 for Milne, a Hemet councilwoman, and 22,437 for Lane, the mayor of Murrieta. Washington placed first in Temecula and the districts unincorporated communities. But he also topped the field in San Jacinto, getting 88 more votes than Milne in whats essentially the councilwomans backyard. Milne finished first in Hemet, but with just 108 more votes than Washington. Lane won Murrieta while Washington dominated in Temecula, where he was a councilman before becoming supervisor. Also encouraging to Washington Murrieta and Temecula have more than 43,000 more registered voters than Hemet and San Jacinto. Washington has repeatedly won council elections in Murrieta and Temecula. The key question is where Lanes votes go in November. To beat Washington, Milne will have to convince a majority of those voters to go with her. First Californian Credit Union held a grand opening ceremony Monday for its new offices next to Hadley Fruit Orchards in Cabazon. The building is part of the tribes plan to enhance development along Interstate 10. The Morongo Band of Mission Indians opened First Californian on its reservation in 2009. It now serves more than 2,000 members, according to a news release. The credit union makes financial services available to tribal members that they didnt have before, Morongo Tribal Chairman Robert Martin said at the ceremony. It is part of American United Federal Credit Union, which has several branches in Salt Lake County, Utah. First Californians address is 47993 Morongo Trail, Suite 101, Cabazon. Information: 951-267-9951, 1stcalcu.org Contact the writer: fbuck@pressenterprise.com or 951-368-9551. When she was 11, Mira Larsen asked one of her mentors, Jose Chavez: Will you come see me when Im a principal dancer? Joking around, hed reply, Of course, sweetheart. But Chavez, a director at the Academy of Ballet Arts in Murrieta where Mira dances and practically lives, never doubted her. I could tell from the get-go she had that it quality, a tenacity, self-motivation, focus and maturity that made her stand out, Chavez said. From Day 1 shes been saying, I want to go to the Royal Ballet. Mira, now 16, has leaped closer to that goal. Shes been admitted with a scholarship to the elite Royal Ballet School in London. Come September, shell leave her Temecula home to start an intensive regimen of academics, plus contemporary and classical dance classes. Exhilarated and awed, Mira admits shes also kind of nervous. Its crazy, she said, to be one of 14 girls and 15 boys in the world to be accepted. She also got the nod from other prestigious training programs, including those at the Houston Ballet Academy, the American Ballet Theatre Jacqueline Kennedy Onassis School in New York City and Ballet West in Salt Lake City. Its hard to turn down the top, she said of the Royal Ballet School, which many consider the best in the world. At 3, Mira started ballet lessons to emulate her 6-year-old sister, Maiya. While Maiya, a 19-year-old college student, eventually ditched dancing for running, Mira pressed on. By 11, practicing eight hours a day, I realized that this is what I wanted to do, she said. Her parents, Julia and Michael Larsen, agreed that mom would home school Mira so she could devote herself to dance and music. She also loved playing the violin at their Mormon church. Graduating high school a year early with straight As, she hopes to start college courses at the Royal Ballet School. For the past five years, much of Miras life has been at the studio with her fellow dancers. She was always the last one to leave, Chavez said. We had to tell her to go home. Finally, we gave her a key. He was proud but not surprised at the accolades and laurels heaped on Mira. This past January, her Black Swan performance as Odile in Swan Lake won her a gold medal at the Vienna International Ballet Experience Competition Missoula, Mont. In April, she placed among the top 12 female dancers at the worldwide Youth American Grand Prix in New York City. Summers were never for kicking back, but for staying on her toes. Thats when Mira took classes in New York or San Francisco, the latter where shell be training before leaving for London. Mira has evolved beyond mere technical proficiency, bringing skillful interpretations of fully developed characters, combined with breathtaking performances, Chavez said. As the Black Swan, she was seductive, a little evil, alluring, he said. That role broadened her, opened her up. She was no longer pretending to be that character, she became the Black Swan. As much as she loves dances elegance, beauty and discipline, Mira is also engrossed in telling a story through the art form. The Black Swan is so not my personality, making it challenging to think about how the character would do something, she said. But its gotten easier over the past year. Although admission to the Royal Ballet School offers no guarantee of making the company, Chavez has high hopes for his protege. We see a lot of gifted girls who in time become bored, lose focus and turn into teenagers, he said. But Mira has what rounds out a dancer. She is one of those who moves people and gets people into the theater, someone the audience wants to see. Contact the writer: llucas@pressenterprise.com, 951-368-9559 Temecula police arrested a 28-year-old man on suspicion of assault with a deadly weapon late Saturday night at the Extended Stay America hotel on Jefferson Avenue. The suspect, Alexander Burkhart, was booked into the Southwest Detention Center in French Valley early Sunday morning on suspicion of assault with a deadly weapon (other than a firearm) and corporal injury on a spouse or cohabitant. Bail was set at $50,000, according to jail records. I was barraged in 322 Facebook comments and 99 emails over my grumbles about backwards parking. I was surprised only a few among the multitudes of readers who responded agreed with my backwards parking rant. To recap: I detailed why I was not a fan of drivers backing into parking spaces. To put a fine point on it, I called it parking the wrong way. While most readers politely explained the sound logic of facing out in a parking space, some were not kind. I was likened to someone concerned about what direction toilet paper rolls. I was advised there were much more serious problems to worry about. I was accused of being a Prius driver. That one hurt. BOB PRATTE: Why do backwards drivers park the wrong way? Nearly everyone pointed out that parking backwards is safer because it gives drivers good views of parking-lot mayhem when they leave a space. Also, they wrote, it is much more efficient for a big truck to back into a space than to drive in forward. The easiest practice, they noted, is to park by pulling forward through a bordering empty space. They informed me that facing out is a common practice for professional drivers working for fire departments and utility companies. Mark Crow, a Hemet High grad living in Hurricane, Utah, wrote that he was trained to park backwards during a professional Smith System defensive driving course while working for Perris-headquartered Eastern Municipal Water District. The best practice, he advised, is to pull forward through an adjacent space. The instructor stated that more accidents in parking lots are caused by backing out of spaces, he wrote. This procedure eliminates that. Thankfully, I had a rare compatriot in Vicky Stevens of Perris. It drives me crazy. Many of them dont have the skills needed to do it efficiently, she wrote. I can only assume that they are planning to rob a nearby establishment and need a quick getaway. There is no other reason to hold us all up while they laboriously back into their parking spot. Thanks for letting me know I am not alone in my pet peeve. I heeded the advice of Richard Burdick of Cherry Valley by embarking on a field trip to Yucaipa to experience the wonders of backwards parking. Back-in parking not only is recommended, it is required on the south side of Yucaipa Boulevard where the steep, busy main street was beautifully renovated in the citys Uptown district. A city sign illustrated steps on how to park backwards. I gave it a try. I pulled up to an angled parking space and stopped. I backed right in. I only had to pull forward once to straighten my car. The spaces were opposite of usual angled parking spots. Instead of opening toward oncoming traffic, they faced away to make it easier to park backward and drive off forward. When I left, it was simple to pull out and merge into Yucaipa Boulevard traffic. I could see oncoming vehicles as they exited a landscaped roundabout downhill. Backing into the street would have been really dangerous. Later the day, I backed into a space in Valle Vista, but again had to adjust my angle by pulling forward. I went straight into a third space in Hemet on a single try. I didnt dent a single car. So I am now am a believer sort of. I find myself still driving forward into a space and backing out to leave despite my new-found knowledge. Habits from a lifetime of driving die hard. Contact the writer: bpratte@pressenterprise.com or 951-368-9078 James Camerons epic fantasy Avatar is coming to life in a Cirque du Soleil production inspired by the movie. Toruk The First Flight is headed to Southern California in November. The show takes place in the mythical and magical land of Pandora, thousands of years prior to the films storyline. In the stage production, three adolescent Omaticaya boys courageously try to save the Tree of Souls from a natural disaster. In order to keep the tree safe they must travel through the Floating Mountains, find and ride the red and orange predator, Toruk, who rules the Pandoran sky. The production will land at Citizens Business Bank Arena in Ontario on Nov. 2-6 and the Staples Center in Los Angeles on Nov. 11-13. Tickets go on sale Monday, July 11 to Cirque Club members and to the public on Friday, July 15 at 10 a.m. For more information and to purchase tickets, visit www.cirquedusoleil.com/toruk. Contact the writer: sschulte@pressenterprise.com, 951-368-9457 The brown bat found hanging above a La Quinta homes front door the morning of June 20 was a troubling sign, since the nocturnal creatures normally roost in dark spaces during the day. Eight days later, the now-dead female with the 9-inch wingspan, pink face and tiny sharp fangs was laid out on a cutting board at Riverside University Health System Public Health Laboratory. Armed with forceps, a scalpel and a rabies vaccination, senior lab assistant Lainie Finnell cut a flap in the skull, pulled out the bats brain stem and cerebellum and mixed them in vials before transferring small portions to two slides so the tissue could be tested for rabies. The La Quinta homeowner called Riverside County Animal Services after finding the mammal outside her door at 10:35 a.m. He was probably looking for a nice place to sleep. Scared her. Now hes here, Finnell said. If you see him walking around on the ground during daylight, Id be freaked out. That isnt normal behavior. Finnell worked alone behind a closed door adorned with the sign, Welcome to the bat cave. She called the furry bat with long ears cute. She still wore a disposable apron and disposable white lab coat, disposable sleeves, at least two gloves on each hand and a plastic face shield to perform the necropsy. MAY LOOK NORMAL Infected animals can give people rabies, although thats rare. The regions most common bats include Mexican free-tailed bats and big and little brown bats. Numbers grow in wet years because there are more mosquitoes and other insects to eat, San Bernardino Animal Health Investigator Megan Starbeck said. Most of your general population has no idea theres even bats flying around, she said. Bats most commonly spread the rabies virus in this country. Other wildlife and unvaccinated cats and dogs also can carry the disease. Rabid bats are found most often in this region from late spring through fall, as more migrating Mexican free-tailed bats are summering here, said Dr. Allan Drusys, chief veterinarian for Riverside County Animal Services. Animal control agencies, which were established to prevent the spread of rabies, typically get service calls when people notice bats in odd places during the day. However, bats dont have to look sick or act strangely to be carrying rabies. They can appear and actually be completely normal and be harboring the virus, Drusys said. RISKS OF TOUCHING Like most of those tested, the La Quinta bats rabies test was negative. One bat in San Bernardino County and two in Riverside County have been found to have rabies this year. San Bernardino Countys rabid bat was found May 10 hanging on a wall at Redlands East Valley High School, but no one came into contact with it, county health department spokeswoman Claudia Doyle said. A bat found recently in Menifee and another collected in La Quinta this year tested positive, said Barbara Cole, Riverside Countys director of disease control. On June 20, another bat was collected from a classroom speaker at Shadow Hills High School in Indio but tested negative at Riverside Countys lab. Bats roost in caves and tree hollows in the wild. But they may try to roost in and around buildings in urban areas. The animals are typically reported to animal control when theyre found near front doors, flopping on the ground or brought home by cats. Most people who get rabies dont realize that they have been bitten or that they have come into contact with bats, which can happen while people are sleeping. Cases can also involve a child or elderly person whos unaware or unable to communicate, Drusys said. Last August, a bat flew into a trucks open window in a Coachella Valley off-roading area and landed in a cup at dusk. A Corona-area woman in the truck and her friend didnt know what it was. The rabid bat bit the womans hand when she reached into the cup to clean what she thought was trash. The woman survived after being treated at a hospital, said Riverside County public health department spokesman Jose Arballo Jr. Other people dont realize the risks involved with touching a bat. All it takes is a bite or scratch. But just handling a bat could spread rabies through cuts in human skin. Last August, someone in Lake Elsinore put a bat in a box and showed the animal to as many as seven other people, including children. The bat was reportedly petted. Testing later showed it had rabies. REPORT POSSIBLE EXPOSURE If untreated, rabies travels through nerves to the brain and can lead to brain inflammation and delirium, strange behavior, fear of water, paralysis and death in one to three months, according to the Centers for Disease Control and Prevention. Public health and animal control experts warn people to avoid touching bats, especially those out during the day, and to seek medical evaluation if they have come into contact with one. Even potential exposure must be reported to animal control and public health agencies. Avoid contact with unfamiliar or injured animals, whether theyre wild or domestic. Keep pets rabies vaccinations updated, said Lea Morgan, public health program coordinator for San Bernardino County. Treatment isnt as painful as it once was. People exposed to rabies used to need 14 to 23 injections in the abdomen. Now, they get five or six shots in the arm a shot of human rabies immune globulin for more immediate protection and a rabies vaccine series given over two to four weeks that costs $600 to $800, Cole said. We dont try to frighten people. But rabies in humans is nearly always fatal, Cole said. Bats arent something to play with. Contact the writer: 951-368-9444 or shurt@pressenterprise.com A man suspected of stabbing his girlfriend to death Sunday in Riverside was arrested Monday, July 11, after leading police on a chase from San Diego back to Riverside. Officers found a woman with multiple stab wounds about 5:15 p.m. Sunday near Calmhill Drive and California Avenue. She died about 6:25 p.m. at a hospital, according to a report from the Riverside County Coroners Office. Her name has not been released. Police believe the woman was killed by her boyfriend, 25-year-old Jared Gordon Bischoff of Riverside, Officer Ryan Railsback said in a news release. UPDATE: Suspect charged; victim identified Railsback said Bischoff and his girlfriend arrived at the intersection separately, but he said in a phone interview Monday that he wasnt sure why they would have met there or what could have motivated the stabbing. He also confirmed that Bischoff is an active military member. About 5 a.m. Monday, San Diego police officers located Bischoff and ended up chasing him onto the northbound 15, Railsback said in the news release. California Highway Patrol officers picked up the pursuit, which continued some 100 miles up the 15 and onto the eastbound 91 before Bischoff exited at Madison Street and Riverside police took over, Railsback said. Bischoff was arrested without any issues near the intersection of Rubidoux and Grand avenues on suspicion of murder. Riverside police ask anyone with information about the case to call Detective Adrian Tillett at 951-353-7105 or Detective Jim Simons at 951-353-7138. Bischoff does not have a criminal record in Riverside County, but he was the subject of a restraining order requested by a Riverside woman who Railsback confirmed was not the stabbing victim in April 2015. The woman claimed that Bischoff pulled a knife out of his glove compartment and began talking about suicide while he was driving them over the bridge from San Diego to Coronado Island following a fight theyd gotten into at a restaurant. A temporary restraining order was issued, but according to court documents, Bischoff could not be located to be served with the notice of a hearing for a permanent one. Staff writers Gail Wesson and Ali Tadayon contributed to this report. CORRECTION: The arrest was made near the intersection of Rubidoux and Grand avenues. Because of a reporting error, Rubidouxs street type was incorrect in a previous version of this article. More confusion and maritime breaches will show up in Ghanas offshore petroleum zones as the country commences oil production on its Tweneboah-Enyira-Ntomme (TEN) oil field later this year, The New Crusading GUIDE has gathered. This paper is reliably informed that the incident of fishing vessels trespassing into the 500 meter radius safety exclusive zones around offshore oil installations is on the ascendency and the situation would worsen as the country commences production on its second oil field in the last quarter of this year. Records at the Petroleum Commission (PC) indicate that over 2,000 of such breaches have occurred since commercial oil production at the Jubilee Field in 2010. In 2015 alone, the commission recorded 595 of such cases excluding incidents of accidental collision between fishing and oil supply vessels and the destruction of fishing nets by oil vessels. Mr. Emmanuel Armah Kofi Buah, Minister of Petroleum, has described the situation as a major concern to his ministry. These activities pose serious risks to the fishermen themselves and the offshore installations as well as people on board these facilities. I appeal to all stakeholders to work with the Petroleum Commission and the industry to address these challenges, says Mr. Buah. But, Nana Kojo Kundua, the Western Regional President of the Ghana National Canoe Fishermen Council (GNCFC), says fishermen cannot be blamed for making incursions into the safety zones since there were no signposts around the safety zones, prompting them from entering. He says GNCFC has emphasized on numerous occasions that there should be some indications around the zones to guide fishermen. Most at times, we sail according to the direction of the wind so if there is nothing informing us that were approaching these zones, well continue to make such incursions, he maintains. Some industry observers are predicting a worsened situation in the coming years as government has issued 23 licenses for oil and gas exploration within the countrys territorial waters and each extraction of these discoveries would aggravate the situation. However, experts in marine planning say the issue could be addressed easily with a comprehensive marine spatial plan, just as has been done in the Baltic Zone of Europe. Programs Officer at Friends of the Nation (FoN), a Takoradi-based socio-environmental Civil Society Organization (CSO), Solomon Kusi Ampofo, who backs such a call, says the move would address the worrying trend and protect the fisheries industry from the oil threat. He says the plan would put in place a well-structured arrangement, showing clearly where fishing could be allowed and where it cannot be. Thats the best solution to this problem else well keep beating around the bush and the problem will persist, he says. Source: New Crusading Guide Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Universal banks in Ghana made a provision of GH231.52 million as bad debt for the first quarter of this year. This is compared to GH140.21 million in the first three months of 2015, a 65.13 per cent increase. The provision includes loan losses and depreciation. In March 2014, the banks wrote off GH175.31 million as bad loans but the figure fell to GH140.21 million in March 2015. According to the Bank of Ghanas Financial Stability Report, banks loan quality generally deteriorated in 2016. Nonperforming loans (NPLs) increased by 59.9 per cent from GH3.1 billion in March 2015 to GH 4.9 billion in March 2016. This translated into an NPL ratio of 16.2 percent, up from 11.4 percent in March 2015. The worsened NPL ratio was attributed to a number of factors, including the general slowdown in the economy, increasing cost of production due to high utility tariffs and reclassification of the loan portfolio of banks. The sectors capital-at-risk (NPL net of provision to capital) worsened from 12.5percent at end- March 2015 to 15.8percent at end-March 2016. Loan loss provision to gross loans increased to 7.2 percent in March 2016 from 4.3 percent in the same period in 2015. Also, the banking industrys gross loans and advances recorded a negative growth of 5.8 percent in March 2016 due to the general slowdown in credit extension largely as a result of deteriorating asset quality. Similarly, real private sector credit growth also declined sharply from 16.8 percent in March 2015 to 1.2 percent in March 2016. In real terms, credit to households also contracted by 9.3 percent in March 2016 compared with the 15.1 percent growth recorded in the same period in 2015. The composition of banks credit portfolio by economic institutions showed that the proportion of banks loans to Government and public institutions decreased from 6.0 percent in March 2015 to 4.1 percent in March 2016. The proportion of credit to private enterprises in banks total loan portfolio however increased to 78 percent in March 2016 from 75.7 percent in March 2015. The share of household loans in gross loans however declined marginally to 15.5 percent in March 2016 from 15.6 percent in March 2015. Credit to public enterprises accounted for 2.4 percent of gross loans and advances in March 2016, compared with 2.8 percent in March 2015. The Commerce & Finance sector remained the highest recipient of credit, accounting for 24.7 percent as at March 2016 compared with 24.2 percent in March 2015. The three highest recipients of credit, namely Commerce & Finance, Services, and Electricity, Gas & Water accounted for 60.1 percent of total banking sector credit in March 2016 (61.6 percent in March 2015). In the review period, the share of credit to sectors such as construction, mining and quarrying and Agriculture, Forest & Fishing improved; while that of Electricity, water and gas, Manufacturing and Services sectors declined. Source: The Finder Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The Ministry of Trade and Industry has expressed its readiness to assist foreign investors acquire lands to set up manufacturing firms in the country. The assurance comes after the Chinese Ambassador to Ghana Madam Sun Baohong told Citi Business News that even though a number of Chinese investors are ready to relocate to Ghana to establish their businesses, the move could be hindered by challenges in land acquisition. Earlier, the Minister for Trade and Industry Dr. Ekwow Spio-Grabrah announced that some Chinese investors have officially written to Ghana to relocate their businesses to the country to have access to raw materials. Speaking to Citi Business News on the issue, the Deputy Communication Manager at the Ministry of Trade and Industry Nasir Ahmed Yartey explained that with the current administrative reforms, land acquisition is now less cumbersome provided an investor is ready to go through the legal processes to acquire it. The acquisition of lands for investment purposes shouldnt be a challenge to any investor so long as the investor goes through the appropriate route and deal with the rightful authorities, he said. Mr. Yartey explained that the Ministry of Trade and Industry has aided investors by directing them to the Ghana Investment Promotion Center, and the Lands Commission, guiding investors on the legal procedures in acquiring lands for manufacturing purposes. Even under the Ministry of Trade and Industry, we have the Free Zones Board and they have the power to declare any area as a Free Zone enclave to aid in setting up manufacturing companies, he said. Mr. Yartey stated that, as part of the conditions the investor must venture into production of value added products with the intention of exporting to generate income for the country. As I speak to you we have Free Zone enclaves in Sekondi, Takoradi and Accra. So far as the purpose of the acquisition is value addition and manufacturing with the intended purpose of export, then an investor shouldnt have any challenges, he stressed. He cited for example that the Lands Commission has in recent times outdoored a robust service to allow both local and foreign investors have access to lands for establishing manufacturing firms. Source: Citifmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Dr. Steve Manteaw, Executive Director of the Integrated Social Development Centre (ISODEC), says the country currently faces huge financial challenges. Dr. Manteaw, who made this known in an interview with BUSINESS GUIDE said, We are broke and that is why every now and then we resort to borrowing on the internal market. I wont be surprised if government announces another roadshow to raise more money in the coming months. He said, It is not abnormal for a government to face financial difficulties but the difference it will make is to make full disclosure of the challenges you face to your people and admit where you think you have failed as government. That way, he said, government will be able to invoke public sympathy and support even when hard decisions are to be taken to get the country out of the situation. Public Mistrust But if you resort to the peddling of half truths and use of language to deceive the public, then you can get into a situation whereby when the people get to find out that the government is not being honest with them then it erodes whatever trust that exists between the people and the government. We have got to a point where the people turn to mistrust anything that government puts out there in terms of the situation we face, Dr. Manteaw said. Senchi Dialogue He lauded the Senchi Economic Forum the government held, stating It was a step in the right direction to mobilize Ghanaians around the very hard decision that the government has to take. But we have not seen sustained effort to continue to engage the people even as we move along the implementation of the recommendations made at Senchi. Nobody even talks about Senchi anymore; nobody talks about the recommendation anymore and nobody mentions progress in terms of the implementation of the recommendations. And somehow that has created a disconnect between the people and the government, Dr. Manteaw said. He urged government to tell Ghanaians the truth about the real economic situation in order to get their backing instead of resorting to the peddling of lies. Source: Daily Guide Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Professor Tano-Debrah of the University of Ghana Department of Nutrition and Food Science has said that the Tropical Almond tree (terminalia catappa) known locally as Abr)fo Nkatie (Twi) or Gugianbaturi (Hausa) has the economic potential to save Ghana. Professor Tano Debrah, who did his Phd in Hiroshima and is a Marie-Curie Fellow is a globally significant expert on agricultural oil extraction and has published very widely on the issue. He said that the Almond tree can produce fruit within 2 and half years and can grow anywhere, as it needs hardly any water. He also said the tree harvests three times a year with a very good yield for the land used. Most importantly, though, he said, the oil which can be extracted cheaply and easily has a very high value of ten to twelve thousand US dollars per ton on the international market, whilst cocoa has never exceeded three thousand six hundred dollars a ton for the last three hundred years and oil palm is about one thousand dollars per ton. Professor Tano Debrah made these remarks when the Presidential Candidate of the Convention Peoples Party (CPP), Ivor Kobina Greenstreet, led a delegation to interact with the Professor at the University of Ghana, Legon. At the recent IEA evening encounter, the CPP Flag-bearer, referred to the potential use of the tropical almond tree for generating billions of dollars for Ghana as well as it being a potential job creation vehicle for the growing number of unemployed in the country. ...California earns billions of dollars a year from the almond tree he said.... Professor Tano-Debrah went onto give further details of the uses of the Almond tree including but not limited to health uses (pharmaceuticals), environmental uses (afforestation-carbon sequestration and food uses (oil, animal feed etc). He also stated that Nana Kwesi Abura, an almond tree expert had invented an advanced processing machine. Beyond the derivatives from Almond tree the CPP Flagbearer also expressed delight that the Department was already involved in niche economic activities including nicely processed Ice Kenkey milk. These are the sorts of activities we shall upscale as it represents self determination in practice he said. Also part of the delegation was the Chairman and Leader Professor E. N. Delle, First Vice Chairman, Hajia Ham Datu Haruna, Second Vice Chairman Susan Adu- Amankwa and National Campaign Coordinator Rashid Alao. Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Government has released Ghc37 million for the payment of Book and Research allowances for lecturers at public tertiary institutions across the country. It has also reiterated its commitment to sustain support for educational institutions to train the manpower needed for the expanding economy. President John Mahama, who was addressing the 50th Congregation of the Kwame Nkrumah University of Science and Technology over the weekend also commissioned a four-storey lecture theatre for the Faculty of Pharmaceuticals. Recently government supported the pharmaceutical industry with 51 million Ghana Cedis to expand. The colourful event was also graced by the Asantehene, Otumfuo Osei Tutu II. President Mahamas address focused on the role educational institution play in the development of the country, his policy interventions, the growing opportunities in the construction and other sectors of the economy and need for research institutions and graduates to help ensure quality deliver. The Global economy is a knowledge based one. Government recognizes the overall role institutions like KNUST play in national development. It is making efforts to support educational institutions to meet its role to our national needs. This is why government is involved in new interventions such as the University of Health and Allied Sciences and the University of, UHAS in the Volta region and the University of Energy and Natural Resources in the Brong Ahafo region which have begun academic work as well as the University of Environment and Sustainable Development to be sited in the Eastern region The President further noted that it is in line with plans to have a university in each region that the Wa Campus of UDS will become fully-fledged in the future and Polytechnics are being converted into Technical Universities. He challenged the graduates to open their eyes wide and tap opportunities especially those provided by the local content bill that binds companies in the downstream oil and gas sector. "The mark of a good university is not about the great performance on campuses, but its ability to transform people and institutions outside for meaningful development," he said. The President observed that the construction industry is also boom and can provide over 500,000 jobs. He said while many will take advantage, the graduate must also strive hard to create their tap into the sector by creating their own jobs. President Mahama also tasked the Building and Road Research Institute (BRRI) of the Centre for Scientific and Industrial Research to partner stakeholders in construction to ensure quality roads and other development projects. He commended KNUST for its initiative in establishing a Teaching Hospital as it would go a long way to increase enrollment for nursing and other paramedical students in the university and beyond. The Asantehene, Otumfuo Osei Tutu II, who is also the Chancellor of the University, urged the public particularly the youth, to explore opportunities provided through the advancement in Information and Communication Technology (ICT). Touching on the KNUSTs proposed Teaching Hospital project, the Chancellor appealed to the Government to make available funds to help complete the project, which commenced in 2007. Professor William Otoo Ellis, the Vice-Chancellor of KNUST, emphasized the critical role higher education plays in national development and appealed to stakeholders to invest in the sector. This years congregation saw a total of 8,081 students graduating. They include 476 students made up of 425 in Masters of Philosophy in various disciplines and 51 in Doctorate of Philosophy graduates. Source: Peacefmonline.com Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video An alleged robber was found in a pool of blood at the Tema Site Seven roundabout Saturday morning. The victim who gave his name as Kweku Abednego was beaten and left for dead by a mob around the Light Industrial Area, Community One, Tema. The incident which occurred at the dawn of Saturday, the 9th of July, saw the victim sustaining injuries including a visible fractured head and a twisted ankle as he laid helpless in dirty and blood stained clothes. Giving his side of the story, Kweku, who claims to be an indigene of Gomoa Jarman, in the Central Region, said he is a coconut salesman who operates within the Tema metropolis. According to him, he woke up at 2 am to get to the wholesale joint to get some coconuts. He said on his way, he needed to adjust something on his hand-driven truck, and as such reached out for a piece of iron rod from a pile of rods within the said area. In the process, he was taken to for a thief and the alarm was raised which attracted residents to the scene. According to him, he was beaten up and dumped by the road side around Site 7 roundabout, Community One, Tema. Chief Inspector Bonnie of the Community One Police Station, who was at the scene with his men, narrated a similar story in an interview with the GNA. He however said that it was too early to determine what really happened. The victim was taken to the Community One Police Station. One of the on-lookers who spoke to the GNA under anonymity, observed that even though the victim could indeed be a thief as is alleged, he believed that the Ghanaian society should move away from dispensing justice on the streets. Source: GNA Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video President John Dramani Mahama has announced the release of 37 million Ghana Cedis as payment for book and research allowance. The president made the announcement at the 50th congregation of the Kwame Nkrumah University of Science and Technology Graduate School. According to the president, the amount has been released to the Controller and Accountant Department for onward payment to appropriate beneficiaries in both the universities and polytechnics for the 2016/2017 academic year. The lecturers have in recent times embarked on industrial actions due to unpaid arrears in book and research allowances. It is not clear yet exactly when the monies will hit the accounts of the lecturers. President Mahama in his speech also explained that plans are far advanced to establishing the national research fund. It is my hope that it will enhance productive research in a short term while we continue to work to establish a mutually acceptable and beneficial national research fund to spare innovation. Meanwhile, the private sector is being advised to partner educational institutions to expand appropriate recruitments. Let me assure that government will continue to provide incentives to the private sector to expand recruitment of our graduates from the tertiary institutions. This to open up their work places for vacation employment through attachments and internships and practical training for students," he said. He is positive this has a huge potential to provide access to private capital, promoting exchange of expertise in the sector, bring about innovation through improved technology, facilitate increasing access to education at all levels and enhance efforts to tackle challenges related to the education sector. A total of 8,081 students graduated from the Kwame Nkrumah University of Science and Technology including 51 for Doctor of Philosophy (PhD). The Asantehene, Otumfuo Osei Tutu II, Chancellor of the school, was present at the ceremony. Addressing the gathering, the Otumfuo advised that the era of post-graduate guaranteed employment was long gone and called for creativity, innovation and can do spirit which he says are essential ingredients for success. Source: JFM Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Kwesi Pratt has questioned the logic of the New Patriotic Party (NPP) for insisting on the deletion of the NHIA card registrants from the voters register. Speaking on Radio Gold's "Alhaji and Alhaji" programme, Mr Pratt wondered what is motivating the NPP to celebrate over the Supreme Court order to the Electoral Commission to expunge names of NHIA card holders from the register. According to him, the expunction is not an automatic win for the NPP. The senior journalist noted that Ghanaians have over the years have become very discerning and so will not be flattered by the NPP's gospel for the names to be deleted. He explained that Ghanaians have aspirations and needs that must be met by the NPP or the government or any other political party. To him, there are pressing needs such as seeking solutions to the energy and water problems in the country which will significantly impact on the lives of Ghanaians. Mr. Pratt stressed that the propaganda games played by political actors in the country will not augur well for the nation, adding that the leaders should take the plight of Ghanaians into major consideration. Im finding it very [very] difficult to understand the celebratory mood in the NPP. What is happening? Who says that with the deletion of those who registered with NHIA cards, their names from the register; NPP is going to win the elections? Who says? Our people are becoming far more sophisticated. Our people are becoming far more discerning and so on. And that these little games propaganda games will not take us far," he said. Source: Ameyaw Adu Gyamfi/Peacefmonline.com/Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video Yaw Nkunim, member of the Convention People's Party (CPP) Communications team has reiterated the promises by the CPP flagbearer, Ivor Greenstreet to solve the energy problems confronting Ghanaians. According to him, the flagbearer and the CPP have outlined steps to address the energy situation in the country. Speaking on Peace FM's 'Kokrokoo' on the energy crises confronting the nation, Mr. Nkunim slammed the Mahama administration for failing to resolve the situation and so believed the CPP has got the solutions to the problem. He noted that the CPP, under the leadership of Ivor Greenstreet, will utilize wind energy to augment the supply of power across the country. He stated that exploiting the wind energy will add 9000 megawatts to the energy supply. He called on Ghanaians to rally behind Mr. Greenstreet, saying "the wind corridor from the North right down to the down South can be exploited and we can make not less than 9000 megawatts for us and for export. And thats why we say that CPP represents light and we represent energy; power . . The way to go is the technology that the green message is preaching. The wind energy must be exploited. Source: Ameyaw Adu Gyamfi /Peacefmonline.com/Ghana Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video The Electoral Commission (EC) of Ghana has failed to find solutions to certain important concerns, which raises doubts about its commitment and readiness for this years elections, Campaign Manager of the opposition New Patriotic Party (NPP), Mr Peter Mac Manu has stated. C.I.75 is in the offing, which is regulations on elections, that is on the table for amendment; when is it going to mature so that it can take effect for the upcoming elections looking at the date? And that even when the amendment is passed by Parliament, you will still need a C.I., which will also take another 21 days. Are we ready for that? he queried. He made the comments at a public forum organised by the Constitutional, Legal and Parliamentary Affairs committee of Parliament on the Constitutional Amendment Bill in Accra. The programme, which was held on Monday July 11, was organised to solicit public input after the EC activated legislative instruments, which are meant to allow it to organise elections on the first Monday of every November in an election year instead of the December 7. The NPP also raised concerns about funding for the commission to enable it procure all the necessary logistics for successful polls. Meanwhile, the General Secretary of the governing National Democratic Congress (NDC), Johnson Asiedu Nketiah, who represented his party at the forum, said he had no objections to the decision of the committee and parliament, since a change in date will not grant undue advantage to any party. For his part, the President of the Ghana Journalist Association (GJA), Affail Monney, called for the protection of journalists, who cover events during elections. Other political parties, civil society groups, religious bodies and members of the public were given the opportunity to also make contributions. They are were in agreement with the proposed change in date. Source: Classfmonline Disclaimer : Opinions expressed here are those of the writers and do not reflect those of Peacefmonline.com. Peacefmonline.com accepts no responsibility legal or otherwise for their accuracy of content. Please report any inappropriate content to us, and we will evaluate it as a matter of priority. Featured Video A Queensland man has copped a pizzling from a magistrate while being sentenced for filming a video in which he bit the head off a rat, which is something you absolutely should not be doing. Matthew Clement Maloney caught headlines earlier in the year thanks to the video, which he uploaded to the Facebook page Mad Matts vids, which, if were honest, sounds like a fount of totally loose content. Dont bite off animal heads, though. I cannot stress that enough. The magistrate wasnt a fan.Theres nothing that really indicates that this behaviour is suitable, she said. Theres nothing that indicates that the behaviour is manly. Theres nothing that indicates that it is admirable. It indicates that its rabid, narcissistic, attention-seeking behaviour on your behalf. Hes been sentenced to 100 hours of community service, and has to pay a whopping $89.90 in court costs. The Brisbane Times captured a truly great exchange with reporters outside the court, where Maloney said that he didnt think it was that bad and that there were a lot of worse things [he] could have done. Maybe true, but either way, he wont be making those vids any longer: REPORTER: The magistrate said you were narcissistic. Do you agree? MALONEY: Nah um, ah, its all good. Im going now. REPORTER: Do you think youll still make videos? MALONEY: Nah, no more. Its all done. Its all done indeed. REPORTER: So you say youre remorseful but you dont think its that bad? MALONEY: Im remorseful, like I wish it never happened and all that stuff but yeah. So sayeth we all. Source: Brisbane Times. If the past fifty years are anything to go by, unarmed folk peacefully staring down militarised hordes make for excellent photographic subjects. Take the shot of that one bloke opposing tanks in Tiananmen Square, or the Pulitzer Prize-winning Flower Power, featuring a mop-haired dude loading a National Guardsmans rifle with a bloom. Both became emblematic of the crackdown on student demonstrations in China and Vietnam war protests in America, respectively. Welp, if youve been on the internet at any point in the last 24 hours, you may well have seen the shot that many people feel carries on with that trend. Taken by Jonathan Bachman, it shows a demure black woman in a flowing dress as shes set upon by riot gear-clad coppers at a Baton Rouge Black Lives Matter protest. Its got all of the hallmarks of the previous two shots: peaceful resistance, but an undeniable defiance of conventional displays of power. The shot has been shared extensively in the hours after the protest, but the subject has now been identified. The woman is none other than Ieshia Evans, a 28-year-old nurse from New York. According to a close friend, she traveled to Louisiana because she wanted a better future for her five-year-old son. Somehow, she also became a representative of the entire movement at her very first protest. As for the circumstances of the photo? She faced the police after they attempted to push the peaceful protest back, telling her friend I went into the street with my arms crossed and just stared at them. I guess they didnt like it because they detained me. She was among about 100 protesters who were arrested, including Black Lives Matter leader DeRay McKesson. She was released yesterday. Taking to Facebook after the incident, Evans was openly thankful she saw no casualties. Of course, the photo probably wouldnt encapsulate the protest movement so well if the opposition wasnt decked head-to-toe in gear thats basically militarised. Looking at it that way, the goal is to eventually remove the contrast between sides altogether a stirring snapshot pales in comparison to living in a world where paramilitary forces arent needed at protests. Source: Daily Mail. Photo: Shaun King / Facebook. NSW Labor, who have basically been completely AWOL from public life since Mike Baird was re-elected last March, have raised their heads on the issue on greyhound racing, promising that if elected they will reverse Mike Bairds decision to ban the sport. Opposition Leader Luke Foley, who has uttered barely a peep on anything else Baird has done in his tenure as Premier, says that the decision to implement the ban was elitist. Chatting to the @GrillTeam shortly about saving the NSW Greyhound racing industry. #nswpol LISTEN LIVE: https://t.co/57voHbx7no Luke Foley (@Luke_FoleyNSW) July 10, 2016 He spoke to ABC Radio, saying that many people had been affected by the announcement. I stand for keeping the industry alive, Foley told ABC Radio on Monday. Ive had people in tears, shattered people, devastated people who dont understand why they, who are dog lovers, are being punished and why their livelihood and their recreational pastime is being declared illegal by the government. He said Labor would overturn the ban if elected in 2019, but in the meantime will try to form alliances with the Nationals, who are also not particularly keen on Bairds plan. Federal Labor senator Sam Dastyari echoed the claims. This whole thing reeks of inner city elitism, wowserism, where the pastimes and enjoyment of thousands of NSW residents is looked down upon by a bunch of snobs. This comes after the Greyhound Breeders, Owners and Trainers Association announced that they were going to launch a massive campaign against Baird over the ban. I was part of a union movement that brought down a government and it can do it again, he told the ABC. The people of NSW will fight for this. Its pretty obvious that wherever you stand on greyhound racing, the situation in the NSW industry was deplorable and the interna lefforts at cleaning it up were shithouse. Well have to see how much steam this campaign picks up. But seriously: those who arent fans of Baird have got to be wondering why NSW Labor has only developed a spine on this specific issue. Cmon guys where are you on lockouts, on housing affordability, on anything else? Source: The Guardian. Photo: Getty Images. Its one thing to claim the indefensible, racially motivated killing of five Dallas police officers by a black man is a horrific overreaction to the recent deaths of Alton Sterling and Philando Castile. Its another one entirely to decry the entire Black Lives Matter movement as dangerous paranoia in a television package that is itself dangerously paranoid. But, Andrew Bolt is wont to do what Andrew Bolt does, and that is to blame entire cultures for the ills that befall them, while disregarding and denigrating those who are actually trying to make things better. In tonights episode of The Bolt Report, the eponymous host condensed the overflowing racial tensions of the past week into eight minutes of fear and vitriol, and claimed the emergence of Black Lives Matter groups in Australia is proof that tension is heading here. After bulldozing through a slab of statistics regarding black-on-black violence, he said it seems the biggest problem here is a dysfunctional black culture and black violence especially. Blaming white racism is just making excuses. Without mentioning why only black Americans seem to be shot dead by (predominantly white) law enforcement officers for no reason, Bolt said this same race-baiting story, this same anti-white story, is being imported here, as evidenced by Melbournes upcoming BLM rally. A solid few minutes of pure fear-mongering followed, which addressed some crimes that didnt even have an apparent racial motive. All the while Bolt still skirted BLMs core argument. Not once does he concede that maybe, just maybe, Black Lives Matter doesnt mean only black lives matter. Dangerously fashionable racism. Righto. Watch: Racism against whites is dangerously fashionable in America and its coming here. #theboltreport @SkyNewsAust https://t.co/5DzfezIHJE The Bolt Report (@theboltreport) July 11, 2016 Source and photo: The Bolt Report / Twitter. I guess it was a tedious inevitability right? Youve no doubt seen the gaggles of teens (and adult teens) congregated around city landmarks in enormous nerd-packs, furiously catching and battling their Pokemon in augmented reality app Pokemon Go. Anyone who has would see the limitless potential for crime and perhaps a serial killer renaissance. Were not quite there yet, but armed robbers in Missouri allegedly used the insanely popular app to lure players into secluded areas where they could be robbed. Using the geolocation feature, said police sergeant Bill Stringer, the robbers were able to anticipate the location and level of seclusion of unwitting victims. A further statement from police confirmed the method. You can add a beacon to a pokestop to lure more players. Apparently they were using the app to locate [people] standing around in the middle of a parking lot or whatever other location they were in. The perpetrators were caught and charged with first degree robbery, a felony, and had bond set at $100,000 cash. This is probably societys first experience of a truly mass-market augmented reality app which genuinely compels people to leave their house and walk around aimlessly with their face glued to their phone. This obviously is a gigantic flashing invitation for neer-do-wells to do massive crimes. Massive crimes. Cmon guys. Dont be foolish out there when youre catching Snorlaxes or whatever it is youre doing. Source: The Guardian. Photo: Pokemon Go. Pete Evans has hit back at everyone criticising him for his bizarre sunscreen comments with what else? a lengthy Facebook post. ICYMI yesterday, Australias #1 Paleo enthusiast copped a bit of heat for telling his 1.5 million Facebook fans that sunscreen contained poisonous chemicals and he used a different, all natural product that was the best thing since sliced well, not bread. Sliced sweet potato. This morning, he finally cracked it with the oi yeah nah piss off mate vibes heading his way, and posted an entire copied-and-pasted article about Vitamin D and Skin Cancer from a Melbourne-based naturopathic doctor. Anyone wishing to learn a bit more about Vitamin D and Skin Cancer, please have a read of the following information by medical scientist John Pietryka from Melbourne, Evans wrote. John runs a great clinic and empowers people with up to date (sic) information. If you are looking for current and in depth (sic) medical testing in Australia, check out his website. Well, we checked out his website. It includes a quite damning blog post about the ineffectiveness of whooping cough vaccines and increasing rates of the infection. Just wait for the reaction from health authorities and the media that it is due to parents not vaccinating their children rather than the current vaccine is simply ineffective, it warns. But back to skin cancer, the other pressing problem of the day. The article essentially refers (but does not link) to a number of studies that show a correlation between the use of sunscreen and increased incidence of sunburn. While were not 100% you can read the article yourself here it *looks* like this is due to either improperly applying sunscreen or thinking that you can fry in the sun for hours and not reapply, neither of which are adequate reasons for not using sunscreen at all. Just because you dont burn doesnt mean you arent at risk of skin cancer and a tan is a sign of skin damage, Cancer Councils Lead Prevention Manager Scott Walsberger told PEDESTRIAN.TV. Even mild sunburn can increase your risk of developing melanoma and the more often you are burnt and the more severe the sunburn is, the higher your risk will be. Exposure to the sun in childhood and adolescence is an important factor in the development of skin cancer later in life. Sunburn in childhood is a particular risk factor. However your lifetime or cumulative exposure also plays a part. Current scientific evidence suggests that the damage to cells is done in childhood but exposure to UV radiation in adult years triggers skin cancer. Cancer Council official guidelines advise you to apply sunscreen properly (at least a teaspoon for each limb, front and back of the body, and half a teaspoon for the face, neck and ears) and reapply throughout the day. They also advise you take other measures to protect yaself from skin cancer, like wearing hats, taking care even on overcast days, and not listening to fucking morons on the internet. (We understand the irony here; go to the Cancer Council for your skin care info, not us, okay? Just keep slippin, sloppin and slappin for us. Bless up.) Photo: Facebook / Pete Evans. ClintonSanders (Wilfredo Lee/AP Photo) Hillary Clinton and Bernie Sanders will campaign together Tuesday in New Hampshire, where the Vermont senator is expected to endorse her. Both campaigns announced they would rally together at Portsmouth High School, and the Associated Press and New York Times are reporting Sanders will endorse his former primary rival. Sanders, a self-proclaimed socialist, pushed Clinton to the left during the primary. Last week, sounding a lot like Sanders, the presumptive Democratic nominee said she would get rid of in-state tuition at public colleges and universities for families earning less than $125,000 a year. Clinton also called on Congress to add a public option to the Affordable Care Act and give more support to community health clinics - both hallmarks of Sanders' platform. Wharton students to Trump: 'We have been deeply disappointed by your candidacy' "I think it's fair to say that the Clinton campaign and I, our campaign, are coming closer and closer together," Sanders said on Saturday, according to the Times. About 45 percent of his supporters now say they also have a positive view of her, according to an NBC News-Wall Street Journal poll. UPDATE: Police are still investigating after a car-versus-motorcycle crash in Adams County that saw the motorcyclist pinned beneath the larger vehicle and pulled to safety by a trio of passersby. Gettysburg state police said they had no additional information to release on the incident as of 10:15 p.m. Sunday. They were reportedly still investigating the incident which occurred in the 200 block of Cashtown Road in Franklin Township early that afternoon. Citing an official with the Cashtown Community Fire Department, WGAL reported that the motorcyclist involved was pinned beneath the car for an undetermined amount of time after the collision and later airlifted to an area hospital. The report says three bystanders were able to lift the car off of him before emergency crews arrived. A call to the Cashtown Fire Station was not answered late Sunday evening. No further information was available as of 10:30 p.m. A Harrisburg man and woman were welcomed home by three armed burglars Friday night. The victims had just walked into their house in the 1500 block of Green Street, when the male victim spotted a male suspect wearing a mask and holding what appeared to be a gun just after 10 p.m., police said. The burglar demanded money and took the male victim's wallet, which contained an unspecified amount of cash. When he handed over his wallet, the male victim noticed two other burglars with guns inside his home, according to police. One of the other burglars went upstairs, where the female resident was at the time. The burglar demanded money from her, and she also handed over an unspecified amount of cash, police said. It was immediately unclear whether the victims were hurt, or if anything else was taken before the burglars fled. The first suspect was described as a heavy set black male, who wore a dark sweatshirt, black mask and jeans. The second suspect was a thin black male, who is approximately 6 feet tall and wore a dark hooded sweatshirt and gray sweatpants, according to police. The third suspect was described as a thin, light-skinned black male, who wore a dark, possibly red, sweatshirt and gray sweatpants. Anyone with information about this incident is asked to call the Harrisburg Bureau of Police via Dauphin County emergency dispatch at 717-558-6900. Ask for a detective or supervisor. Dauphin County Crime Stoppers is offering a reward of up to $2,000 for information leading to an arrest. gun in pocket.jpg A judge on Monday threw out one of two lawsuits filed against the city of Harrisburg over its gun ordinances. HARRISBURG- A lawsuit filed against the city of Harrisburg's gun ordinances that threatened a "major financial hit" has been thrown out by a judge, according to a ruling issued Monday. Judge Andrew H. Dowling dismissed the lawsuit, concluding that U.S. Law Shield, a gun-owners group, did not have any legal standing to challenge the city's five gun ordinances. The group was represented by attorney Justin McShane. None of the plaintiffs had been cited under a gun ordinance or threatened for citation and none of them live in the city, according to the ruling. Dowling had previously ruled in favor of U.S. Law Shield, deciding that three of the city's gun ordinances were illegal, because they went beyond state law and the state alone is responsible for regulating guns. Dowling's preliminary injunction from February 2015 prevented the city from enforcing those three ordinances that prohibit possession of guns: in parks, during a state of emergency and by unaccompanied minors. But all five ordinances were at risk as the case continued to play out, including two that prohibit discharge of guns in city limits and require gun owners to report lost or stolen guns. The lawsuit was prompted by a recent change in state law, known as Act 192, that gave automatic standing to Pennsylvania gun owners who want to challenge the legality of any municipality's gun ordinances. The act also allowed attorneys who won cases to seek reimbursement for legal fees from the municipalities. The threat of possible attorney fees was enough to cause some municipalities to rescind their gun ordinances. But Harrisburg Mayor Eric Papenfuse stood firm on his city's five ordinances as various lawsuits played out across the state. The Commonwealth Court ruled in June 2015 that Act 192 was unconstitutional, which dealt a blow to gun owners groups. The court later vacated Dowling's preliminary injunction and ordered him to reexamine the case without Act 192. Dowling's ruling Monday essentially killed the case against Harrisburg by U.S. Law Shield. Dowling walked through each ordinance and the plaintiff's arguments that they could possibly be cited or feared being cited. But he concluded in each case that the plaintiffs had not been aggrieved or that their arguments were "abstract and speculative...Accordingly, they do not have standing." Although Dowling threw out the lawsuit, he reaffirmed his belief that three of the city's ordinances are "unlawful and unenforceable." A second lawsuit filed by Firearm Owners Against Crime against the city remains wounded, but alive in federal court. US Law Shield of Pennsylvania vs. City of Harrisburg et al by PennLive on Scribd Ruth Bader Ginsburg Justice Ruth Bader Ginsburg at the Supreme Court in June. (Charles Dharapak/Associated Press) It's unusual for Supreme Court justices to weigh in on presidential candidates, but Ruth Bader Ginsburg had some strong things to say about the presumptive GOP nominee. "I can't imagine what this place would be -- I can't imagine what the country would be -- with Donald Trump as our president," she said, according to The New York Times. "For the country, it could be four years. For the court, it could be -- I don't even want to contemplate that," Ginsburg said. According to the Times, Trump's candidacy reminded her of something her husband would have said: "Now it's time for us to move to New Zealand." Wharton students to Trump: 'We have been deeply disappointed in your candidacy' Ginsburg also talked about the Senate's obligation to move forward with President Barack Obama's nomination of Judge Merrick Garland for the open seat on the Supreme Court. "That's their job," she said, according to the Times. "There's nothing in the Constitution that says the president stops being president in his last year." To read the full interview, go here. Bernie Sanders to endorse Hillary Clinton Mike Huckabee In this Jan. 31, 2016, photo, Republican presidential candidate, former Arkansas Gov. Mike Huckabee speaks at Inspired Grounds Cafe in West Des Moines, Iowa. Huckabee says on Twitter on Feb. 1, 2016, he's ending his run for president. (AP Photo/Kiichiro Sato) A comment he made on Fox News has put former Arkansas governor and Republican presidential nominee Mike Huckabee back in the spotlight. "More white people have been shot by police officers this past year than minorities," he said Saturday on Fox News. His comments followed two days of unrest in the country after a sniper killed five Dallas police officers and injured seven others during a protest. The protest was one of many throughout the U.S. in response to two black men being killed by police: Alton Sterling in Baton Rouge, Louisiana, and Philando Castile in Falcon Heights, Minnesota. Since then, the country has been reeling as it remains divided. Huckabee said the conversation changed too quickly to race, even though more white people than minorities were shot by police officers last year. In an interview with The Washington Post, his spokesman cited the newspaper's 2015 data. Of the 990 people shot in 2015, 948 people were male, 494 were white and 258 were black. But the Post cautioned against using raw data: "Over the past year, The Post found that the vast majority of those shot and killed by police were armed and half of them were white. Still, police killed blacks at three times the rate of whites when adjusted for the populations where these shootings occurred. And although black men represent 6 percent of the U.S. population, they made up nearly 40 percent of those who were killed while unarmed." When the Post asked Huckabee about rates and the per capita calculation, he said in a statement: "It's not me that needs to be 'fact checked.' It's the Post -- I only said exactly what YOU reported. My comments were 100 percent factual. The pure facts also reveal that 94 percent of those killed by police are men, so by your 'proportional' standards, the real movement in America should be 'Male Lives Matter.'" The Pennsylvania SPCA has agreed to take in 7 dogs from a flood-ravaged shelter in West Virginia, following historic and deadly floods that hit the area in June. The Philadelphia-based PSPCA says it has teamed up with the Kanawha Charleston Humane Association, an animal shelter hard hit by the devastating floods in West Virginia last month, to save 7 dogs from the area. The dogs arrived at the PSPCA's Erie Avenue Headquarters over the weekend. West Virginia was ravaged by flooding last month that killed 23 and damaged some 1,200 homes. In addition to the human toll, the PSPCA says the storm's aftermath has had a disastrous impact on the lives of animals, "leaving overwhelming numbers displaced in animal shelters throughout the state." Organizations around the country have stepped up to help, including the PSPCA which responded to a call for help from the American Humane Association. The PSPCA accepted 7 dogs from Kanawha County, the most populous county in the state, which was among the areas hardest hit by the storms. The dogs were transported by volunteers to PSPCA headquarters in Philly where they will be housed in quarantine for two weeks prior to being available for adoption. "The Pennsylvania SPCA has always been dedicated to using all of our resources in order to save the lives of animals in need," said Julie Klim, PSPCA Interim CEO. "When we have the opportunity to make an impact on an area in great distress, we take action. With both people and animals in West Virginia struggling to survive and reclaim normalcy after a terrible natural disaster, anything we can to do relieve that burden, we will do." SELINSGROVE -- A second Lancaster man has been charged with stealing a semi-automatic rifle valued at $1,250 from a gun store in Selinsgrove in May. Brandon Bentancourt, who turns 28 Tuesday, was arrested Friday in Lancaster with the assistance of U.S. marshals, Selinsgrove police said. The other suspect, Daniel J. Keenan, 26, is awaiting trial in Snyder County after waiving his preliminary hearing on charges of felony retail theft, receiving stolen property and theft. He had been jailed in lieu of $180,000 bail, which was reduced to $18,000. In late June, he posted 10 percent of it and was released. Bentancourt, who is facing the same charges, is jailed in lieu of $200,000 bail. The rife, which was recovered in Lancaster, was stolen May 13 from the High Velocity Firearms store in the borough. Surveillance video shows two men walking about the store looking at firearms when one of them picks up a rifle, goes into a corner and conceals it under his hoodie. The other man went into the same corner, then leaves the store, the arrest affidavit states. The butt of a rifle sticking out of the hoodie is visible on the video as the second man stops to view other weapons before leaving the store, police said. Authorities said they recommended the reduction in bail for Keenan because of his level of cooperation and because they believe Bentancourt stole the rifle. Lancaster and Northern Lancaster Regional Police assisted with the investigation, Selinsgrove police said. UPDATE: FULL STORY: Judge shreds process and evidence used by Harrisburg to try to close midtown bar. HARRISBURG- A Dauphin County judge on Monday sided with an embattled midtown bar and ruled that the city of Harrisburg must grant the Third Street Cafe a business license. The ruling by Judge Andrew H. Dowling rejected the city's contention that it can regulate a business that sells alcohol. Dowling's ruling said state law preempts any such regulation and that the city should have pursued nuisance bar proceedings available through the state's Liquor Code. The city had tried to revoke the bar's business license last year and refused to grant one this year, based on rulings by a mayoral-appointed Tax and Appeal Board that confirmed the bar had allowed criminal activity. Dowling's ruling also criticized the evidence used by the city to prove the city was a "magnet for crime," as deficient. The bar at Third and Calder streets had remained open during the 16-month legal battle. Check back with PennLive soon for more details on the judge's ruling. This undated photo made available by Amanda Ghahremani, shows retired Canadian-Iranian professor Homa Hoodfar. A Montreal-based university professor being held in an Iranian jail is now reportedly facing charges, but her relatives say they haven't received word about the nature of any accusations.Iran's semi-official ISNA and Tasnim news agencies said Monday that Homa Hoodfar has been indicted on unknown charges. THE CANADIAN PRESS/HO - Amanda Ghahremani *MANDATORY CREDIT* FILE - This Sept. 30, 2013 file photo shows Theresa May. Britain's Conservative Party has confirmed that Theresa May has been elected party leader "with immediate effect" and will become the country's next prime minister. Prime Minister David Cameron has said he will step down on Wednesday July 13, 2016 and May will immediately replace him. (Stefan Rousseau/PA via AP) Year-round residents only: Marion Township plans for new type of housing A housing development is being considered exclusively for people who live in the Charlevoix area all year. It could be argued that the bike industry is constantly looking for ways to over complicate the simple activity of riding a sprung bicycle off-road. Afterall, it's not rocket science, or is it? But amongst all the marketing and technical information which bombards us on a daily basis, it's refreshing to see a brand like Orange, who, for all intents and purposes, have been doing their own thing without deviation and doing it well for longer than most. Their 'thing' is of course their trademark, made in the UK, single pivot monocoque bikes and while the overall silhouette of these iconic machines has remained virtually the same for nearly 20-years, scratch the surface and what you'll find is a honed and refined piece of engineering. We headed to the Forest of Dean to take a closer look at what the team from Orange have in store for two of their most popular bikes. Their long-standing trail center smasher, the Five and their big mountain conquerer, the Alpine 160. Orange Five The 'Factory' spec option is packed with the best parts from SRAM, including their new Eagle group and Ultimate brakes, Fox dampers and a carbon Race Face cockpit, but it does come at a price... 5100 GBP. The new Five is packed with updates over previous iterations. Details: Intended use: trail / all-mountain Travel: 150mm front, 140mm rear 27.5 wheels 110mm Boost front and 148mm Boost rear 66 head angle Monocoque T6061-T6 frame and swingarm ISCG 05 Short 426mm chainstays Internal cable routing Weight: 13.6kg / 30lb (Factory build, size Large w/tubes) Sizes: S, M, L, XL Four complete build options available MSRPs: 2700 - 5100 GBP Geometry The most significant geometry updates include the bottom bracket dropping an additional 8mm, loosing 6mm from chainstay and 8mm overall from the wheelbase and bucking the trend for long front centres, the new Five has a lost a few mm's per size (approx 5mm) in reach. New Mainframe and Swingarm The entire frame has been comprehensively reworked from one end to the other. Every part has been subjected to a thorough re-engineering and weight saving programme. The result of which is that not a single component used in the construction of the frame is shared with the outgoing model. - Ashley Ball, Managing Director, Orange Bikes. The curved and beautifully indented top tube is the most visually striking part of the new mainframe. Talking of cosmetics, someone's put a lot of time and... effort into creating this gorgeous looking piece of engineering. Kinematics Overhaul Lowering the shock further into the downtube gave the engineers at Orange the progressive spring curve they wanted. Boost Activated An extra 10mm in width up front and... 6mm in the rear, never hurt anyone or has it? Internal Routing A 1.5" headtube means offset headset compatibility... The new internal cable ports are neat and tidy and add to the overall aesthetic. Five Questions with Ashley Ball and Kelvin Lawton from Orange Bikes: Youve stuck with the single pivot system for a long time. What are its advantages and can you dispel any myths associated with it? Can you give us a rundown on where our readers overseas can purchase Orange bikes, if there is no regional distributor? Overall the updates to the new Five address much of the feedback garnered from the outgoing model and while we didn't have enough time to form an opinion on the new Five, we will get one in for testing soon, so watch this space. Can you tell us more about the grey colour used on these pre-production bikes? Whats the story behind the STRANGE moniker used on your prototype and pre-production bikes? Can you tell us more about your custom paint and graphics program? Orange Alpine 160 Born in West Yorkshire and engineered to take on the worlds most brutal terrain and trails, the Alpine 160's imposing silhoutte remains as does it's no holds barred ride character... Dishing out stability... A 1231mm wheelbase is not to be sniffed at. Details: Intended use: all-mountain / enduro Travel: 170mm front, 160mm rear 27.5 wheels 110mm Boost front and 148mm Boost rear 64.5 head angle Monocoque T6061-T6 frame and swingarm Updated pivot point and kinematics Short 430mm chainstays Internal cable routing Weight: 14.06kg / 31lb (Factory build, size Large w/tubes) Sizes: S, M, L, XL Four complete build options available MSRPs: 2900 - 5200 GBP In a similar fashion to the Five, the Alpine's BB has dropped, this time by 6mm, gone half a degree slacker in the headtube and half a degree steeper in the seattube, stack heights have increased significantly and the stays have lost 9mm in length. Similarly, reach and wheelbase have also had the chop, but thankfully not by much. The new swingarm is visually the first thing that hits you and is all the more striking when you compare it to the outgoing model, swapping the industrial look for something more finite and refined, which is exactly what the new Alpine 160 is... The new pivot has improved the overall suspension action and feel while allowing the rear swingarm to be shorter and stiffer. The bike pedals more efficiently as well and along with the new front shock mount and downtube pressing, the new pivot placement allows us to better position the shock within the frame giving better suspension performance. - Ashley Ball. The new pivot is wider and stiffer than previous designs. The formed top tube has also received a complete overhaul and is... packed with lines that ooze quality and refinement. Don't worry, it's still an Alpine - we know what we like - it's just been turned up to 11. Improved suspension feel and better pedalling efficiency in a lighter package were on the to-do list and that's what you've got. Both the Five and the Alpine now have a more progressive spring curve which has been helped by the forming on the downtube below the shock head. It means we can get the top of the shock slightly lower and this helps us gain the spring curve we wanted. - Ashley Ball. The new shock position and the adjustability of Fox's X2 air can should help riders who regularly find themselves running out of travel... 2017 Orange Alpine 160 Ride Impressions We would just like to extend a huge thank you to the team at Flyup Downhill for the afternoon laps. Awesome trails and a stellar uplift service as always! The previous Five's geometry was designed around a 140mm fork and while you could run a 150mm fork, which now comes as standard for 2017, it would affect the handling to an extent that it didn't work for everyone. Now, with a totally new main frame, swingarm and pivot location, the engineers at Orange had the blank canvas they needed to rearrange the Five's geometry, which is now optimised for a longer and burlier 150mm travel fork.The new swingarm is shorter, stiffer and lighter than the previous model, offering increased tyre clearance and a cosmetic overhaul to boot.The Five's new pivot construction and shock placement help to increase the level of progression at the end of the spring curve. In a similar fashion to what Orange achieved with their 324 DH bike , effectively lowering the shock into the frame to deliver the desired level of initial sensitivity, support in the middle and ending stroke progression. This is more often than not achieved in other single pivot designs (to, which there are many) via a complex linkage system.Here's something for the commenters to moan about... Yes, the new Five has Boost front and rear and while Boost's advantages are less so in a 650b chassis, especially over a 29er, they don't negate any disadvantages either. Delivering a marginally stiffer and stronger wheel at a paltry cost of weight, Boost actually makes sense. Expect to see a lot of bikes going full-on Boost mode for 2017...Internal cable routing might not be the most exciting thing in the world of advanced bicycle manufacturing, but it does make for a cleaner looking bike. With less to rattle, snag and come loose, it's great to see Orange add internal routing on the Five's mainframe.- Adding complexity to solve an engineering problem doesnt equate to advanced engineering. Having the ability to solve an engineering problem while implementing a simple solution does. If you can make a single pivot design work then why would you choose another solution?- In a small number of countries there are distributors but in many territories, we go directly to the dealer. The best port of call is to use our dealer locator on the website to find your nearest store. If you are in an area without a dealer, have your local bike shop contact us at Orange and well be able to go from there.- This new grey finish will be one of our custom colour options for next year and will be called 'Norlando Grey'. There's a certain famous German car brand who have a similar colour that they call 'Nardo Grey' in reference to a test track which they use and near to our office, there is an area called Norland Moor where we sometimes ride... So Norlando Grey seemed fitting.- 'Strange' is a sub-brand of Orange Bikes and it represents our specific technologies range. The 'Strange' branding appears on our components range and our technical clothing etc. Prototypes are generally built to test Specific Technologies to see if they bring any benefits so it works for that purpose too - a bit like 'skunk works' if you like. It also helps in these days of social media etc to identify that the products are prototypes and development mules, not production bikes when they are spotted out and about getting put through their paces.- For 2017 the number of custom colours we will be able to offer will increase to 10 with 'Fizzy Orange' and Black being free and the other eight having a 100 (GBP) surcharge. This option is only available on our full suspension bikes and RS spec Crush and P7 hardtails. We also have three decal colours to choose from (black, white and orange) but we are looking to expand on this and hopefully, to be confirmed, offer up to six different options. Tying in the paint options and the huge number of custom spec options gives our consumers a great opportunity to have something different and have a unique product.The new Alpine 160 carries many of the updates also found on the new Five. From the new shock position, internal cable routing to adopting the Boost standard, yet the Alpine 160 is more than just the Five's rough-and-tough big brother. It is however optimised for 170mm forks - an increasingly popular option in Europe and thanks to the updated geometry, the Alpine 160's big mountain pedigree is hard to fault.With cameras and notepads packed away, there was just enough time at the end of the day to hit the hill and get some runs in with local uplift providers, Flyup. The 'official' downhill tracks at the Forest of Dean are fun and flowy with enough turns and roots to keep things technical and challenging at speed. They also suit shorter travel bikes with progressive geometry - effectively mini DH bikes like the Alpine 160. With the clock ticking and without getting too bogged down with setting the suspension up and knowing that the bike had been previously set up for another rider, I simply set the sag front and rear and reigned in the rebound on the forks. From the outset, everything felt pretty good, which is a good sign for a new bike and with the weather going from glorious sunshine to a perpetual deluge within minutes, I was far more focussed on staying upright as a thin coating of greasy mud soon covered the trails...Riding over and onto the myriad of wet roots that litter the FOD's landscape, the overall feeling of resolute comfort and stability was eye opening and a welcome attribute on such slippery features. The long 1231mm wheelbase on the size large bike, which is long, even for a DH bike, certainly had a helping hand. And with a wheelbase measuring 45mm longer than a similarly sized 650b Specialized Enduro, 30mm longer than a YT Capra and with a headtube a whole degree and a half slacker than a Whyte G-160, the Alpine 160 doesn't muck about in the geometry department and it was evident after a just few pedal strokes. In corners, the Alpine 160 preferred a more refined and direct approach, leaving the brakes firmly alone and letting its generous proportions and a good lean take you round. It didn't want any funny business here, just point and shoot.And similarly with steep rutted sections of trail and dodgy, hastily constructed gaps, the Alpine 160 didn't give off any fun-loving vibes, just aim for the other side and you'll get there unscathed and grinning... More time on the bike and playing with the suspension will have offered up some playfulness, but different strokes for different folks. While my time on the Alpine 160 was limited, I never the less came away with a smile on my face. Fast, balanced and above all else, stable on or over whatever I pointed it at, it felt like a steam roller. BC Bike Race 2016 Day 3: Presented by Ryders Eyewear 58km / 36 miles 5:03 Average Time 1599m / 5246 ft Climbing The Race Dagdgan Mens Open Moberg Womens Open Armstrong The BCBR Destination: Norwegian Invasion It was probably the constant swing of the morning weather and the day with the longest mileage of the race that induced the most anxiety for riders on Day Three of the BC Bike Race, presented by Ryders Eyewear. Sunshine and thunderstorms accompanied the thirty-minute drive to the Saltery Bay Ferry Terminal where racers would be taking Harbor Air Float planes, BC Ferries, and Water Taxis to travel across the Bay. If the clouds hung heavy then the floatplanes would be grounded and the water taxis, along with the small ferry, would be forced to make extra trips to shuttle everyone across the water to the Earls Cove Ferry Terminal start line.Fortune was with the BCBR riders who were spared a cold wet wait on both sides of the water. The various modes of transportation insured the travelers all have the opportunity to see the spectacular views of the coves of the Jervis Inlet which are always a highlight of the day's travel options.A warm wet rain did accompany the riders out of Earls Cove for the first ten minutes along their journey which started with a long climb on the Suncoaster Trail. The sun quickly emerged to accompany them the rest of their way to their ultimate destination: the new basecamp at Kinnikinnick Park in the traditional stopover town of Sechelt. Long climbs were accented by punchy pitches that forced some to walk while others grunted it out. The pot of gold at the top was a steady trail descent to the finish that rewarded anyone who had enough leg juice left to whip the loamy corners into frothy peaks of fun.The race times ranged from 3-7 hours with the average time just over 5 hours. No matter where you ended your day the course asked for all you had to give. Quinn Moberg ( Rocky Mountain ) won the stage in 2:59 and survived attacks from his competitors and he bluntly labeled the day as, damn hard. In contrast, the 7:16 finishing time of Arnold Dagdgan of the Philippines, was no less of an effort that required an aid station at the right spot and a strong will to not quit. ~Today marked the return of Quinn Moberg ( Rocky Mountain ) to the BC Bike Race podium since 2015. The Squamish native fought hard through attacks from the European contingency and the other local Cory Wallace ( Kona Bikes ) to take the top spot on the stand.. ~An unfortunate slow rear-wheel leak for Wallace negated the strong efforts he made on the Frogger climb and sent him to a sixth place finish on the day. In the process he lost his Leaders Jersey to Stephen Ettinger (Rider Bike Alliance/ Focus Bikes) who took second in the sprint finish to Moberg. Last years second place finisher Spencer Paxson ( Kona Bikes ) crossed the line in third.Riding strong to a 4th and 5th position were the previously mentioned Euros who were driving the early pace up the power line climbs. Manuel Weissenbacher and Andreas Hartmann ( Craft Rocky Mountain ) found their trail moves as they settled into a chase together through the woods.Kelli Emmett ( Juliana / SRAM / Lululemon ) ran part of the course on her way to another first place after she forgot to include a quick-link in her daily survival kit. It was an unusual oversight from a veteran rider. . As a result, this enduro specialist had to run, scoot, or coast down the last 7km descent.Sammi Runnels ( Rider Bike Alliance ) worked her way to another second place finish while Kaysee Armstrong ( LIV Giant ) took full advantage of the physical nature of the final descent to claw back a few of the seven minutes she had given up earlier in the day.. ~Every year different groups of riders meet in British Columbia brought together by a common BC Bike Race goal. This year a group of 13 Norwegians, with a supporting team of two, can be found sprinkled through the finishing results - many of them riding into the top third of the results. You will recognize them by their Blue and Orange jerseys with Team Norway and GULF emblazoned on them in a tasteful Scandinavian aesthetic.They were brought together by the one guy in their group who always manages to motivate and organize the group trips. Stein Larsen explains it as a group of people who always train together and live in proximity to each other. This came up a little more than a year ago and its amazing we all made it.So far its been a nice thing. This morning an old guy in a truck just pulled up and said, Thanks for coming out. You get the impression that the Canadian generosity and trails are leaving a strong impression on these Scandinavians...Their comfort on the trails of the BC Bike Race are impart due to the raw nature of the trails they ride back home. Norways private property laws permit anyone to cross almost any property, so the network of trails is vast and always available. Their cultures philosophy on property rights are summed up in the Freedom to Roam, also known as Everymans Right mantra. Its based on the idea that the general public has a right to access certain public and private lands for recreation and exercise.Its a stark contrast to the property laws of many parts of the world, but it is fitting for the trails and communities of the BC Bike Race. The belief that land should be utilized for enjoyment and shared by all is one particular belief that many mountain bikers can stand behind.From a group of three Floridians to 26 riders from Mexico and too many Peruvians to count. There are many groups large and small at the BC Bike Race who have decided to tackle the week together.Words: Harlan PricePhotos: Dave Silver, Erik Peterson, Todd Weselake, Margus Riga, Norma IbarraVideo: Connor Macleod, Max Berkowitz, Media 1 Additionally, work is being done to learn more about the main target, 2014 MU69. By mid 2018, we hope to better pin down its size, as well as determine its color, rotation rate, and at least pin down upper limits on what satellites and/or rings might exist (better yet, we might actually spot said items). Much of this will be done on two upcoming observation campaigns using the Hubble Space Telescope. If occultation observations succeed, pinning down a better size and albedo (surface reflectance) might be determined. To put it another way, we know almost nothing about 2014 MU69, and prior to the flyby we hope to make a slight improvement in how little we know. Once the flyby occurs, we should get views with resolution as good as 25 meters/per pixel on the encounter hemisphere (the resolution of imagery of the other hemisphere depends on the rotation rate). Fuel and budget margins are tight, so efforts are being made to make the best use of resources. This could potentially lead to the cancellation of some distant KBO/Centaur observations (no one wants to do these at the expense of the close encounter). Conversely, if enough fuel remains, a second close KBO encounter is not impossible (although it would take an incredible amount of luck). At the end of the morning sessions, the team was treated to a slideshow of Michael Soluris photos from the encounter period. It is hard to believe it was a year ago that we all converged to turn the Pluto-Charon system into a real place. We also created a message in a bottle, so to speak, for any future Pluto Orbiter team, with predictions from all of us about what such a mission would be like and what it might find. I hope I live to see it opened. Lowell Observatory has nightly public observing sessions. They initially thought that they had seen a large spike in attendance thanks to the Pluto flyby. Those gains, however, proved not to be a spike, but a sustained increase which continues to this day. They average about 400 people a night. The enthusiasm of the staff and of the visiting public was exciting to see. After the observatory closed, we had the opportunity to view Pluto through the historic 24 inch Clark refractor. This was the telescope with which the search for Pluto was initiated by Percival Lowell. Two nights after it was found using photographic plates from another telescope at the observatory, Pluto was seen directly by human eyes using this telescope. Facebook Twitter Pinterest Email Print *The following is an opinion column by R Muse* One of the things delineating a police state, martial law and brutal dictatorships from a free society is the concept of due process. Due process is that part of a nations laws that requires it to respect all legal rights owed to a person; whether they are a citizen or not. The concept behind due process is protecting citizens from government harm without following the exact course of the law. In America, both the Fifth, Fourth and 14th Amendments contain due process protections and it is fundamentally what prevents the government and all its related agencies from summarily judging, imprisoning and executing citizens without benefit of the law. For several years there were murmurings among conspiracy theorists warning that it would not be too long before the United States government would begin using weaponized drones to kill American citizens if they were suspected of committing a crime. The complaint was not that criminal activity was being addressed, not at all, but that the government would violate American citizens due process rights and unilaterally become judge, jury, and executioner in one quick act; not unlike many victims of police shootings that have made the news over the past few years. After Thursday, the so-called conspiracy nuts warning the government would use weaponized drones to summarily execute American citizens without due process deserve an apology from many, many Americans. In what is an odd turn of events, the Dallas Army Veteran alleged to have ambushed police officers in retaliation for due process violations committed by police executing African Americans was summarily executed with a weaponized drone on American soil; a violation of due process laws. No-one knows if the shooter would have ended the standoff by surrendering, going out in a hail of gunfire, or taking his own life because a decision was made to execute him with a weaponized drone without an arrest, charges of murder, or a trial by his peers. Now, many people will say it was a fluid situation that could only have one outcome, but Dallas police made sure no-one will, or can possibly ever know. It is true that the shooter was still armed and dangerous, but he was duly surrounded by law enforcement, outgunned, and holed-up with no possible path of egress; it was by any definition a standoff that ended with a weaponized drone executing an alleged suspect. Now of course many Americans will say the police had no alternative but to end the standoff by executing the alleged shooter, but if that is the case it is unique in recent times. Law enforcement is often able to end a standoff with armed suspects peaceably and there are at least three very high-profile cases that prove the point. For example, in November 2015 a white gunman attacked a Planned Parenthood clinic where he killed one police officer and two civilians, and injured five other police officers and four civilians. After the shooter holed-up in a safe place, a subsequent standoff lasted five hours because like the suspected shooter in Dallas, the Planned Parenthood suspect would not cooperate with police negotiators and surrender peacefully. The unwillingness to cooperate with police led law enforcement to deploy armored vehicles to prompt the attacker to finally end the standoff instead of summarily executing him. The white man was arrested, charged with murder, and is waiting to face his day in court. The confessed murderer received his Constitutional due process rights according to Americas rule of law instead of being tried, judged and executed by law enforcement with a weaponized drone; most likely because the shooter is a white man who killed one officer and Planned Parenthood employees. In 2015, a white Confederate seeking to spark a race war brutally carried out his plan and slaughtered nine African Americans in their place of worship. Instead of summarily executing the admitted white supremacist when they had him cornered, law enforcement officials were able to arrest and charge him with nine counts of murder. The confessed killer is awaiting trial. Dylan Roof was afforded his Constitutional due process right(s) despite being armed and dangerous and one cannot help but surmise it is because he is white and murdered African Americans. In 2014, a heavily armed militia group lead by Nevada Mormon Cliven Bundy trained their firearms, including sniper rifles, on state, county, and federal law enforcement officials in another armed standoff that exposed law enforcements ability to exercise patience, restraint and negotiation skills; they eventually allowed the seditious group to go in peace and escape charges, arrest or a trial. A similar incident occurred about a year later with similar results, and except for a Bundy group member being killed drawing his sidearm on police officers, all of the group were peacefully arrested, charged, and are awaiting trial. Law enforcement officials did not execute the seditious group, either at the federal facility they commandeered and occupied in a lengthy standoff or as they were attempting to flee. Besides the Bundy clan being praised by Republican politicians and conservative personalities for being real American patriots and heroes, the Bundy clan certainly received their Constitutional due process rights and much more that is not protected by the Constitution; because they are white. In one sense, it seems apropos that law enforcement would deny the Army Veteran his due process rights simply because he was African American. It is, after all, seemingly normal for African Americans, no matter their age, to be summarily executed without the benefit of due process rights; in most of the recent cases there was no criminal activity involved and there were certainly no weaponized drones utilized. It is true that no-one ever wants law enforcement officers to be harmed, particularly those of us who have several family members sworn to protect and serve, but in the Dallas case, like the Bundy and Planned Parenthood standoff, police could have let the standoff play out and wait for a different outcome that would have allowed the process to work as conceived. The police certainly had the upper hand and by all accounts they enjoyed every tactical advantage imaginable. The tragedy of the Dallas horror is that no-one will ever know exactly what may have transpired if law enforcement had exercised the same restraint as those in Nevada, Oregon, South Carolina and Colorado. All were incidents that allowed the alleged suspects to realize their constitutionally-guaranteed due process rights. What is troubling, besides summarily executing an alleged shooter, is that the Dallas police department, hailed as progressive, made use of a weaponized drone to kill an American citizen on American soil; that is not the kind of progress that instills confidence that Americas due process laws will last much longer. Although it is not the first time an African American was denied their due process rights, it is the first time conspiracy theorists were absolutely right in warning that eventually the government would use drones to kill Americans on American soil without the benefit of due process. That fact should terrify Americans as much as not being afforded due process rights. As noted above, the only thing separating a tyrannical police state from a free society is due process protections, and losing it is something that too many African Americans, including innocent young African Americans, have already experienced firsthand. Facebook Twitter Pinterest Email Print Trump sends America into howls of laughter by proclaiming himself the law and order candidate during a campaign speech in Virginia. Video: .@RealDonaldTrump: I am the law and order candidate pic.twitter.com/WhyTEKsqXz POLITICO (@politico) July 11, 2016 Trump said, I am the law and order candidate. Hillary Clinton, on the other hand, is weak, ineffective, pandering, and as proven by her recent email scandal which was an embarrassment not only to her but to the entire nation as a whole shes either a liar or grossly incompetent, One or the other, Very simple. Personally, its probably both. Not only am I the law and order candidate, Im also the candidate of compassion. Believe it. No one should believe it. The orange cartoon man knows as much about law enforcement as he does any other policy. Trumps claim that he is the law and order candidate is up there with Sundays claim that Trump is the candidate of racial healing. Trump is making up any and every image to try to sell himself to voters. None of it is working, and the idea that the guy who is most famous for screaming youre fired at D-list celebrities on reality television isnt believable as the candidate that can keep the American people safe. Donald Trump is losing because America isnt buying his old carny act. Facebook Twitter Pinterest Email Print The Clinton campaigns biggest job as they face off with Donald Trump right now is restraint, letting the press do their job until the press stumbles or misses a major point. All they need to do is simply use Donald Trumps own words against him. And here you go. Its a devastating new web ad called Dictators, during which they roll tape on Dangerous Don praising the leadership of Kim Jong Un, Muammar Gaddafi, Vladimir Putin, and Saddam Hussein. The video asks what kind of president Trump would be, given his admiration for dictators. Watch here: Trump: You gotta give him credit, he wiped out the uncle he wiped out this one that one day this guy doesnt play games. Trump: We would be so much better off if Gaddafi were in charge right now. Trump: Putins been a very strong leader for Russia. Clip from Morning Joe co-hosts: Putin also has a person who kills journalists political allies, political opponents and its countries and invades countries obviously that would be a concern would it not? Trump: Hes (Putin) running the country and at least hes a leader you know unlike what we have in this country. Clip from Jake Tapper/CNN: You said when the students poured into Tiananmen Square the Chinese government almost blew it. Then they were vicious, they were horrible, but they put it down with strength. That shows you the power of strength. Trump: Saddam Hussein was a bad guy right you know what he did well, he killed terrorists! He did that so good. This is the only way to fight a blustery mess like Donald Trump, who never sticks to a policy or admits that he said what he said, even when the tape is rolled so he can hear it. To react as normal humans to Trumps level of mendacity would send the Clinton people running in circles, and thats part of Trumps goal to create chaos and disrupt real dialogue about policies, like any dedicated political troll. But the Clinton people arent falling for it. They know that staying above the Trump storm is the sweet spot. All they need to do is roll tape and ask one question. Done and done. Facebook Twitter Pinterest Email Print The Democratic surge against Trump is growing even bigger as a new poll shows Hillary Clinton leading Donald Trump 74%-16% with Latinos. A new poll conducted by Latino Decisions and commissioned by Americas Voice found: In Head-to-Head Matchup, Hillary Clinton Favored By 74%-16% Margin Over Donald Trump: When asked about the head-to-head matchup between the parties two presumptive presidential nominees, Latino voters nationwide prefer Hillary Clinton over Donald Trump by a 74%-16% margin. This puts Trump on track to underperform Mitt Romneys historically poor performance among Latino voters in 2012, when Latinos supported President Obama by a 75%-23% margin over Romney, according to Latino Decisions 2012 Election Eve polling (71%-27% in media-sponsored exit polls). Of note, 33% of self-identified Latino Republican respondents said they were likely to back Hillary Clinton instead of Donald Trump. When asked about candidate favorability, 78% of Latino voters have an unfavorable opinion of Donald Trump, including 69% who have a very unfavorable opinion. This compares to just 17% who view Trump as very (8%) or somewhat (9%) favorable meaning that his net favorability is underwater by 61 percentage points. Comparatively, Hillary Clinton has a positive favorability rating among Latino voters in the new poll at a 63%-32% margin. The Republican Brand with Latino Voters is Deeply Damaged and Democratic Candidates are Favored by 4:1 Margins: More than 3-of-4 Latino voters (77%) say the Republican Party doesnt care too much about Latinos (41%) or that the GOP is sometimes hostile towards Latinos (36%), while just 13% say the Republican Party truly cares about the Latino community. Of note, 43% of self-identified Latino Republican respondents say their own party is indifferent or hostile to Latinos. When Latino voters are asked if the GOP has, in recent years, become more welcoming to Latinos, more hostile to Latinos, or has not really changed, 46% say the Republican Party has become more hostile, 11% say the Republican Party has become more welcoming; and 36% say no change. Perhaps unsurprisingly in light of the overall tarnished Republican brand, Latino voters prefer generic Democratic candidates over generic Republican candidates by approximately 4:1 margins in both House and Senate contests (72%-16% in House contests nationwide and 71%-19% in Senate contests nationwide). The polling is revealing that Republicans dont just have a Donald Trump problem. The GOP also has a brand problem. When Latino voters are expressing the beliefs that the Republican Party doesnt care about them, and that Republicans are hostile towards them, it suggests an issue that goes much deeper than one presidential nominee. Donald Trump is a symptom of a much larger disease. One-third of self-identified Latino Republicans said that they would support Hillary Clinton over Trump. There arent enough while male voters in the electorate to make up for the overwhelming numbers of other voters who are fleeing Trump. The structural elements of this election are not moving in Trumps direction. Latino voters have been alienated by the Republican Party and Trump. Hillary Clinton and Democrats have done an outstanding job of making it clear that Latinos are welcome, and their voices are heard in the Democratic Party. Contrary to Trumps claims, Latinos dont love him. In fact, they are close to hating the presumptive GOP nominee. Republicans are fighting a demographic war that they cant win. With each poll, it is clear that Donald Trump may be swept into the dustbin of history as Latino voters reject his bigoted politics of yesteryear. Facebook Twitter Pinterest Email Print In The Evangelicals and the Great Trump Hope, Robert P. Jones of the Public Religion Research Institute, looks at Evangelical support for Donald Trump. The question that lies at the heart of Jones column is, Can the era of white Protestant dominance in America be recovered? Dominance being another way of saying privilege. In other words, a place where Fox News white Christian Americans have all the cards. These days, white Christian Americans like to accuse others who demand equal rights of wanting special rights but what is really going on is that these Evangelicals dont want to lose their special rights. And so they have turned to Donald Trump. The other question is, how do values voters square their support for a guy who is obviously not one of them? I think this is the wrong question to ask. Because, in every way that matters, Trump is one of them. Trump, of course, stands opposed to everything these Evangelicals say they believe in. The story is that many of them are willing to make excuses. The consensus seems to be that theyre trying to find ways to pretend Trump is one of them, but thats only pushing the lie that Evangelicals are genuinely Christians themselves. The evidence emerges that Evangelicals really dont like other people. They have that in common with Trump. And theyre both hypocrites. Demanding the right to persecute others, they feel theyre the ones being persecuted. And were supposed to believe that Trump isnt one of them? Jesus is notable by his absence from Evangelical rhetoric, which is fine, because Trump certainly doesnt quote Jesus. How can he? Hed be condemning himself. Certainly Jesus never complained about gay people or abortion, but he did complain about rich people. It is telling that Jesus warned his followers about the unlikelihood of rich people entering the Kingdom of God: Woe to you who are rich, for you have already received your comfort. Woe unto the rich, Jesus said. Blessed are the poor. Yet the Evangelicals greatest ally is a rich guy whose religion is defined by little wine and little crackers, and who, far from condemning rich people, wants to give them more tax cuts and make the poor Jesus loved, pay more instead. It is true that Evangelical hopes and Donald Trumps rhetoric coincide. Making America great again is making America white again. Trump has been very clear about that. It also means making America Christian again, whatever Americans own views of religion or the guarantees of First Amendment. Trump even thinks he can even force Americans to say Merry Christmas, ending a war on Christmas as imaginary as Smaug the Dragon, and promising Evangelicals will have plenty of power. Thats the key word here, in this unholy alliance: power. There are not 12 articles in the Constitution and it is alarming that Trump does not know this, but more alarming yet is his ignorance of an Amendment that is in the Constitution, and that is the First, which guarantees freedom of religion, which means nobody can be forced to say Merry Christmas. It means Evangelicals cant be given plenty of power, no matter how much they hate people who arent white, or dont speak English, or dont believe in whatever god it is they claim to worship. And lets face it: the America they want to go back to never really existed. Their Protestantism is not the Protestantism of most Protestants (those are the people Rick Santorum says are gone from the world of Christianity). It is not even certain the religion of Evangelicals can be called Christianity when it lacks Jesus. It is more a means to sanctify bad behavior and bigotry based on a cherry-picked text it is evident most of them have never read. There is nothing good and pure about the hopes of these white Christian Americans. In their hatred of true religious freedom, there is nothing even particularly American about them, let alone real American. In every way that counts, they look more like those fascists wrapping themselves in an American flag we were warned about. And in their unholy alliance with the rich man Jesus warned them about, their gospel has been revealed to be anything but good news. Facebook Twitter Pinterest Email Print Look, weve all seen the Jurassic Park movies, right? Even if you havent, youve head of dinosaurs? I submit to you, if, as Ken Ham insists, Noahs Ark also carried dinosaurs, then it came to rest on the top of Mount Ararat a ship of the dead. Because if you throw a T-Rex or a few raptors on that thing, everything else is going to be eaten. Unfortunately, the death of Noah and his family, and all their animal victims, did not arrest the rise of stupidity in our world. I give you Ken Hams Ark Encounter, which opened July 7. Come and be amazed, they rave. Come and be robbed, I say. There are people who not only believe the world is 6,000 years old (a precondition for employment at Noahs Ark) but who are willing to pay $40 for adults (discounted to $31 for seniors) and a whopping obscene $28 for children. And thats besides the $10 you have to put out for parking. Presumably, Noah didnt charge his guests a kings ransom to get aboard. When you consider this 510 foot long replica was built on the taxpayer dime (including taxes from people who arent allowed to find employment there), it ought to be free. But then, Jesus isnt around to knock over some tables at Hams sham. Ham and his cronies talk about the flood like it really happened. Protesters were more concerned about a taxpayer funded flood of ignorance. Besides the exhibit being an obvious and morally repulsive paean of praise to genocide, there is ignorance in abundance, from dinosaurs who had been extinct already for tens of millions of years somehow hitching a ride on Noahs Ark. Ham says in defense of his stupidity, Although there are about 668 names of dinosaurs, there are perhaps only 55 different kinds of dinosaurs. Furthermore, not all dinosaurs were huge like the brachiosaurus, and even those dinosaurs on the Ark were probably teenagers or young adults. In answer, we can only point out even teenagers or young adults had been extinct for tens of millions of years, like their parents. And as Nicholas Purcell, a lecturer in ancient history at Oxford University, pointed out according to The Daily Mail: If floodwaters covered Eurasia 12,000ft deep in 2,800BC, how did the complex societies of Egypt and Mesopotamia, already many centuries old, keep right on regardless? Do not ask questions, just believe. It has been the cry of conservative Christians for 2,000 years and they see no reason to change now. After all, they get to use taxpayer dollars to build this monument to ignorance and then deny jobs to any who disagree with them. As Ham said, Were requiring them to be Christians, thats the bottom line. No. The bottom line is all scam. Evangelicals are facing persecution? Hardly. Ark Encounter is living proof. Facebook Twitter Pinterest Email Print Trumps biggest nightmare has become a reality as the Hillary Clinton campaign and Bernie Sanders have announced that they will be campaigning together in New Hampshire. The Clinton campaign released a statement confirming the rally with Sanders, On Tuesday, July 12, U.S. Senator Bernie Sanders will join Hillary Clinton for a campaign event at Portsmouth High School to discuss their commitment to building an America that is stronger together and an economy that works for everyone, not just those at the top. At virtually the same time, Sen. Sanders released a statement, U.S. Sen. Bernie Sanders on Tuesday will join Hillary Clinton for a campaign event at Portsmouth High School to discuss their commitment to building an America that is stronger together and an economy that works for everyone, not just those at the top. Two statements with nearly the same message was not an accident. There are some Sanders supporters who are endorsement deniers out there, but its clear that Sen. Sanders will be endorsing Hillary Clinton tomorrow. The fight on the convention floor that many of the extremists on the left were hoping for is not going to happen because Sen. Sanders got much of what he wanted. Sanders got the Democratic platform to embrace a $15/hour minimum wage. He and Clinton melded their college affordability and health care plans together to form proposals that were better than each candidate had put forward on their own. What many Sanders supporters dont understand about the history of populist political movements is that their success doesnt come from seizing control of a political party. Historically speaking, success is defined as having the populist proposals adopted by the party. Sen. Sanders understood this fact of history. It is the reason why he ran as a Democrat. His stated goal has always been to move the Democratic Party. He was successful. Hillary Clinton and the Democratic Party have embraced many of his ideas, which is why Bernie Sanders is campaigning with Clinton tomorrow. The Sanders political revolution will go on to fight to make their ideas legislative reality, but Democrats have unified around a common platform. The rally on Tuesday will send the message that now its time to focus on winning. Trumps efforts to divide the Democratic Party have failed. Bernie Sanders and Hillary Clinton are going to stand together in New Hampshire. The Republican nominees worst nightmare is about to come true as Hillary Clinton and Bernie Sanders are going to be united in the common purpose of defeating him in November. Portfolio English Edition's premium content is available only for subscribers Learn about the hottest news of the day, along with immediate follow-up analyses and 1000's of exclusive articles with full access to the premium content. Register and apply for a 14 days free trial period. Thomas Watson Jr., former chairman of IBM, liked to tell anecdotes about his father, Thomas Watson Sr., who founded the company. One of them went like this: "Father was fond of saying that everybody, from time to time, should take a step back and watch himself go by." This was the elder Watson's way of saying that everyone needs to step back once in a while and to check their perspective. Good advice for everyone. Perspective in business is important. Perspective in life is very important. Perspective has many definitions, such as the ability to understand what is important and what isn't. And it's the capacity to view things in their true relation or relative importance. Humorist Will Rogers once wrote on keeping one's perspective about other people: "You must never disagree with a man while you are facing him. Go around behind him and look the same way he is looking and you will see that things look different from what they do when you're facing him. Look over his shoulder and get his viewpoint, then go back and face him and you will have a different idea." ADVERTISEMENT Sometimes it seems all perspective is lost. Consider the current political season. Every side of every issue has a perspective often narrow that prevents civil discussion. Former New Hampshire Gov. John Sununu once summed it up: "Perspective gives us the ability to accurately contrast the large with the small, and the important with the less important. Without it we are lost in a world where all ideas, news and information look the same. We cannot differentiate, we cannot prioritize, and we cannot make good choices." Businesses need to keep perspectives fresh or risk failure. Products may come and go, or they may have stood the test of time. Businesses that look at things through their customers' perspectives, rather than resisting change because "we've always done it this way," are more likely to be around for another generation of customers. Let me give you an example. At our envelope company, our motto is "To be in business forever." The advent of email and paperless transactions certainly has impacted our customers in the ways they do business. Traditional correspondence and billing envelopes have declined. In response, what did we glean from our customers years ago? They advised us to focus on advertising mail, and we invested heavily in that direction. Today, direct mail (advertising mail) is on the rise and coexists and complements the Internet. The Japanese have a saying: You can't see the whole sky through a bamboo tube. In other words, look at the whole picture. Don't be too quick to judge. It's all in how you look at things. As the saying goes, all that glitters is not gold. Staying with the precious metal theme, you also need to look for the silver lining. Problems will arise, plans will fall apart, and your parade will get rained on. But if you look hard enough not just through the bamboo tube you just might be able to find a silver lining. Are you having trouble looking for the silver lining? Feeling burned out can negatively affect your life as well as your career. These techniques can help you regain your perspective and your passion: Fill in the blanks: "In my life, I was once ___ and now I ___." You'll find the answers very enlightening. ADVERTISEMENT Reflect on the past. Figure out when you were happiest and what got you the most down. How does your perspective change when you compare your current situation with previous challenges? List five or six principles that guide you in life, and decide whether they are values you truly live by or merely talk about. Try writing a page or two on what you would like to do with the rest of your life. Don't worry about grammar, practicalities or priorities. Just create that dream list. Record your thoughts, feelings and hopes, or tell them to a trusted friend. With someone to witness them, you'll feel responsible for making some changes. Albert Einstein explained perspective in the simplest terms when he was asked for an explanation of his theory of relativity that would be meaningful to lay people. He wrote: "An hour sitting with a pretty girl on a park bench passes like a minute, but a minute sitting on a hot stove seems like an hour." Wal-Mart now will let you pay with its phone app at all 4,600 stores nationwide. The effort is part of Wal-Mart's strategy to make shopping easier and faster, while learning more about consumer behavior. With Wal-Mart Pay, the customer uses the phone's camera to scan a QR code that's displayed at the register to charge a credit, debit or Wal-Mart gift card linked with the account. It differs from Apple, Samsung and Android Pay, which involves tapping your phone next to a payment machine with a wireless technology called NFC. In December, Wal-Mart said it would develop its own digital wallet rather than honor existing systems from Apple and others, though Wal-Mart said it isn't ruling out third-party wallets in the future. Retailers have been pushing their own systems in part because they retain control. Daniel Eckert, senior vice president of services at Wal-Mart U.S., says data from the app will be used to improve the shopping experience. One way, he said, would be to use past shopping behavior to build a personalized shopping list. The customer could then delete or add items. He said such features would be done only with a customer's permission. ADVERTISEMENT Wal-Mart joined other retailers in backing CurrentC, a system that was also based on scanning codes rather than NFC. But the beta test of the system faltered as Apple Pay became more popular. The consortium last week suspended its launch indefinitely, saying it plans to focus on other aspects of its business. Apple Pay, in particular, has been credited with boosting interest in mobile payments, though many consumers still use traditional plastic cards because it's not difficult to pull one out. Although the number of stores accepting NFC payments has grown, it's still relatively low, and the stores with the right equipment still need cashiers familiar with it. Wal-Mart says no payment information is stored on users' phones or at registers. Rather, card information is stored on Wal-Mart servers. By contrast, Apple, Samsung and Android Pay use alternative card numbers for added security, so if hackers break into a merchant's system, they wouldn't be able to go on a buying spree. Wal-Mart uses regular card numbers, but it insists it keeps the information secure. Wal-Mart Pay is built into Wal-Mart's app, which has 20 million active users. The Bentonville, Arkansas, company finished upgrading stores in 25 states last week to complete the national rollout. Eckert said 88 percent of Walmart Pay transactions are from repeat users. ON U.S. 101, Oregon One round-trip ticket to Portland. One rental car, pointed west. And one tank of gas. Start with these elements, then stretch them across four days and three nights in the shape of a 270-mile triangle. The result? A road trip to the northern Oregon coast, as far south as Pacific City, as far north as Cannon Beach. You'll be sidestepping Portland proper and Astoria and every other city with a population of more than 10,000 so you can take a little time in towns such as Tillamook, Manzanita, Nehalem and Netarts and in the landscape between them. Along the way mostly two-lane highways you'll find enough rugged-shoreline panoramas to choke your iPhone, along with tall trees, beach grass, bike tracks in damp sand, wave-lashed rocks and plates of homegrown greens, local oysters and (you knew this was coming, right?) Tillamook cheese. ADVERTISEMENT If you happen to catch a few sunny days, as I did during my May visit, that's your cue to grab at the outdoor options with both hands. Climb the great dune at Cape Kiwanda. Take the Cape Lookout hike in Cape Lookout State Park. Stand on the wet sand beneath Cannon Beach's Haystack Rock and watch it become a whale-shaped silhouette at sunset. Like Haystack Rock, some of these stops are time-honored Oregon destinations. But not all. The midpoint of my trip was North Fork 53, a farmhouse B&B that opened in October with a staff of hip, young farmers and servers who run an organic farm and stylish little farm store. It sits about 15 minutes inland in the deep green Nehalem River Valley, which makes a nice contrast to the region's many beach towns. Cape crusader But let's get you to those beach towns first. This itinerary begins with a 108-mile drive from Portland International Airport west along U.S. 26 and Oregon 6, then south on U.S. 101 to Cape Kiwanda at Pacific City. It's a strange cape. Beside a gorgeous sandstone finger of land that reaches out into the ocean, you confront a 200-foot-high sand dune. Yes, you can and should climb it. (In early morning, there's less wind at the top.) ADVERTISEMENT From the top you can look down on the cape and out at 327-foot-high Haystack Rock, which is not to be confused with a more famous (but smaller) Haystack Rock that you'll encounter later. About descending: If you run straight down the dune, you may feel as though you've slipped into a weightless slo-mo dream sequence. I recommend this. Just don't fall. After the dune, you could head a few miles north to Whalen Island County Park, where a handful of enormous driftwood tree trunks is scattered on sand like the Jolly Green Giant's forgotten beach toys. Or you could forget the island and walk straight from the dune into the Pelican Pub & Brewery, which has stood at the edge of the sand since 1996. Pacific City is sleepy, only about 1,000 residents, but the Pelican stays busy. I gobbled one of the burgers and slept across the street at the Inn at Cape Kiwanda in a second-floor room looking down on the sea, the pub and the big rock. Extreme tour Now, if I had gone straight from Cape Kiwanda to my next destination the North Fork 53 B&B, near Nehalem that would have been a 50-mile dash, most of it on U.S. 101. Instead, I tried a few detours on the way north. ADVERTISEMENT The first and best, 12 miles north of Pacific City, was the cliff-top, fern-lined trail out to Cape Lookout, a 4.6-mile round-trip hike within Cape Lookout State Park. It was fairly flat but plenty dramatic. "The views make you feel like you're doing some sort of extreme hike, but you're not," said Evelyn Hunsberger, a 20-year-old student I met on the trail. Next I'd recommend lunch on the sun-splashed patio of the Schooner Restaurant & Lounge, eight miles north of Cape Lookout State Park on Netarts Bay. In the afternoon, you could continue north to wander around the lighthouse and coastal panoramas at Cape Meares or head east to taste cheese and ice cream ($3 a scoop) at the Tillamook Cheese Factory. I did both. You need not get lost and waste an hour on an old gravel logging road along the Kilchis River, as I did. Instead, to get to North Fork 53 from Tillamook, just take U.S. 101 up to Nehalem and follow North Fork Road for about five miles along the north fork of the Nehalem River until you spot the bright-colored sign, barn and 1930s farmhouse that belong to the B&B. (Of the four guest rooms $105-$125 nightly three share baths.) For dinner, you'll want to head into nearby Nehalem or Manzanita. But don't miss the North Fork 53 breakfast. The eggs, greens, carrots, potatoes just about everything was grown within five acres of the table. As if that weren't enough, after breakfast, innkeeper Ana Tkacik and farmer Lily Strauss took me out to the fields and picked some Lacinato kale and arugula flowers that we ate on the spot. (Other visitors, if they're 21 or older, might prefer one of North Fork 53's marijuana-related packages, billed as "Oregon's first fully integrated cannabis lodging experience.") Great views For the last night of this trip, I suggest two ideas an Oregonian might expect and a Californian might not: First, drive 24 more miles up the coast up to enjoy the shoreline and driftwood at Ecola State Park. (Yes, in the era of Ebola and E. coli, the park has an ominous-sounding name. But it's an old native word. Learn to love it.) The park's Indian Beach and cliff-top picnic area both have screen-saver-worthy views. But be warned: Winter landslides have shut down one popular viewing platform near the picnic tables. Then find a hotel on the sand at Cannon Beach so you can spend the sunset wandering around another Haystack Rock, this one 235 feet tall and surrounded by swooping gulls, puffins, murres, cormorants and, sometimes, bald eagles. (If you stay at the Surfsand Resort, bear in mind the beach campfire that Surfsand staffers set up on nights when weather permits. They even supply marshmallows and skewers.) Cannon Beach, by the way, is no secret to the trendy set in Portland. The population may be 1,700 or so, but it's been a well-known quantity since at least 1806, when Capt. William Clark (Meriwether Lewis' partner in northwestern exploration) found a 108-foot-long whale here, beached on the shore near Ecola Creek. Today Portlanders seek out scores of hotels, rental houses, galleries and restaurants, most with shingled walls, New England-style, on Hemlock Street. (Try Lazy Susan Cafe for breakfast and bring cash. It doesn't take plastic.) The town's popularity with Portlanders means things can be pricey, but its location is undeniably handy. Once you hop back into your rental car, it's just 91 miles along U.S. 26 to the Portland airport and your flight home. ---- Tips for visitors Getting around:Oregon has 363 miles of coast and U.S. 101 runs close to most of it. My trip from Portland airport to Pacific City to Cannon Beach to Portland airport again covered just 68 miles of coastline, mostly along 101. Best time to visit:May through October, when high temperatures are typically 59 to 65 degrees at Cannon Beach. Oregon's coast gets about 15 degrees cooler in late fall and winter, and much wetter more than 10 inches of rain per month in November, December and January. Accessibility:Cars can legally drive onto the beach by way of a ramp at Pacific City, giving easy access to the sand if you have the right kind of wheelchair. The Tillamook cheese factory is wheelchair accessible. Sleep:Inn at Cape Kiwanda, 33105 Cape Kiwanda Drive, Pacific City, Ore.; (503) 965-7001. Rooms for two: $179 and up, depending on season. North Fork 53, 77282 Oregon Route 53, Nehalem, Ore.; (503) 368-5382. Four rooms (three with shared baths, one with private bath) in a '30s farmhouse. Rooms for two: $110-$125, breakfast included. Surfsand Resort, 148 Gower St., Cannon Beach, Ore.; (855) 761-9065. rooms for two: $199 and up, depending on season. Eat:Pelican Pub & Brewery, 33180 Cape Kiwanda Drive, Pacific City; (503) 965-7007. Good burgers. Breakfast, lunch, dinner. Entrees $13.99-$30. The Schooner Restaurant & Lounge, 2065 Boat Basin Road, Netarts, Oregon; (503) 815-9900. Seafood-y; dining room and patio by the water. Lunch and dinner, Sunday brunch. Lunches $12.50-$18. Lazy Susan Cafe, Coaster Square, Cannon Beach, Ore.; (503) 436-2816. Breakfast and lunch, cash only. Everything $13.25 and under. A 26-year-old Rochester man was arrested at gunpoint early Saturday morning after authorities say he threatened people at a downtown business with what turned out to be a replica BB gun. Andrew Gustafson could be charged as early as today with one count of terroristic threats, as well as a gross misdemeanor weapons charge, said Rochester Police Capt. John Sherwin. Officers on patrol were flagged down at 2:52 a.m. by a bouncer from Dooley's Pub, who said he'd just kicked someone later identified as Gustafson out of the establishment. The bouncer said during the verbal confrontation, the suspect had displayed a handgun and racked the slide, Sherwin said. The officers spotted Gustafson on the 10 block of Third Street Southwest and took him down at gunpoint, the report says, then ordered him to turn over his weapon. Gustafson allegedly took the replica BB gun out of his waistband, then was arrested without further incident. A report of a man "intoxicated and upset" has ended with the man behind bars, facing a felony gun charge. Deputies from the Olmsted County Sheriff's Office responded at 3:02 p.m. Sunday to Pleasant Grove Township, where they were told Ricky Lyle Cowden, 46, had been drinking and was upset about a personal issue, said Capt. Scott Behrns. The caller told authorities Cowden had a shotgun, and was making threats toward someone he knew; the intended victim wasn't with Cowden, the report says. After dealing with Cowden, officers learned he was ineligible to possess a firearm because of a prior conviction for a felony, Behrns said. Cowden was sentenced in June for making terroristic threats, court records show. Update at 9:50 a.m. Tuesday:A change of plans at KTTC: Robin apparently will be on the 6 p.m. news tonight and will update her status. Hi, Answer Man, I'm told you know everything. Can you tell us where Robin Wolfram is? Has she moved on from anchor woman at KTTC? D & J People shouldn't need to tell you that I know everything, D & J. You should be devoted fans and know how true it is. This is one of many questions I've had about Robin and her whereabouts. Here are a few more: Rumor has it that Robin Wolfram with KTTC news is not coming back to the station???? Cathy A. ADVERTISEMENT The four question marks are Cathy's. One is enough to get my attention. And then there's this: Dear Answer Man, where has Robin Wolfram of KTTC-TV been? Does she even work there anymore? I see her in the old commercials and they say she is still part of the station, but she hasn't been on TV in over a month. I know you had written that she was taking time off to care for family issues. Is that still true? I know more about this than I can tell, but here's what KTTC News Director Noel Sederstromtold me by email Friday: "When I have something I can pass along to you, I will." And here's what Robin posted on Facebook S unday, with a few emojis thrown in for good measure. (As I've reported, she's in Ventura, Iowa, helping to care for her elderly parents.): "My wonderful television husband, Tom Overlie, came for a visit on Friday. It was so incredibly wonderful to see him again! "He will be airing a story on where I've been and what I've been doing for the last three months while away from KTTC. You can look for more answers there. "The story is scheduled to air Tuesday at 6 p.m. and 10 p.m. I will have more to share with you then." There you have it. Expect leadership information from Robin Tuesday night. ADVERTISEMENT Robin joined KTTC in 2011, and Mr. Heard on the Street, Jeff Kiger, reported last summer that she had signed a three-year contract extension that would take her through 2017. Tom signed a contract extension at that time that's good through 2020. Another of KTTC's luminaries, Devin Bartolotta , is also moving on. She wrapped up her last weekend anchor shift Sunday after three years at the station. "It feels surreal that it's my last day," she said by Twitter this morning. She's headed to Baltimore CBS affiliate WJZ-TV for a reporting job. "It's less than a four-hour drive to all my family in Pittsburgh," Devin said. "I'm sad to leave but thrilled I'll be able to see my family more often than every eight months." As my friends know, I'm always ravin' about Baltimore, and Devin, I recommend that you catch a water taxi to Riptide by the Bay in Fells Point for a heaping plate of Old Bay-coated blue crabs. Shooter who killed toddler still at large MINNEAPOLIS Police say investigators are making "promising strides" in their investigation into the shooting of two toddlers in Minneapolis, but have made no arrests. Two-year-old Le'Vonte King Jason Jones was fatally shot as he sat in a van driven by his father Friday afternoon. His 15-month-old sister, Mela Queen Melvina Jones was also in the van and was injured by gunfire. She was shot in the leg and has been released from the hospital. Their mother, Leshea Jones, said she's praying for an end to the violence. Police say the shooting apparently was not a random incident. Associated Press Signing ceremony set for Red River diversion project ADVERTISEMENT FARGO, N.D. A formal signing ceremony is scheduled for a $2.1 billion Red River diversion project in the Fargo and Moorhead, Minn., metropolitan area. The project partnership agreement between the U.S. Army Corps of Engineers and Fargo-Moorhead Diversion Authority clears the way for construction to begin on the 30-mile channel. The diversion is meant to reduce the flood risk for 225,000 people and 70 square miles of infrastructure. The area has dealt with major floods several times in the last decade. Diversion authority chairman Darrel Vanyo, Fargo Mayor Tim Mahoney and Moorhead Mayor Del Rae Williams are expected to sign the deal, along with a representative of the corps. The ceremony is scheduled for noon today at the Fargo Community Theater. Associated Press ST. PAUL After days of peaceful protests, St. Paul police officers in riot gear met protesters who blocked Interstate 94 late Saturday in the biggest confrontation between police and demonstrators since an officer fatally shot a black man during a Falcon Heights traffic stop last week. About 100 people were arrested half during the highway standoff and the other half early Sunday in another part of St. Paul. Twenty-one law enforcement officers were hurt during the protests, including six state troopers. Police Chief Todd Axtell called the pelting of officers with rocks, bottles, firecrackers and other objects "a disgrace." After the mayhem in St. Paul and the shooting deaths of five officers in Dallas last week, some experts say that authorities may take a tougher approach to demonstrations of this kind. Protests have broken out nationwide following the deaths of 32-year-old Castile and 37-year-old Alton Sterling in Baton Rouge, La.. In Dallas, five police officers were killed when a gunman opened fire on a protest march Thursday. But most of the demonstrations haven't been as tense as the scene in Dallas that night or the highway standoff in St. Paul. St. Paul police used smoke bombs to clear the crowd of more than 200 people blocking the interstate. It was a striking contrast to their approach at protests outside the governor's mansion in the wake of motorist Philando Castile's police shooting death Wednesday in the suburb of Falcon Heights. ADVERTISEMENT In Oakland, Calif., police ceded a highway to protesters last week and did not stop them from shutting it down. In Philadelphia, plainclothes officers have mingled with protest crowds. Even in Dallas before the shooting, officers mingled and posed for photos with people at the demonstration. "I think officers are vigilant every time they put the badge on and the uniform on. I don't think it's going to stop the officer from interacting with the men and women who are out there at a peaceful protest," said Sean Gormley, executive director of Law Enforcement Labor Services, Minnesota's largest police union. Craig Lally, president of the union representing Los Angeles police officers, said he suspects changes will be made at departments across the country when it comes to staffing protests and similar events. "I think they're going to have to be much more aware of their surroundings. The next march in Dallas, I guarantee they're going to have sniper teams all along the march, cops with high-powered rifles, to see if anyone is going to be a copycat," Lally said. Former FBI agent James Wedick envisions departments having officers stake out high areas with binoculars and possibly sniper rifles, but keeping them out of sight to avoid causing panic. "It's not just to defend the cops, it's also to defend the protesters," said Wedick, who was with the FBI for 35 years. Authorities, protest leaders and the mother of one of the victims have appealed for calm as over 300 demonstrators, angry at the latest killings of black men by police officers, were arrested in a weekend of intense, sometimes violent nationwide protests. Overnight Sunday, about 50 protesters were arrested after protests in Baton Rouge, La. Arrests were also reported in Atlanta, but protests were largely peaceful Sunday in Memphis. ADVERTISEMENT President Obama will speak on Tuesday at an interfaith memorial service in Dallas honoring the fallen law enforcement officers. WINONA The Catholic Diocese of Winona's planned changes for many parishes across Southern Minnesota have been delayed. In 2015, the Diocese of Winona announced Vision 2016, a plan to deal with changing demographics and a lack of resources in parishes across southern Minnesota. Through Vision 2016, 21 of the 144 parishes in the diocese are going to be turned into oratories. Weekly Mass is not celebrated at oratories, but the facilities can be used for weddings, funerals and local prayer. Local congregants are responsible for maintaining the building. However, if the congregants are unable to maintain the building, the church would be closed completely. Determining what churches would be turned into oratories was based on factors including low weekly attendance. This plan also called for 46 new clusters, a group of individual parishes that share staff members, ministries and resources while also retaining their own corporations, assets, liabilities, worship sites and property. Although the plan was to finalize Vision 2016 by spring, the diocese has delayed the process. ADVERTISEMENT According to Ben Frost, a spokesman for the Diocese of Winona, the delay is due to a combination of the churches not being ready for the changes, personnel changes in the diocese, and the ramifications from the Minnesota Child Victims Act of 2013. Frost would not comment further as to how the Minnesota Child Victims Act of 2013 is specifically affecting the planned changes to churches in the area. The Minnesota Child Victims Act of 2013 changed the statute of limitations applied to civil legal claims for survivors of childhood sexual abuse. Although individuals younger than 24 at the time of enactment have no statute of limitations to bring their case forward, individuals older than 24 had a three-year window. That window closed on May 25, 2016. Since the enactment of the law in 2013, there have been 850 child sex abuse claims in Minnesota, with 500 of those against the Catholic church, according to a May 23 article by the Star Tribune. Of those 500 claims, 115 have been against the Winona diocese. According to the diocese, the changes planned under Vision 2016 are necessary to ensure that the diocese is adapting to three factors: fewer priests to serve the 114 parishes, variations in sacramental participation trends, and demographic shifts of both growth and decline in areas of the diocese. The Rev. Thien Nguyen, priest at the Catholic churches in West Concord, Claremont and Dodge Center. said that although he understands why the changes have to be made, it doesn't make it any less difficult for him and his congregation. Through Vision 2016, the Claremont and West Concord churches will become oratories, while the church in Dodge Center will be put in a new cluster of churches with Blooming Prairie and Hayfield. "Nobody likes the changes," Nguyen said. "The hardest thing is that we don't have a lot of options." ADVERTISEMENT One aspect of the changes that concerns Nguyen is that Mass time at the Dodge Center church will move from Sunday mornings to Saturday nights. He said he's worried about elderly people in his parish being able to travel to church in the dark, especially during winter. As to when the changes laid out in Vision 2016 will be implemented, Frost said that there will be an update on the delay by the end of the summer. ST. PAUL A suburban police officer who killed a black motorist likely wouldn't have fired if the driver had been white, Minnesota's governor declared, jumping into a suddenly reignited national debate over how law enforcement treats people of color. Philando Castile's girlfriend streamed the gruesome aftermath of his shooting in a St. Paul suburb this week live on Facebook. The school cafeteria supervisor had been shot "for no apparent reason" while reaching for his wallet after telling the officer he had a gun and a permit to carry it, she says in the video. "Would this have happened if those passengers would have been white? I don't think it would have," Gov. Mark Dayton said to a crowd that gathered outside his residence all day and night Thursday. Hours after Dayton's remarks, gunmen shot and killed five police officers and wounded six more amid protests in Dallas over Castile's killing and a second fatal police shooting of a black man. Alton Sterling, 37, was killed Tuesday in Baton Rouge, Louisiana, after he scuffled with two white police officers outside a convenience store. Portions of that shooting were also caught on video. ADVERTISEMENT Castile was shot in Falcon Heights, a mostly white community of 5,000 served primarily by the nearby St. Anthony Police Department. In the video, Diamond Reynolds describes being pulled over for a "busted tail light." Reynolds told reporters Thursday that the 32-year-old Castile, of St. Paul, did "nothing but what the police officer asked of us, which was to put your hands in the air and get your license and registration." 'You shot four bullets' The video she streamed Wednesday night on Facebook Live shows her in a car next to a bloodied Castile slumped in a seat. A clearly distraught person who appears to be a police officer stands at the car's window, tells her to keep her hands up and says: "I told him not to reach for it. I told him to get his hand out." "You shot four bullets into him, sir. He was just getting his license and registration, sir," Reynolds calmly responds. State investigators named the two officers involved in the Minnesota shooting as Jeronimo Yanez and Joseph Kauser. Both had been with the St. Anthony Police Department for four years and were put on administrative leave, as is standard. Yanez approached Castile's car from the driver's side, and Kauser from the passenger side, according to the Minnesota Bureau of Criminal Apprehension. The agency said Yanez opened fire, striking Castile multiple times. Several videos, including squad car video of the incident, have been collected, but St. Anthony officers don't wear body cameras, the agency said. ADVERTISEMENT The bureau did not give the officers' races. Reynolds described the officer who shot Castile as Asian. The St. Anthony Police Department's 2015 annual report points to Yanez's volunteerism; he gave a tour of the station to a local Cub Scout troop and volunteered with St. Paul's Cinco De Mayo celebration, participating in a parade with other members of the National Latino Police Officers Association. The previous year's report includes a photo of Yanez solemnly standing guard at a memorial to fallen officers at the state Capitol. Thomas Kelly, an attorney for Yanez, did not immediately return a call seeking comment after the officers were identified. Justice Dept. investigation The U.S. Justice Department, which immediately launched a civil rights investigation into the Baton Rouge shooting, said it would monitor Minnesota's investigation. Dayton said he and other state officials would ask for stronger federal involvement in the case. At a vigil Thursday evening outside a Montessori school where Castile worked, his mother, Valerie Castile called her son "an angel." Though she recalled cautioning him to always comply with police, she said she never thought she would lose him. "This has to cease. This has to stop, right now," she told the crowd. ADVERTISEMENT Hundreds of demonstrators braved rain showers and gathered outside the governor's mansion in St. Paul. The group swelled to over 1,000 for a time as people marched from the school vigil. Dayton waded through the crowd as protesters chanted: "What do we want? Justice! When do we want it? Now!" Some 200-300 protesters with a large supply of donated food and water were still there as midnight approached. On a trip to Poland, President Barack Obama called on law enforcement to root out bias in its ranks, saying the Minnesota and Louisiana shootings were symptoms of a "broader set of racial disparities" in the justice system that aren't being fixed quickly enough. "When incidents like this occur, there's a big chunk of our fellow citizenry that feels as if it's because of the color of their skin, they are not being treated the same," Obama said several hours before the Dallas shootings. "And that hurts. And that should trouble all of us." Speaking later, he said America is "horrified" over the Dallas shootings and there's no possible justification for the attacks. Philando Castile put on a suit and tie to interview for a supervisory position in the school district where he had worked since he was a teenager. He told the interviewer his goal was to one day "sit on the other side of this table." His upbeat disposition won him the job. "He stood out because he was happy, friendly and related to people well," said Katherine Holmquist-Burks, principal at J.J. Hill Montessori in St. Paul, Minnesota, who hired him to oversee the school cafeteria. Now, colleagues and family members are trying to understand why a police officer in a St. Paul suburb fatally shot Castile, 32, after stopping his car Wednesday night. The U.S. Justice Department announced it would monitor the state investigation of the shooting, which Gov. Mark Dayton said would look at whether Castile's race played a role. Castile was black. A passenger in the car, Castile's girlfriend, Diamond Reynolds, said the officer was Asian. State investigators named him as St. Anthony Police Officer Jeronimo Yanez but did not give his race; city police reports identified him as a member of the National Latino Police Officers Association. ADVERTISEMENT Reynolds said the officer opened fire when Castile reached for his identification. She said Castile had a license to carry a firearm. Castile graduated from Central High School in St. Paul in 2001 and joined the school district's Nutrition Services Department when he was 19. He worked at two schools before getting his promotion at J.J. Hill in 2014. Students at the magnet school came to know him as "Mr. Phil," a gregarious man who sneaked students extra graham crackers and other treats in the lunch line. "He always gave you a high-five after lunch," 9-year-old Jas Gilman said. Holmquist-Burks, who retired last week, said he loved his job and never missed work or drew a complaint. Castile supervised two employees and ran the cafeteria for a school with 530 students and 85 staff. He helped "create a warm, welcoming friendly environment in our cafeteria," she said. Holmquist-Burks said that after she heard about Castile's death, she went to the vigil being held at the governor's mansion. "I want his name respected," she said. "He was not a bad person. He was a great person. He was a warm person and a gentle spirit. This was a tragedy that he was murdered." ADVERTISEMENT A cellphone video shot by Reynolds immediately after the officer opened fire indicated the officer may have believed Castile was reaching for a weapon. Castile got a license to carry a firearm "for safety," said Dewanda Harris, 52, Castile's cousin. Harris, of Glendale, Arizona, said she watched Castile grow up in St. Paul alongside her son, who was about the same age. Of the gun, she said, "I discussed it with my son and he began to tell me about them going to the gun range. All of them got licenses to carry," Harris said of Castile and other family members. "All of them do. They got it to protect themselves." Harris said Castile would not have posed a threat. "I know he was doing the right thing. Phil was a good kid. I'm stunned by this," she said. Other colleagues of Castile's at J.J. Hill described Castile as a team player who got along well with everyone. "We're all just so surprised," said teacher Amy Hinrichs, who said she spoke with Castile every day when he came in at 6:30 a.m. to set up the school's breakfast. "He was the calmest, nicest man. He was generous, kind. He remembered all the kids' allergies. He was never a complainer." "He loved those kids so much," teacher Anna Garnaas said at a vigil for Castile outside the school Thursday evening. "And he will be so missed, by the people who got to work with him every day." #SPC Group Deceased factory worker's family sues bakery giant SPC chairman The family of a young worker who died while working at an affiliate factory of food and beverage giant filed a complaint against the group's chairman on charges of violating the w... For Minnesota candidates, it's the big unknown how will the presidential race affect their campaigns? Democrat Hillary Clinton and Republican Donald Trump are expected to each party's nominee in November. Those candidates ability to attract voters and cause some to stay home could have a big impact on races in the state. All 201 state lawmakers are on the ballot this fall, with control of the Minnesota House and Senate up for grabs. Olmsted County Republican Party Chairman Aaron Miller said he is worried about what will happen for local candidates with Trump at the top of the ticket. He pointed to 2012, when conservatives failed to turn out and vote for Republican Mitt Romney. "I'm scared to death about it. I'm scared about turnout," he said. Even so, Miller said he sees some signs for hope. He said Trump's focus on the economy, national defense and immigration is resonating with independent voters in the state. He hopes that, in turn, will drive turnout. ADVERTISEMENT Senate District 26 DFL Chairwoman Deb Staley said she believes Democrats are in a good position heading into the 2016 election. She said she is hopeful that Trumps' inflammatory statements especially those about women will bolster votes for Democrats. While Clinton has her critics, Staley said she doesn't see that having a big impact on state and local races. "The Hillary haters are going to remain the Hillary haters. That isn't going to change," Staley said. Depressed turnout on both sides? University of Minnesota political science professor Larry Jacobs said it is possible that having Clinton and Trump on the ballot could cause some voters to stay home in November. "Are they going to turn people off from turning out? I think that's possible. Maybe there is a bit more of a risk on the Trump side, though I think that has been overemphasized," Jacobs said. He notes that recent national polls show Trump is only five points behind Clinton. He said Democrats are making a mistake of they completely discount the New York real estate mogul's chances especially in greater Minnesota. "I could see Trump discouraging Republicans from turning out in the metro area, but Hillary is not a draw in greater Minnesota, and I think she could present problems that depress some Democratic turnout or depress union voters that tend to vote Democratic," he said. ADVERTISEMENT But Jacobs said Democrats do enjoy an advantage when it comes to money and campaign resources. Clinton has been aggressive in raising money for the party and is investing in her Minnesota campaign operation. He added, "All Republicans in the state are outgunned on the Democratic side because Hillary is putting money and resources in Minnesota, and if someone is turning out to cast a ballot for Hillary, they are probably going to vote straight ticket." Sen. Carla Nelson is telling everyone to bombard the governor with letters urging a special session. Like Dorothy in "The Wizard of Oz," she should look for her heart's desire in her own backyard. Nelson claims there is agreement on key bills and that should be enough for Gov. Mark Dayton. Not so. Dayton never agreed to the bonding bill revealed in the last half hour of the session. Senate DFLers agreed to pass it only with metro-transit funding, and Republican House leaders adjourned early to avoid voting on the Senate's bill. Reps. Duane Quam and Nels Pierson belong to the House Intransigence Caucus that refuses to give an inch. They and Nelson and Sen. Dave Senjem should be urging Republican Speaker Kurt Daudt to include Rochester Community and Technical College's project in any deal perhaps in exchange for the governor's priorities. RCTC's project should not be skipped while less-urgent projects in Republican districts are funded. These Republican legislators should call on Daudt to negotiate in good faith not to make new demands for policies never considered during the session. Nelson should stop urging the governor to give in while her own party refuses to negotiate. Her trip down the yellow brick road makes a great show, but the shoes that can bring her home are on her own feet. Rep. Tina Liebling ADVERTISEMENT Rochester On a trip to the beach, a German friend recently saw two teenage Afghan refugee boys stare in shock at female bathers in scanty bikinis. She overheard one youth agitatedly ask the German volunteer accompanying him: "Where are their fathers? Where are their fathers?" The good news is that the boy spoke German and had a German friend who could explain the culture gap between Afghanistan and Europe. The bad news is obvious: Germany has an overwhelming task trying to integrate many of the million or so Muslim migrants who arrived in 2015. And a debate has gone public over a subject that was once considered unmentionable in public: whether Islamic precepts are compatible with the West. A sizable number of migrants are from the educated middle class, especially those arriving from Syria, around 40 percent of the total. But many others are young men from poorer backgrounds in Syria, Iraq or Afghanistan. They were sent ahead to establish a family beachhead in Europe or escape the army. A good number are unaccompanied minors. ADVERTISEMENT Many Germans not just members of the anti-immigration or populist movements worry that such youths are tempting targets for Islamists. They also wonder whether the gap between Germany society and conservative Arab Muslim cultures may be too wide to bridge. "Fear toward Islam is increasing in Germany," says Thomas Volk, coordinator on Islam and religious dialogue at the Konrad Adenauer Foundation. "Fifty-seven percent of the non-Muslim population thinks Islam is dangerous or very dangerous. In May a poll showed that 60 percent think Islam doesn't belong to Germany." Those polled don't distinguish between Islamist ideology and the Muslim religion, says Volk. The German public's warmth toward refugees faltered after a New Year's Eve episode in Cologne, where hundreds of young Arab men accosted German women during street celebrations. The men were mostly North African, not war refugees, and many were here illegally. Yet this awful event also spurred a necessary public debate about how to integrate the newcomers quickly and how to avoid the emergence of Arab Muslim ghettos or criminal gangs could take root. At present, the government sees providing jobs for immigrants as the main antidote to Islamization. But it appears to be paying less attention to another critical issue: Who will fund the mosques and imams that serve the new influx of Muslims? At present, around two-thirds of Germany's roughly 4 million Muslims (5 percent of the population) are of Turkish descent, and Turkey's religious ministry provides around 900 imams. This arrangement was long viewed as satisfactory until the government of President Recep Tayyip Erdogan began Islamacizing what had been a secular country. However, the new immigrants are largely though not all Arabic speakers. The German press reported last year that Saudi Arabia offered to build 200 mosques in Germany for the newcomers (the Saudis have denied this), which sparked controversy. ADVERTISEMENT In an astonishingly frank interview with the German newspaper Bild am Sonntag in December, Vice Chancellor Sigmar Gabriel warned: "We have to make clear to the Saudis that the time of looking away is over. Wahhabi (Islamic fundamentalist) mosques all over the world are financed by Saudi Arabia." However, a proposal by the conservative Christian Social Union party that Germany ban foreign funding of mosques and train its own imams gained little traction. This is a hot-button issue that won't go away. Four theological schools have begun training German-speaking imams, leading some to hope Germany can produce a unique variant of European Islam that emphasizes tolerance and the peaceful aspects of the religion. However, the largest Turkish Muslim association in Germany has made clear it will not accept the newly minted imams. It is also unclear whether they would be welcomed in mosques created for Arabic newcomers. There is no one organization that speaks for all Muslims in Germany. "Maybe the way is to emphasize training in schools," says Deniz Nergiz, a Turkish-German expert on integration, "where these new theologians would teach, but would not be in the mosques." The question of how to ease young migrants into German society and culture touches on the nerves of those who fear youths may be easy targets for radical proselytizers outside of school. "We are afraid of recruitment, particularly among unaccompanied minors," says Deidre Berger, the Berlin representative of the American Jewish Committee which has concerns about threats to Jewish life in Germany. ADVERTISEMENT Can the Afghan boys on the beach find a way into German society where they can practice their faith but don't feel alienated by their surroundings? And can the debate over clashing cultures be addressed without encouraging a racist backlash that alienates those whom Germany is trying to integrate? At this point no one can say. Trudy Rubin is a columnist and editorial board member for the Philadelphia Inquirer. We have reached many milestones and witnessed plenty of success stories at the Guam Department of Labor during my current tenure, but I will b Read moreGDOL wants to be a part of your employment solutions If you relied on accounts by the mainstream media of the Chilcot Report regarding Britains decision to participate in the toppling of Saddam Hussein, you might easily conclude that it supports the Bush-Blair lied, people died narrative so cherished by the left. You wouldnt know that the Report actually rejects this claim. This account by Steven Erlanger and David Sanger of the New York Times doesnt say so. Neither does this account by Geoff Witte of the Washington Post. He claims that the Report offer[s] official validation to the views of the Iraq Wars most ardent critics. But those critics insist that Bush and Blair lied. The Report finds otherwise. Witte also asserts that the report will give ample ammunition to the wars toughest critics, including those in Britain who have called for war crimes charges to be brought against Blair. Witte doesnt even try to explain how the Reports finding might support a war crimes charge. Witte is simply indulging in leftist rhetoric (and, perhaps, wishful thinking). Eli Lake sets the record straight. He writes: Sir John Chilcot has some bad news for the many Britons pining for the day Tony Blair will be tried for war crimes. The former prime minister didnt lie the U.K. into the Iraq war. This is the clear conclusion of a sweeping inquiry into the war released earlier today by Chilcots committee, a report that took longer to produce than the British military involvement in Iraq. The closest Chilcot comes to criticizing Blairs use of the intelligence produced by his government is that he at times didnt express the full nuance and uncertainty contained in those reports. But Blairs statements about Iraqs chemical, biological and nuclear weapons programs were consistent with what the professional analysts, spies and military officers were telling him. It is now clear that policy on Iraq was made on the basis of flawed intelligence and assessments, Chilcot said in a statement. They were not challenged and they should have been. In other words, neither Blair nor Bush lied. They acted honestly based on intelligence that turned out to be flawed. Chilcot believes that Blair should have challenged the intelligence he relied on. But not challenging intelligence that, in hindsight, turned out to be flawed isnt lying. Nor is it a war crime. In the U.S., the claim that Bush lied was put to rest in 2008 in a bipartisan report by the Select Committee on Intelligence. It found that the U.S. intelligence community with a few dissenting agencies agreed that Iraqi Saddam Hussein was hiding chemical, biological and even nuclear weapons programs. Agreeing with the strong (but erroneous) consensus of the intelligence community isnt lying. In the case of Britain, the intelligence community told Blair: Iraq also continues with its chemical and biological warfare (CBW) programmes and, if it has not already done so, could produce significant quantities of BW agent within days and CW agents within weeks of a decision to do so. There is no ambiguity here; no expression of reservations. Chilcot says the underlying intelligence was more nuanced than Blair acknowledged. Lake lays out the particulars. They strike me as nit-picking. As Lake says, political leaders use language differently from intelligence analysts. If they didnt, they would never be able to lead. It is the lefts goal that heads of state in the U.S. and Britain never be able to lead their country into war. But what about a decision not to go to war? When leaders rely on intelligence that concludes the U.S. faces no imminent threat of attack but also contains hedging on this conclusion and dissenting views, are they required to give voice to the hedging and the dissent? A leader who did would be attacked for fear mongering by the same voices who attack Blair and Bush for not acknowledging nuance in the intelligence they relied on. The bottom line for present purposes is that neither Bush nor Blair lied. Mainstream media outlets that report on the Chilcot Report without making this clear are not being honest. City Journals Myron Magnet argues that President Obama has set back race relations in the United States by 50 years and accordingly deserves recognition as Americas worst president. Toward the end of his column Magnet observes: True to form, Obama went into grievance-mongering mode on July 7, commenting on the killings of Alton Sterling and Philando Castile by cops in Louisiana and Minnesota. He noted that all of us as Americans should be troubled by these shootings, because these are not isolated incidents. Theyre symptomatic of a broader set of racial disparities that exist in our criminal justice system. And he went on to detail law enforcements racial disparities, as if there were not even more stark and troubling racial disparities in lawbreaking. His familiar conclusion: If you add it all up, the African American and Hispanic population, who make up only 30 percent of the general population, make up more than half of the incarcerated population. Now, these are facts. And when incidents like this occur, theres a big chunk of our fellow citizenry that feels as if because of the color of their skin, they are not being treated the same. And that hurts. Obamas cause, as it turns out, is represented by the racial arsonists of the Black Lives Matters crowd. Obama therefore counsels the group and speaks on its behalf. Obama took time out in Spain on Saturday to render advice. The White House has posted the text of Obamas statement and answers to questions; the Washington Examiner extracts relevant in its report: Whenever those of us who are concerned about failures of the criminal justice system attack police, you are doing a disservice to the cause, Obama said in remarks to reporters in Spain, where he is traveling. Any violence directed at police officers is a reprehensible crime and needs to be prosecuted, he said. Even rhetorically, if we paint police with a broad brush, or say things that are stupid or imprudent, it risks losing ground for the reform cause, Obama said. In a movement like Black Lives Matter, there are always going to be folks who say things that are stupid or imprudent or over-generalized or harsh, Obama said. And I dont think that you can hold well-meaning activists who are doing the right thing, peacefully protesting, responsible for everything that is uttered at a protest site I would just say that everybody whos concerned about the issue of police shootings or racial bias in the criminal justice system, that maintaining a truthful and serious and respectful tone is going to help mobilize American society to bring about real change. And that is our ultimate objective. With his characteristically perfect timing, coincidentally, Obama declared, Youre not seeing riots. Later that day, however, we were seeing riots right here in St. Paul. In his remarks in Spain Obama decried the larger, persistent problem of African Americans and Latinos being treated differently in our criminal justice system. Yet there is no disparate treatment; this is Obamas big lie. Its not just Obamas, of course, but Obama has adopted it as his own and turned it to his own uses. Its all about fundamental transformation. Racial disparities permeate the criminal justice system. They do not derive from police misconduct or a broken criminal justice system. They derive from underlying behavioral disparities. Racial disparities in the criminal justice system reflect the underlying behavioral disparities. Obamas cause, as he refers to it, is founded on a poisonous lie. Obama and his Attorney General are responsible for the operation of the criminal justice system on the federal side. Youd have a hard time understanding that from Obamas condemnation of the criminal justice system. Nevertheless, the racial disparities that Obama decries permeate the federal justice system as well as those of the states and for the same reasons. In her testimony to the Senate Judiciary Committee this past October, Heather Mac Donald made this elementary plea: [L]et me say that the committee would provide an enormous public service if it could rebut the myth that the criminal justice system is racist. The same point applies many times over to Obama, but he prefers to stand on the big lie for its many and varied uses. Nigerias Minister of Trade and Investment, Okechukwu Enelamah, is to lead a trade delegation from Nigeria for a four-day trade and investment road show in London, scheduled for July 11 to 15, 2016. The trade and investment road show, organised by the UK Trade & Investment, in collaboration with Nigeria Investment Promotion Commission (NIPC) and PricewaterhouseCoopers (PwC), will be attended by a group of officials from the Federal and State governments. They include the Minister of Transport, Rotimi Amaechi, and his counterparts in the Agriculture & Rural Development Ministry, Audu Ogbeh; Water Resources Minister, Suleiman Adamu as well as the Minister of State, Aviation, Hadi Sirika. The weeklong activity, which will open with an industry event tagged Nigeria Open for Business, will highlight business opportunities in the energy, agriculture, transport, solid minerals, ICT and infrastructure sectors to UK investors. Organisers of the event said the main objective would be to create a platform for the Nigerian government to profile business opportunities to a UK audience, build relationships with international businesses, link into global value chains and establish links to development finance with the view to developing stronger commercial ties between Nigeria and UK. The Nigeria Open for Business event would feature keynote speeches by Mr. Enelamah and the UK Trade Envoy to Nigeria, John Howell as well as presentations from key UK government agencies, namely the UK Export Finance, Infrastructure and Projects Authority and multipliers. UK Trade & Investment (UKTI) is the British government department that helps UK-based companies of all sizes to grow and become more profitable by exporting their products and services. UKTI also support all types of overseas businesses and business people to establish presence in the UK. APPOINTED: Kasimu Abdulkarim, a major general, was appointed General Officer Commanding(GOC), 2 Division of the Nigerian Army. He formally took over on Friday from Jude Egbudom, a Brig. Gen., who acted as GOC since June 22. Mr. Abdulkarim hails from Zuru in Kebbi State, and was Commandant of the Nigerian Army School of Infantry (NASI), Jaji, Kaduna State. He was commissioned into the Army on June 22, 1985, and was a member of the 32 Regular Course of the Nigerian Defence Academy. Maikanti Baru, was appointed Group Managing Director of the Nigerian National Petroleum Corporation(NNPC). His appointment came with President Muhammadu Buharis approval of the composition of a new board for the corporation. Mr. Baru replaced Ibe Kachikwu, the Minister of State for Petroleum Resources, who also retains the position of the Chairman of the board. Before his appointment as Group Managing Director of NNPC, Mr. Baru worked variously in both the Upstream and Downstream Sectors of the oil and gas industry. He attended Ahmadu Bello University, Zaria, where he obtained First Class (Honours) degree in Mechanical Engineering. He also holds a Doctor of Philosophy in Mechanical engineering. Until his appointment, he was the Special Adviser (Upstream) to the Minister of State on Petroleum. HONOURED: Maurice Iwu, Professor of pharmacognosy and former Chairman of the Independent National Electoral Commission(INEC), was on Saturday honoured by the Methodist Church, Owerri Diocese, as Distinguished Ambassadors of Christ. Dennis Mark, Diocesan Bishop of the Cathedral of Unity, Oweri, said Mr. Iwu was honoured for his commitment to the service of God and humanity. Besides serving as Chairman of INEC, Mr. Iwu served in various capacities, including in the World Health Organization as Visiting Scholar to Dyson Perrins Laboratory, University of Oxford in 1980. He was also a Fulbright Senior Scholar, Ohio State University and won the United States National Research International Prize for Ethnobiology in 1999. He was at a time the United Nations Lead Consultant for the development of Nigerias National Biodiversity Strategy and Action Plan. TRANSITED: Bukky Ajayi, veteran Nollywood actress, passed on on Wednesday, July 6 leaving her fans and family broken hearted. She was 82. The actress was said to have been ill for some time before her final passage. Her last public appearance was in March at the 2016 AMVCA event, where she received a Merit Award. On Wednesday, July 6, Umaru Shinkafi, a former federal commissioner and presidential aspirant passed on at a London hospital, after a brief illness. Mr. Shinkafi held the traditional title of Marafan Sokoto, even though he hailed from Zamfara State. He was Federal Commissioner of Internal Affairs and a presidential aspirant in the third Republic. He also had extensive career in military intelligence. Mr. Shinkafi is survived by three wives, and five children. One of his daughters is Zainab, wife of Governor Atiku Bagudu of Kebbi State, and another is Hadiza, the wife of Zamfara governor, AbdulAzeez Yari. All is set for Theresa May to succeed David Cameron as the next prime minister of Britain following the abrupt withdrawal of her only rival, Andrea Leadsom, from the race on Monday. Ms Leadsom, who was energy minister has come under widespread criticism after she suggested she was a better candidate to run the country because she was a mother. Ms May is childless. Outgoing Prime Minister, David Cameron, had resigned after British voters chose to leave European Union last month. Mr Cameron had campaigned for Britain to remain. Graham Brady, chairman of committee of Conservative lawmakers, said there was no need to rerun the election as Ms May was the only candidate to lead the party. None whatsoever, we have a single candidate, he said when asked if the contest to succeed Mr. Cameron needed to be reopened. The process is now that I, as the chairman of the 1922 Committee, and the board of the Conservative Party must formally confirm Mrs May is the new leader of the Conservative Party. Ms. Leadsom on Monday, while announcing her withdrawal from the race, said she would support Ms May. We now need a new prime minister in place as soon as possible, committed to fulfilling that manifesto as well as implementing the clear instructions from the referendum. Theresa May carries over 60 per cent of support in the parliamentary party. She is ideally placed to implement Brexit on the best possible terms for the British people and she has promised that she will do so. For me personally to have won the support of 84 of my colleagues last Thursday was a great expression of confidence for which I am incredibly grateful. Nevertheless, this is less than 25 per cent of the parliamentary party and after careful consideration I do not believe this is sufficient support to lead a strong and stable government should I win the leadership election. She added: I have however concluded that the interests of our country are best served by the immediate appointment of a strong and well-supported prime minister. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success, I assure her of my full support. The Presidency on Monday tackled the #BringBackOurGirls group for criticising President Muhammadu Buhari regarding the rescue of the girls abducted from Government Secondary School Chibok in April 2014. The BringBackOurGirls group had claimed in a recent statement that President Buhari had broken all promises made to the group about rescuing the missing Chibok girls and that the government had been lackadaisical in the rescue mission. But in a statement Monday, the Presidency said the claims by the group were dispiriting and capable of causing collateral damage. While their intentions are honourable, perhaps the BBOG leadership have not considered the collateral damage which must inevitably follow its riveting and dispiriting claim that the President has broken all promises allegedly made to the group on this issue. Or that he has been lackadaisical in rescuing the Chibok girls, Garba Shehu, the senior special assistant to the president on media and publicity, said in a statement. The Federal Governments focus has always been to free the Chibok girls alive and unharmed. The statement said while the group continued to campaign for the rescue of the girls, it should also note that the rescue of many other abducted citizens were also important. The group, the presidency said, should also lend a helping hand to military families who had so far lost family members in the insurgency. Mr. Shehu appealed to officials and members of the campaign group not to waver in their support for the government in the war against terrorism and in the ongoing effort to free all Nigerian citizens taken captive by the Boko Haram terrorist group. The statement added, The past year of this administration has seen the Nigerian military step up bombardment of the Sambisa Forest, a stronghold of the Boko Haram terrorist group, leading to the capture of hundreds of insurgents. Also, records from the Army show the rescue of no fewer than 15,000 captives, mostly women and children from the terrorists from February to date. In addition, dozens of communities that were previously under the control of the terrorists have been liberated, allowing thousands of previously displaced citizens to return home and resume their normal lives. Thousands of these women and children freed from captivity have also been reunited with their families. This huge number of rescued women and children may not be prominent names, and their disappearance may not have generated any massive media attention and campaign. However, their liberation is just as important as that of every other missing woman and child, and a testament to the devotion of our military personnel who daily risk life and limb in the effort to bring back every Nigerian citizen kidnapped by Boko Haram terrorists. Along with these ongoing efforts to rid our country of terrorists and terrorism, the Nigerian government is focused on the welfare and rehabilitation of rescued Nigerians, many of whom were found in a state of severe malnutrition and illness. The President is conscious always about the fact that terrorism in Nigeria is part of a global phenomenon. Since coming to office, his response to it has been firm and well considered. President Buhari will never make bogus promises or play to the gallery. It is misleading of anyone to preach that the Chibok girls are within an easy grasp. If the military under the President knew where they are held, they will bring the Chibok girls home today. The Presidency is open to renew cooperation with the BBOG group, international bodies, religious groups, social workers, and all others who are assisting in the field to ensure that those who have so far been rescued from Boko Haram captivity are given the support they need to make a full recovery and be reintegrated fully into society. Groups such as the BBOG can also lend a helping hand to military families whose losses need to be appreciated and focused upon. The life of every single Nigerian matters to this government and the security agencies, under the command of President Buhari, will continue to exert all its powers to ensure that every Nigerian is safe from the brutality of terrorists and terrorism. President Muhammadu Buhari on Monday appealed for peace in South Sudan amid a fresh outbreak of violence that has killed hundreds of people and threatens to push the country back to civil war. Heavy fighting involving tanks and helicopters raged in the country on Monday between troops loyal to President Salva Kiir and Riek Machar, the former rebel leader who became vice president as part of a deal that ended a two-year civil war, Reuters news agency reported. The latest round of violence began in the capital, Juba, last week, as the worlds newest nation prepared to mark five years of independence from Sudan. According to Reuters, the violence intensified on Monday. The news agency said a witness saw two helicopters overhead firing in the direction of Mr. Machars headquarters, while residents reported tanks on the street. Mr. Buhari made the appeal when he received the AU Envoy on the Peace Fund, Donald Kaberuka, at the presidential villa, Abuja, a statement by his spokesperson, Garba Shehu, said. The president said what was happening in South Sudan was extremely disturbing and a very dicey situation. He said the AU leadership had a crucial role in stabilising the country and other African countries on the brink. What we can do urgently to stabilise South Sudan is very important as African leaders meet in Kigali, Rwanda this month and later in September at the UN, Mr. Buhari said. The president pledged that Nigeria would continue to fulfill its financial obligations to the AU to ensure peace, stability and security on the continent. According to him, supporting peace operations, under the auspices of the AU in several countries affected by conflict remains a foreign policy priority for his administration. He assured the AU envoy that Nigeria would also play a central role in seeking the EU and the UN to strengthen their support for crisis-prone African countries despite competing priorities on security and the economic downturn in the country. In his remarks, Mr. Kaberuka said funding AU-led peace support operations which had remained a challenge for member-countries was further compounded early this year with the EU cut in its allocation to the AU Mission in Somalia by 20 per cent. According to him, the AU summit in Kigali is expected to agree on a roadmap of alternative financing for AU-led peace support operations, including a proposal for African nations to fund 25 per cent of the Funds budget while UN contributes the balance. The Nigerian Senate has promised to look into the crisis rocking the Obafemi Awolowo University over the appointment of a vice chancellor. This was in response to the demand for the institution to be opened for academic activities by its students via social media. The President of the Senate, Bukola Saraki, tweeted on Saturday through his personal Twitter Account, @BukolaSaraki, expressing the red chambers commitment to ensuring the crisis was resolved. The Nigerian Senate will look into this crisis during her next plenary session, he tweeted. Obafemi Awolowo University was shut following tension arising from controversies surrounding the appointment of Ayobami Salami, as vice chancellor for the institution. Non Academic Staff Union of Universities (NASU), and Senior Staff Association of Nigerian Universities (SANU), protested the appointment, saying due process was not followed. Reacting to the imbroglio, the federal government dissolved the universitys governing council and ordered Mr. Salami to vacate the office pending the decision of a Federal High Court in Osogbo on the matter. Students complained about the federal governments failure to constitute a new governing council, and the closing of the institution to academic activities. The students took to social media in large numbers, and led by the Association of Campus Journalists, demanded the reopening of the school. According to the students, the institution only completed one semester in 2016 while over 90 days had so far been spent at home. They argued that they were now lagging behind other schools, urging the management to pay the over 76 months allowances of the protesting workers. Meanwhile, the case for determination regarding the crisis at the Federal High Court Osogbo, was adjourned till October 5,2016, for further hearing. Two top officials of the Nigerian Prisons Service have been retired from service. The spokesperson for the prisons service, Francis Enobore, announced the retirements in a statement sent to PREMIUM TIMES on Monday. The statement said, Following the appointment of Jaafaru Ahmed as the Controller-General of the Nigerian Prisons Service, the Civil Defence, Fire, Immigration and Prisons Services Board ( CDFIPB), in an emergency meeting held on the 27th of June, 2016, approved the retirement of a Deputy Controller-General and an Assistant Controller-General of Prisons from the service. They are Aminu Suley, mni and Ali Bala Salami, mni respectively. The letter signed by the Secretary of the Board, Alh. A. A. Ibrahim dated 28th June, 2016 indicated that the decision was taken due to the officers seniority in service to the new Controller-General of Prisons and in consonance with military and paramilitary convention. While wishing them good luck in their future endeavours, the (CDFIPB) commended the officers for their contributions to the development of the Nigerian Prisons Service. The Federal High Court in Kano on Monday sentenced a 35-year old man, Dahiru Abdullahi, to 30 years imprisonment for gunrunning. Mr. Abdullahi was arraigned on a four-count charge of illegal possession and importation of arms and ammunition contrary to Section 319 and punishable under Section 27 of Firearms Act. The judge, J.K Omotosho, while delivering the judgment, said after a careful investigation of the case, he was convinced beyond reasonable doubt that he committed the offence. Mr. Abdullahi was arrested at Panbeguwa, Zaria, in Kaduna State in May 2015 by the operatives of the State Security Service in Kano, who had been trailing following intelligence report on him. The security agency swung into action when it received the report saying the convicted man was using an ash-coloured Ford Galaxy car to transport arms to Plateau State from Kwami in Niger Republic. Consequently, the operatives trailed him up to Panbeguwa where they apprehended him and brought him to Kano where upon searching his car recovered a concealed improvised compartment around the dashboard. Other items recovered from the car were three Makorob Pistols, live ammunitions, 18mms brand of Makorob Pistols, 999 7.5 by 54 Assault ammunition. The report further said that due to some security challenges Mr. Abdullahi could not be prosecuted until April when he was brought to court. A High Court of the Federal Capital Territory has adjourned hearing into the case of alleged forgery of the Senates Standing Rule levelled against the President of the Senate, Bukola Saraki, his deputy, Ike Ekweremadu, and two others. Justice Haliru Yusuf adjourned hearing in the case to September 28. Messrs Saraki, Ekweremadu, a former Clerk of the National Assembly, Salisu Maikasuwa and his deputy, Benedict Efeturi, were accused of illegally altering the Senates Standing Rule used in electing them into office in June 2015. They were arraigned before Justice Yusuf on June 27, but they pleaded not guilty to the charges against them. The court granted them bail shortly after, and ordered that they provide sureties with properties in Asokoro, Garki, Wuse and Maitama areas of Abuja. The trial was to continue on Monday, but the court registrar, Victor Ifeachor, told the court that the case had been adjourned till September 28 following the ongoing vacation of Federal Capital Territory High Court which began on Monday, July 11. All four accused persons were present at the court for the hearing but left almost immediately. Embattled former Minister of Aviation, Femi Fani-Kayode, on Monday said he had fulfilled all statutory requirements for his bail and was awaiting the Economic and Financial Crimes Commission to free him from detention. Mr. Fani-Kayode, who had been in detention since he was first arrested on May 9, 2016, in Abuja, spoke through his media adviser, Jude Ndukwe. Kindly be notified that Femi Fani-Kayode has met all the conditions for his bail and the relevant documents have been duly filed and submitted at the Federal High Court in Lagos, Mr. Ndukwe said in an email to PREMIUM TIMES. We are now waiting for the EFCC to verify the documents after which he would be released. Mr. Fani-Kayode is facing a 17-count charge for his alleged roles in the alleged misappropriation of up to N4.9 billion in public funds at the Federal High Court, Lagos. After weeks of being remanded in custody, Mr. Fani-Kayode was granted a N250 million bail on July 4, but he was still kept in custody pending the satisfaction of requirements for his bail. A former Minister of Finance, Nenadi Usman, and Danjuma Yusuf, who were charged alongside Mr. Fani-Kayode, were also accorded similar bail conditions by the presiding judge, Muslim Hassan. It is not immediately clear if the two had met their bail demands, too. Mr. Ndukwe said Mr. Fani-Kayode had remained undaunted by his detention, but added that he had missed his family, especially his five-month old son. Despite the fact that he has been locked up for the last 62 days, he remains confident, strong, healthy and in very high spirits, Mr. Ndukwe said. He misses his family very badly, especially his five-month old son, Aragon, and he looks forward to coming home to be with his family, friends and loved ones. The law allowed EFCC a 48-hour window upon which to verify documents tendered in bail applications. Wilson Uwujiaren, spokesman for the EFCC, did not immediate respond to PREMIUM TIMES repeated calls and text messages seeking comments for this story. The House of Representatives, will on Thursday meet with outgoing U.S. Ambassador to Nigeria, James Entwistle, over the sexual misconduct allegation against three legislators. Investigative public hearing on the scandal begins on Thursday and Mr. Entwistle is expected to provide video clips of the incident, officials said. The incident allegedly occurred during the International Visitor Leadership Programme in Cleveland, Ohio, U.S. held between April 7 and April 13, attended by 10 members of the lower chamber. The Chairman of House Committee on Ethics and Privileges, Rep. Nicholas Ossai (PDP-Delta), told the News Agency of Nigeria (NAN) in Abuja that the committee did not invite the management of the hotel where the alleged misconduct occurred. According to him, we decided not to extend invitation to the hotel management since the Ambassador who broke the information through a letter to the speaker has documentary evidence against the three lawmakers. The three lawmakers involved in the allegation are Mohammed Gololo (APC-Bauchi), Samuel Ikon (PDP-Akwa Ibom) and Mark Gbillah (APC-Benue). They allegedly solicited sex from prostitutes and grabbed hotel housekeeper in a bid to rape her. Mr. Ossai said the committee also invited Geoffrey Onyeama, Minister of Foreign Affairs and the Committee for the Defence of Human Rights (CDHR) and National Human Rights Commission. He said the committee did not carry out any secret investigation, and urged anyone with useful information to submit same to the committee on or before commencement of the hearing. The standard in the U.S. is that an accused is assumed innocent until proven guilty and that is the same standard in Nigeria. Anyone who has evidence can now see the committee, Mr. Ossai said. Mr. Dogara had last month expressed regrets over the trending report on the allegation against the three lawmakers, especially on social media. The Speaker was quoted by his Special Adviser on Media and Public Affairs, Turaki Hassan, as urging Nigerians to refrain from passing judgment and wait for the outcome of investigation by the parliament. Judgment can only be passed when an allegation is proven, he had said. Mr. Dogara also vowed that the house would investigate the allegation, saying that, together with the US Embassy in Nigeria, we will get to the bottom of this matter. He had pointed out that apart from the U.S. Ambassadors letter, no evidence had been forwarded to his office, and that there could be no conviction without a trial. He also indicated that the lawmakers had said that they were prepared to defend themselves at their own cost. NAN reports that the House Committee on Ethics and Privileges handling the investigation postponed the public hearing from Monday to Thursday. The postponement, according to Mr. Ossai, is to enable some members of the committee who travelled to perform their religious obligation in Mecca to return to Nigeria. (NAN) Foreign Affairs Minister, Geoffrey Onyeama, on Monday said Vice President Yemi Osinbajo will lead Nigerias delegation to the 27th Ordinary Session of the African Union (AU) from Saturday to July 18, in Kigali, Rwanda. Mr. Onyeama stated this at a pre-AU session news briefing in Abuja. He said the occasion would afford Nigeria the opportunity to showcase the successes recorded by the current administration. The minister said the summit would also provide opportunity for critical appraisal of the 20 billion dollar Nigeria-Algeria trans-Saharan gas pipeline project under the New Partnership for African Development (NEPAD). When completed, the trans-saharan project would transport about 30 billion cubic metres of natural gas from Warri through Niger Republic to Algeria, officials said. The pipeline would be operated by the Nigerian National Petroleum Corporation (NNPC) and Sonatrach of Algeria. Both parties will hold 90 per cent shares of equities of the project, while the National Oil Company of Niger Republic will hold 10 per cent equity According to Mr. Onyema, the Nigeria-Algeria gas pipeline is a major project of NEPAD the summit will give attention this year. He said the summit with African Year of Human Right as theme would focus on women, adding that Nigeria was expected to share experience on the efforts on women empowerment. Nigeria will be letting other African countries to know what we are doing to promote human rights, including steps taken to extend and uphold human right while dealing with tumour in the country. The occasion will permit Nigeria the opportunity to showcase the successes recorded by this current administration in the area of human rights enthronement. As part of measures at enthroning human rights in the country particularly in the North-East, the administration has taken steps to de-militarize the zone as well as investigating and punishing reported cases of abuse, he said. The minister added that the summit would also focus on the full integration of the continent through the establishment of Free Trade Area and the African Union passport. He described the adoption of AUs passport, which would be issued to Heads of States and Governments of the African Union at the summit as a major step towards trade liberalization in Africa. While noting that 10 per cent of trade in Africa was among African countries, he said with AU passport coming into operation, it would be easier for member-countries to do business with each other. Mr. Onyeama, however, stated that while the AU passport would allow freer movement of people in the continent, it would engender movement of arms to fuel violence. But, he said that with the conscious efforts of member-countries, particularly in intelligence gathering and stringent border policing, the challenge of arms proliferation could be easily curtailed. He emphasized that Africas integration was a win-win situation for all members, adding that Nigeria would benefit a lot from the situation as it would provide access to trade and a large market for the nation. The minister also said Nigeria might not field any candidate for vacant positions in the AU during the meeting. According to him, Nigeria had initially planned to sponsor candidate for the peace and security commission currently occupied by Algeria. He explained that the country withdrew interest in the position following Algerias decision to retain the office. (NAN) Some Nigerian lawyers worked extensively with the infamous Panamanian law firm, Mossack Fonseca, helping the company to incorporate shell entities and sell secrecy in Nigeria, PREMIUM TIMES can authoritatively report today. The lawyers this newspaper has so far identified from the huge #PanamaPapers database were those from the law firms of Olaniwun Ajayi Law Practitioners (OALP) and Adepetun Caxton-Martins Agbor & Segun. The companies are however making spirited efforts to deny links with the Panamanian law firm. But PREMIUM TIMES has established firmly that they indeed bought services from the law firm. Olaniwun Ajayi Law Practitioners PREMIUM TIMES had on April 5, 2016, published a comprehensive list of 110 Nigerians, including a Lagos-based law firm, Olaniwun Ajayi Law Practitioners, OALP, as well as a former employee of the company, Yemi Oke, who had at one time or the other engaged the services of Mossack Fonseca, a law firm that attained global infamy for helping clients create and operate offshore shell companies in tax havens like Seychelles, British Virgin Island and Panama amongst others. Although the use of shell companies is not illegal and there are individuals and firms who incorporate them for purely legitimate purposes; yet, many who operate shell companies do so to evade tax, hide Ponzi schemes, run predatory lending scams and myriad illicit finance. After the report, Mr. Oke, who is now Head of the Department of Jurisprudence and International Law at the University of Lagos, contacted this newspaper denying dealings with Mossack Fonseca and threatening real legal and other battles. In an email to PREMIUM TIMES, Mr. Oke denied transactions over any ocean vessel just as he denied contacts with any law firm in Panama. Even when he was informed that some professional and family details found in the leaked #PanamaPapers database matched his, he insisted that he had never dealt with the Panamanian law firm. I wish to again confirm that I left Olaniwun Ajayi (OALP) in 2007, and while at Olaniwun Ajayi, I never heard of, or had any dealings with a Vessel called AMS TITAN on August 01, 2007 or with Mossack Fonseca, Mr. Oke said in the mail. Ive also copied this to my former employers (OALP) prior to the real legal and other battles. My former employers have denied any dealings with the firm (Mossack Fonseca), the embittered lawyer added. In an email reply to Mr. Oke, forwarded to PREMIUM TIMES, the Nigerian law firm, OALP, also denied any relationship with Mossack Fonseca. Its truly a terrible thing to have OALP mentioned. Certainly also you. We have no client in Panama and do no business there. We have never dealt with the firm you mentioned. No one here did or could have while you were here or any time after you left used your email. That would have been unprofessional and criminal. As a matter of standard procedure email accounts of those who resign are deleted and deactivated. Please sue or deal with the publishers as you deem fit. I agree its no trifling matter, Konyinsola Ajayi of OALP said. But information contained in the leaked Mossack Fonseca file shows that Mr. Oke, as client on record, requested Mossack Fonseca to register a Vessel, AMS TITAN, on August 01, 2007. He even indicated that his family was based in Canada and that he was a legal practitioner educated up to the PhD level. Among other fact-checks, PREMIUM TIMES verified the Nigerian phone number supplied to Mossack Fonseca and found it was still assigned to Mr. Oke. This newspaper has access to the full database, containing millions of documents now referred to as Panama Papers, and has pored through it for months, carrying out due diligence before the publication of every related story, including the one that mentioned Yemi Oke and OALP. Between 2007 and 2008, OALP, and particularly Mr. Oke,, acted as intermediary professional client between Mossack Fonsecca and Christopher Akhigbe-Mide, President of Project Masters International Ltd., to procure provisional patent and registration from Panama Maritime Authority for a vessel AMS Titan. On Wednesday, July 25, 2007, precisely at 1.58 PM, an email was sent to Mossack Fonseca from a company email account (yoke@olniwunajayi.net) assigned to Yemi Oke. The subject of the email, which at the time was officially copied to seven people, five of which appeared to be staff members of OALP with @olniwunajayi.net email address, was Request for Quotes for Legal Services. The email sent from Mr. Okes account appeared to be the very first correspondence to Mossack Fonseca. It reads: We are a law firm based in Lagos, Nigeria. Our client desires to advance funding facilities for the purchase of certain vessel. The intended vessel will to be registered in, and to fly the flag of, the Republic of Panama. Your firm has been suggested as one of the leading firms in Panama with suitable competence and standing in transactions of this nature. We hereby, acting for and on behalf of our clients, request for billing quotes for the following legal services and/or documentation, the introductory paragraphs of the email said. The legal services sought from Mossack Fonseca by the Nigerians included the Registration and/or collection of all necessary approvals for the registration of a Vessel in Panama; Documentation and/or creation of Special Purpose Vehicle (SPV) to hold the vessel in trust for the Nigerian lender-bank; (and) Requirements and documentation for the appointment of a foreign trusteeship to hold in trust for 3 years the vessel on behalf on the Nigerian lender-bank. Other special services sought by the emails author were the Preparation and Registration of mortgage charge over vessels flying the flag of the Republic of Panama (and) such other documentation as may be required to protect the interest of the Nigerian lender-bank in respect of a vessel charged for its interest. The email ended thus: Please advise us on your financial quotes on the above items. Please revert as soon as possible with your quotes to enable us advise our clients accordingly. The email had the signature Yemi Oke (PhD.) with land address Olaniwun Ajayi, UBA House (Floor 4), 57 Marina, Lagos, Nigeria. On July 30, 2007 Mr. Oke received a mail from Egbert Wetherborne of Mossack Fonseca. Thank you very much for your interest in our services. My name is Egbert Wetherborne, and I am the lawyer in charge of your case. I have noticed that you are interested in two main things: Registering a vessel in Panama and Creating a Trust that protects the vessel and the interest of the Bank. Via his official email account, yoke@olaniwunajayi.net, Mr. Oke replied on August 1, 2007: Further to my email in response to yours, please be informed that I will be the client on record for the purposes of dealing with you on this instruction. My nationality in Nigeria/Canada. Im married and my family is based in Toronto, Ontario Canada. Im a legal practitioner and educated up to the PhD level. Mr. Wetherborne then requested Mr. Oke to send across some documents as part of Mossac Fonsecas KYC (Know Your Clients) requirements. Olaniwun Ajayi sent the requested documents, and on November 29, 2007, Sandra Cornego of Mossack Fonseca wrote Mr. Oke confirming safe receipt of your bank reference letter issued by Guaranty Trust Bank and your professional reference issued by A.A. Eromosele & Co., certified copy of Mr. Oluseye Opasanya passport and a copy of Nigerian Telecommunications Limited statement. Assuring OALP that Mossack Fonseca was the right firm for the job, the Panama lawyers wrote in one of the correspondences: In regard to the creation of a Trust to protect the banks interests, there are many options that we have. I am more inclined to the option were we incorporate a company from the British Virgin Island (BVI), that will own the vessel, and thereafter, we create a Vista Trust (A type of BVI Trust, specially for shares of BVI companies). Mossack Fonseca would provide the Trustee Services, plus the registered agent services for the BVI Company. In the course of correspondence between OALP and Mossack Fonseca, a telephone appointment was fixed. On Wednesday August 1, 2007, Oluwadamilola Osonubi, a staff member of OALP, emailed Egbert Wetherborne saying: I am working with Yemi Oke on the captioned transaction. Further to his mail below, please be informed that we experienced some difficulty getting through to you by telephone. We are also unsure of the time zone in Panama. Kindly communicate your telephone numbers to us, while also informing us about the current time in Panama and a good time to call you. Please note that it is presently 8p.m. here in Nigeria. Best regards. Mr. Wetherbone reverted, copying among others, Kemi Oladipo, another staff member of OALP on koladipo@olaniwunajayi.net. The mail reads: Dear Oluwadamilola: It is currently 2p.m. in Panama. So this means we are 6 hours behind. A good time to call me is10a.m. Panama time, (4p.m. Nigerian Time). My telephone numbers are: + (507) 2055888 or + (507) 2642322 The relationship between OALP and Mossack Fonseca culminated, in December 2008, in Mr. Akhigbe-Mide granting Mossack Fonseca a full and sufficient power of attorney to apply to the proper National Bureau and Authorities for the obtainment of the enrollment of any licenses that may be needed and any other administrative proceedings pertaining to the vessel AMS TITAN Interestingly the power of attorney was notarized by Konyinsola Ajayi on December 11, 2008, and by Babington Hooke, a London-based notary public, on December 29, 2008. The same Koyinsola Ajayi denied ever dealing with Mossac Fonseca. Alarmed by the listing of his name in our earlier report, Mr. Oke had sent a mail to his former boss, Konyinsola Ajayi, saying: I was informed that my OA (OALP) email was used long after my resignation, to send several emails in respect of the transactions. My my personal details such as residence of my family (then in Canada) and the fact of my dual status, my (hot) telephone line among others are also reflected Before taking any step against the publishers and others I need to be sure there is no mixed-up somewhere as Im listed against Olaniun Ajayi, the email from Yemi Oke reads. The former OALP employee continued: I just wanted to be sure and clarify things from OAs end before taking appropriate action. I immediately alerted Wolemi of this but he seemed unmindful of the gravity of the damage done to my person and the social and security risks to me and my family to be linked with certain powerful moneybags. Im just reaching out to clarify a few things and to avoid creating any wrong notion as to my respect for AO as an institution. On Sunday, May 8, 2016, Mr. Ajayi sent a reply to Mr. Oke, once again standing by his earlier claim that his firm had had no dealings with Mossack Fonseca and that Mr. Okes email account was never used by anyone after his resignation. No need to worry. Do what you need to do to fully protect yourself. Best Regards, Konyin Ajayi, the former boss wrote. However, when PREMIUM TIMES contacted Konyinsola Ajayi, who himself notarized the power of attorney sent to Mossack Fonseca, his position on the matter became somewhat ambiguous. Through SMS and electronic mail, PREMIUM TIMES told Mr. Ajayi it had records contradicting his claims of having never dealt with Mossack Fonseca. To that Konyinsola Ajayi said: As a Journalist, Im sure you will do all required of you, he replied. If you have records there is nothing to be done to change facts. In the face of serial denials by Konyinsola Ajayi, another smoking gun was found in documents in the possession of PREMIUM TIMES. On March 16, 2009, Damilola Akinwunmi, another OALP employee, via email account, DAkinwumi@olaniwunajayi.net, wrote to Isabel Vecchio, a Mossack Fonseca lawyer, Further to your mail below, we have searched our records and can confirm that our Client (Stanbic IBTC Bank Plc) has already made payment for the registration of the Mortgage Deed over Vessel AMS Titan as well as the opinion required to be issued by your firm Kindly confirm the status of payment from your files and from Egbert Wetherborne, as he was handling this transaction on behalf of your firm at this time we hope the required opinion can be issued as soon as possible, to enable our Client close this transaction, Akinwunmi, of OALP, wrote. Indeed, another evidence shows that OALP actually used the services of Mossack Fonseca more than once. On 29 September 2009, Kemi Oladipo via email account KOladipo@olaniwunajayi.net addressed a mail to the two Mossack Fonsecas lawyers, Mr. Wetherbourne and Isabel Vecchio, saying: We thank you for your kind and professional legal assistance in the Project Masters transaction. Please be informed that we require your legal assistance once again in connection with another vessel carrying a Panamanian flag. One of our clients desires to enter into a lease with an option to purchase an accommodation barge with an American Company. To this end, it is imperative that our client obtains evidence of the nature of title the American Company has over the barge. Also our client needs to ensure that good title can also be passed on to them (free from any future or present encumbrance) upon the purchase of the barge. Lastly, as our client also seeks to register the barge in Nigeria, we may need your assistance to deregister the barge at the Panamanian Registry. Please let us know also what this would entail, the email from the Nigerian law firm reads. Like Olaniwun Ajayi, like Adepetun Caxton-Martins Agbor & Segun (ACAS-Law) Afolabi Caxton-Martins, Managing Partner of ACAS-Law, also contacted PREMIUM TIMES protesting his inclusion in the list of the Nigerian clients of Mossack Fonseca. We refute, categorically, that I or any of my partners or ACAS-Law owns a bank account or any type of asset, directly or indirectly in Panama, Mr. Caxton-Martins wrote in an email to this newspaper. Given the sensitive nature of our work, (our clients expect to be served by persons of the highest ethical standards and integrity), being falsely linked with the Panama scandal is bound to raise red flags and serious questions about our partners and firm. We have already received numerous calls from concerned friends and associates. I urge you to urgently look into this matter as soon as possible. PREMIUM TIMES Managing Editor, Musikilu Mojeed, responded, Thank you very much for contacting us over the inclusion of your name among clients of Panamanian law firm, Mossack Fonseca. According to information contained in the leaked file, your law firm, Adepetun Caxton-Martins Agbor & Segun, became a professional client/intermediary of Mossack Fonseca on June 5, 2008. Your client number is 25019. You (Mr. Caxton-Martins) are named as the main contact person for your firm. Please note that the #PanamaPapers search platform you referred to is not comprehensive. PREMIUM TIMES has access to the full database, containing millions of documents, and has pored through it for months. I hope my explanation addresses your concerns. But Mr. Caxton-Martins wrote back saying, Thank you for your email which helped to clarify a few issues. We now understand that according to Premium Times, ACAS-Law became a client and/or an intermediary of Mossak Fonseeca (MF) on June 5 2008 and that I am cited as the primary contact. This is a very different position from the one taken by your original publication which classified our firm as one of those persons that hold assets in Panama. Following your email in which you highlighted the date on which our firm allegedly became a client of MF, we conducted a search of our email database which shed some light on your allegations. We assume that your information was obtained from the database containing ..millions of documents.. referenced in your email. Please see below an email I received from the Intellectual Property (IP) department of MF following an IP conference that I attended in Germany. The date of the email is the same date you quoted as the date our firm became a client of MF. If that email was the source for your story, and there is a strong inference that is the case, do you still believe our inclusion in your list was justified? Please see the said email below. I repeat, categorically, neither ACAS-Law nor I have now, nor have we ever owned assets in Panama. Therefore, if the said email is the basis for our inclusion in the Premium Times list, we expect that you will be good enough to apologize for the error and make an immediate retraction. We look forward to hearing from you. Mr. Caxton-Martins indeed heard back from PREMIUM TIMES. Managing Editor Mojeed wrote back to him saying, I apologise for the delay in responding to your email. I have been travelling extensively in the past days, with limited access to email. I like to assure you that we did not list your firm based on the email you forwarded. You are clearly identified in documents among customers created in 2005. Besides, your firm, ACAS-Law, is the legal representative for offshore firm, Koggi Shipping. And you indeed dealt with Mossack Fonseca on behalf of the company in case number 1607374. I suggest you check through your records again. This newspaper is yet to hear back from Mr. Caxton-Martins and his law firm. DOWNLOAD Documents used for this story below Document 1 Document 2 Document 3 Document 4 Document 5 For the New World Order, a world government is just the beginning. Once in place they can engage their plan to exterminate 80% of the world's population, while enabling the "elites" to live forever with the aid of advanced technology. For the first time, crusading filmmaker ALEX JONES reveals their secret plan for humanity's extermination: Operation ENDGAME. Jones chronicles the history of the global elite's bloody rise to power and reveals how they have funded dictators and financed the bloodiest warscreating order out of chaos to pave the way for the first true world empire. Watch as Jones and his team track the elusive Bilderberg Group to Ottawa and Istanbul to document their secret summits, allowing you to witness global kingpins setting the world's agenda and instigating World War III. to Ottawa and Istanbul to document their secret summits, allowing you to witness global kingpins setting the world's agenda and instigating World War III. Learn about the formation of the North America transportation control grid, which will end U.S. sovereignty forever. Discover how the practitioners of the pseudo-science eugenics have taken control of governments worldwide as a means to carry out depopulation. View the progress of the coming collapse of the United States and the formation of the North American Union. Never before has a documentary assembled all the pieces of the globalists' dark agenda. Endgame's compelling look at past atrocities committed by those attempting to steer the future delivers information that the controlling media has meticulously censored for over 60 years. It fully reveals the elite's program to dominate the earth and carry out the wicked plan in all of human history. Endgame is not conspiracy theory, it is documented fact in the elite's own words. VALLEY COTTAGE, New York, July 11, 2016 /PRNewswire/ -- The global ceramic tiles market was valued at US$ 78.7 Bn in 2015, and is expected to grow at a year-over-year rate of 9.2% to reach US$ 86.0 Bn in 2016. Asia and Europe are the two major markets for ceramic tiles, collectively accounting for 80.6% share of the global market in 2015. Asia Pacific (APAC) is the largest market globally, both in terms of production and consumption. Demand for ceramic tiles is primarily driven by rising construction activities, economic reforms in developing countries, rapid urbanisation, growing per capita income, population expansion and higher disposable income especially in emerging economies such as China, Brazil and India. However, factors such environmental restrictions and stringent government regulations pertaining to excessive emission of CO2 during ceramic tiles production can hinder the growth of the market. Request a Sample Report with TOC: http://www.futuremarketinsights.com/reports/sample/rep-gb-1669 By application, residential replacement is the largest segment owing to its myriad uses, such as substitutes for marble, paint and other similar products. The residential replacement segment is anticipated to increase at a year-over-year rate of 9.5% in 2016 to reach US$ 85.9 Bn in revenues. On the basis of type, ceramic floor tiles is the largest segment, accounting for US$ 33.4 Bn worth of demand in 2015. This segment is anticipated to increase at a year-over-year growth of 9.5% to reach US$ 36.6 Bn in revenues in 2016. Free Analysis: http://www.futuremarketinsights.com/reports/ceramic-tiles-market On the basis of regional segmentation the global ceramic tiles market is segmented into Asia Pacific, Europe, North America & Latin America and rest of world (ROW). In recent years, the global ceramic tiles landscape is witnessing increased production offerings and capacity expansion by various market participants, including China Ceramics, Ceramica Saloni, RAK Ceramics, Porcelanosa Grupo, Mohawk Industries and Kajaria Ceramics. The revival of the global economy has been bolstered the global housing sector, which in turn, has led to growth in the global ceramic tiles market. Speak with Analyst for any report related queries: http://www.futuremarketinsights.com/askus/rep-gb-1669 Long-term Outlook: The global ceramic tiles market is anticipated to increase at a CAGR of 9.3% during the forecast period to reach US$ 112.3 Bn in revenues by 2026 end. Asia Pacific will remain the most lucrative region during the forecast period, whereas residential replacement application segment will continue to account for the highest demand. FMI Latest Insights: Geocells Market: http://www.futuremarketinsights.com/reports/geocells-market http://www.futuremarketinsights.com/reports/geocells-market Technical Textile Market: http://www.futuremarketinsights.com/reports/technical-textiles-market http://www.futuremarketinsights.com/reports/technical-textiles-market Biostimulants Market: http://www.futuremarketinsights.com/reports/biostimulants-market About Us Future Market Insights (FMI) is a leading market intelligence and consulting firm. We deliver syndicated research reports, custom research reports and consulting services which are personalized in nature. FMI delivers a complete packaged solution, which combines current market intelligence, statistical anecdotes, technology inputs, valuable growth insights and an aerial view of the competitive framework and future market trends. Contact Us 616 Corporate Way, Suite 2-9018, Valley Cottage, NY 10989, United States T: +1-347-918-3531 F: +1-845-579-5705 T (UK): + 44 (0) 20 7692 8790 Sales: sales@futuremarketinsights.com Website: http://www.futuremarketinsights.com Press Release: http://www.futuremarketinsights.com/press-release SOURCE Future Market Insights PUNE, India, July 11, 2016 /PRNewswire/ -- The report "Cold Storage Construction Market by Storage Type (Production Stores, Bulk Stores, Ports), Application (Food & Beverages, Medical Products, Chemicals), and Region (Asia-Pacific, North America, Europe) - Global Forecasts to 2021", published by MarketsandMarkets, The global market is projected to reach USD 10.47 Billion by 2021, at a CAGR of 14.0% from 2016 to 2021. (Photo: http://photos.prnewswire.com/prnh/20160303/792302 ) Browse 111 market data Tables and 54 Figures spread through 179 Pages and in-depth TOC on "Cold Storage Construction Market ". http://www.marketsandmarkets.com/Market-Reports/cold-storage-construction-market-85189651.html Early buyers will receive 10% customization on this report. Growth in the trade of perishable foods globally, technological advancements in refrigerated storage & transport, and the increase in demand for perishable foods is expected to drive the growth of the market in the near future. Food & Beverages was the fastest-growing application segment of the global cold storage construction market The food & beverages segment of the cold storage construction market is expected to witness significant growth in the coming years. Increasing food wastage worldwide is motivating the government of countries worldwide and they are providing subsidies to food producers to utilize cold stores to avoid such a scenario. Production stores was the largest segment of the cold storage market Based on type, the Cold Storage Construction Market has been segmented into production stores, bulk stores, ports, and others. Production stores were the largest segment of the market in 2015. Production stores are used to keep raw material, semi-finished, and finished products in the cold stores. It is intended for cold processing and the storage of chilled or frozen food products. Production stores are usually constructed near areas where products are manufactured. Make an Inquiry @ http://www.marketsandmarkets.com/Enquiry_Before_Buying.asp?id=85189651 Asia-Pacific was the largest market for global cold storage construction market in 2015, globally Asia-Pacific was the largest market for cold storage construction in 2015, owing to the increasing demand of cold storage construction in developing economies such as India and China. Companies in this region are investing to develop and operate innovative and cost-competitive cold storage constructions. India, China, and Japan are the region's top cold storage construction players. Key players operational in the cold storage construction market include AmeriCold Logistics (U.S.), Proffered Freezer Services (U.S.), Burris Logistics (U.S.), Lineage Logistics Holding LLC (U.S.) and Nichirei Logistics Group, Inc. (Japan), among others. Browse Related Reports: Refrigerant Market, by Type (Fluorocarbon, Inorganics, and Hydrocarbons), and by Application (Domestic, Commercial, Transportation, Industrial, Stationary Air Conditioning, Chillers, and Mobile Air Conditioning) - Global Trends & Forecasts to 2020 http://www.marketsandmarkets.com/Market-Reports/refrigerant-market-1082.html Chillers Market, by Type (Screw, Scroll, Centrifugal, Absorption, and Reciprocating), by End-Use Industry (Plastics, Chemicals & Petrochemicals, Medical & Pharmaceutical, Rubber, Food & Beverage, and Others), and by Region - Global Forecast to 2021 http://www.marketsandmarkets.com/Market-Reports/chiller-market-149638848.html Know More About our Knowledge Store @ http://www.marketsandmarkets.com/Knowledgestore.asp About MarketsandMarkets MarketsandMarkets is the world's No. 2 firm in terms of annually published premium market research reports. Serving 1700 global fortune enterprises with more than 1200 premium studies in a year, M&M is catering to a multitude of clients across 8 different industrial verticals. We specialize in consulting assignments and business research across high growth markets, cutting edge technologies and newer applications. Our 850 fulltime analyst and SMEs at MarketsandMarkets are tracking global high growth markets following the "Growth Engagement Model - GEM". The GEM aims at proactive collaboration with the clients to identify new opportunities, identify most important customers, write "Attack, avoid and defend" strategies, identify sources of incremental revenues for both the company and its competitors. M&M's flagship competitive intelligence and market research platform, "RT" connects over 200,000 markets and entire value chains for deeper understanding of the unmet insights along with market sizing and forecasts of niche markets. The new included chapters on Methodology and Benchmarking presented with high quality analytical infographics in our reports gives complete visibility of how the numbers have been arrived and defend the accuracy of the numbers. We at MarketsandMarkets are inspired to help our clients grow by providing apt business insight with our huge market intelligence repository. Contact: Mr. Rohan Markets and Markets UNIT no 802, Tower no. 7, SEZ Magarpatta city, Hadapsar Pune, Maharashtra 411013, India Tel: +1-888-600-6441 Email: sales@marketsandmarkets.com Visit MarketsandMarkets Blog @ http://www.marketsandmarketsblog.com/market-reports/chemical Connect with us on LinkedIn @ http://www.linkedin.com/company/marketsandmarkets SOURCE MarketsandMarkets NEW YORK, July 11, 2016 /PRNewswire/ -- Expanding Commercial Vehicle Fleet and Production to Boost Sales of Commercial Vehicle Tire Through 2021 According to recently published TechSci Research report, "Global Commercial Vehicle Tire Market By Vehicle Type, By Demand Category, By Region, Competition Forecast & Opportunities, 2021", the global market for commercial vehicle tires is projected to cross $ 117 billion by 2021. Construction and logistics sectors are the major demand generators of commercial vehicles across the globe. With the global logistics industry witnessing an upward trend and having already crossed $ 4 trillion, and the global construction industry projected to register revenues of $ 10 trillion by 2021, demand for commercial vehicle tires is expected to increase over the next five years. (Logo: http://photos.prnewswire.com/prnh/20140117/663730 ) Browse 117 market data Tables and 191 Figures spread through 445 Pages and an in-depth TOC on "Global Commercial Vehicle Tire Market" https://www.techsciresearch.com/report/global-commercial-vehicle-tire-market-by-vehicle-type-lcv-and-m-hcv-by-demand-category-oem-vs-replacement-by-region-apac-americas-europe-cis-and-mea-competition-forecast-opportunities-2021/662.html Light Commercial Vehicle (LCV) segment accounted for the largest share in the global commercial vehicle tire market in 2015, and the segment is anticipated to maintain its dominance over the next five years as well, owing to expanding demand for transportation services coupled with booming global e-commerce industry. In 2015, Asia-Pacific dominated the global commercial vehicle tire market, followed by North America, Europe & CIS Countries, Middle East & Africa and South America. With the launch of various infrastructure projects by various governments in the APAC region, demand for commercial vehicle tires is anticipated to increase at a robust pace during 2016-2021. Download Sample Report @ https://www.techsciresearch.com/sample-report.aspx?cid=662 Customers can also request for 10% free customization on this report. "Over the last five years, Chinese tires have become highly popular across various countries. A similar trend has been witnessed in the commercial vehicle tire industry, wherein, various Chinese companies such as Hangzhou Zhongce Rubber, Triangle Tire, Shandong Linglong Tire, etc., have been able to capitalize on the growing opportunities in the global commercial vehicle tire market. Increasing availability of Chinese commercial vehicle tires at relatively lower prices compared to premium flagship tire brands, is one of the primary reasons for rising demand for Chinese commercial vehicle tires in the global commercial vehicle tire market.", said Mr. Karan Chechi, Research Director with TechSci Research, a research based global management consulting firm. "Global Commercial Vehicle Tire Market By Vehicle Type, By Demand Category, By Region, Competition Forecast & Opportunities, 2021" has evaluated the future growth potential of commercial vehicle tire market and provides statistics and information on market structure, policies and regulations in the global commercial vehicle tire market. The report is intended to provide cutting-edge market intelligence and help decision makers take sound investment evaluation. Besides, the report also identifies and analyzes emerging trends along with essential drivers, challenges and opportunities available in global commercial vehicle tire market. Browse Related Reports Global OTR Tire Market, By Vehicle Type (Mining, Construction and Industrial Equipment, Agriculture Vehicles, and Others), By Demand Category (OEM Vs. Replacement), By Region, Competition Forecast and Opportunities, 2011 - 2021 http://www.techsciresearch.com/report/global-otr-tire-market-by-vehicle-type-mining-construction-and-industrial-equipment-agriculture-vehicles-and-others-by-demand-category-oem-vs-replacement-by-region-competition-forecast-and-opportunities-2011-2021/637.html Australia Tire Market Forecast & Opportunities, 2021 https://www.techsciresearch.com/report/australia-tire-market-forecast-opportunities-2021/701.html Iran Tire Market Forecast and Opportunities, 2021 https://www.techsciresearch.com/report/iran-tire-market-forecast-and-opportunities-2021/705.html India Tire Market Forecast & Opportunities, 2021 https://www.techsciresearch.com/search.aspx?freetxt=tire&Search=Search Global Tire Chemicals Market By Type (Natural Rubber, Synthetic Rubber, Carbon Black, etc.), By Tire Category (Passenger Car, Bus and Truck etc.), By Region, Competition Market Forecast & Opportunities, 2011-2021 https://www.techsciresearch.com/report/global-tire-chemicals-market-by-type-natural-rubber-synthetic-rubber-carbon-black-etc-by-tire-category-passenger-car-bus-and-truck-etc-by-region-competition-market-forecast-opportunities-2011-2021/682.html About TechSci Research TechSci Research is a leading global market research firm publishing premium market research reports. Serving 700 global clients with more than 600 premium market research studies, TechSci Research is serving clients across 11 different industrial verticals. TechSci Research specializes in research based consulting assignments in high growth and emerging markets, leading technologies and niche applications. Our workforce of more than 100 fulltime Analysts and Consultants employing innovative research solutions and tracking global and country specific high growth markets helps TechSci clients to lead rather than follow market trends. Contact Mr. Ken Mathews 708 Third Avenue, Manhattan, NY, New York - 10017 Tel: +1-646-360-1656 Email: sales@techsciresearch.com Connect with us on Twitter - https://twitter.com/TechSciResearch Connect with us on LinkedIn - https://www.linkedin.com/company/techsci-research SOURCE TechSci Research BERLIN and TOKYO, July 11, 2016 /PRNewswire/ -- ATS Advanced Telematic Systems, a German automotive-focused software company specializing in open source and open standards based software solutions for the mobility industry, will present its OTA solution, OTA Plus, at the Automotive Linux Summit, 13 and 14 July, in Tokyo. OTA Plus is a completely secure suite for over-the-air software updating, including management of package and campaign creation, data transfer and traffic shaping, dependency tracking and resolution, security, and installation and validation of packages. All of the most important components are open source, with a fully transparent development process. The development of OTA Plus began as a collaboration with Automotive Grade Linux (AGL) and the GENIVI Alliance, a non-profit industry alliance of leading automotive OEMs and suppliers which is committed to driving the broad adoption of specified, open source, In-Vehicle Infotainment (IVI) software. ATS has continued development while remaining 100% compatible with the GENIVI and the AGL Reference Platform, adding commercial features and continuing to advocate for quality, openness, and auditable security in automotive software. "We have been working with AGL members to research possible OTA approaches for the AGL Reference Platform, and develop a proof of concept demonstration of updates based on GENIVI's SOTA server technology," says Arthur Taylor, CTO of ATS. "We are very pleased with the support we have received from the AGL community, and see the alignment on open source OTA technologies as a great example of cooperation between GENIVI and AGL." Taylor will address the summit with a talk on "Open Source Secure Software Updates for Linux-Based IVI Systems" on 13 July, 11 am, at Sakura room. Presentation of ATS technology showcase during Automotive Linux Summit To arrange an individual demo at the ATS stand, please contact ATS Advanced Telematic Systems Tokyo office: Hideo Yamashita (h.yamashita@advancedtelematic.com). About ATS Advanced Telematic Systems ATS Advanced Telematic Systems is a German automotive-focused software company specializing in open source and open standards based software solutions for the mobility industry. ATS developed OTA Plus, the only open source client/server solution for over-the-air software updates for OEMs and Tier1s. Headquartered in Berlin, ATS operates a regional hub in Tokyo. http://www.advancedtelematic.com Press images for publication: http://www.advancedtelematic.com/#press Press Contact: Eric Eitel Phone: +49-175-1670891 Email: eric@advancedtelematic.com SOURCE ATS Advanced Telematic Systems AMSTERDAM, July 11, 2016 /PRNewswire/ -- Europeans for Fair Competition (E4FC), a broad-based coalition of labour unions, consumers, and companies, concerned about "fair and equal" competition in European markets, commends the recent mandates granted to the Commission by the Transport Council of the European Union to negotiate comprehensive aviation Agreements with specific third countries including the United Arab Emirates (UAE) and State of Qatar. This is much needed, since air transport liberalization has thus far been taking place on a bilateral basis. We particularly welcome these mandates as we trust such negotiations and Agreements have the potential to stop protectionist actions and require state-owned and subsidised carriers to compete on a commercial basis as private investors would. The more than 39 billion (between 2004-2014 alone) in government subsidies and benefits injected into the state-owned airlines of EU third country partners, Qatar and the United Arab Emirates, have been used to develop services and massive capacity between Europe and Asia via their hubs and as well as engage in a number of strategic investments in European airlines to feed their own operations, capture, and shift market access. As such, immediate action is necessary to ensure a level playing field for EU commercial aviation and we are calling on the General Directorate of Transport to exercise its explicit oversight authority over all airlines competing in EU markets and establish rules that uphold and enforce our market standards and fair competition. It is vital that the EU continues to pursue a comprehensive policy that strengthens the accessibility of European hubs to safeguard connectivity and consumer choice long term. Without these policies, such accessibility and connectivity would soon be a matter for state-sponsored/owned non-EU- carriers to provide at their discretion and interest. Therefore, E4FC asks the Commission to ensure that the voices of all relevant stakeholders are heard all along the negotiating process, and that the aviation industry is invited to take part in ad hoc coordination meetings that will be organised between the Commission and the Member States (in addition to the usual and much appreciated institutional dialogue already in place within the Consultative Forum). European airlines and their employees can compete with any airline, however, it is an entirely different matter for them to compete with Middle Eastern governments that heavily subsidise their state-owned airlines in violation of international agreements and to the detriment of the European economy and European workers. Europeans for Fair Competition (E4FC) is a coalition of concerned Europeans who have unified across EU member nation boundaries and labor-management lines [see http://e4fc.eu/e4fc-supporters] with the goal of establishing a level playing field with countries that subsidise their airlines in violation of European air service agreements, notably the United Arab Emirates and the State of Qatar. To learn more and take action visit: http://e4fc.eu/ Like us on Facebook: http://www.facebook.com/faircompetitionEU Follow us on Twitter: @CompetitionEU Contact: press@e4fc.eu Related Links http://e4fc.eu SOURCE Europeans for Fair Competition HARTFORD, Connecticut, July 11, 2016 /PRNewswire/ -- -International Aerospace Engineering Group Continues Polish Growth Journey With Investment in Specialist Coating & Surface Treatment Technologies- International aerospace engineering group MB Aerospace has successfully completed the acquisition of Vac Aero (Poland) from its Canadian parent company Vac Aero International. (Photo: http://photos.prnewswire.com/prnh/20160710/388100 ) (Photo: http://photos.prnewswire.com/prnh/20160710/388101 ) The business, which employs over 100 employees at two sites in Kalisz (central Poland) and Rzeszow (south-east Poland), specializes in providing protective and performance enhancing coatings for aero-engine and industrial gas turbine components. These OEM licensed and proprietary coatings provide wear, corrosion and heat resistance properties across performance critical compressor, combustor and turbine components. Since the company was founded in 2003, it has developed an extensive range of special process capabilities including heat treatment and vacuum brazing, vacuum carburizing, air plasma spray (APS) and high-velocity oxygen fuel (HVOF) protective coatings, as well as corrosion and oxidation resistant paint coatings. The former Vac Aero subsidiary has a range of customer approvals and will continue to serve key customers in Europe including UTC, Pratt & Whitney and Siemens. The business will remain at its two current sites and will be immediately rebranded as MB Aerospace Technologies (Poland). In order to support the existing customer base and growing aerospace market in Eastern Europe, MB Aerospace is planning to invest across the business with particular focus on its surface coating services, including thermal and plasma coatings and a range of paint capabilities, as well as heat treatment and brazing processes. Craig Gallagher, MB Aerospace chief executive officer, said: "We are delighted to acquire the Polish operations of Vac-Aero - now trading as MB Aerospace Technologies (Poland) - as its extensive range of capabilities and skilled employees will help us broaden our offering to existing customers and the expanding aerospace market in Poland and the surrounding industrial areas of Western and Eastern Europe. "With thermal and plasma coating capabilities now available to us in the region, we can provide customers with fast, responsive lead times for aero-engine and industrial gas turbine component treatments, whilst mitigating the logistics, and cost, of shipping products long distances to have such critical work done." Dave Farmery, managing director of MB Aerospace Poland, added: "We have inherited a well-established and respected business in Poland with highly skilled employees and a wide range of customer approvals. Working closely with the existing team, we will invest heavily to provide the local market with the capabilities and capacity required to ensure customers continue to receive a world-class service." Tomasz Krazynski, General Manager, of MB Aerospace Technologies (Poland) said: "After more than a decade of growth and success under Vac-Aero we look forward to starting a new chapter within the MB Aerospace family of companies. The acquisition offers a fantastic opportunity for the business and the planned investment will help us establish ourselves as one of the premier providers of thermal processes and surface coating services in Europe." Notes to editors About MB Aerospace - MB Aerospace is a leading Tier 1 supplier of precision aero-engine components directly serving major aero-engine OEMs and leading tier 1 suppliers. MB Aerospace's focus on complex high value-add fabricated assemblies and large-diameter, high-value machined components leverages a depth of technical and engineering understanding across the full range of materials, manufacturing processes and customer approvals to be a truly trusted and scaled partner to the main aero-engine OEMs. MB Aerospace has developed a highly attractive global operating footprint, with 1550 employees across five main manufacturing hubs in the U.S., Poland and the U.K. with proximity to all key OEM and Tier 1 customers. SOURCE MB Aerospace ALBANY, New York, July 11, 2016 /PRNewswire/ -- In 2015, the global e-commerce logistics market was the playground of Fedex Corporation and DHL International GmbH, who collectively held sway over 50% of the business worldwide, says Transparency Market Research (TMR) in a new analysis. The other two key players in this space are XPO Logistics, Inc. and United Parcel Service, Inc., albeit their reach isn't as wide as the two logistics behemoths. However, companies are already upping the ante in anticipation of explosive growth of the e-commerce sector in India and China. The e-commerce logistics space thus is extremely attractive currently and will witness the rise of many new companies, TMR says. While large companies are investing in drones and last-mile connectivity to keep ahead of the others, the smaller firms are focusing on value-added differentiating services such as route optimization and innovative parcel tracking. These trends will make the e-commerce logistics market a space bubbling with innovation, TMR forecasts. Other companies to watch out for in the e-commerce logistics business are Gati Limited and Clipper Logistics Plc Request A Sample Of This Report: http://www.transparencymarketresearch.com/sample/sample.php?flag=S&rep_id=12806 According to TMR, the global e-commerce logistics market had reached a valuation of US$146.14 bn in 2015 and will exhibit a high double-digit CAGR of 20.6% between 2016 and 2024. Innovative Delivery Technologies will Attract Both Interest and Investments The emergence of the contemporary B2C e-commerce model has forced companies to align and re-align their business models several times in the past years. Innovative delivery technologies are the key facilitator of this change. All eyes are on drone delivery, which will arguably be the biggest trend in the e-commerce logistics market in the coming years. Companies such as Amazon.com have already jumped into the fray with its Amazon Prime Air service that uses small drones to deliver packages in 30 minutes or less - about the same time that it takes to get a pizza delivered home. Drones, droids, and parcel copters are here to stay, says TMR. Browse the Press Release: http://www.transparencymarketresearch.com/pressrelease/e-commerce-logistics-market.htm Moreover, the mushrooming of e-commerce startups in countries such as India, Brazil, Mexico, and Saudi Arabia will give the demand for e-commerce logistics a further boost. C2C e-commerce models are also projected to boost the market for e-commerce logistics. Complications Associated with Reverse Logistics Stand in the Way of E-commerce Logistics Providers In a space that's as competitive as e-commerce logistics, companies are forced to offer value-adds such as free delivery of goods and reverse logistics. Although most companies have a minimum value order for goods ordered in order for customers to avail free delivery, there are loopholes in this arrangement. The most common one is customers ordering goods to reach the stipulated minimum order for a free delivery and then returning unwanted goods. This burdens the reverse logistics chain and cause a spike in the cost incurred by e-commerce logistics companies. Similarly, in developing countries where infrastructure challenges abound, last-mile connectivity is limiting the business of e-commerce logistics providers. These factors are expected to restrain the growth of the global e-commerce logistics market through the report's forecast period. Growing Emphasis on Last-mile Connectivity Gives Transportation Segment a Boost On the basis of service type, the report segments the global e-commerce logistics market into warehousing, transportation, and others. Of these, the transportation segment stood as the largest in 2015, accounting for a dominant 51.60 % of the market. This is thanks to the fact that the supply chain is pivoted on transportation. The growing emphasis on last-mile connectivity will give this segment a further boost. The warehousing segment is further split into hubs/delivery centers, mega centers, and returns processing centers. Similarly, the transportation segment is divided into freight/rail, maritime, air/express delivery, and trucking/over road. Browse the Regional Analysis: http://www.europlat.org/e-commerce-logistics-market.htm By operational area, the segments of the e-commerce logistics market are domestic and international. The largest revenue share is contributed by the domestic segment, finds TMR. This can be attributed to the emergence of large domestic e-commerce companies such as Flipkart (in India). The report studies the e-commerce logistics market in North America, Asia Pacific (APAC), Europe, Latin America, and the Middle East and Africa. North America will continue to remain the largest regional market for e-commerce logistics through the report's forecast period - it stood at US$ 48.32 bn in 2015. Asia Pacific will show much promise with a CAGR of 22.4% from 2016 through 2024. This review is based on a Transparency Market Research Report, titled "E-commerce Logistics Market - Global Industry Analysis, Size, Share, Growth, Trends and Forecast, 2016 - 2024." The global e-commerce logistics market has been segmented as follows: E-commerce Logistics Market, by Service Type Warehousing Mega Centers Hubs/Delivery Centers Returns Processing Centers Transportation Air/Express Delivery Freight/Rail Trucking/Over Road Maritime Others E-commerce Logistics Market, by Operational Area Domestic International E-commerce Logistics Market, by Geography North America The U.S. Canada Europe The U.K. Germany France Spain Italy Rest of Europe Asia Pacific (APAC) (APAC) China Japan India Australia Rest of APAC Middle East & Africa (MEA) & (MEA) United Arab Emirates (the UAE) (the UAE) Saudi Arabia South Africa Rest of MEA Latin America Brazil Rest of Latin America Other Research Reports by Transparency Market Research: Master Data Management Market : http://www.transparencymarketresearch.com/master-data-management-market.html http://www.transparencymarketresearch.com/master-data-management-market.html Cloud Computing Data Center IT As set Disposition (ITAD) Market : http://www.transparencymarketresearch.com/cloud-computing-data-center-it-asset-disposition-market.html http://www.transparencymarketresearch.com/cloud-computing-data-center-it-asset-disposition-market.html Cable Management System Market : http://www.transparencymarketresearch.com/cable-mangement-system-market.html About Us Transparency Market Research (TMR) is a market intelligence company, providing global business information reports and services. Our exclusive blend of quantitative forecasting and trends analysis provides forward-looking insight for thousands of decision makers. TMR's experienced team of analysts, researchers, and consultants, use proprietary data sources and various tools and techniques to gather, and analyze information. Our business offerings represent the latest and the most reliable information indispensable for businesses to sustain a competitive edge. Each TMR syndicated research report covers a different sector - such as pharmaceuticals, chemicals, energy, food & beverages, semiconductors, med-devices, consumer goods and technology. These reports provide in-depth analysis and deep segmentation to possible micro levels. With wider scope and stratified research methodology, TMR's syndicated reports strive to provide clients to serve their overall research requirement. US Office Contact Transparency Market Research 90 State Street, Suite 700 Albany, NY 12207 Tel: +1-518-618-1030 USA - Canada Toll Free: 866-552-3453 Email: sales@transparencymarketresearch.com Website: http://www.transparencymarketresearch.com SOURCE Transparency Market Research DUBLIN, July 11, 2016 /PRNewswire/ -- Research and Markets has announced the addition of the "Global Infrared Thermography Market 2016-2020" report to their offering. The report forecasts the global infrared thermography market to grow at a CAGR of 10.4% during the period 2016-2020. The report has been prepared based on an in-depth market analysis with inputs from industry experts. The report covers the market landscape and its growth prospects over the coming years. The report also includes a discussion of the key vendors operating in this market. A trend that will boost market growth is the continuous investment in military upgradation. The global IR thermography market was at its growth stage post the second world war, as many countries like USA, Russia, Canada and other leading countries wanted to deploy this technology in their military systems. The budget for military expenses experienced growth from 1996 to 2011. Since 2012, countries have started to cut down their military expenses. In 2014, the worldwide military expenses were $1,775 billion. However, the procurement expenses in many countries have increased. According to the report, a key growth driver is the development of crystal growth techniques. IR thermography used in military applications has to operate in a wide band ranging from SWIR to VLWIR (0.3 to 30 microns). Also, low cost and high performance detectors are required for commercial applications. As a result, manufacturers with in-house manufacturing facility are focusing on the development of crystal growth technologies, where large diameter wafers are being produced with low defect densities. Further, the report states that one challenge that could hamper market growth is the lack of awareness about the product and its underlying technology. Key vendors: ATN BAE Systems DRS Technologies EO Tech Honeywell FLIR Fluke Jenoptik L-3 Communications Nippon Avionics Rockwell Collins Raytheon Sofradir Seek Thermal Testo Thermoteknix Ulir Vision Key Topics Covered: PART 01: Executive summary PART 02: Scope of the report PART 03: Market research methodology PART 04: Introduction PART 05: Technology landscape PART 06: Market landscape PART 07: Market segmentation by application PART 09: Market drivers PART 10: Impact of drivers PART 11: Market challenges PART 12: Impact of drivers and challenges PART 13: Market trends PART 14: Vendor landscape PART 15: Vendor analysis PART 16: Summary of key figures For more information visit http://www.researchandmarkets.com/research/6txk79/global_infrared Media Contact: Research and Markets Laura Wood, Senior Manager press@researchandmarkets.com For E.S.T Office Hours Call +1-917-300-0470 For U.S./CAN Toll Free Call +1-800-526-8630 For GMT Office Hours Call +353-1-416-8900 U.S. Fax: 646-607-1907 Fax (outside U.S.): +353-1-481-1716 Related Links http://www.researchandmarkets.com SOURCE Research and Markets LED backlight LCD televisions now dominate the market, with their larger, decidedly more expensive screens. 100-inch 4K LCD televisions are typically priced at 600,000 yuan (approx. US$90,000) per unit. The laser television launched by Hisense, achieving what the world hasn't achieved with 217 patented technologies, outstanding optical engines, high-resolution lens and high-precision manufacturing processes, delivers lightness and color saturation achieving or surpassing those of LCD TVs. More shockingly, the Hisense television is priced at just over US$10,000 per unit, 1/10 the sales price of LCD TVs with same size screens. The 4K LCOS laser projector rolled out earlier by Japan-based Sony are priced at US$50,000 per unit. Hisense Group global vice president Lin Lan said excitedly in a speech that "the ultimate goal of technologies is to recreate cost advantages." Making it possible for every family to afford a home cinema is the company's dream and strategy for Hisense to take a leading position among television makers. Once the dream is achieved, Hisense expects to become the undisputed leader in the world's color TV market. Jiang Shan +86-532-8087-8024 jiangshan5@hisense.com SOURCE Hisense SHANGHAI, July 11, 2016 /PRNewswire/ -- The hypermarket group Auchan (Sun Art Group) has chosen Keyrus and the hybris solution to meet the challenges of developing its online commerce in China. (Logo: http://photos.prnewswire.com/prnh/20160707/387171LOGO ) An international player in the fields of Data Intelligence, Digital, and Consulting on the Management and Transformation of enterprises, Keyrus announces that it is assisting Auchan China (Sun Art Group) with the entirety of its e-Commerce projects in China. Through the deployment of SAP's hybris solution for the online sales and home delivery sites, Keyrus is constantly improving Auchan's e-Commerce platform, by supplementing it in particular with new payment methods and putting in place connectors with the major marketplaces in China. A key player in the Chinese market with around a hundred hypermarkets already established there, Auchan is developing an offensive strategy towards digital commerce which, with 400 million potential consumers and already more than 12% of overall sales taking place online, represents an extraordinary driver of growth. So, in Shanghai and other major cities in China this distribution group proposes a broad offering of consumer goods with its Auchan Drive site (also including home delivery). For almost a year now, Auchan has also been operating Auchan Wines, a site specializing in the sale of wines that proposes a catalog of 1,500 wines from around the world with very fast delivery anywhere in China. Thanks to the hybris solution, Keyrus has connected the Auchan Wines wine shop platform with two key marketplaces in China: Feiniu and Tmall. With more than 50% of online sales in China being concluded via marketplaces, this was a high-stakes challenge for the group. The platform automates the updating of product catalogs as well as order management, thereby allowing the Auchan teams to concentrate on their core business of selling, rather than on repetitive, low value-added tasks. SOURCE Keyrus ARMONK, New York and WICHITA, Kansas, July 11, 2016 /PRNewswire/ -- Koch Minerals, LLC, a subsidiary of Koch Industries, Inc., announced today that it entered into strategic agreements with AC Kinetics, Inc. to commercialize run-time motor control software for variable frequency drives (VFDs) operating alternating current induction motors. This new control architecture integrates nonlinear optimization with VFD motor control for all drive applications, including those requiring rapid transient response, limited overshoot and settling time, and insensitivity to load disturbances. The advanced software, supported by real-time adaptive algorithms, will run on standard VFD hardware. Certified lab and field tests demonstrate total energy savings of up to 50 percent, while maintaining motor drive performance in both steady-state and dynamic operating environments. The demand for this software includes drive manufacturers and VFD end-users in commercial, industrial, manufacturing, and transportation markets, whose drives and motors consume nearly one half of the industrial power produced globally. "As a large user of VFDs, Koch quickly recognized the value of AC Kinetics' software including improved motor energy consumption, reduced motor temperatures, and the potential for extended motor life," said Jason Russell, Director of Business Development, Koch Minerals, LLC. "We are excited about the certified lab test results, end-user feedback from field testing, and ongoing licensing discussions with drive manufacturers." Led by a team of leading motor control experts, AC Kinetics has licensed patented motor control vibration reduction software worldwide for more than 25 years. Licensees include NASA, DOE, Danaher, Magnetek, Physik Instrumente, ACS Motion Control, Agilent/HP and Seagate. For more information, including certified test results, visit www.ackinetics.com. Based in Wichita, Kan., Koch Industries, Inc. is one of the largest private companies in America with estimated annual revenues as high as $115 billion, according to Forbes. It owns a diverse group of companies involved in refining, chemicals, biofuels and ingredients; forest and consumer products; fertilizers; polymers and fibers; process and pollution control equipment and technologies; electronic components; commodity trading; minerals; energy; ranching; glass; and investments. Since 2003, Koch companies have invested more than $80 billion in acquisitions and other capital expenditures. With a presence in more than 60 countries, Koch companies employ more than 100,000 people worldwide, with about 60,000 of those in the United States. From January 2009 to present, Koch companies have earned more than 1,000 awards for safety, environmental excellence, community stewardship, innovation, and customer service. For more news and stories, visit www.kochnews.com. Contact: Rob Carlton Manager, Business Communications Koch Communications and Marketing +1-316-828-4070 rob.carlton@kochps.com SOURCE Koch Minerals, LLC; AC Kinetics, Inc. LONDON, July 11, 2016 /PRNewswire/ -- Fuller Bust lingerie brand Curvy Kate, have launched what could be the world's most inclusive campaign to date, using a diverse range of women to model the latest collection, Scantilly. The campaign named #theNewSexy, introduces 8 powerful female role models, including an Alopecia sufferer, a transgender woman, an amputee and recovered anorexic. (Photo: http://photos.prnewswire.com/prnh/20160708/813431 ) Challenging social 'norms', #TheNewSexy calls for women of all shapes, backgrounds and sizes to be represented in the media and looks to redefine how society views the word 'sexy'. The company, who since launch have never employed a professional model, choosing to celebrate their customers instead, looked to social media to find the eclectic mix of role models to wear the new boudoir collection. In recent years the modelling and fashion industries has been under scrutiny due to the lack of diversity promoted in advertisements. A report by 'The Fashion Spot' stated that out of 422 models involved in the largest Spring Summer 2016 campaigns only 21.8% were of colour, 1.4% were above a size 12 and zero transgender models was used. Scantilly hope that their continued use of customer role models will hail a new era for diversity in the fashion industry. Head of PR and Marketing, Hannah Isichei states, "It's important for us as a brand to speak to as many women as possible through our campaigns. We know our customers don't all look the same so why should our models? Why should boudoir lingerie just be reserved for a handful of society? We want women to look at our products and see them on a body they can relate to. Scantilly lingerie is for every woman and every body, so it's important that our models reflect this attitude." Scantilly by Curvy Kate's third collection will be available from July 2016. The range including peep-hole briefs, sexy suspenders, half cup balcony bras and sheer basques is available in sizes DD-HH cups and S-XL at curvykate.com, Debenhams.com and Figleaves.com. For more information please visit: www.curvykate.com. Further information about the Models: Megan Crabbe This campaign sees a variety of women from different walks of life such as former Anorexic and body positive activist, Megan Crabbe. The 23 year old, who suffered with Anorexia Nervosa since the age of 14, has recently made a name for herself due to her successful blog 'BodyPosiPanda' and body-positive social presence. Megan, who at her thinnest weighed just 4st 6lbs now encourages her followers to be happy with their bodies by posting bikini and 'belly roll' pictures of herself, proving recovery is possible. Megan states: "I spent a long time believing that feeling confident or sexy was reserved for people with the 'perfect' body, and that the rest of us didn't deserve it. Modelling for Scantilly after beating years of body insecurities was personally empowering and so much fun. This campaign shows anyone with body image issues that we are ALL worthy of confidence, all sizes, all skin colours, all abilities. It's exactly the kind of diverse representation we need to help us all see our unique beauty a bit more clearly." Stephania Van Cluysen Stephania or 'Effie', 21, was born a male, but now lives her life as a female after undergoing gender reassignment surgery in 2015. Effie described growing up in Belgium as 'difficult' saying she felt like a female from her very first memory. Her mother who fully supported her decision even visited the doctor when 'Jordie', as Effie was formally known, was only 3 years old saying, "My son is a girl". Effie who received years of abuse and ridicule from the public and school peers, wants to show that she is a beautiful women who deserves to be celebrated. Stephania states, "I'm Transgender and because of this, I worried whether people would accept me or not, but really the most important person to accept me was myself - And I'm so glad that I did. The thing is, not everyone should look the same and if they did there wouldn't be a 'sexy'. We need a mix of people! Everybody has the right to feel ok with themselves and if the media show a wide range of women - different races, heights, sizes, ages and abilities then the girls looking at the models in the magazines and wishing to be them, will feel way more at ease with who they are. If they see someone who looks like them, they may be less likely to want to change." Taylor Crisp Taylor, an amputee from Leicester has recently flown the flag for disabled people everywhere by modelling in the campaign, while proudly displaying her prosthetic leg. Crisp, 21, was born with FFU (Femur Fibula Ulna) syndrome meaning she had severe defeats with the development of her right leg, including having no knee cap, no ankle joint, and no proper hip socket. Many years of constant surgery and struggles meant that by the age of 11, Taylor's leg was so damaged she had her leg amputated and was required to live life with a prosthetic limb. 10 years on and Taylor has recently been told that she will no longer to be able to walk without the aid of crutches but she's not going to let it stop her. Taylor states, "I was taught from a young age that [my leg] shouldn't hold me back. I can't sit and think 'why me??' all the time because it could have been anyone. There are so many different people out there; people who are different aren't a minority because everyone is different. It took a while to realise but I'm really happy with who I am. You need to learn to ignore the people who try and bring you down and just rise above it." Therese Hansson Therese Hansson, an aspiring curve model who suffers with skin condition Alopecia, has recently proven that having no hair won't hold her back. Hansson, 25, who lives in Sweden with her family and works as a full-time carer, was diagnosed with Alopecia at the age of 14. For many years, she was self-conscious of her condition, hiding her Alopecia from her peers by wearing wigs. 11 years on, Therese who is a curvy size 14, is confident in her appearance and is fully embracing her body and condition. Therese states, "People who have Alopecia tend to hide it by wearing wigs, but we need to embrace it so other people understand and accept it. It is a difficult disease because I could get my hair back tomorrow but I could easily lose it all again next week. That's why I need to make sure I'm happy with who I am regardless of whether I have hair or not. At the end of the day I'm not dying - things could be so much worse." Georgina Horne Georgina Horne, a successful plus-size blogger, showed that her fuller figure and bust were something to be proud of by stripping down to her smalls. Horne, 27, who now has over 200,000 followers on social media, became a success after launching her blog, 'Fuller Figure, Fuller Bust' in 2011. Her platform began as a lingerie blog but has morphed into a plus-size lifestyle and fashion blog, which sees her regularly asked to collaborate with brands and to even model and design for them. Georgina's message is to show other plus-size women that you can be fuller-figured and happy and confident with your shape. Georgina states, "We're redefining the idea of sexy. It's not just one look, one size, one piece of clothing, it's any person wearing anything, any size, any age, they deserve to feel sexy and can feel sexy. The problem is that everyone needs to be represented. You're more likely to shop with a brand who uses someone who looks a little like you, it makes you feel included and like you're worthy. So yeah Diversity helps everyone- It's a no brainer." Grace Victory Grace Victory, a globally successful Youtube Vlogger and TV personality took part in the Scantilly by Curvy Kate campaign. Victory, 25, who goes by the name 'Gracie Francesca' online, became a success after launching her vlog channel 'The Ugly Face of Beauty' on Youtube. Her channel covers all topics from style, food, beauty and also more hard hitting issues such as self-esteem, eating disorders and self-harm. Nick-named 'The internet's big sister', Gracie is determined to show young vulnerable adolescents that there is light at the end of the tunnel and that they are worthy of self-love regardless of the issues they face. Gracie states, "I think if diversity was shown in the media it would just become the 'norm'. At the moment it's still kind of an 'oh my god moment' when we see someone who is slightly bigger or even a girl of colour on the catwalk or in adverts, which is shocking really. Showing all different kinds of people will really help the younger generations to see someone they relate to, whether it be tall, short, fat, thin or whatever. I think the industry has a long way to go but some brands such as Scantilly are putting their foot forward and playing a part in something that means such a lot to a wide range of people." Joanne Larby Lastly, Joanne Larby, a successful Irish beauty and fitness blogger, took part in #TheNewSexy campaign to help show that her toned size 12 body should be represented too. Larby, 28, who now has over 100,000 followers on social media, became a success after launching her blog, 'The Makeup Fairy' in 2011. Her platform began as a beauty blog but has morphed into a fitness, lifestyle and fashion page, which has seen her regularly asked to collaborate with brands and she has even published her own book. Joanne's message is to show other women that you can be fit and healthy while keeping your curves and being happy and confident with your shape. Joanne states, "With social media at the moment, we're open to some many different opinions and we have the chance to have a voice through social media. People follow their 'idols' for various reasons and it's so integral that we are promoting a diversified look on things so we aren't scrolling on feed feeling constantly depressed that you aren't that 'standardised' model , because it's so unobtainable it can really get in on you mind frame because the comparison starts. So we need to have a diversified feed that we are all subject to, so we all feel represented." SOURCE Curvy Kate OYSTER BAY, New York, July 11, 2016 /PRNewswire/ -- Gesture, eye tracking, and proximity sensor technologies will mark the next stage of innovation in machine design, finds ABI Research. A broader and more competitive ecosystem spurred by smartphone and tablet sensor integrationforecast to hit close to $5 billion in 2016will create massive opportunities in automotive, consumer electronics, and healthcare. Healthcare, in particular, shows the largest, untapped opportunity for eye tracking and gesture applications in patient care. Logo - http://photos.prnewswire.com/prnh/20151014/276887LOGO "The same way that touchscreens eclipsed the PC mouse, gesture and eye tracking sensors will transform the way people interact with machines, systems, and their environment," says Jeff Orr, Research Director for ABI Research. "Healthcare professionals are relying on these sensors to move away from subjective patient observations and toward more quantifiable and measurable prognoses, revolutionizing patient care." Eye tracking sensors can help detect concussions and head trauma, identify autism in children before they are speaking, and enable vision therapy programs for early childhood learning challenges to retrain the learned aspects of vision. Similarly, gesture sensors are translating sign language into speech, providing doctors a means to manipulate imaging hands-free during surgical procedures, and providing a natural means to navigate through virtual experiences. Both established and startup companies are involved in the human-machine interface revolution. Sensor innovation is stemming from Hillcrest Labs, NXP, and Synaptics, among others. Atheer, Bluemint Labs, eyeSight, Google, Intel, Leap Motion, Microsoft, Nod Labs, RightEye, and Tobii Group also all recently announced creative gesture, proximity, and eye tracking solutions. "Healthcare is only one industry poised to benefit from reinventing the user interface," adds Orr. "The larger competitive ecosystem for perceptual sensors is forging opportunities in consumer appliances, autonomous driving, musical instruments, gaming, retail, and even hazardous locations." These findings are from ABI Research's Eye Tracking, Gestures and Proximity Sensor Applications (https://www.abiresearch.com/market-research/product/1022551-eye-tracking-gestures-and-proximity-applic/) and Human-Machine Interfaces (https://www.abiresearch.com/webinars/human-machine-interface/) webinar. This report is part of the company's Wearables & Devices sector (https://www.abiresearch.com/market-research/practice/wearables-devices/), which includes research, data, and analyst insights. About ABI Research ABI Research stands at the forefront of technology market research, providing business leaders with comprehensive research and consulting services to help them implement informed, transformative technology decisions. Founded more than 25 years ago, the company's global team of senior and long-tenured analysts delivers deep market data forecasts, analyses, and teardown services. ABI Research is an industry pioneer, proactively uncovering ground-breaking business cycles and publishing research 18 to 36 months in advance of other organizations. For more information, visit www.abiresearch.com. Contact Info: Mackenzie Gavel Tel: +1.516.624.2542 pr@abiresearch.com Related Links http://www.abiresearch.com SOURCE ABI Research Milestone achievement in the development of a wake-promoting agent for a lifelong sleep disorder STANS, Switzerland, July 11, 2016 /PRNewswire/ -- NLS Pharma Group (NLS) announced that the US Food and Drug Administration (FDA) has granted Orphan Drug Designation (ODD) for Mazindol for the treatment of narcolepsy. On October 9, 2015, an ODD was granted by the European Commission to NLS for Mazindol within the same indication. ODD provides incentives such as tax credits, user fee waivers and eligibility for orphan drug exclusivity to assist and encourage the development of drugs for rare diseases. Alex Zwyer, CEO of NLS Pharma: "The US ODD for Mazindol for the treatment of narcolepsy marks yet another major development milestone for our lead compound. Mazindol has been used off-label in narcolepsy since the 1970's, and it is our goal to make it available to all narcoleptic patients." About Narcolepsy Narcolepsy is a chronic, debilitating neurological disease, the primary symptoms of which are excessive daytime sleepiness (EDS), fragmented nighttime sleep, sleep paralysis and cataplexy. The hallmark symptom of narcolepsy is excessive and overwhelming daytime sleepiness, even after nighttime sleep. EDS is present in all narcolepsy patients and causes patients to become drowsy or fall asleep, often at inappropriate times and places. Cataplexy, the sudden loss of muscle tone, is the most predictive symptom of narcolepsy. Cataplexy can range from slight weakness or a drooping of the face to the complete loss of muscle tone and is triggered by strong emotional reactions such as laughter, anger or surprise. Narcolepsy is under-recognized and under-diagnosed, with approximately 3 million people suffering from this disease globally. Although narcolepsy is thought to affect around 150'000 - 200,000 Americans, only about 50,000 are currently being diagnosed. About Mazindol Mazindol is a wake-promoting agent, a norepinephrine and dopamine reuptake inhibitor which was previously approved in Europe and in the US for the short term treatment of obesity. Rebalancing dysfunctional central nervous system (CNS) noradrenergic and dopaminergic systems appears to be critical for the effective treatment of ADHD and narcolepsy. Mazindol is NLS' lead compound for which US FDA has accepted an investigational new drug (IND) on June 9, 2016 for a phase II Proof-of-Concept clinical trial evaluating the use of Mazindol in adults with Attention Deficit Hyperactivity Disorder (ADHD). About NLS Pharma NLS/NLS-0/NLS-1 Pharma (NLS) NLS is a Swiss based biotech group focusing on the repurposing of established and (cost-) effective drug/chemical compounds to treat ADHD, sleep disorders and cognitive impairment. NLS is a fully privately owned enterprise managed by a top level team of experts who have proven their value and experience with Big Pharma companies. They work closely with renowned AD-HD and sleep related disorders opinion leaders. Series-A financing was successfully completed for $ 8.5 million on August 31, 2015, to secure proof of concept of mazindol in ADHD. Media, Investor relations & partnering contact NLS Pharma Group Alex Zwyer, CEO : +41 41 618 80 00 www.nlspharma.com Related Links http://www.nlspharma.com SOURCE NLS Pharma Group PHILADELPHIA, July 11, 2016 /PRNewswire/ -- Deal Will Bring Access to the Latest Breakthroughs in Science and Medicine for Researchers and Clinicians in Panama's Health and Academic Institutions Elsevier, a world-leading provider of scientific, technical and medical information products and services, today announced that Access to Scientific Literature (ABC), the Scientific Library program sponsored by Panama's Ministry of Health and Ministry of Science and Technology, have selected Elsevier's ScienceDirect and ClinicalKey. With this two-year collaboration agreement, the country's researchers and health and academic institutions will have access to content and digital resources on scientific and clinical literature. This collaboration will also increase the number of entities with access to this information, on the different areas of knowledge. "ABC is a National Secretariat of Science Technology and Innovation (SENACYT) initiative that will be available for scientific professionals, clinicians, researchers, lecturers and students from different knowledge areas in the Republic of Panama," said Dr. Jorge Motta, National Secretary, Ministry of Science and Technology, Panama. According to SENACYT, "To progress in research, development and innovation it is vital to have access to the latest breakthroughs advancement in science and technology. However, SENACYT is aware of the challenges hospitals, research and academic bodies face in gaining access to world-class information solutions due to economic constraints." The ABC programme allows many institutions and entities in Panama to have access to ClinicalKey and ScienceDirect, impacting the number of research outputs and helping to increase the national research capacity while strengthen the quality of education and social services in Panama. Elsevier and SENACYT share a common goal to improve the development of scientific, clinical and technology area in Panama, thereby putting an end to inequality and promoting equitable research and R&D in healthcare. Panama appointed Elsevier as a key partner to achieve its goals, including providing access to the most updated and high-quality information solutions in health, technology and science. Gerrit Bos, Executive Vice President, EMEALA-APAC, Elsevier Health, said, "ClinicalKey is more than just a clinical reference platform. It is an information solution that addresses core needs of ABC as care providers. The agreement with ABC will provide health professionals, lecturers and students in Panama with the most complete and current collection of trusted content and multimedia scientific and medical information at anytime and anywhere, contributing to the scientific and healthcare development of Panama." Elsevier will continue incorporating new features for ABC to continue contributing the quality of higher education and health services in Panama, according to Bos. "We look forward to further delivering trusted and updated clinical content and support for Panama's mission to have high-quality patient care and health education," Bos said. "ClinicalKey is already providing medical professionals across numerous countries with a platform to answer questions posed within a clinical care context." ClinicalKey provides evidence-based clinical answers drawn from the single largest body of clinical content available, including 600+ journals, 1,100+ books, drug information, guidelines, patient education and Medline. The smart search enables ClinicalKey to understand clinical terms and thus discover the most relevant medical content and find related content often missed by other search engines. ClinicalKey is optimized for any mobile device, making it more convenient to search and validate on-the-go. www.clinicalkey.com/info/es ScienceDirect is Elsevier's leading information solution for researchers, teachers, students, healthcare professionals and information professionals. It combines authoritative, full-text scientific, technical and health publications with smart, intuitive functionality so that you can stay informed in your field, and can work more effectively and efficiently. www.sciencedirect.com About Elsevier Elsevier is a world-leading provider of information solutions that enhance the performance of science, health, and technology professionals, empowering them to make better decisions, deliver better care, and sometimes make groundbreaking discoveries that advance the boundaries of knowledge and human progress. Elsevier provides web-based, digital solutions - among them ScienceDirect, Scopus, Elsevier Research Intelligence and ClinicalKey - and publishes over 2,500 journals, including The Lancet and Cell, and more than 35,000 book titles, including a number of iconic reference works. Elsevier is part of RELX Group, a world-leading provider of information and analytics for professional and business customers across industries. www.elsevier.com Media contact Christopher Capot Director, Corporate Relations, Elsevier +1-917-704-5174 c.capot@elsevier.com SOURCE Elsevier Logo - http://photos.prnewswire.com/prnh/20141118/159313LOGO The contract was signed in a ceremony at the 2016 Farnborough Air Show by Sikorsky Vice President for Strategy & Business Development Nathalie Previte and Babcock MCS Chief Operating Officer Andrea Cicero. "We are pleased that Sikorsky is committed to improving material availability to keep these aircraft flying, and we are confident they will continue providing world-class support allowing us to deliver the critical services upon which our customers rely," said Cicero. "We have worked collaboratively with Babcock MCS on a number of points over the past two years," said Previte. "This agreement is the result of a collaborative effort to expand our support presence and meet Babcock's fleet requirements for improved operational readiness for their critical missions in both the Northern and Southern hemispheres." Sikorsky and Babcock have done business together since 1979. The Babcock fleet currently features more than 20 Sikorsky aircraft, operating in the North Sea, Western Australia and Spain. Certified to meet the most stringent safety requirements around the world, the S-92 helicopter is one of the most successful helicopters in operation. Sikorsky, a world leader in helicopter design, manufacture and service, has delivered more than 275 S-92 helicopters worldwide. The global fleet recently surpassed one million flight hours. S-92 helicopters perform a variety of missions including offshore oil and gas personnel transport, search and rescue, and a variety of other transportation missions for head of state, utility and airline passengers. For additional information, visit our website: http://www.lockheedmartin.com/us/mst/s-92-helicopter.html. About Lockheed Martin Headquartered in Bethesda, Maryland, Lockheed Martin is a global security and aerospace company that employs approximately 125,000 people worldwide and is principally engaged in the research, design, development, manufacture, integration and sustainment of advanced technology systems, products and services. Related Links http://www.lockheedmartin.com SOURCE Lockheed Martin SAN FRANCISCO, July 11, 2016 /PRNewswire/ -- The global silicon wafer reclaim market size is expected to reach USD 577.3 million by 2024, according to a new report by Grand View Research, Inc. Increasing raw material prices for manufacturing virgin silicon wafers are likely to compel manufacturers to adopt reclaim technologies. Rising demand for the product as test wafers for testing, controlling and monitoring semiconductor fabrication processes is expected to be a key driver for the industry growth. Rising consumer awareness coupled with government focus on renewable energy sector is expected to drive demand for solar PVs, which in turn is expected to have a positive impact on the market over the projected period. (Logo: http://photos.prnewswire.com/prnh/20150105/723757 ) Technological advancements in the reclaiming process to increase yield, improve quality, cut reclaim cycle time and reduce cost is expected to open new avenues for the industry growth. The rapid growth of end-use industries including solar photovoltaic and consumer electronics is likely to propel demand for the product and impact the reclaim industry on a positive note. Competitive pricing trends in the consumer electronics industry have resulted in increased demand for low-cost raw materials, which is likely to open new avenues for the market over the next eight years. 300 mm reclaimed silicon wafers dominated the industry and are projected to grow at a significant share owing to its rising demand for solar cell manufacturing. Higher efficiencies offered by 300 mm wafers than its counterparts including 200 mm and 150 mm wafers are anticipated to offer a competitive advantage for the product market over the forecast period. Solar cell manufacturing is expected to be major application segment for the industry owing to increasing solar PV installations across various parts of the world. Browse full research report with TOC on "Silicon Wafer Reclaim Market Analysis By Product (150mm, 200mm, 300mm), By Application (Integrated Circuits, Solar Cells) And Segment Forecasts To 2024" at: http://www.grandviewresearch.com/industry-analysis/silicon-wafer-reclaim-market Further key findings from the report suggest: 200 mm reclaimed wafer is expected to grow at a CAGR of more than 3.5% owing to its application in integrated circuits used in electronic devices such as smartphones, personal computers, and memory devices. In addition, the growing use of the reclaimed wafer for MEMS circuits and detectors is expected to spiral growth over the forecast period. North America market was valued at over USD 75 million in 2015 owing to increasing demand from the solar energy generation industry. In addition, growing demand for economical silicon wafers for use as a base in the semiconductor industry is expected to drive the market growth in the region. Furthermore, substantial planned solar PV installations in the U.S., Mexico , and Canada is expected to drive the demand for reclaimed and virgin silicon wafers. market was valued at over in 2015 owing to increasing demand from the solar energy generation industry. In addition, growing demand for economical silicon wafers for use as a base in the semiconductor industry is expected to drive the market growth in the region. Furthermore, substantial planned solar PV installations in the U.S., , and is expected to drive the demand for reclaimed and virgin silicon wafers. Latin America is expected to witness a restrained growth of 3.0% owing to a moderate demand for the product owing to a less established semiconductor industry. However, the demand is expected to be fueled by growing installation of solar power generation equipment due to increasing demand for renewable energy sources. is expected to witness a restrained growth of 3.0% owing to a moderate demand for the product owing to a less established semiconductor industry. However, the demand is expected to be fueled by growing installation of solar power generation equipment due to increasing demand for renewable energy sources. Key participants include Nano silicon Inc., Advantec Co. Ltd, KST World Corp, Noel Technologies, Pure Wafer PLC, Rockwood Wafer Reclaim SAS, Silicon Materials Inc, RS Technologies, KEMI Silicon Inc., and Shinryo Corporation. Companies are focused on increasing their footprint by backward integrated to the production of wafers and providing the used wafers for reclaim. For instance, Pure Wafer PLC, an America based company deals in the production and sales of test and virgin wafers and is also a provider of the silicon wafer reclaim services. Request for sample of this research report: http://www.grandviewresearch.com/industry-analysis/silicon-wafer-reclaim-market/request Grand View Research has segmented the global silicon wafer reclaim market on the basis of product, application and region: Product Outlook (Volume, ' 000 Wafers; Revenue, USD Million, 2013 - 2024) 150mm 200mm 300mm Others Application Outlook (Volume, ' 000 Wafers; Revenue, USD Million, 2013 - 2024) Integrated Circuits Solar Cell Others Regional Outlook (Volume, ' 000 Wafers; Revenue, USD Million, 2013 - 2024) North America U.S. Canada Mexico Europe Germany UK Asia Pacific Taiwan China Japan South Korea Latin America Brazil Middle East & Africa Browse related reports by Grand View Research: Gallium Nitrite Semiconductor Devices Market - http://www.grandviewresearch.com/industry-analysis/gallium-nitrite-semiconductor-devices-market Automotive Semiconductors Market - http://www.grandviewresearch.com/industry-analysis/automotive-semiconductors-market Thin Film Photovoltaics (PV) Market - http://www.grandviewresearch.com/industry-analysis/thin-film-photovoltaics-pv-market Semiconductor Memory Market - http://www.grandviewresearch.com/industry-analysis/the-global-semiconductor-memory About Grand View Research: Grand View Research, Inc. is a U.S. based market research and consulting company, registered in the State of California and headquartered in San Francisco. The company provides syndicated research reports, customized research reports, and consulting services. To help clients make informed business decisions, we offer market intelligence studies ensuring relevant and fact-based research across a range of industries, from technology to chemicals, materials and healthcare. Read Our Blogs - legalworkshop.org , grandviewresearch.com/blogs/semiconductors-and-electronics Contact: Sherry James Corporate Sales Specialist, USA Grand View Research, Inc Phone: 1-415-349-0058 Toll Free: 1-888-202-9519 Email: sales@grandviewresearch.com Web: http://www.grandviewresearch.com SOURCE Grand View Research, Inc. WICHITA, Kansas, July 11, 2016 /PRNewswire/ -- Since 2014, Spirit AeroSystems Inc. [NYSE: SPR] has invested in upgrades to its historic Plant 2 and its Wichita facilities to support growing demand for its products. Spirit builds 70 percent of the 737 structure in Plant 2, including the entire fuselage of the world's best-selling airplane. Logo - http://photos.prnewswire.com/prnh/20130515/CG13652LOGO "We are constantly looking at ways to modernize our factory and support our customer's production needs," said Shawn Campbell, Spirit vice president of the 737 program. "The factory of the future will look much different than it does today. Many investments the company is making will ensure even better quality than we deliver today." The upgrades include projects like installation of robotic drilling and fastening, expansion of the propulsion manufacturing facility, building additional rail spurs for increased transportation needs and a re-investment in the facilities across the Wichita campus. Since Spirit's formation in 2005, it has doubled its 737 output while using essentially the same footprint. Continued increased production rates will require additional modernization to the historic Plant 2 building and a pipeline of employees to support this record demand. Spirit is responsible for the Boeing 737 fuselage, nacelles, pylons and wing flaps and slats. Spirit's history with the program dates back to the 1960s, when the 737 Classic launched and the site was a Boeing facility. The company transitioned to work on the 737 Next Generation program beginning in 1996, and the evolution continues today, as Spirit enters production of the 737 MAX. Plant 2 was originally built to support production of World War II era aircraft like the B-29. The factory went on to support other historic aircraft like the B-47 and the B-52. Spirit AeroSystems is one of the largest manufacturers of aerostructures in the world with both design and build capabilities for a variety of applications. On the web: www.spiritaero.com On Twitter: @SpiritAero About Spirit AeroSystems Inc. Spirit AeroSystems designs and builds aerostructures for both commercial and defense customers. With headquarters in Wichita, Kansas, Spirit operates sites in the U.S., U.K., France and Malaysia. The company's core products include fuselages, pylons, nacelles and wing components for the world's premier aircraft. Spirit AeroSystems focuses on affordable, innovative composite and aluminum manufacturing solutions to support customers around the globe. More information is available at www.spiritaero.com. Related Links http://www.spiritaero.com SOURCE Spirit AeroSystems Inc. NEW YORK, July 11, 2016 /PRNewswire/ -- Deteriorating Air Quality, Expanding Urban Population, Growing Health Concerns and Rising Consumer Spending on Lifestyle Products to Drive Air Purifiers Sales Among Americans According to TechSci Research report, "US Air Purifier Market By Filter Type, By End User, Competition Forecast & Opportunities, 2011 - 2021", air purifiers market in the US is forecast to grow at a CAGR of more than 8% during 2016 - 2021, on account of rising awareness about impact of indoor pollution on human health, coupled with increasing incidence of respiratory diseases and rising awareness about health benefits of using air purifiers. Rising air pollution in the US can be attributed to increasing emissions of harmful particulate matter from industrial and commercial units, as well as expanding vehicle fleet size. According to OICA, over 17 million vehicles were sold in 2015 in the United States, and with continuing growth in vehicle sales, demand for air purifiers is expected to grow through 2021. (Logo: http://photos.prnewswire.com/prnh/20140117/663730 ) Browse 33 market data Tables and 21 Figures spread through 120 Pages and an in-depth TOC on "US Air Purifier Market" https://www.techsciresearch.com/report/us-air-purifier-market-by-filter-type-hepa-and-activated-carbon-hepa-activated-carbon-and-ion-ozone-generator-etc-by-end-user-commercial-residential-and-industrial-competition-forecast-and-opportunities-2011-2021/722.html According to the American Cancer Society, smoking cigarettes kills more than 480,000 Americans each year, and approximately 41,000 of these deaths are caused due to exposure to second-hand smoke. Moreover, commercial sector accounts for the largest demand share in the country's air purifiers market, due to growing demand from tourism, healthcare and other institutions such as embassies, hospitals, corporate offices, government buildings, schools, colleges, etc. Booming hospitality industry and scheduled inauguration of over 865 hotels in the US by 2016 is projected to further boost demand for air purifiers in the country in the coming years. Air quality in cities such as Virginia, Nevada, Wisconsin, New York etc., is deteriorating due to high levels of particulate matter emissions and increasing concentration of harmful gases in the air. This is propelling demand for air purifiers from the residential sector on account of increasing indoor pollution and rising health concerns among people. Demand for air purifiers in the US is majorly dependent on domestic production. IQAir, Austin Air Systems and Blueair are few of the major players operating in the United States air purifiers market. HEPA and activated carbon was the largest segment in the United States air purifiers market in 2015, due to the high efficiency of these air purifiers in removing particulate matter and odor. Download Sample Report @ https://www.techsciresearch.com/sample-report.aspx?cid=722 Customers can also request for 10% free customization on this report. "Companies operating in United States air purifiers market are focusing on new technology developments and launching products based on these technologies to attract customers, and thereby, increase their penetration in the market. These companies are also focusing on launching aesthetically pleasing air purifier designs, reducing size of air purifiers for households and adopting multistage air purifying technologies in majority of their new product offerings. Air purifier manufacturers are also integrating products with Internet and Bluetooth technologies so that users can comfortably operate these air purifiers through their phones, reduce power consumption and increase filter replacement time." said Mr. Karan Chechi, Research Director with TechSci Research, a research based global management consulting firm. "US Air Purifier Market By Filter Type, By End Use Sector, By Region & By Company Forecast and Opportunities, 2011-2021" has evaluated the future growth potential of United States air purifiers market and provides statistics and information on market structure, size, consumer behavior and trends. The report is intended to provide cutting-edge market intelligence and help decision makers take sound investment evaluation. Besides, the report also identifies and analyzes emerging trends along with essential drivers, challenges and opportunities available in the United States air purifiers market. Browse Related Reports Global Air Purifiers Market By Filter Type (HEPA and Activated Carbon; HEPA, Activated Carbon and Ion & Ozone Generator; etc.), By End Use Sector, By Region, Competition Forecast and Opportunities, 2011 - 2021 https://www.techsciresearch.com/report/global-air-purifiers-market-by-filter-type-hepa-and-activated-carbon-hepa-activated-carbon-and-ion-ozone-generator-etc-by-end-use-sector-by-region-competition-forecast-and-opportunities-2011-2021/694.html China Air Purifiers Market By Filter Type (HEPA and Activated Carbon; HEPA, Activated Carbon and Ion Ozone Generator; etc.), By End User Sector, Competition Forecast and Opportunities, 2011-2021 https://www.techsciresearch.com/report/china-air-purifiers-market-by-filter-type-hepa-and-activated-carbon-hepa-activated-carbon-and-ion-ozone-generator-etc-by-end-user-sector-competition-forecast-and-opportunities-2011-2021/686.html India Air Purifiers Market By Filter Type (HEPA and Activated Carbon; HEPA, Activated Carbon and Ion Ozone Generator; etc.), By End User Sector (Residential, Commercial & Industrial), Competition Forecast and Opportunities, 2011-2021 https://www.techsciresearch.com/report/india-air-purifiers-market-by-filter-type-hepa-and-activated-carbon-hepa-activated-carbon-and-ion-ozone-generator-etc-by-end-user-sector-residential-commercial-industrial-competition-forecast-and-opportunities-2011-2021/689.html About TechSci Research TechSci Research is a leading global market research firm publishing premium market research reports. Serving 700 global clients with more than 600 premium market research studies, TechSci Research is serving clients across 11 different industrial verticals. TechSci Research specializes in research based consulting assignments in high growth and emerging markets, leading technologies and niche applications. Our workforce of more than 100 fulltime Analysts and Consultants employing innovative research solutions and tracking global and country specific high growth markets helps TechSci clients to lead rather than follow market trends. Contact Mr. Ken Mathews 708 Third Avenue, Manhattan, NY, New York - 10017 Tel: +1-646-360-1656 Email: sales@techsciresearch.com Connect with us on Twitter - https://twitter.com/TechSciResearch Connect with us on LinkedIn - https://www.linkedin.com/company/techsci-research SOURCE TechSci Research SANDWICH, England, July 11, 2016 /PRNewswire/ -- Firm behind the Richborough Mast planning application to offer long-term community fund upon mast approval and construction MP for South Thanet welcomes generous contribution to local school Vigilant Global is pleased to announce funding for much-needed technical equipment for Sir Roger Manwood's School in Sandwich. The school is receiving funding for a new sound system for the School Hall, which is the focal point for a range of academic and extra-curricular activities, and is regularly used by students, staff, parents and other visitors. (Photo: http://photos.prnewswire.com/prnh/20160711/388156 ) Sir Roger Manwood's School is the second recipient to benefit from Vigilant Global's Richborough Mast benefits programme for the local communities in the Richborough, Ash and Sandwich area. Vigilant Global is fully committed to ensuring the Richborough Mast will meet the shared needs of a range of potential users and provide significant benefits to the local community. It is financing the capital costs of multiple projects as part of the community benefits programme, and has already provided funding for a communications system upgrade at Sandwich Technology School. Local MP Craig Mackinlay has welcomed the contributions being made to these important community assets. The provision of the new sound system to Sir Roger Manwood's School and the communications system upgrade to Sandwich Technology School will go ahead regardless of the final decision by Dover District Council on the proposed Richborough Mast. The current funding activities are the first phase of Vigilant's plans for community engagement. A substantially larger second phase is due to be implemented following determination of the Richborough Mast application. Subject to consent being granted and the mast being built, the second phase would provide long-term community benefits, including but not limited to: a Community Fund which would generate well in excess of 1 million over the lifetime of the mast . This fund would be administered by a Board of local representatives and would make substantial financial contributions towards employment and training initiatives, heritage projects and other good causes. Additional elements of the community benefits programme include a 100,000 donation to English Heritage to improve the visitor experience at the Richborough Fort and further financial contributions to individual community groups. Thomas Molloy, School Business Manager at Sir Roger Manwood's School, said: "We are delighted to receive this generous contribution towards a new sound system for the School's Hall as part of the Richborough Mast community benefits programme. The Hall plays an important role in our curricular and extra-curricular activities, as it's the main space in which we can bring people together, so this funding from Vigilant Global will make a real difference to staff, students, parents and visitors. "We hope this is the first of many ways in which we can work with Vigilant Global to the benefit of the whole Sir Roger Manwood's School community." Eric Bellerive, Director of Global Networks at Vigilant Global, said: "Vigilant Global is committed to giving back to the communities we work in, and we have a long track record of supporting important local community groups. "We are delighted to be able to extend our support to Sir Roger Manwood's School, having already worked with Sandwich Technology School. We're looking forward to building a long term relationship with these schools, and with other schools and community groups in the local area." Craig Mackinlay, Member of Parliament for South Thanet, said: "I warmly welcome Vigilant Global's generous donation to Sir Roger Manwood's School, as part of their stated commitment to contributing to the local community. I have been in close contact with both of the companies that have submitted planning applications for masts in the constituency, and continue to encourage them to work together to deliver a proposal for a single mast that meets everyone's needs. I am very grateful for their efforts to date." Vigilant Global is continuing to engage with local groups who could benefit from the Richborough Mast community benefits programme. The firm continues to encourage other groups in the Richborough, Ash and Sandwich area to contact the team at info@richboroughmast.co.uk. Press office: 0800-368-9749 SOURCE Vigilant Global ASCHHEIM, Germany, July 11, 2016 /PRNewswire/ -- Customers can pay for furniture deliveries right on their doorstep Wirecard provides payment software and handles payment processing Wirecard, one of the leading payment service providers, and AMETRAS, a global IT and software provider, have announced a cooperation in the field of mobile payment. Together, the companies are bringing to market a payment app for Europe's largest furniture and furnishings store Mobel Inhofer. Using the app, furniture couriers can take payments on the customer's doorstep. This means that Mobel Inhofer customers have the possibility of paying a deposit for their goods immediately upon purchase, before using this technology to settle the remaining balance upon delivery - either via a simple card payment (Visa or MasterCard) or direct debit. The mobile payment solution is based on a combination of an app and an mPOS device which works in conjunction with mobile end devices such as tablets and smartphones. The app allows couriers to see at a glance exactly how much the customer still has to pay. Wirecard provides all payment software and is responsible for payment processing to facilitate this. Wirecard and AMETRAS are therefore enabling the innovative retailer Mobel Inhofer to expand its value-added chain. More than 45,000 users work with AMETRAS IT solutions on a day-to-day basis and the company has over 500 customers in Germany, Austria, Switzerland and other European countries. Bernd Rohl, Managing Director at AMETRAS, comments on the new collaboration with Wirecard: "It is our aim to support our customers and meet their needs exactly with tailored IT solutions. Our objective is to offer Mobel Inhofer's customers a flexible and secure shopping experience using the app. Wirecard, as a leading payment and technology provider with long-standing experience in the field of mobile payment, is an ideal cooperation partner". Christian Reindl, Executive Vice President Sales Consumer Goods at Wirecard, adds: "We are pleased to support Mobel Inhofer in providing their customers with an innovative and convenient shopping experience. This app is an active response to the steady increase in the furniture segment's sales volume over recent years. Customers increasingly want their purchase experiences to be more felxible and tailored to their needs. At the moment, this trend is particularly evident in Germany. For this reason, user-friendliness and providing customers with a simple and secure payment process were central elements of the app development process". About Wirecard: Wirecard AG is a global technology group that supports companies in accepting electronic payments from all sales channels. As a leading independent supplier, the Wirecard Group offers outsourcing and white label solutions for electronic payments. A global platform bundles international payment acceptances and methods with supplementary fraud prevention solutions. With regard to issuing own payment instruments in the form of cards or mobile payment solutions, the Wirecard Group provides companies with an end-to-end infrastructure, including the requisite licences for card and account products. Wirecard AG is listed on the Frankfurt Securities Exchange (TecDAX, ISIN DE0007472060, WDI). For further information about Wirecard, please visit http://www.wirecard.com or follow us on Twitter @wirecard. Wirecard media contact: Wirecard AG Jana Tilz Tel.: +49 0 89 4424 1363 Email: jana.tilz@wirecard.com About AMETRAS: AMETRAS informatik AG is a non-listed company based in Baienfurt, Baden-Wurttemberg, Germany. The company was founded in 1977 as UDV, before being renamed to AMETRAS informatik AG in the year 2000; a name it bears to this day. AMETRAS develops software and provides business IT solutions aimed at providing optimum support for users and therefore improve and streamline working processes. The software and IT solutions supplier employs approximately 250 staff, has seven subsidiaries across Europe and in the USA and focuses on the markets Germany, Austria, Switzerland and the USA. For more information, go to http://www.ametras.com. AMETRAS media contact: AMETRAS informatik AG Steffi Abt Tel.: +49 0 751 5685 -124 Email: steffi.abt@ametras.com SOURCE Wirecard AG MONTREAL, July 11, 2016 /PRNewswire/ - Are you tired of cavities, bad breath, sore and bleeding gums and huge dental bills? Do you hate to floss and are embarrassed having to lie to your dentist and hygienist about the number of times you floss each week? Are you tired of simply having bad breath? Dr. Allan Coopersmith, BSc DDS FAGD FADI FICD FCARDP FACD FIADFE, and dental hygienist Nathalie Fiset, RNH, have invented a new and innovative dental product, the PerioTwist, which launches on Kickstarter in July for worldwide distribution this September. The PerioTwist is a patented, inter-dental cleaner, which acts like a turbo toothpick that is easier, faster and more efficient than any floss, pick or brush you will ever use. Flossing is often difficult, time-consuming and occasionally painful. Alternatives like wires and brushes break, scratch and damage your teeth, and often result in expensive dental work. The PerioTwist is the only device that can be "twisted" and pushed/pulled for maximum efficacy. PerioTwist is super simple to use. Just insert it between your teeth and twist or push/pull it and let the magic of its design take care of the rest. Alternatively, you could also dip it into any paste (like toothpaste, liquid or gel for addition benefits) and twist it clockwise as you withdraw it leaving toothpaste or other medicaments to keep on treating. PerioTwist will treat and prevent tooth decay, gum disease and bad breath and even systemic illnesses such as heart attacks and strokes. PerioTwist's one size fits all design makes it easy to use no matter how wide or tight the space between the teeth. As a result PerioTwist is ideal for people with braces, bridges and implants. Each PerioTwist is reusable and can last for weeks, which makes it economically friendly. It also has 100% natural essential oil and antibacterial (Guard-In) which keeps it germ free, and has a cover which fits right on the handle which makes it easy to hold and use. Best part is its small enough to carry in your pocket or wallet without getting damaged for use on the go! Latest research shows that many patients actually lie to their dentist or hygienist that they floss. That is why the founders spent the last 12 years researching and developing the PerioTwist. "We as health professionals were as tired trying to convince our patients to floss, as our patients were to listening about it." Said Dr. Coopersmith and Nathalie Fiset. "The truth is that dental floss is not the easiest to use. You need two clean hands, manual dexterity and usually a mirror and sink. Not to mention that it often tears or shreds and even turn your fingers blue!" The PerioTwist was invented, developed, and manufactured in Canada. Watch out for the Kickstarter campaign starting in June/16 to be the first to start preventing tooth decay, gum disease, bad breath, heart attacks, strokes and to start saving time and money at the dental office. SOURCE Hops&Co. WALTHAM, Mass., July 11, 2016 /PRNewswire/ -- Following a collaborative process with the U.S. Food and Drug Administration (FDA), Alere Inc. (NYSE: ALR) will be initiating a voluntary withdrawal of the Alere INRatio and INRatio2 PT/INR Monitoring System. Alere is working with the FDA to determine the most appropriate timing for product discontinuation and will provide guidance on transitioning patients to an alternate solution to allow them to continue anti-coagulation monitoring in the least disruptive manner possible. Alere's focus, as always, is on the safety of patients using the company's products by delivering high-quality products and services that patients and providers can rely on for consistently accurate and actionable information. In December 2014, Alere initiated a voluntary correction to inform users of the Alere INRatio and INRatio2 PT/INR Monitoring System that patients with certain medical conditions should not be tested with the system. As part of its commitment to ensuring the safety of patients, Alere proactively reported these device concerns to the FDA and began conducting a thorough investigation into these events. Over the course of the past two years, Alere invested in the research and development of software enhancements to address the potential, in certain cases, of the system to deliver a result that differs from that of another measurement method. Although Alere is confident that the software enhancements it developed and submitted to the FDA at the end of 2015 effectively address this issue, the FDA notified the company that it believes the company's studies do not adequately demonstrate the effectiveness of the software modification and advised Alere to submit a proposed plan to voluntarily remove the INRatio device from the market. In light of this input from the FDA and the company's business considerations, Alere has recently determined to voluntarily remove the INRatio system from the market. Alere is committed to ensuring an orderly transition for patients requiring anti-coagulation monitoring and will provide a timeline to discontinue the product line. Alere will provide further information on patient transition to patients and healthcare providers. We suggest that patients speak with their healthcare providers prior to making any changes to their current PT/INR monitoring practices. For more information regarding this recall, go to www.inr-care.com. About Alere Alere believes that when diagnosing and monitoring health conditions, Knowing now matters. Alere delivers on this vision by providing reliable and actionable information through rapid diagnostic tests, enhancing clinical and economic health outcomes globally. Headquartered in Waltham, Mass., Alere focuses on rapid diagnostics for infectious disease, cardiometabolic disease and toxicology. For more information on Alere, please visit http://www.alere.com. Media Contact: Jackie Lustig Senior Director, Corporate Communications [email protected] 781.341.4009 Investor Contact: Juliet Cunningham Vice President, Investor Relations [email protected] 858.805.2232 SOURCE Alere Inc. Related Links http://www.alere.com TROY, Mich. and FARNBOROUGH, England, July 11, 2016 /PRNewswire/ -- Altair and Progresstech have today, at the International Farnborough Air Show, signed a Memorandum of Understanding under which Progresstech will leverage its engineering expertise and market positions to promote and distribute Altair's software technology and methods in Russia and the Commonwealth of Independent States (CIS). The terms of the partnership include the promotion of Altair's HyperWorks Suite, solidThinking products and Altair's methods for lead time reduction and weight optimization. Altair will support Progresstech to leverage and build competence in Altair's optimization methodology and both companies will engage jointly in engineering projects when beneficial to the companies' clients. Both parties will work on a formal distribution agreement for Progresstech to become an official HyperWorks reseller in Russia and the CIS. "I am very pleased with this agreement," said Dr. Pietro Cervellera, Managing Director, Altair Engineering GmbH. "Progresstech is a well-known and established player for advanced engineering services world wide. Their expertise and regional presence will help Altair, who has no direct local office in Russia, to establish the use of HyperWorks, solidThinking products and Altair's simulation driven innovation method in the Russian and related region's commercial and educational markets." "We are very happy to include the Altair's solutions in our portfolio and to work with their methods," said Ekaterina Vasilieva, Vice President International Cooperation, Progresstech Group. "Altair provides a very unique set of solutions and we are planning to include the Altair software as the primary tool enhancing our engineering processes. This partnership will help us to reach and support new customers and Altair to establish their software and engineering methods in our region. We are looking forward to the benefits customers of both companies will gain from this partnership." About ALTAIR Altair is focused on the development and broad application of simulation technology to synthesize and optimize designs, processes and decisions for improved business performance. Privately held with more than 2,600 employees, Altair is headquartered in Troy, Michigan, USA and operates more than 45 offices throughout 22 countries. Today, Altair serves more than 5,000 corporate clients across broad industry segments. To learn more, please visit www.altair.com. About PROGRESSTECH Progresstech is a group of companies that provides global engineering solutions to aerospace, automotive, energy industries, as well as airport infrastructures services. The Group employs and leverages the talents of over 2000 professionals worldwide, having offices presented in Armenia, Cyprus, France, Latvia, Poland, Russia, Ukraine, and United States . Progresstech's strategy is aimed at preservation and development of the intellectual resources, scientific and practical experience, and proactive approach to formation of a different business environment around the world. For more information please visit: http://www.progresstech.aero/en. Media Contacts Altair: Altair Europe, the Middle East and Africa Evelyn Gebhardt +49 6421 9684351 [email protected] Altair Corporate / Americas Biba A. Bedi +1.757.224.0548 x 406 [email protected] Progresstech: Ekaterina Vasilieva +7 495 741 4645 ext. 124 [email protected] SOURCE Altair Related Links http://www.altair.com TEL AVIV, Israel, July 11, 2016 /PRNewswire/ -- CallVU, the market leader in Mobile Digital Engagement for financial institutions, telecommunication and contact center operators, which recently secured a strategic investment led by Liberty Global and NICE, has today announced the arrival of Amitai Ratzon as Vice President of Global Sales. "We are excited to have Amitai join CallVU's executive management team at a time when our award-winning Mobile Digital Engagement platform is experiencing tremendous growth," says Tuval Lava, President and Executive Chairman of CallVU. "Amitai is an accomplished sales leader, who has a track record of building a sales culture focused on winning new customers through innovative technologies. Amitai will drive CallVU's go-to-market strategies across financial services and other verticals while maintaining the strong customer relationships that have always defined our company." Mr. Ratzon joins CallVU from Earnix, where he served as Vice President of Sales since 2012, selling Pricing Optimization solutions into the world's largest banks and insurance companies. Prior to Earnix, Mr. Ratzon spent 7 years at SuperDerivatives (now part of ICE), selling Derivatives Pricing and Risk Management solutions to banks, insurance companies, pension funds and asset managers across EMEA. "CallVU is regarded as one of the most promising Fintech companies in the digital space today and I am very excited to join the company", says Amitai. "Financial institutions as well as other large enterprises are undergoing massive digital transformations these days, and CallVU's Mobile Digital Engagement Platform is perfectly suited to addressing some of the most acute needs faced by the industry. I see a great future for CallVU and I'm proud to be part of the company's leadership team". About CallVU CallVU has developed an innovative Mobile Digital Engagement Platform which combines rich digital and interactive media with the voice channel. CallVU delivers a highly engaging and collaborative customer experience and creates a new customer service channel for smartphone users. CallVU's customers use the platform to increase digital engagement and self-service, reduce calls, and improve first contact resolution in contact centers. CallVU has been designated by Gartner as a "Cool Vendor in CRM Customer Service and Support, 2016." For more information about CallVU go to www.callvu.com. Logo: http://photos.prnewswire.com/prnh/20160503/362908LOGO Contact: Amitai Ratzon +1 (646) 7571601 [email protected] SOURCE CallVU Related Links http://www.callvu.com HOUSTON, July 11, 2016 /PRNewswire/ -- Anadarko Petroleum Corporation (NYSE: APC) will host a conference call on Wednesday, July 27, 2016, at 8 a.m. CDT (9 a.m. EDT) to discuss its second-quarter 2016 financial and operating results. Earnings will be released after close of market on Tuesday, July 26. The full text of the release will be available on the company's website at www.anadarko.com. Second-Quarter 2016 Results Wednesday, July 27, 2016 8 a.m. CDT (9 a.m. EDT) Dial-in number: 877-883-0383 International dial-in number: 412-902-6506 Confirmation number: 0728576 Individuals who would like to participate should dial the applicable dial-in number listed above approximately 15 minutes before the scheduled conference call time, and enter confirmation number 0728576 when prompted. To access the live audio webcast and related presentation materials, please visit the investor relations section of the company's website at www.anadarko.com. A replay of the conference call will also be available on the website for approximately 30 days following the call. Anadarko Contacts Investors: John Colglazier, [email protected], 832.636.2306 Brian Kuck, [email protected], 832.636.7135 Shandell Szabo, [email protected], 832.636.3977 Pete Zagrzecki, [email protected], 832.636.7727 Logo - http://photos.prnewswire.com/prnh/20141103/156201LOGO SOURCE Anadarko Petroleum Corporation Related Links http://www.anadarko.com SAN DIEGO, July 11, 2016 /PRNewswire/ -- Angionetics Inc., a wholly-owned subsidiary of Taxus Cardium Pharmaceuticals Group Inc. (Trading Symbol: CRXM), today announced that, an entity affiliated with Huapont Life Sciences has entered into an agreement covering a $3,000,000 private equity investment, to acquire a 15% preferred stock equity stake in Angionetics. This investment is intended to support the Generx [Ad5FGF-4] Phase 3 clinical and commercialization development program and to advance Angionetics' business plan to operate independent of Taxus Cardium. Under the agreement, the Angionetics private preferred stock equity investment will be made in two tranches. An initial investment of $1,000,000 has been paid and the remaining $2,000,000 will be paid upon FDA clearance of Angionetics to initiate a new U.S.-based Phase 3 clinical study (the AFFIRM study) to evaluate the continued safety and definitive efficacy of the Generx [Ad5FGF-4] product candidate for the treatment of patients with ischemic heart disease and refractory angina. The Angionetics preferred stock automatically converts into Angionetics common stock concurrent with the completion of an initial public offering by Angionetics. Huapont will have a right to name one director to the Angionetics Board of Directors, and has certain participation rights to invest in future planned Angionetics equity financings. Huapont and Angionetics have also agreed to sublicense the clinical development and rights to market and sell Generx in Mainland China, the terms and conditions of which will be announced shortly. With the support of Taxus Cardium's long-term strategic investor, Shanxi Taxus Pharmaceuticals, this new equity investment and Generx sublicensing with the Huapont Life Sciences' affiliate stands in place of a previously reported agreement between Shanxi Taxus and Taxus Cardium which was not effected. Huapont Life Sciences Huapont Life Sciences is a China-based company focused on the research and development of new and innovative healthcare products, and the manufacture, marketing and sale of leading pharmaceutical products, active pharmaceutical ingredients (known as APIs) and a portfolio of safe and effective agricultural herbicides (including NC16, NC34, NC36, NC125, NC201) serving the agricultural business throughout the US and South American markets. Huapont Life Science's pharmaceutical business includes dermatology products, cardiovascular products, anti-tuberculosis agents, autoimmune-related products and oncology-related products. Huapont Life Science's API business involves the production and sale of bulk pharmaceutical chemicals, pharmaceutical intermediates and preparations of Western medicines, with current annual revenues of approximately US $1.1 billion, and approximately 7,100 employees operating throughout Mainland China. Huapont Life Sciences is listed on the Shenzhen Stock Exchange (002004.SZ) and carries a current market capitalization of approximately US $3.0 billion. An entity affiliated with Huapont Life Sciences has entered into the equity and license agreements with Angionetics and expects to collaborate with Huapont Life Sciences in the development, approval process and then marketing and sale of Generx. Angionetics Inc . Angionetics Inc. is a biotechnology company, recently-formed by Taxus Cardium, that has been designed to effect an asset "value unlock" of the company's undervalued technology platforms. Following completion of the transaction, Taxus Cardium will own an 85% equity stake in Angionetics in the form of common stock and Huapont Life Science's investment affiliate will hold a 15% equity position in Angionetics in the form of preferred stock (visit www.angionetics.com). As Angionetics advances forward with its plan to operate as a company independent of Taxus Cardium, it will focus on the clinical and commercial development of angiogenic, gene- based bio-therapeutics for the treatment of almost 1.0 million patients in the U.S. who have late-stage coronary artery disease and refractory angina and other ischemic heart disorders and medical conditions. Following the formation of Angionetics by Taxus Cardium, the management team initiated a comprehensive review of Taxus Cardium's global Generx regulatory and clinical dossier, and elected to primarily focus on the clinical advancement and registration of Generx in the United States and China, which are considered to be the most dynamic medical markets in the world for new and novel breakthrough products like the Generx product candidate. Based on recent filings, the FDA Center for Biologics Evaluation and Research (CBER) has accepted and designated Angionetics Inc. as the Sponsor, and acknowledged Angionetics' U.S. activation of the Ad5FGF-4 (Generx) Investigational New Drug Application (IND) pursuant to Section 505(i) of the Federal Food, Drug and Cosmetic Act. The previously granted FDA "Fast Track" designation for the Generx development program continues forward. In addition, Angionetics has submitted, for FDA clearance, a new U.S.-based Phase 3 clinical study protocol (the "AFFIRM" study) to evaluate the further safety and definitive efficacy of Generx [Ad5FGF-4] for men and women with advanced ischemic heart disease and refractory angina. Angionetics has submitted the planned Generx [Ad5FGF-4] Phase 3 AFFIRM clinical study protocol to the FDA as well as updates to all key elements of the Generx IND. The recent submission included an updated Investigator's Brochure and a summary of clinical efficacy and safety data from the four FDA cleared, U.S. and international clinical studies. The clinical data, including patient subset analyses, were used as the basis for the AFFIRM study design and target patient population. The updated long-term safety data totaled over 2,500 patient years, and represented the completed safety dataset for the prior clinical studies. A detailed review of product manufacturing procedures, testing strategies and up-to-date stability data were also provided to the FDA. The new U.S.-focused AFFIRM clinical study protocol, as submitted to the FDA, incorporates important research innovations that include: (1) enhanced cardiac delivery procedures utilizing standard balloon catheters, supported by research showing that transient ischemia may enhance gene transfer to heart cells; and (2) a more comprehensively characterized target patient population based on Ad5FGF-4 responder data from the four FDA cleared clinical studies. The study patient population includes patients with refractory angina (no longer responsive to anti-anginal medications and not a candidate CABG or PCI), and documented clinical evidence of myocardial ischemia within the past 12 months. Patients must have clinically significant limitation of physical activity due to angina (CCS Class 3 or 4) and angina-limited baseline exercise treadmill test (ETT) duration of 3-7 min. The proposed primary efficacy endpoint will be improvement in ETT duration in Generx-treated patients compared to a placebo control group. Secondary efficacy endpoints include change in CCS angina class, change in weekly angina frequency and nitroglycerin usage, and change in quality of life, assessed using the Seattle Angina Questionnaire (SAQ). Angionetics now plans to focus on the late stage clinical and commercial development of Generx in key target markets that include the U.S. and China. Based on this new geographic strategy, the clinical study in the Russian Federation will not be continued. Furthermore, the commercialization opportunity with Dr. Reddy's Laboratories, previously reported by Taxus Cardium, will not be advanced to a definitive agreement. Our Generx [Ad5FGF-4] bio-therapeutic product candidate has been developed over the past decade by researchers, clinicians and physicians at Angionetics and its predecessor companies, Collateral Therapeutics, Schering AG (now Bayer Healthcare) and Cardium Therapeutics. Our highly experienced management team has been responsible for advancing Ad5FGF-4 from preclinical research, into late stage clinical development based on a wide array of innovations, clinical research discoveries and commercial insights. Over $250 million has been invested by Angionetics and its predecessor companies to advance Generx into late-stage clinical study. Collectively, our management team has over 100 years of experience in the development of gene-based cardiovascular therapeutic product candidates, and was involved in the initial discovery and early development of Ad5FGF-4 and the advancement of Ad5FGF-4 from preclinical research to Phase 3 clinical study. Generx [Ad5FGF-4] Angiogenic Gene Therapy Product Candidate Generx (Ad5FGF-4) is a first in class, disease altering, one-time administered, late-stage clinical product candidate initially for the treatment of patients with myocardial ischemia and refractory angina due to coronary artery disease. Generx has been biologically engineered to enhance blood flow (perfusion) in ischemic regions of the heart by leveraging cardiac plasticity to promote the natural formation and growth of microvascular coronary structures (collateral vessels). This is achieved by stimulating and augmenting the heart's innate natural capacity to modulate the enlargement of pre-existing collateral arterioles (arteriogenesis), and to form new capillary vessels (angiogenesis) in select ischemic regions downstream from large coronary arteries. The angiogenic biological process driven by the Generx product candidate is referred to as "medical revascularization", in contrast to the classic "mechanical revascularization" procedures that include coronary artery bypass surgery (CABG), and percutaneous coronary intervention (PCI) involving angioplasty and stents. Generx therapy is initially intended to broaden and enhance the spectrum of care for patients with myocardial ischemia-driven refractory angina, who are unresponsive to optimal medical therapy, have low angiographic risk and thus, based on a large number of independent clinical studies (COURAGE, BARI 2D, STICH and PROMISE), are unlikely to receive any prophylactic benefit from early mechanical revascularization. It is estimated that approximately 900,000 Americans have refractory angina. Every year approximately 50,000 to 100,000 new patients are diagnosed with refractory angina. In addition, approximately 200,000 patients in the U.S. have Cardiac Syndrome X, a condition believed to be due to microvascular dysfunction. Angionetics and its predecessor companies and strategic partners, have made multiple innovations and refinements that have enabled the clinical advancement of the Generx angiogenic gene therapy product candidate. Generx has been evaluated in four FDA-cleared, multi-center, randomized and placebo-controlled clinical studies. These combined studies enrolled almost 700 patients at over 100 medical centers in the U.S., Western Europe and Asia. Based on these studies, recent preclinical studies, and further international clinical evaluations, the Generx product candidate appears to be safe and well-tolerated and has generated preliminary findings of efficacy in men and women, based on multiple efficacy endpoints and patient subsets including significant improvements in (1) exercise tolerance testing, (2) myocardial perfusion, (3) CCS class, and (4) numeric improvements in angina attacks and anti-anginal pharmaceutical medications. Long-term safety follow-up has generated over 2,500 patient years of long-term safety data. Taxus Cardium Pharmaceuticals Group Taxus Cardium Pharmaceuticals Group Inc. is a holding company that operates a portfolio of equity-based and potential royalty-driven investments as follows: (1) Angionetics, currently a majority-owned business unit focused on the late-stage clinical development and commercialization of Generx, an angiogenic gene therapy product candidate designed for medical revascularization for the potential treatment of patients with myocardial ischemia and refractory angina due to advanced coronary artery disease); (2) the Excellagen technology platform, that has broad potential applications as a delivery platform for small molecule drugs, proteins and biologics and as an FDA-cleared flowable dermal matrix for advanced wound care, which is currently being held as an investment for future sale or internal commercialization; (3) LifeAgain, an advanced medical data analytics (ADAPT) technology platform focused on developing new and innovative products for the life insurance and healthcare sectors; and (4) Healthy Brands Collective, a functional food and nutraceutical company which acquired Taxus Cardium's To Go Brands business. Forward-Looking Statements Except for statements of historical fact, the matters discussed in this press release are forward looking and reflect numerous assumptions and involve a variety of risks and uncertainties, many of which are beyond our control and may cause actual results to differ materially from expectations. For example, there can be no assurance that the Generx product candidate will be accepted for registration for marketing and sales in the United States and Asian countries set forth in this press release or that the Generx product candidate will be accepted for widespread use in such countries, and there can be no assurance that results or trends observed in one clinical study or procedure will be reproduced in subsequent studies or in actual use; that new clinical studies will be successful or will lead to approvals or clearances from health regulatory authorities, or that approvals in one jurisdiction will help to support studies or approvals elsewhere; that we can attract suitable commercialization partners for our products or that we or partners can successfully commercialize them; that our product or product candidates will not be unfavorably compared to competitive products that may be regarded as safer, more effective, easier to use or less expensive; or blocked by third party proprietary rights or other means; that we will be able to adequately fund ongoing operations; that Angionetics will receive clearance from the FDA for a Phase III trial and complete the second tranche investment, or that we will not be adversely affected by these or other risks and uncertainties that could impact our operations. We undertake no obligation to release publicly the results of any revisions to these forward-looking statements to reflect events or circumstances arising after the date hereof. Copyright 2016 Taxus Cardium Pharmaceuticals Group Inc. All rights reserved. For Terms of Use Privacy Policy, please visit www.angionetics.com. Angionetics, Cardium Therapeutics, Generx, Cardionovo, Tissue Repair, LifeAgain, and Medical Revascularization are trademarks of Taxus Cardium Pharmaceuticals SOURCE Taxus Cardium (CRXM) Related Links http://www.cardiumthx.com HOUSTON, July 11, 2016 /PRNewswire/ -- ARM Energy (ARM) and HPS Investment Partners, LLC (HPS) announced today that Kingfisher Midstream, LLC officially began full operation of the Kingfisher Midstream Lincoln plant in Oklahoma earlier this month. ARM Midstream, a subsidiary of ARM Energy began construction of the facility in September 2015 in partnership with capital provided by HPS. "Bringing the Kingfisher Midstream Lincoln plant online and on schedule is an exciting milestone for our partnership and for producers in the STACK," said Zach Lee, ARM's chief executive officer. "The facility will greatly alleviate some of the production bottlenecks in the STACK area by providing 60,000 MCFD of needed processing capacity. Producers in the area may now benefit from an unconstrained gas gathering option as well as a centralized crude oil truck load out facility." "HPS greatly values its partnership with ARM and is excited to help launch this best-in-class midstream system for producers in the STACK," said Don Dimitrievich, a Managing Director at HPS. "Development of the Kingfisher Midstream Lincoln plant reflects the increasing focus of HPS on investing in midstream assets in production areas, such as the STACK, where some of the best economics in the industry currently exist." The project is anchored by a long-term commitment of more than 100,000 net acres dedicated to Kingfisher Midstream, LLC. Phase one features a state-of-the-art, 60,000 MCFD cryogenic processing plant, over 100 miles of high and low pressure gas gathering pipeline, over 100 miles of crude gathering, a 50,000 bbl crude storage facility, condensate stabilization, and six crude oil truck loading stations. It includes 15,000 horsepower of compression, giving it the ability to accept low and high pressure gas from field production. Phase two will feature an additional 200,000 MCFD of processing capacity, incremental gas and crude gathering, and added market connectivity. "Producers in the STACK continue to have impressive results from multiple horizons adding to the economic viability of the play," said Taylor Tipton, President of ARM Midstream and ARM Energy Management. "As the STACK develops, and as Kingfisher Midstream's processing capabilities expand, the added processing capacity, firm residue take away, and crude pipeline connectivity to Cushing will ensure those economics are protected." About HPS Investment Partners, LLC HPS is a leading global investment firm with a focus on non-investment grade credit. Established in 2007, HPS currently has 92 investment professionals and is headquartered in New York with seven offices globally. HPS was originally formed as a unit of Highbridge Capital Management, LLC (HCM), a subsidiary of J.P. Morgan Asset Management. In March 2016, the principals of HPS acquired the firm from J.P. Morgan, which retained HCM's hedge fund strategies. As of earlier this month, HPS has approximately $30 billion of assets under management and since inception has invested over $3 billion in the energy and power industries. About ARM Energy ARM is an independent producer services company that provides solutions through financial hedging advisory, physical marketing, and midstream solutions. ARM delivers value to its clients by identifying and capturing potential market upside and de-risking producers' natural commodity long position across all of its service lines. ARM Energy Management ("AEM") markets crude oil/condensate, natural gas, and natural gas liquids for more than 125 producer clients in all major producing basins throughout the United States. ARM leverages the industry knowledge and experience of its staff to offer a wide variety of reliable and competitively priced energy marketing and management services to its clients delivering tangible value. The company and its predecessors have operated multiple midstream assets in Pennsylvania, Texas and Louisiana since 2009. ARM has corporate headquarters in Houston and offices in Pittsburgh, Denver, Calgary, Oklahoma City, and Midland. For more information, please visit www.armenergy.com. SOURCE ARM Energy Related Links http://www.armenergy.com/ COVINGTON, Ky., July 11, 2016 /PRNewswire/ -- Ashland Inc. (NYSE: ASH) today announced that the company and its bank group entered into an amendment to Ashland's senior unsecured credit agreement dated June 23, 2015 (the "Ashland credit agreement") and that Ashland's wholly owned subsidiary, Valvoline Finco One LLC, has entered into a delayed-draw credit agreement for new senior secured bank facilities (the "Valvoline delayed-draw credit agreement"). These agreements mark a significant milestone in Ashland's previously announced plan to separate into two independent, publicly traded companies: Ashland Global Holdings Inc., composed of Ashland Specialty Ingredients and Ashland Performance Materials, and Valvoline Inc., composed of Ashland's Valvoline business segment. The Ashland credit agreement was amended to permit, among other things, the transactions contemplated by the separation and to make certain related technical changes. The Valvoline delayed-draw credit agreement provides for $1.325 billion in financing, consisting of a five-year secured senior revolving credit facility in an aggregate amount of $450 million, which includes a $100 million letter of credit sublimit, and a five-year senior secured term loan facility in an aggregate principal amount of $875 million. The Valvoline delayed-draw credit agreement will be available for borrowings upon the transfer of the Valvoline business to Valvoline Inc. and upon the satisfaction of certain other conditions. Ashland expects to satisfy these conditions in the fall of 2016 in connection with other steps in the planned separation. About Ashland Ashland Inc. (NYSE: ASH) is a global leader in providing specialty chemical solutions to customers in a wide range of consumer and industrial markets, including adhesives, architectural coatings, automotive, construction, energy, food and beverage, personal care and pharmaceutical. Through our three business units Ashland Specialty Ingredients, Ashland Performance Materials and Valvoline we use good chemistry to make great things happen for customers in more than 100 countries. Visit ashland.com to learn more. Forward-Looking Statements This news release contains forward-looking statements. Ashland has identified some of these forward-looking statements with words such as "anticipates," "believes," "expects," "estimates," "is likely," "predicts," "projects," "forecasts," "objectives," "may," "will," "should," "plans" and "intends" and the negative of these words or other comparable terminology. These forward-looking statements include statements relating to status of the separation process, the plan to pursue an IPO of up to 20 percent of the common stock of Valvoline and the expected completion of the separation through the subsequent distribution of Valvoline common stock, the anticipated timing of completion of the planned IPO and subsequent distribution of the remaining Valvoline common stock, the plan to reorganize under a new public holding company to be called Ashland Global Holdings Inc., the availability of borrowings under the Valvoline delayed-draw credit agreement and Ashland's and Valvoline's ability to pursue their long-term strategies. In addition, Ashland may from time to time make forward-looking statements in its annual report, quarterly reports and other filings with the SEC, news releases and other written and oral communications. These forward-looking statements are based on Ashland's expectations and assumptions, as of the date such statements are made, regarding Ashland's future operating performance and financial condition, including the proposed separation of its specialty chemicals and Valvoline businesses, the proposed IPO of its Valvoline business, the expected timetable for completing the IPO and the separation, the proposal to reorganize under a new holding company, the future financial and operating performance of each company, strategic and competitive advantages of each company, the leadership of each company, and future opportunities for each company, as well as the economy and other future events or circumstances. Ashland's expectations and assumptions include, without limitation, internal forecasts and analyses of current and future market conditions and trends, management plans and strategies, operating efficiencies and economic conditions (such as prices, supply and demand, cost of raw materials, and the ability to recover raw-material cost increases through price increases), and risks and uncertainties associated with the following: the possibility that the proposed IPO, new holding company reorganization or separation will not be consummated within the anticipated time period or at all, including as the result of regulatory, market or other factors or the failure to obtain shareholder approval of the new holding company reorganization; the potential for disruption to Ashland's business in connection with the proposed IPO, new holding company reorganization or separation; the potential that the new Ashland and Valvoline do not realize all of the expected benefits of the proposed IPO, new holding company reorganization or separation or obtain the expected credit ratings following the proposed IPO, new holding company reorganization or separation; the possibility that Ashland and Valvoline may not satisfy the conditions precedent to borrowing under the Valvoline delayed-draw credit agreement; Ashland's substantial indebtedness (including the possibility that such indebtedness and related restrictive covenants may adversely affect Ashland's future cash flows, results of operations, financial condition and its ability to repay debt); the impact of acquisitions and/or divestitures Ashland has made or may make (including the possibility that Ashland may not realize the anticipated benefits from such transactions); severe weather, natural disasters, and legal proceedings and claims (including environmental and asbestos matters). Various risks and uncertainties may cause actual results to differ materially from those stated, projected or implied by any forward-looking statements, including, without limitation, risks and uncertainties affecting Ashland that are described in its most recent Form 10-K and its Form 10-Q for the quarterly period ended March 31, 2016 (including Item 1A Risk Factors) filed with the SEC, which is available on Ashland's website at http://investor.ashland.com or on the SEC's website at http://www.sec.gov. Ashland believes its expectations and assumptions are reasonable, but there can be no assurance that the expectations reflected herein will be achieved. Unless legally required, Ashland undertakes no obligation to update any forward-looking statements made in this news release whether as a result of new information, future event or otherwise. Non-solicitation A registration statement relating to the securities of Ashland Global Holdings Inc. has been filed with the SEC but has not yet become effective. These securities may not be sold nor may offers to buy these securities be accepted before the time the registration statement becomes effective. This news release shall not constitute an offer to sell or a solicitation of an offer to buy securities, and shall not constitute an offer, solicitation or sale in any jurisdiction in which such offer, solicitation or sale would be unlawful prior to registration or qualification under the securities laws of that jurisdiction. Additional Information and Where to Find It In connection with the reorganization, Ashland filed with the SEC the Ashland Global Holdings Inc. registration statement (the "Ashland Global Registration Statement") that includes a proxy statement of Ashland Inc. that also constitutes a prospectus of Ashland Global Holdings Inc. (which Ashland Global Registration Statement has not yet been declared effective). INVESTORS AND SECURITY HOLDERS ARE URGED TO READ THE PROXY STATEMENT/PROSPECTUS, AND ANY OTHER RELEVANT DOCUMENTS WHEN THEY BECOME AVAILABLE, BECAUSE THEY CONTAIN, OR WILL CONTAIN, IMPORTANT INFORMATION ABOUT ASHLAND INC., ASHLAND GLOBAL HOLDINGS INC. AND THE REORGANIZATION. A definitive proxy statement will be sent to shareholders of Ashland Inc. seeking approval of the reorganization after the Ashland Global Registration Statement is declared effective. The proxy statement/prospectus and other documents relating to the reorganization can be obtained free of charge from the SEC website at www.sec.gov. Participants in Solicitation This communication is not a solicitation of a proxy from any investor or shareholder. However, Ashland Inc., Ashland Global Holdings Inc. and certain of their directors and executive officers may be deemed to be participants in the solicitation of proxies in connection with the reorganization under the rules of the SEC. Information regarding Ashland Inc.'s directors and executive officers may be found in its definitive proxy statement relating to its 2016 Annual Meeting of Shareholders filed with the SEC on December 4, 2015 and in the proxy statement/prospectus included in the Ashland Global Registration Statement. Information regarding Ashland Global Holdings Inc.'s directors and executive officers may be found in the proxy statement/prospectus included in the Ashland Global Registration Statement. These documents can be obtained free of charge from the SEC. Logo - http://photos.prnewswire.com/prnh/20160711/388429LOGO SOURCE Ashland Inc. Related Links http://ashland.com PUNE, India, July 11, 2016 /PRNewswire/ -- According to a new market research report "Bleeding Disorders Treatment Market by Type Hemophilia A, Hemophilia B, vWD and others, by Drug Class - Plasma Derived Coagulation Factor Concentrates, Recombinant Coagulation Factor Concentrates, Desmopressin, Antibrinolytics, Fibrin Sealant and Others - Global Forecast to 2021", published by MarketsandMarkets, This market is valued at USD 10.33 Billion in 2016 and expected to grow at a CAGR of 7.9% from 2016 and 2021, to reach an estimated value of USD 15.09 Billion by 2021. (Logo: http://photos.prnewswire.com/prnh/20160303/792302 ) Browse 36 market data Tables and 20 Figures spread through 170 Pages and in-depth TOC on "Bleeding Disorders Treatment Market" http://www.marketsandmarkets.com/Market-Reports/bleeding-disorder-treatment-market-71198026.html Early buyers will receive 10% customization on this report. This report studies the global bleeding disorders treatment market over the forecast period of 2016 to 2021. The report provides a detailed overview of the major drivers, restraints, threats, opportunities, current market trends, and strategies impacting the bleeding disorders treatment market along with the estimates and forecasts of the revenue and market share analysis. The increasing prevalence of bleeding disorders and their rising awareness are key market drivers. Government initiatives, rising R&D activities and investments by key players are further expected to expedite the growth of bleeding disorders treatment market globally. The report segments this market based on type, drug class, and region. The type segment is further classified into hemophilia A, hemophilia B, von Willebrand Disease (vWD), and others. The hemophilia A and B are further classified (based on disease management) as prophylaxis and on-demand. The hemophilia A segment is estimated to account for the largest share in 2015. The drug class segment is further classified into plasma-derived coagulation factor concentrates, recombinant coagulation factor concentrates, desmopressin, antifibrinolytics, fibrin sealants, and others. The recombinant coagulation factor concentrates segment is estimated to account for the largest market share in 2015. Growth in this market is attributed to the rising R&D investments for the development and manufacturing of recombinant products by major players. Plasma-derived segment is estimated to register the slowest CAGR, owing to its reducing demand from end users due to risk of acquiring blood-associated infections. Additionally, difficulty in sourcing plasma from blood (due to scarcity of blood donors) further limits the growth of plasma-derived coagulation factor concentrates market. Ask for PDF Brochure: http://www.marketsandmarkets.com/pdfdownload.asp?id=71198026 Based on region, the global bleeding disorders treatment market is segmented into North America, Europe, Asia-Pacific (APAC), and the Rest of the World (RoW). North America is estimated to contribute the largest share of the market throughout the forecast period. The market in APAC is estimated to register the highest CAGR during the forecast period, owing to increasing awareness of these disorders in the emerging economies such as India and China. The key players operating in bleeding disorders treatment market include Shire (U.S.), CSL Behring (U.S.), Bayer AG (Germany), Novo Nordisk A/S (Denmark), Pfizer Inc. (U.S.), Biogen (U.S.), and Octapharma (Switzerland). Browse Related Reports: Biosimilars Market by Product (Recombinant Non-Glycosylated Proteins (Insulin, Filgrastim, Interferons, rHGH), Glycosylated (Monoclonal Antibodies, EPO), Peptides (Glucagon, Calcitonin)) & Application (Oncology, Blood Disorders) - Global Forecast to 2020 http://www.marketsandmarkets.com/Market-Reports/biosimilars-40.html Leukemia Therapeutics Market (Acute/Chronic Lymphocytic Leukemia & Acute/Chronic Myeloid Leukemia) Pipeline Forecast & Market Forecast in G8 Countries - Global Forecast to 2020 http://www.marketsandmarkets.com/Market-Reports/chronic-lymphocytic-leukemia-223.html Know More About our Knowledge Store @ http://www.marketsandmarkets.com/Knowledgestore.asp About MarketsandMarkets MarketsandMarkets is the world's No. 2 firm in terms of annually published premium market research reports. Serving 1700 global fortune enterprises with more than 1200 premium studies in a year, M&M is catering to a multitude of clients across 8 different industrial verticals. We specialize in consulting assignments and business research across high growth markets, cutting edge technologies and newer applications. Our 850 fulltime analyst and SMEs at MarketsandMarkets are tracking global high growth markets following the "Growth Engagement Model - GEM". The GEM aims at proactive collaboration with the clients to identify new opportunities, identify most important customers, write "Attack, avoid and defend" strategies, identify sources of incremental revenues for both the company and its competitors. M&M's flagship competitive intelligence and market research platform, "RT" connects over 200,000 markets and entire value chains for deeper understanding of the unmet insights along with market sizing and forecasts of niche markets. The new included chapters on Methodology and Benchmarking presented with high quality analytical infographics in our reports gives complete visibility of how the numbers have been arrived and defend the accuracy of the numbers. We at MarketsandMarkets are inspired to help our clients grow by providing apt business insight with our huge market intelligence repository. Contact: Mr. Rohan Markets and Markets UNIT no 802, Tower no. 7, SEZ Magarpatta city, Hadapsar Pune, Maharashtra 411013, India 1-888-600-6441 Email: [email protected] Visit MarketsandMarkets Blog @ http://mnmblog.org/market-research/healthcare/pharmaceuticals Connect with us on LinkedIn @ http://www.linkedin.com/company/marketsandmarkets SOURCE MarketsandMarkets FARNBOROUGH, United Kingdom, July 11, 2016 /PRNewswire/ -- Boeing [NYSE: BA] and Donghai Airlines announced today the airline's intent to purchase 25 737 MAX 8s and five 787-9 Dreamliners at the 2016 Farnborough International Airshow. The agreement is valued at more than $4 billion at current list prices. Shenzhen-based Donghai Airlines previously ordered 15 Next-Generation 737-800s and 10 737 MAX 8s in 2013. Donghai continues to fulfill its strategic plan to convert its business model from cargo services to passenger services. "Donghai Airlines has undergone steady development over the past 10 years since the beginning of our freighter operations in 2006," said Mr. Wong Cho-Bau, Chairman, Donghai Airlines. "Under China's One Belt One Road initiative, we will accelerate our fleet expansion plan to satisfy the rapidly growing air travel market and help build our home base Shenzhen as the transportation hub in southern China. We're committed to introducing new next-generation airplanes that deliver the industry-leading fuel efficiency and passenger comfort in their segment market as a key effort to fulfill the plan." Boeing will work with Donghai Airlines to finalize the details of the agreement. The order will be posted on Boeing's Orders & Deliveries website once all contingencies are cleared. "We are honored by Donghai Airline's reaffirmation of the 737 MAX, and we look forward to welcoming Donghai as our new 787 customer," said Boeing Commercial Airplanes President & CEO Ray Conner. "These new airplanes will provide Donghai Airlines with the added efficiency, operating economics and passenger comfort for their business growth domestically and internationally." The 737 MAX incorporates the latest technology CFM International LEAP-1B engines, Advanced Technology winglets and other improvements to deliver the highest efficiency, reliability and passenger comfort in the single-aisle market. The 787-9 Dreamliner can fly 290 passengers up to 14,140 kilometers in a typical two-class configuration. The airplane will deliver unmatched fuel efficiency to Donghai airlines, enabling the carrier to expand the range and quantity of services on long-haul market. Donghai Airlines started freighter operations in 2006. The carrier expanded to offer passenger services in 2014. Donghai Airlines currently has a fleet of 11 Boeing 737-800s serving for more than 10 cities across China. With extended air route network, the Shenzhen-based carrier is making great efforts to build a modern medium-scale airline with high quality. Contact: Yukui Wang Boeing China Communications +86 10 5925 5505 [email protected] SOURCE Boeing MELVILLE, N.Y., July 11, 2016 /PRNewswire/ -- Canon U.S.A., Inc., a leader in digital imaging solutions, has announced the recent promotion of Mr. Nobuhiko Kitajima to vice president and general manager of the business imaging solutions group as well as the appointment of Mr. N. Scott Millar as vice president and general manager of corporate human resources. Nobuhiko Kitajima, Vice President and General Manager of Business Imaging Solutions Group Serving in the position of vice president and general manager, Mr. Kitajima's concentration will be on Canon's large format solutions and image capturing products, as well as mixed reality products and the DreamLabo production photo printer. Mr. Kitajima joined Canon U.S.A., Inc. in 1986, before returning to Canon Inc. in 1993. Beginning his second assignment with Canon U.S.A. in 2002, Mr. Kitajima led the launch of the imagePROGRAF large format printer line in U.S. market, and rose to the position of senior director before his promotion to vice president and general manager. A thirty-five year Canon employee, Mr. Kitajima began with Canon Inc. in 1981. N. Scott Millar, Vice President and General Manager of Corporate Human Resources In his new position, Mr. Millar, successor of Mr. Joseph Warren, will be involved with talent acquisition, talent and leadership development, total rewards, employee engagement and HR operations and support. Since 2015, Mr. Millar has also served as senior director of human resources for Canon BioMedical, Inc., Canon's global headquarters for molecular diagnostic medical operations. Mr. Millar initially joined Canon Virginia, Inc. in 1992, where he served as the senior director of human resources for Canon Virginia, the company's flagship operation for manufacturing, engineering and technical support in the Americas. About Canon U.S.A., Inc. Canon U.S.A., Inc., is a leading provider of consumer, business-to-business, and industrial digital imaging solutions to the United States and to Latin America and the Caribbean (excluding Mexico) markets. With approximately $31 billion in global revenue, its parent company, Canon Inc. (NYSE:CAJ), ranks third overall in U.S. patents granted in 2015 and is one of Fortune Magazine's World's Most Admired Companies in 2016. Canon U.S.A. is committed to the highest level of customer satisfaction and loyalty, providing 100 percent U.S.-based consumer service and support for all of the products it distributes. Canon U.S.A. is dedicated to its Kyosei philosophy of social and environmental responsibility. In 2014, the Canon Americas Headquarters secured LEED Gold certification, a recognition for the design, construction, operations and maintenance of high-performance green buildings. To keep apprised of the latest news from Canon U.S.A., sign up for the Company's RSS news feed by visiting www.usa.canon.com/rss and follow us on Twitter @CanonUSA. For media inquiries, please contact [email protected]. Based on weekly patent counts issued by United States Patent and Trademark Office. Logo - http://photos.prnewswire.com/prnh/20160119/323578LOGO SOURCE Canon U.S.A., Inc. Related Links http://www.usa.canon.com RMaaS is a powerful, cloud-ready electronic records keeping option for federal agencies Stock Market Symbols GIB (NYSE) GIB.A (TSX) www.cgi.com/newsroom FAIRFAX, VA, July 11, 2016 /PRNewswire/ - With just six months remaining for federal agencies to comply with the first of two target dates set by the White House for electronic records management, CGI (NYSE: GIB) (TSX: GIB.A) today introduced its Records Management as a Service (RMaaS) solution. CGI RMaas combines the OpenText Content Suite and the Microsoft Azure Government Cloud with CGI's extensive consulting expertise and implementation services. RMaaS is a complete records management solution, both DoD 5015.2 standard compliant and a rapid deployment approach to addressing the Presidential Managing Government Records directive (Office of Management and Budget Memorandum M-12-18). "CGI and OpenText have successfully collaborated on over 70 projects," said Rich Bissonette, CGI Federal Vice President for Emerging Technologies. "Together, we have strong experience in delivering solutions that meet regulatory requirements. As agencies work to meet the President's compliance timeframes and goals for recordkeeping transparency, efficiency, and accountability, RMaaS will also support the Federal cloud first and mobile first strategies and enabling mobile technologies. " The CGI Enterprise Content & Records Management (ECRM) practice offers end-to-end ECRM services, including records management strategy, policy and metadata taxonomy development, maintenance and support. About CGI Federal Inc. CGI Federal Inc. is a wholly-owned U.S. operating subsidiary of CGI Group Inc., dedicated to partnering with federal agencies to provide solutions for defense, civilian, healthcare and intelligence missions. Founded in 1976, CGI Group Inc. is the fifth largest independent information technology and business process services firm in the world. Approximately 65,000 professionals serve thousands of global clients from offices and delivery centers across the Americas, Europe and Asia Pacific, leveraging a comprehensive portfolio of services, including high-end business and IT consulting, systems integration, application development and maintenance and infrastructure management, as well as 150 IP-based services and solutions. With annual revenue in excess of C$10 billion and an order backlog exceeding C$20 billion, CGI shares are listed on the TSX (GIB.A) and the NYSE (GIB). Website: www.cgi.com. SOURCE CGI Group Inc. Related Links http://www.cgi.com/ "Singapore International Water Week provides an important venue for thought leadership and idea exchange on the integration of water and the development of more sustainable cities now and in the future, and CH2M is once again proud to participate, sharing our expertise on water resilient cities and innovative water technology solutions," said Peter Nicol, CH2M Global Water Business Group President. "CH2M has worked with PUB for more than 20 years, and we look forward to continuing to support Singapore and the region's investment in innovative water solutions to advance the country and serve as a model for other nations." CH2M executives and technologists are speaking on a variety of topics during the Singapore International Water Week, including benefits of implementing mainstream deammonification, maximizing opportunities in process design and operations, among other topics. A highlight of the convention is Nicol's keynote address on Effective Water Management: A Core Business Strategy for Industry, taking place on July 12, during the Water Convention Keynote Plenary. Mr. Nicol shares insights on how to keep pace with the growing industrial water market in this Water and Wastewater International article. Additionally, CH2M Vice President and Global Operations Director Bryan Harvey, CH2M President Global Business Groups Greg McIntyre and CH2M Vice President, Technology Senior Fellow and Global Wastewater Service Team Leader Julian Sandino are participating and speaking during the Water Leaders Summit. Bringing together global water industry leaders, government regulators and policy think-tanks, participants will discuss water in the urban context, delving into critical issues such as water accessibility, sustainability and supply resilience in cities, following the theme "Water and Cities: Pathways to Sustainability and Resilience." Specifically, Mr. Harvey, Mr. McIntyre and Mr. Sandino will host a discussion on The New Rules of Water Infrastructure and Technologies. The session will highlight the wide range of technologies and solutions available to city planners and the water industry, sparking conversations on innovative solutions to creating water resilient cities and using wastewater as a resource. CH2M has a strong local presence in Singapore. For more than two decades, the firm has supported PUB on implementing major water infrastructure projects, including serving as program manager and designer for the Deep Tunnel Sewerage System, which won the 'Water Project of the Year' at the Global Water Intelligence Global Awards in 2009; the Changi Water Reclamation Plant, one of the world's largest water reclamation plants; and PUB's Active, Beautiful, Clean Waters (ABC Waters) program, which launched in April 2006 and has helped transform the water bodies in Singapore beyond their utilitarian functions, creating new community spaces, as well as a higher quality of living in Singapore. PUB honored CH2M with its Watermark Award earlier this year for its legacy of advocating water sustainability through community outreach activities and partnership on numerous milestone projects that lead the way in the area of water stewardship and encourage the community to take ownership of Singapore's water resources, helping contribute to its water sustainability. Learn about CH2M's water solutions and more during the Singapore International Water Week by visiting CH2M's booth #L10. About CH2M CH2M leads the professional services industry delivering sustainable solutions benefiting societal, environmental and economic outcomes with the development of infrastructure and industry. In this way, CH2Mers make a positive difference providing consulting, design, engineering and management services for clients in water; environment and nuclear; transportation; energy and industrial markets, from iconic infrastructure to global programs like the Olympic Games. Ranked among the World's Most Ethical Companies and top firms in environmental consulting and program management, CH2M in 2016 became the first professional services firm honored with the World Environment Center Gold Medal Award for efforts advancing sustainable development. Connect with CH2M at www.ch2m.com; LinkedIn; Twitter; and Facebook. Contacts: Lori Irvine CH2M (Water Business Group) +1 720 286 3137 [email protected] Photo - http://photos.prnewswire.com/prnh/20160710/388122 Logo - http://photos.prnewswire.com/prnh/20160315/344421LOGO SOURCE CH2M Related Links http://www.ch2m.com PUNE, India, July 11, 2016 /PRNewswire/ -- The report "Cold Storage Construction Market by Storage Type (Production Stores, Bulk Stores, Ports), Application (Food & Beverages, Medical Products, Chemicals), and Region (Asia-Pacific, North America, Europe) - Global Forecasts to 2021", published by MarketsandMarkets, The global market is projected to reach USD 10.47 Billion by 2021, at a CAGR of 14.0% from 2016 to 2021. (Photo: http://photos.prnewswire.com/prnh/20160303/792302 ) Browse 111 market data Tables and 54 Figures spread through 179 Pages and in-depth TOC on "Cold Storage Construction Market ". http://www.marketsandmarkets.com/Market-Reports/cold-storage-construction-market-85189651.html Early buyers will receive 10% customization on this report. Growth in the trade of perishable foods globally, technological advancements in refrigerated storage & transport, and the increase in demand for perishable foods is expected to drive the growth of the market in the near future. Food & Beverages was the fastest-growing application segment of the global cold storage construction market The food & beverages segment of the cold storage construction market is expected to witness significant growth in the coming years. Increasing food wastage worldwide is motivating the government of countries worldwide and they are providing subsidies to food producers to utilize cold stores to avoid such a scenario. Production stores was the largest segment of the cold storage market Based on type, the Cold Storage Construction Market has been segmented into production stores, bulk stores, ports, and others. Production stores were the largest segment of the market in 2015. Production stores are used to keep raw material, semi-finished, and finished products in the cold stores. It is intended for cold processing and the storage of chilled or frozen food products. Production stores are usually constructed near areas where products are manufactured. Make an Inquiry @ http://www.marketsandmarkets.com/Enquiry_Before_Buying.asp?id=85189651 Asia-Pacific was the largest market for global cold storage construction market in 2015, globally Asia-Pacific was the largest market for cold storage construction in 2015, owing to the increasing demand of cold storage construction in developing economies such as India and China. Companies in this region are investing to develop and operate innovative and cost-competitive cold storage constructions. India, China, and Japan are the region's top cold storage construction players. Key players operational in the cold storage construction market include AmeriCold Logistics (U.S.), Proffered Freezer Services (U.S.), Burris Logistics (U.S.), Lineage Logistics Holding LLC (U.S.) and Nichirei Logistics Group, Inc. (Japan), among others. Browse Related Reports: Refrigerant Market, by Type (Fluorocarbon, Inorganics, and Hydrocarbons), and by Application (Domestic, Commercial, Transportation, Industrial, Stationary Air Conditioning, Chillers, and Mobile Air Conditioning) - Global Trends & Forecasts to 2020 http://www.marketsandmarkets.com/Market-Reports/refrigerant-market-1082.html Chillers Market, by Type (Screw, Scroll, Centrifugal, Absorption, and Reciprocating), by End-Use Industry (Plastics, Chemicals & Petrochemicals, Medical & Pharmaceutical, Rubber, Food & Beverage, and Others), and by Region - Global Forecast to 2021 http://www.marketsandmarkets.com/Market-Reports/chiller-market-149638848.html Know More About our Knowledge Store @ http://www.marketsandmarkets.com/Knowledgestore.asp About MarketsandMarkets MarketsandMarkets is the world's No. 2 firm in terms of annually published premium market research reports. Serving 1700 global fortune enterprises with more than 1200 premium studies in a year, M&M is catering to a multitude of clients across 8 different industrial verticals. We specialize in consulting assignments and business research across high growth markets, cutting edge technologies and newer applications. Our 850 fulltime analyst and SMEs at MarketsandMarkets are tracking global high growth markets following the "Growth Engagement Model - GEM". The GEM aims at proactive collaboration with the clients to identify new opportunities, identify most important customers, write "Attack, avoid and defend" strategies, identify sources of incremental revenues for both the company and its competitors. M&M's flagship competitive intelligence and market research platform, "RT" connects over 200,000 markets and entire value chains for deeper understanding of the unmet insights along with market sizing and forecasts of niche markets. The new included chapters on Methodology and Benchmarking presented with high quality analytical infographics in our reports gives complete visibility of how the numbers have been arrived and defend the accuracy of the numbers. We at MarketsandMarkets are inspired to help our clients grow by providing apt business insight with our huge market intelligence repository. Contact: Mr. Rohan Markets and Markets UNIT no 802, Tower no. 7, SEZ Magarpatta city, Hadapsar Pune, Maharashtra 411013, India Tel: +1-888-600-6441 Email: [email protected] Visit MarketsandMarkets Blog @ http://www.marketsandmarketsblog.com/market-reports/chemical Connect with us on LinkedIn @ http://www.linkedin.com/company/marketsandmarkets SOURCE MarketsandMarkets BEIJING, July 11, 2016 /PRNewswire/ -- Concord Medical Services Holdings Limited ("Concord Medical" or the "Company") (NYSE: CCM), a leading specialty hospital management solution provider and operator of the largest network of radiotherapy and diagnostic imaging centers in China, today announced that its board of directors (the "Board") has received a non-binding proposal letter, dated July 11, 2016, from Mr. Jianyu Yang, chairman and chief executive officer of the Company, Morgancreek Investment Holdings Limited, an investment vehicle controlled by Mr. Yang ("Morgancreek"), and Blue Ocean Management Limited ("Blue Ocean", together with Mr. Yang and Morgancreek, the "Buyer Parties"), pursuant to which the Buyer Parties propose to acquire all of the outstanding Class A ordinary shares and American depositary shares (the "ADSs", each representing three Class A ordinary shares) of the Company, in both cases, that are not beneficially owned by the Buyer Parties and their affiliates, at a price of US$1.73 per Class A ordinary share or US$5.19 per ADS, as the case may be, in cash, in a "going private" transaction (the "Proposed Transaction"), subject to certain conditions. According to the proposal letter, the Proposed Transaction is intended to be financed with debt or equity capital or a combination thereof. Also according to the proposal letter, on July 11, 2016, Morgancreek entered into share purchase agreements with certain Carlyle entities and Solar Honor Limited to acquire an aggregate of 27,249,675 Class A ordinary shares for a purchase price of US$1.73 per Class A ordinary share. The proposal letter also proposes that the Proposed Transaction may be effected by way of short-form merger pursuant to Section 233(7) of the Companies Law of the Cayman Islands. Furthermore, the proposal letter specifies that the Buyer Parties' proposal constitutes only a preliminary indication of interest, and is subject to negotiation and execution of definitive agreements relating to the Proposed Transaction. A copy of the proposal letter is attached hereto as Exhibit A . Consistent with its fiduciary duties, the Board, in consultation with its legal and financial advisors, will carefully review the proposal from the Buyer Parties to determine the course of action that it believes is in the best interests of the Company's shareholders. The Board cautions the Company's shareholders and others considering trading in its securities that the Board has just received the proposal letter and has not had an opportunity to carefully review and evaluate the proposal or make any decision with respect to the Company's response to the Proposed Transaction. There can be no assurance that any definitive offer will be made, that any agreement will be executed or that this or any other transaction will be approved or consummated. About Concord Medical Concord Medical Services Holdings Limited is a leading specialty hospital management solution provider and operator of the largest network of radiotherapy and diagnostic imaging centers in China. As of March 31, 2016, the Company operated a network of 125 centers with 74 hospital partners that spanned 52 cities and 25 provinces and administrative regions in China. Under long-term arrangements with top-tier hospitals in China, the Company provides radiotherapy and diagnostic imaging equipment and manages the daily operations of these centers, which are located on the premises of its hospital partners. The Company also provides ongoing training to doctors and other medical professionals in its network of centers to ensure a high level of clinical care for patients. As part of its high-end cancer hospital development strategy and oversea business extension, the Company acquired Concord Cancer Hospital, a private hospital in Singapore in April, 2015. For more information, please see http://ir.concordmedical.com. Safe Harbor Statement This press release contains statements of a forward-looking nature. These statements are made under the "safe harbor" provisions of the U.S. Private Securities Litigation Reform Act of 1995. You can identify these forward- looking statements by terminology such as "will," "expects," "believes," "anticipates," "intends," "estimates" and similar statements. These forward-looking statements involve known and unknown risks and uncertainties and are based on current expectations and assumptions about Concord Medical and the proposal. All information provided in this press release is as of the date of the press release, and Concord Medical undertakes no obligation to update any forward-looking statements to reflect subsequent occurring events or circumstances, or changes in its expectations, except as may be required by law. Although Concord Medical believes that the expectations expressed in these forward-looking statements are reasonable, it cannot assure you that its expectations will turn out to be correct, and investors are cautioned that actual results may differ materially from the anticipated results. Further information regarding risks and uncertainties faced by Concord Medical is included in Concord Medical's filings with the U.S. Securities and Exchange Commission, including its annual report on Form 20-F dated April 28, 2016. For more information, please contact: Concord Medical Services Ms. Fang Liu (Chinese and English) +86 10 5903 6688 (ext. 639) [email protected] Exhibit A Non-binding Proposal Letter from the Buyer Parties The Board of Directors Concord Medical Services Holdings Limited 18/F, Tower A, Global Trade Center 36 North Third Ring Road East Dongcheng District, Beijing 100013 People's Republic of China July 11, 2016 Dear Sirs: Mr. Jianyu Yang ("Mr. Yang"), the chairman and chief executive officer of Concord Medical Services Holdings Limited (the "Company"), and Morgancreek Investment Holdings Limited, an investment vehicle controlled by Mr. Yang ("Morgancreek"), and Blue Ocean Management Limited ("Blue Ocean" and collectively with Mr. Yang and Morgancreek, the "Buyer Parties"), are pleased to submit this preliminary non-binding proposal to acquire all outstanding Class A ordinary shares (the "Shares") and the American Depositary Shares ("ADSs", each representing three Shares) of the Company, in both cases, that are not beneficially owned by the Buyer Parties and their affiliates in a going private transaction (the "Acquisition"). We believe that our proposal of US$1.73 in cash per Share, or US$5.19 in cash per ADS, will provide an attractive opportunity to the Company's shareholders. This price represents a premium of approximately 33.8% to the Company's closing price of US$3.88 on July 8, 2016. The terms and conditions upon which we are prepared to pursue the Acquisition are set forth below. We are confident in our ability to consummate an Acquisition as outlined in this letter. 1. Buyer . The Buyer Parties have entered into a memorandum of understanding dated July 11, 2016, pursuant to which the Buyer Parties will work with each other in pursuing the Acquisition. 2. Purchase Price . The Buyer Parties are prepared to pay for the Shares and ADSs acquired in the Acquisition at a price of US$1.73 per Share and US$5.19 per ADS, as the case may be, in cash. 3. Possible Short-form Merger . On July 11, 2016, Morgancreek entered into share purchase agreements with certain Carlyle entities and Solar Honor Limited to acquire in aggregate 27,249,675 Shares for a per Share purchase price of US$1.73. After the closing of such share purchases and the completion of exchange of Class B ordinary shares, the holder of each entitled to 10 votes on any ordinary resolution or special resolution, for Shares currently held by Morgancreek, which share exchange was approved by the Company in January 2015, the Buyer Parties would hold more than 90% voting rights of the Company's issued and outstanding ordinary shares. Therefore, the Acquisition may be effected by way of short-form merger pursuant to Section 233(7) of the Companies Law of the Cayman Islands. 4. Financing . We intend to finance the Acquisition with debt or equity capital or a combination thereof. We are confident that we will secure adequate financing to consummate the Acquisition. 5. Due Diligence . We will be in a position to commence our due diligence for the Acquisition immediately upon receiving access to the relevant materials. Parties providing financing will require a timely opportunity to conduct customary due diligence on the Company. 6. Definitive Agreements . We are prepared to negotiate and finalize definitive agreements (the "Definitive Agreements") providing for the Acquisition and related transactions promptly. This proposal is subject to execution of the Definitive Agreements. We anticipate the Definitive Agreements will be completed in parallel with due diligence. 7. Confidentiality . We expect the Company to make a public announcement in connection with receiving our proposal. However, we are sure you will agree with us that it is in all of our interests to ensure that we otherwise proceed in a strictly confidential manner, unless otherwise required by law, until we have executed Definitive Agreements or terminated our discussions. 8. Process . We believe that the Acquisition will provide superior value to the Company's shareholders. We recognize of course that the Company's Board of Directors will evaluate the Acquisition before it can make its determination whether to endorse it. In considering the Acquisition, you should be aware that we are interested only in acquiring the outstanding Shares and ADSs that the Buyer Parties and their affiliates do not already own, and that the Buyer Parties and their affiliates do not intend to sell their stake in the Company to a third party. 9. Advisors . We have retained Cleary Gottlieb Steen & Hamilton LLP as U.S. legal counsel in connection with the Acquisition. 10. About Blue Ocean . Blue Ocean Management Limited is incorporated in the Cayman Islands and an affiliate of Blue Ocean Capital Group ("BOCG"), a specialized private equity firm that manages both RMB and USD funds, and mainly focuses on investments in the fast growing healthcare sector in the Greater China region. BOCG has operations both in Hong Kong and Shenzhen. 11. No Binding Commitment . This letter constitutes only a preliminary indication of our interest, and does not constitute any binding commitment with respect to an Acquisition. Such a commitment will result only from the execution of Definitive Agreements, and then will be on the terms provided in such documentation. In closing, we would like to personally express our commitment to working together to bring this Acquisition to a successful and timely conclusion. Should you have any questions regarding this proposal, please do not hesitate to contact us. We look forward to hearing from you. Sincerely, Jianyu Yang By: /s/ Jianyu Yang Morgancreek Investment Holdings Limited By: /s/ Jianyu Yang Name: Jianyu Yang Title: Director Blue Ocean Management Limited By: /s/ Feng Yang Name: Feng Yang Title: Director SOURCE Concord Medical Services Holdings Limited DALLAS, July 11, 2016 /PRNewswire/ -- Dean Foods Company (NYSE: DF) will host a live webcast of its second quarter 2016 earnings conference call on August 8 at 9 a.m. Eastern Time. Management will discuss the financial results, as well as comment on the forward outlook. The webcast is expected to last approximately one hour and will be accessible by visiting http://www.deanfoods.com/our-company/investor-relations/ and by clicking on "Webcasts." In order to listen to the webcast, users will need to have installed either Real Player or Windows Media Player software, which can be detected and downloaded by visiting the site. A webcast replay will be available for approximately 45 days following the event within the Investor Relations section of the Company's web site. ABOUT DEAN FOODS Dean Foods is a leading food and beverage company and the largest processor and direct-to-store distributor of fluid milk and other dairy and dairy case products in the United States. Headquartered in Dallas, Texas, the Dean Foods portfolio includes DairyPure, the country's first and largest fresh, white milk national brand, and TruMoo, the leading national flavored milk brand, along with well-known regional dairy brands such as Alta Dena, Berkeley Farms, Country Fresh, Dean's, Garelick Farms, Friendly's, LAND O LAKES milk and cultured products*, Lehigh Valley Dairy Farms, Mayfield, McArthur, Meadow Gold, Oak Farms, PET**, T.G. Lee, Tuscan and more. In all, Dean Foods has more than 50 local and regional dairy brands and private labels. Dean Foods also makes and distributes ice cream, cultured products, juices, teas, and bottled water. Almost 17,000 employees across the country work every day to make Dean Foods the most admired and trusted provider of wholesome, great-tasting dairy products at every occasion. For more information about Dean Foods and its brands, visit www.deanfoods.com. *The LAND O LAKES brand is owned by Land O'Lakes, Inc. and is used by license. **PET is a trademark of The J.M. Smucker Company and is used by license. CONTACT: Corporate Communications, Jamaison Schuler, +1 214-721-7766; or Investor Relations, Sherri Baker, +1-214-303-3438 SOURCE Dean Foods Company Related Links http://www.deanfoods.com HANOVER, Md., July 11, 2016 /PRNewswire-USNewswire/ -- EASi, a global leader in engineering services, today unveiled updated branding that strengthens and clarifies its messaging with a new logo, tagline and website. The new branding more effectively conveys the crucial and innovative work EASi's engineers do every day. With this refreshed branding, EASi reinforces its dedication to providing clients with expert engineering capabilities and deep industry expertise, a commitment that has driven the company's 500 percent growth since 2011. EASi employs specialized teams of world-class experts, leveraging cutting-edge technology, training and processes, to constantly improve and innovate to deliver what our customers need. The new tagline Amazing Begins Here celebrates the fact that, at the start of every project with every client, amazing and even world-changing things can happen. From microchips to farm equipment, EASi engineers bring vision, creativity and drive to their mission-critical work, from the beginning to the end of the product lifecycle. "We are excited to tell our story in a way that resonates with both our clients and our employees, while reinforcing our expertise as a passionate team of makers, thinkers and doers that delivers optimal results for our clients and ensures they achieve their goals," said Todd Mohr, President of EASi. "Solving problems has always been at the foundation of who we are and this updated branding exemplifies that by focusing on what we stand for as an organization. The work we do with our clients can change the world and we are eager to share that message." Since its founding in 1981, EASi has grown to become a global leader in engineering support and consulting services, distinguished by flexibility and scalability in onsite, offsite and offshore capabilities. EASi's integration in 2011 with Aerotek, the leading engineering recruiting firm, provides the company with access to some of the industry's best engineering talent. This, combined with EASi's unmatched customer-focused processes, has fueled the company's rapid growth. To learn more about EASi and view the brand's new look, visit EASi.com. About EASi Amazing begins here. EASi has been a leader in providing engineering support services and technology solutions for the global market for more than 35 years, with particular expertise in mechanical engineering, electrical engineering, embedded systems and manufacturing engineering. Headquartered in Hanover, Maryland, EASi has 2,600 dedicated employees across the U.S. and India. EASi offers comprehensive and innovative solutions, specializing in energy and utilities, automotive, transportation, medical devices and consumer/industrial products. With workforce development capabilities created by engineers for engineers, EASi's legacy of global engineering support services and consulting experience has established the company as a strategic partner to many global Fortune 500 companies. EASi is an Aerotek company, a leader in the recruiting and staffing industry. Aerotek is an operating company of Allegis Group Inc., the largest staffing company in the U.S. To learn more about EASi, please visit EASi.com. Logo - http://photos.prnewswire.com/prnh/20160711/388203LOGO SOURCE EASi Related Links http://www.EASi.com LONDON, July 11, 2016 /PRNewswire/ -- Eaton Vance Management (International) Limited (EVMI), a subsidiary of Eaton Vance Management, today announced that Tjalling (TJ) Halbertsma has joined the firm as Managing Director, EVMI, reporting to Matt Witkos, President, Eaton Vance Distributors, Inc. Effective 19 September 2016, Mr. Halbertsma will oversee existing and new business development globally for Eaton Vance across EMEA, Asia, including Japan, Latin America and Australia. This includes overall management of sales, client service and marketing activities. He will work collaboratively with the London-based investment teams on strategic planning and product development. "TJ is a polished and professional distribution leader with a proven record of successfully leading business development teams globally," said Mr. Witkos. "He is highly motivated, focused on client relationships, and knowledgeable about how best to represent our investment management capabilities. We are confident in his ability to successfully lead our efforts." Mr. Halbertsma joins EVMI from Nuveen Investments in London, where he served most recently as head of EMEA. Throughout his career, Mr. Halbertsma has historically concentrated on institutional markets. Most recently, he managed a team covering a range of markets focused on leveraged loans, U.S. and global equities and U.S. fixed income. Prior to joining Nuveen Investments, he worked as managing director at Man Group. Before this, he worked in equity sales for Morgan Stanley and for UBS Investment Bank. Mr. Halbertsma earned a B.A. and M.S. in Business Administration with a major in Finance from Nyenrode University, the Netherlands Business School, Breukelen. He attended London Business School to follow the Corporate Finance Program. Mr. Halbertsma is fluent in Dutch, French, German and English. Eaton Vance (NYSE: EV), is a leading global asset manager whose history dates to 1924. With offices in North America, Europe, Asia and Australia, Eaton Vance and its affiliates managed $318.7 billion (USD) in assets as of 30 April 2016, offering individuals and institutions a broad array of investment strategies and wealth management solutions. The Company's long record of providing exemplary service, timely innovation and attractive returns through a variety of market conditions has made Eaton Vance the investment manager of choice for many of today's most discerning investors. For more information about Eaton Vance, visit http://www.eatonvance.co.uk/ Eaton Vance Management (International) Limited (EVMI) is registered in England and Wales. Registered Office: 125 Old Broad Street, London, EC2N 1AR. EVMI is authorised and regulated by the Financial Conduct Authority in the United Kingdom. SOURCE Eaton Vance Management Related Links http://www.eatonvance.co.uk The national television spot, created by Carmichael Lynch on behalf of Subaru of America, will air throughout the months of July, August and September across cable television and network late night programming. "Over the past eight years, we've seen our Love campaign resonate incredibly well with consumers, who often feel a personal, emotional connection to the brand and the stories we've told," said Alan Bethke, senior vice president of marketing at Subaru of America. "With this new spot, Subaru gives a nod to the past while continuing to move forward in earning and maintaining the trust of our owners." The "Proud to Earn Your Trust" television spot features call backs to some of the most memorable and iconic Love campaign ads over the years. From the very first year of the campaign, the spot uses scenes from "Welcoming Party," a story of a group of brothers traveling to Maine on New Year's Eve to take in the first sunrise of the year and "Subaru Heaven," that follows an owner dropping off his old Forester to be sold as parts. Other ads featured in the new spot include the critically acclaimed "Baby Driver," "Honeymoon" and "Back Seat," all directed by Jake Scott. The spot is available to view on Subaru of America's YouTube channel here: https://www.youtube.com/Subaru :60 https://www.youtube.com/watch?v=4ohwM37Lr-4&feature=youtu.be :30 https://www.youtube.com/watch?v=WuUfOSRE85A&feature=youtu.be Earlier this year, Subaru was recognized by Kelley Blue Book, the leading provider of new and used vehicle information, as a winner in three major categories of the 2016 Brand Image Awards, including Best Overall Brand, Most Trusted Brand and Best Performance Brand. As a testament to the brand's commitment to producing top-quality, safe and reliable vehicles, this was the second consecutive year that Subaru was honored as the Most Trusted Brand and Best Performance Brand, and the first year it has earned the distinction of Best Overall Brand. About Subaru of America, Inc. Subaru of America, Inc. is a wholly owned subsidiary of Fuji Heavy Industries Ltd. of Japan. Headquartered at a zero-landfill office in Cherry Hill, N.J., the company markets and distributes Subaru vehicles, parts, and accessories through a network of more than 620 retailers across the United States. All Subaru products are manufactured in zero-landfill production plants, and Subaru of Indiana Automotive, Inc. is the only U.S. automobile production plant to be designated a backyard wildlife habitat by the National Wildlife Federation. For additional information, visit media.subaru.com. Diane Anton Subaru of America, Inc. 856-488-5093 [email protected] Michael McHale Subaru of America, Inc. 856-488-3326 [email protected] Photo - http://photos.prnewswire.com/prnh/20160711/388321 SOURCE Subaru of America, Inc. Related Links http://www.subaru.com A study released earlier this year, Map the Meal Gap 2016 , demonstrates that food insecurity exists in every single county and congressional district in the United States. Additionally, in virtually every county in America, there are food-insecure individuals whose level of income prevents them from qualifying for federal nutrition assistance. "Hunger has a broad impact on health and educational outcomes and costs, workforce productivity, children's development and long-term well-being," said Diana Aviv, CEO of Feeding America. "We can end hunger in America, but doing so will require leadership from the president and Congress, the right public policies and the shared efforts of government, non-profits, businesses and individuals." Research shows that there is a direct link between food insecurity and poor health, especially for children. Studies document that children who experience hunger face significant stress and challenges that can have a lasting effect on their physical, cognitive and behavioral development. Summer is an especially trying time for food-insecure children. When schools close for summer break, children lose access to meals they would otherwise get at school. In fact, only one in six children who receive free and reduced-price lunch during the school year participate in summer meal programs. The events planned during the political conventions are a coordinated effort between Feeding America, the local food banks and partner agencies as an opportunity to learn about food insecurity and the hunger-relief efforts that take place throughout the country. Elected officials and policymakers at the local, state and national level have been invited to participate. The Greater Cleveland Food Bank is hosting Summer Feeding in Action at the Boys & Girls Clubs of Cleveland, a member agency, on Wednesday, July 20, where elected officials will have the opportunity to visit with youth and serve meals. Philabundance has invited elected officials to Send Hunger Packing, a friendly food packing competition which takes place at their warehouse on Tuesday, July 26, to help provide food boxes for distribution for families in need. Last year, more than 46 million people in America including 12 million children and 7 million seniors received food assistance through the Feeding America network of 200 food banks and their 60,000 food pantries and meal programs. About Feeding America Feeding America is a nationwide network of 200 food banks that leads the fight against hunger in the United States. Together, we provide food to more than 46 million people through food pantries and meal programs in communities throughout America. Feeding America also supports programs that improve food security among the people we serve; educates the public about the problem of hunger; and advocates for legislation that protects people from going hungry. Individuals, charities, businesses and government all have a role in ending hunger. Donate. Volunteer. Advocate. Educate. Together we can solve hunger. Visit http://www.feedingamerica.org/. Find us on Facebook at www.facebook.com/FeedingAmerica or follow us on Twitter at www.twitter.com/FeedingAmerica. Contact: Ross Fraser Feeding America 312.641.6422 Logo - http://photos.prnewswire.com/prnh/20140711/126271 SOURCE Feeding America Related Links http://www.feedingamerica.org FARNBOROUGH, United Kingdom, July 11, 2016 /PRNewswire/ -- Embraer [NYSE: ERJ; BOVESPA: EMBR3] and Boeing [NYSE: BA] have signed a teaming agreement to jointly market and support the KC-390, a multi-mission mobility and aerial refueling aircraft. Under the agreement the companies will together pursue new business opportunities, both for the aircraft itself and for aircraft support and sustainment. Embraer will provide the aircraft while Boeing will be responsible for in-service support. The agreement expands an existing collaboration between the two companies; in 2012, the two companies first announced their intention to jointly market the aircraft. "The expansion of our relationship makes the best medium-sized airlift product available to customers, bolstered by the best support available," said Jackson Schneider, President and CEO of Embraer Defense & Security. "Boeing has outstanding experience in the military mobility market and the KC-390 is the most effective aircraft in its category." "The teaming agreement between Boeing and Embraer brings together two strong companies and underscores our commitment to branch out beyond the traditional OEM role into services for non-Boeing aircraft," said Ed Dolanski, president of Boeing Global Services and Support. "Our advantage is Boeing's global reach, which provides greater flexibility, enabling us to quickly respond to customers, as well as take advantage of synergies that help lower costs - savings we can pass on to the customer." The Embraer KC-390 is a tactical transport aircraft designed to set new standards in its category while presenting the lowest life-cycle cost of the market. It can perform a variety of missions such as cargo and troop transport, troop and cargo air delivery, aerial refueling, search and rescue and forest fire fighting. It is a project of the Brazilian Air Force (FAB) that, in 2009, hired Embraer to perform the aircraft development. The first prototype has performed its first flight in February 2015 and two prototypes are currently in flight test campaign, averaging two flights a day and proving high aircraft readiness. The aircraft is currently on an eight nation tour, and expects to receive certification by the end of 2017. Deliveries are expected to begin during the first half of 2018. About Embraer Defense & Security Leader in the aerospace and defense industry of Latin America, Embraer Defense & Security offers a complete line of integrated solutions such as C4I (Command, Control, Communication, Computers and Intelligence Center) applications, leading edge technologies in the production of radars, advanced information and communication systems, integrated systems for border monitoring and surveillance, as well as military and government transportation aircraft. With a growing presence on the global market, Embraer Defense & Security products are present in more than 60 countries. Follow us on Twitter: @EmbraerSA. About Boeing Defense, Space & Security In 2016 Boeing celebrates 100 years of pioneering aviation accomplishments and launches its second century as an innovative, customer-focused aerospace technology and capabilities provider, community partner and preferred employer. Through its Defense, Space & Security unit, Boeing is a global leader in this marketplace and is the world's largest and most versatile manufacturer of military aircraft. Headquartered in St. Louis, Defense, Space & Security is a $30 billion business with about 50,000 employees worldwide. Follow us on Twitter: @BoeingDefense. SOURCE Embraer BEAVERTON, Ore., July 11, 2016 /PRNewswire/ -- Enli Health Intelligence, a market leader in population health management technology, today announced the formation of a strategic global relationship with Dell Services, a recognized industry leader in healthcare IT offerings. Together, the companies will offer healthcare providers worldwide, including physician practices, accountable care organizations, and health systems, end-to-end technology and advisory services to accelerate their quality improvement and value-based care delivery initiatives, ultimately helping to deliver on the promise of better outcomes for everyone. According to Cynthia Burghard, research director at IDC Health Insights, "The combination of Enli population health management software and domain knowledge with Dell Services gives providers access to advanced population health IT solutions that are capable of scaling across clinically-integrated, but technically-diverse, networks to improve health outcomes." IDC Health Insights believes the focus of provider investment has shifted from electronic health records to systems that support data aggregation, analytics, clinical decision support and care coordination. According to Burghard, both Dell and Enli have significant expertise in these areas. "In the constantly evolving field of healthcare IT solutions, we believe Enli will strengthen our diverse suite of options focused on improving patient outcomes through information-enabled care," said Dan Allison, vice president and general manager for Dell Services' healthcare and life sciences division. "As providers and health plans continue the migration toward value-based care models, Enli's focus on population health and ability to customize care plans will be an asset." "We are proud to bring the Enli Care Plan to market through Dell Services," commented Luis Machuca, chief executive officer at Enli. "Not only are our technologies complementary, but together we are also able to offer the common care plan to Dell Services' customer base of more than 400,000 physicians, 4,000 hospitals and 100 health insurance providers." Enli Health Intelligence works collaboratively with healthcare delivery systems, allowing them to pursue population health-based initiatives and close priority gaps in care. Enli curates and codifies the latest evidence-based medical guidelines, supports the creation of a common care plan, and facilitates care team collaboration at the point of care, wherever that may be. Dell Services continues its more than 25-year commitment to the healthcare space through its targeted healthcare segment solutions including patient engagement, predictive analytics, healthcare cloud hosting, integration and interoperability, clinical and claims application implementation, revenue cycle management and policy administration, in addition to core managed infrastructure, application and business process services. For more information about Enli Health Intelligence and its population health management solutions, please visit Enli.net. About Enli Enli Health Intelligence is the market leader in population health management technology. Enli enables care teams to perform to their full potential by integrating healthcare data with evidence-based guidelines embedded in provider workflows across the population and at the point of care.1 Media Contact GCI Health on behalf of Enli Health Intelligence Edie DeVine 1-415-403-8316 [email protected] 1 See: KLAS Population Health Management 2015 Performance Report. See also: Chilmark 2016 Care Management Market Trends Report; and IDC Marketscape: U.S. Population Health Management 2014 Vendor Assessment. Logo - http://photos.prnewswire.com/prnh/20160601/374568LOGO SOURCE Enli Health Intelligence Related Links http://www.enli.net The rugged connectorized amplifier designs from Fairview have the advantage of high output load impedance that offers easier impedance matching over wider bandwidths using lower loss components. The high thermal conductivity of Gallium Nitride helps to dissipate heat more effectively which results in amplifier designs that have significantly higher output power levels over broadband and narrowband frequencies. Common applications include commercial and military radar, jamming systems, medical imaging, communications and electronic warfare. Fairview's newest offering of GaN RF amplifiers includes models that feature very high gain levels from 43 to 60 dB across mostly broad frequency bands ranging from 30 MHz to 7.5 GHz. Saturated output power levels range from 10 watts to 100 watts with up to 35% Power Added Efficiency (PAE). All of the high power GaN amplifiers from Fairview have single voltage supplies which are internally regulated. The 50 ohm input/output matched designs are adaptable to a range of power and modulation requirements. These GaN solid state power amplifiers also show impressive harmonic response (-15 to -20 dBc) under worst case conditions. This selection of GaN amplifiers are designed to withstand environmental conditions such as humidity, altitude, shock and vibration. Some models are also equipped with integrated heat sinks and cooling fans. Most designs are EAR99. "Fairview's new selection of GaN power amplifiers offer engineers and designers a unique off-the-shelf, in-stock solution which would otherwise take months to acquire," explains Brian McCutcheon, Vice President and General Manager at Fairview Microwave. Fairview's new GaN amplifiers are in-stock and ready to ship today. You can view the new GaN SSPAs by visiting https://www.fairviewmicrowave.com/rf-products/gan-power-amplifiers.html directly. Fairview Microwave can be contacted at +1-972-649-6678. About Fairview Microwave A leading supplier of on-demand RF and microwave products since 1992, Fairview Microwave offers immediate delivery of RF components including attenuators, adapters, coaxial cable assemblies, connectors, terminations and much more. All products are shipped same-day from the company's ISO 9001:2008 certified production facilities in Allen, Texas. Press Contact: Brian McCutcheon Fairview Microwave 1130 Junction Drive #100 Allen, Texas 75013 (972) 649-6678 SOURCE Fairview Microwave Related Links http://www.fairviewmicrowave.com WASHINGTON, July 11, 2016 /PRNewswire-USNewswire/ -- A recent report by the Center for Immigration Studies reveals that the U.S. Department of Agriculture's Supplemental Nutritional Assistance Program (SNAP), which distributes what used to be called food stamps, provides benefits to families with an illegal alien (or other ineligible alien) wage earner in it, while denying benefits to an identical family comprised of only U.S. citizens. Although two families may be identical in terms of income and family size, states have the option of including only part of the wages of an employed ineligible alien when calculating SNAP eligibility. Those states which do not count all the income of the ineligible aliens make it easier for a family with an illegal alien present to qualify for food stamps than for an identical all-citizen family. Ineligible aliens, in the food stamp program, are primarily illegal aliens and those green card holders who have been in that status for less than five years. David North, a fellow with the Center and author of the report, said, "A bias exists against those here legally when calculating eligibility for food stamps. This overt bias, which most legislators are probably not even aware of, translates into an estimated 1.4 billion dollar cost to tax payers." View the entire report at: http://cis.org/An-Aid-Program-that-Routinely-Discriminates-in-Favor-of-Ineligible-Aliens Only six states or territories show no bias and include all family income: Arizona, Guam, Massachusetts, New Mexico, North Carolina, and Utah. The majority of states employ a "proration" policy posture, meaning families including an employed ineligible alien can secure food stamps when an all-citizen family of the same size and with the same income would be denied the benefits. States are not incentivized to change their proration policy as the federal government pays for SNAP, not the state governments. The proration formula is inherently unfair to the citizen family, and it also comes with a price tag. The Center calculated the cost of this proration policy and found that well over one billion dollars was being unnecessarily spent. Contact: Marguerite Telford 202-466-8185, [email protected] SOURCE Center for Immigration Studies Related Links http://cis.org HARTFORD, Connecticut, July 11, 2016 /PRNewswire/ -- -International Aerospace Engineering Group Continues Polish Growth Journey With Investment in Specialist Coating & Surface Treatment Technologies- MB Aerospace Chief Executive, Craig Gallagher (PRNewsFoto/MB Aerospace) MB Aerospace Chief Executive, Craig Gallagher (PRNewsFoto/MB Aerospace) International aerospace engineering group MB Aerospace has successfully completed the acquisition of Vac Aero (Poland) from its Canadian parent company Vac Aero International. (Photo: http://photos.prnewswire.com/prnh/20160710/388100 ) (Photo: http://photos.prnewswire.com/prnh/20160710/388101 ) The business, which employs over 100 employees at two sites in Kalisz (central Poland) and Rzeszow (south-east Poland), specializes in providing protective and performance enhancing coatings for aero-engine and industrial gas turbine components. These OEM licensed and proprietary coatings provide wear, corrosion and heat resistance properties across performance critical compressor, combustor and turbine components. Since the company was founded in 2003, it has developed an extensive range of special process capabilities including heat treatment and vacuum brazing, vacuum carburizing, air plasma spray (APS) and high-velocity oxygen fuel (HVOF) protective coatings, as well as corrosion and oxidation resistant paint coatings. The former Vac Aero subsidiary has a range of customer approvals and will continue to serve key customers in Europe including UTC, Pratt & Whitney and Siemens. The business will remain at its two current sites and will be immediately rebranded as MB Aerospace Technologies (Poland). In order to support the existing customer base and growing aerospace market in Eastern Europe, MB Aerospace is planning to invest across the business with particular focus on its surface coating services, including thermal and plasma coatings and a range of paint capabilities, as well as heat treatment and brazing processes. Craig Gallagher, MB Aerospace chief executive officer, said: "We are delighted to acquire the Polish operations of Vac-Aero - now trading as MB Aerospace Technologies (Poland) - as its extensive range of capabilities and skilled employees will help us broaden our offering to existing customers and the expanding aerospace market in Poland and the surrounding industrial areas of Western and Eastern Europe. "With thermal and plasma coating capabilities now available to us in the region, we can provide customers with fast, responsive lead times for aero-engine and industrial gas turbine component treatments, whilst mitigating the logistics, and cost, of shipping products long distances to have such critical work done." Dave Farmery, managing director of MB Aerospace Poland, added: "We have inherited a well-established and respected business in Poland with highly skilled employees and a wide range of customer approvals. Working closely with the existing team, we will invest heavily to provide the local market with the capabilities and capacity required to ensure customers continue to receive a world-class service." Tomasz Krazynski, General Manager, of MB Aerospace Technologies (Poland) said: "After more than a decade of growth and success under Vac-Aero we look forward to starting a new chapter within the MB Aerospace family of companies. The acquisition offers a fantastic opportunity for the business and the planned investment will help us establish ourselves as one of the premier providers of thermal processes and surface coating services in Europe." Notes to editors About MB Aerospace - MB Aerospace is a leading Tier 1 supplier of precision aero-engine components directly serving major aero-engine OEMs and leading tier 1 suppliers. MB Aerospace's focus on complex high value-add fabricated assemblies and large-diameter, high-value machined components leverages a depth of technical and engineering understanding across the full range of materials, manufacturing processes and customer approvals to be a truly trusted and scaled partner to the main aero-engine OEMs. MB Aerospace has developed a highly attractive global operating footprint, with 1550 employees across five main manufacturing hubs in the U.S., Poland and the U.K. with proximity to all key OEM and Tier 1 customers. SOURCE MB Aerospace Malaysia, one of Asia's dynamic economies, has emerged as a thriving global business services hub. With a stable and attractive business environment, government support, educated workforce, and world-class infrastructure, Kuala Lumpur has become an increasingly important business location for many of Genpact's clients comprising approximately one-fifth of the Fortune Global 500 the majority of which have operations in Malaysia and other Asia-Pacific countries. This supports one of the company's strategies of delivering services from regions where their clients run key operations. Genpact is already working with GSK in this Kuala Lumpur location and expects to hire a number of professionals with domain expertise in F&A and procurement processes who will serve clients across a number of industries including financial services, insurance, and manufacturing. Being in an optimal time zone for supporting Asian operations, Genpact's Kuala Lumpur site will provide services in all South East Asian languages including Malay, Bahasa, Tagalog, Vietnamese, and Thai in addition to English with the ability to provide Mandarin, Cantonese, Japanese, and Korean language services as a secondary location. During an opening celebration at the center today, Dato Wan Peng, Chief Operating Officer of Malaysia Digital Economy Corporation (MDEC), said, "I welcome Genpact to Kuala Lumpur and wish them all the very best. We look forward to working with Genpact in their growth journey." "Genpact is excited to establish our operations in Kuala Lumpur, where we'll be able to deliver transformation-driven business process, consulting, analytics, and digital services for existing and new clients in Asia," said BK Kalra, senior vice president and business leader, Consumer Goods, Retail, Life Sciences and Healthcare, Genpact. "Given the available and highly-skilled talent pool as well as favorable economic climate, we plan to grow this location over the next few years. This will strengthen our foothold in the Asia Pacific region, where we already have multiple large delivery centers in China, Japan, India, Singapore, and the Philippines." About Genpact Genpact (NYSE: G) stands for "generating business impact." We are a global leader in digitally-powered business process management and services. We architect the Lean DigitalSM enterprise through our patented Smart Enterprise Processes (SEPSM) framework that reimagines our clients' operating model end-to-end, including the middle and back offices. This creates Intelligent OperationsSM that we help design, transform, and run. The impact on our clients is a high return on transformation investments through growth, efficiency, and business agility. For two decades, first as a General Electric division and later as an independent company, we have been passionately serving our clients. Today, we generate impact for a few hundred strategic clients, including approximately one-fifth of the Fortune Global 500, and have grown to over 70,000 people in 25 countries, with key offices in New York City. The resulting business process and industry domain expertise and experience running complex operations are a unique heritage and focus that help us drive the best choices across technology, analytics, and organizational design. For additional information, visit www.genpact.com. Follow Genpact on Twitter, Facebook, LinkedIn, and YouTube. Photo - http://photos.prnewswire.com/prnh/20160711/388314 Logo - http://photos.prnewswire.com/prnh/20160601/374266LOGO SOURCE Genpact Related Links http://www.genpact.com NEW YORK, July 11, 2016 /PRNewswire/ -- Report Details Visiongain's new 290 page report assesses that the global glass packaging market will reach $55.71 billion in 2016. Are you involved in the glass packaging market or intend to be? If so, then you must read this report It's vital that you keep your knowledge up to date. You need this report. Market scope: This brand new report from visiongain is a completely fresh market assessment of the glass packaging sector based upon the latest information. Our new market study contains forecasts, original analysis, company profiles and, most crucially, fresh conclusions. The report provides detailed forecasts and analysis of the glass packaging markets by region and end-use sectors. The Glass Packaging Market Report 2016-2026 report responds to your need for definitive market data: - Where are the Glass Packaging market opportunities? - 252 tables, charts, and graphs reveal market data allowing you to target your strategy more effectively - When will the Glass Packaging market grow? - Global, national and glass packaging submarket forecasts and analysis from 2016-2026 illustrate the market progression - Which Glass Packaging end use submarkets will flourish from 2016-2026? - Glass Packaging Market Forecast for Food 2016-2026 - Glass Packaging Market Forecast for Beverage 2016-2026 - Glass Packaging Market Forecast for Healthcare 2016-2026 - Glass Packaging Market Forecast for Personal Care 2016-2026 - Glass Packaging Market Forecast for Industrial 2016-2026 - Glass Packaging Market Forecast for Others 2016-2026 - Where are the regional glass packaging market opportunities from 2016-2026? - Focused regional forecasts and analysis explore the future opportunities - US forecast 2016-2026 - Japan forecast 2016-2026 - China forecast 2016-2026 - Germany forecast 2016-2026 - France forecast 2016-2026 - UK forecast 2016-2026 - Russia forecast 2016-2026 - Italy forecast 2016-2026 - India forecast 2016-2026 - South Africa forecast 2016-2026 - Brazil forecast 2016-2026 - Turkey forecast 2016-2026 - Australia forecast 2016-2026 - South Korea forecast 2016-2026 - Indonesia forecast 2016-2026 - RoW forecast 2016-2026 - What are the factors influencing glass packaging market dynamics? - SWOT analysis explores the factors. - Supply and demand dynamics - Advances in product quality - Demographic changes - GDP growth - Rising disposable incomes in emerging economies - Who are the leading glass packaging companies? - We reveal the market share, revenues and competitive positioning, capabilities, product portfolios, R&D activity, services, focus, strategies, M&A activity, and future outlook for the following 9 companies - Anodolu Cam - Ardagh Group - Bormioli Rocco Spa - Gerresheimer - Owens-Illinois - Saint-Gobain - VetroPack - Vidrala - Vitro Packaging And profiles of 3 other significant companies - Who should read this report? - Anyone within the glass packaging value chain, including - Glass packaging companies - Raw materials companies - Glass producers - Glass wholesale and distribution companies - Packaging suppliers - Venture capitalists - Investment analysts - CEO's - COO's - CIO's - Business development managers - Marketing managers - Suppliers - Technologists - Investors - Banks - Government agencies - Contractors - Consultants Get our report today Glass Packaging Market Report 2016-2026: Forecasts, Analysis & Outlook For Leading Companies in Food, Beverage, Healthcare, Personal Care, Industrial And Other End-Use Sectors. Avoid missing out order our report now. Read the full report: http://www.reportlinker.com/p03767868-summary/view-report.html About Reportlinker ReportLinker is an award-winning market research solution. Reportlinker finds and organizes the latest industry data so you get all the market research you need - instantly, in one place. http://www.reportlinker.com __________________________ Contact Clare: [email protected] US: (339)-368-6001 Intl: +1 339-368-6001 SOURCE Reportlinker Related Links http://www.reportlinker.com DUBLIN, July 11, 2016 /PRNewswire/ -- Research and Markets has announced the addition of the "Industrial Coatings - A Global Market Overview" report to their offering. Global demand for industrial coatings is estimated at US$73.8 billion in 2016 and projected to touch US$105.5 billion by 2022, growing by a rate compounded annually at 6.1% between 2016 and 2022. Asia-Pacific has been estimated to be the largest market as well as the fastest growing market in terms of both volumes and value during the above mentioned analysis period. Globally, volume consumption of General Industrial Coatings is the largest product segment, expected to maintain a CAGR of 5.7% between 2016 and 2022 and reach a projected 5.4 billion liters by 2022 from a forecast 3.9 billion liters in 2016. In terms of growth, however, the global volume market for Auto OEM Coatings is poised to post the fastest similar period compounded annual rate of 6.6% and reach a projected 3.3 billion liters by 2022, compared to a forecast 2.2 billion liters in 2016. The diversity of industrial coatings can be attributed to the extensive range of its application areas. This sector encompasses protective coatings for architectural steel, automobiles and other transportation media, oil and offshore structures and wood furniture and fixtures, just to name a few. Being primarily utilized for protecting substrates against attacks by chemicals, water, corrosion and the environment, industrial coatings have to perform in some of the most severe conditions. Adding to this has been the challenge of complying with environmental legislations enacted by regimes across the globe, which has compelled coatings manufacturers to focus on developing durable coatings that, other than meeting environmental regulations, also have to deliver outstanding performance. These factors have led manufacturers to rethink strategy and develop more eco-friendlier and innovative solutions that can address regulations and also offer the desired performance. Global market for Industrial Coatings' product segments analyzed in this study include Auto OEM Coatings, Auto Refinish Coatings, Coil Coatings, General Industrial Coatings, Industrial Maintenance & Protective Coatings, Marine Coatings, Packaging Coatings, Powder Coatings, Transportation Coatings and Wood Coatings. Key Topics Covered: Part A: Global Market Perspective 1. Introduction 2. Key Market Trends 3. Key Global Players 4. Key Business Trends 5. Global Market Overview Part B: Regional Market Perspective 1. North America 2. Europe 3. Asia-Pacific 4. South America 5. Rest Of World Part C: Guide To The Industry Part D: Annexure Companies Mentioned - Advanced Industrial Coatings - Aegis Industries Inc. - Akzo Nobel N.V. - ALTANA AG - Axalta Coating Systems - Brillux GmbH & Co. KG - Burke Industrial Coatings - Carpoly Chemical Group Co. Ltd. - Castagra Products, Inc. - Chugoku Marine Paints Ltd. - Clariant International Ltd. - Diamond Vogel Paint Company - Hempel A/S - Henkel Corporation - Industria Chimica Adriatica SpA - Jamestown Coating Technologies - Jotun A/S - Kansai Paint Co., Ltd. - KATS Coatings - Nippon Paint Industrial Coatings Co., Ltd. - NOROO Paint & Coatings Co., Ltd. - PPG Industries, Inc. - Premium Coatings and Chemicals Pvt Ltd. - RPM International Inc. - SIKA AG - Superior Industrial Coating, Inc. - The Chemours Company - The Sherwin-Williams Company - The Valspar Corporation - Wacker Chemie AG - Watson Coatings, Inc. - Weilburger Coatings GmbH - Wilh. Becker Holding GmbH - Yip's Chemical Holdings Limited - Zuelch Industrial Coatings GmbH For more information visit http://www.researchandmarkets.com/research/2zmvb7/industrial Media Contact: Research and Markets Laura Wood, Senior Manager [email protected] For E.S.T Office Hours Call +1-917-300-0470 For U.S./CAN Toll Free Call +1-800-526-8630 For GMT Office Hours Call +353-1-416-8900 U.S. Fax: 646-607-1907 Fax (outside U.S.): +353-1-481-1716 SOURCE Research and Markets Related Links http://www.researchandmarkets.com LOS ANGELES, July 11, 2016 /PRNewswire/ -- Health Care LA, IPA (HCLA), an Independent Physician Association (IPA) caring for more Medi-Cal beneficiaries than any other organization in Los Angeles County announced receiving multiple honors in recognition of their quality care. The California Association of Physician Groups (CAPG) awarded HCLA with the prestigious rank of Elite Status of Excellence for medical care from the CAPG Standards of Excellence Program 2016. HCLA achieved the recognition by exceeding rigorous program performance benchmarks in five categories: Care Management Practices, Information Technology, Accountability and Transparency, Patient Centered Care and Physician Organization Support for Advanced Primary Care. Molina Healthcare presented HCLA with their 2016 Elite 6 Top Performing Group Excellence Award in appreciation and recognition of HCLA's commitment to excellence, top quality performance and outstanding continuous support of Molina's commitment to quality of care, patient safety and quality of service. "We are grateful to be honored for our resolve to bring quality, affordable and accessible health care to those in our community who need it most," said Iris Weil, Executive Director of Health Care Los Angeles, IPA. "These awards are a tribute to the hard work and dedication of the team here at Health Care LA, IPA and our management company, MedPOINT Management. Of course, this recognition belongs most to all of the health centers in our network who work tirelessly to care for and support the underserved patient population in Los Angeles County." About HCLA Since 1991, Health Care LA, IPA (HCLA) has been a stable, not-for-profit network of Federally Qualified Health Centers and Community Health Centers serving as a trusted, quality health care safety net in Los Angeles County. Serving over 300,000 individuals through a network of 40 contracted Health Centers, 1000 Primary Care Providers and over 3000 contracted Specialty Providers, HCLA is the largest Independent Physician Association (IPA) in California devoted to the underserved patient community. Visit www.healthcarela.org for more information. SOURCE Health Care LA, IPA Related Links http://healthcarela.org Celebrated as one of the brightest new faces on the art scene, Scott Campbell owns the legendary Saved Tattoo parlor in Brooklyn, NY, where he boasts a client list spanning New York's art, design and fashion elite. Embodying Hennessy V.S' long-standing brand mantra, Never stop. Never settle., Scott has the distinctive style of a pioneer & innovator a true trailblazer that has continued to push the boundaries of his craft in mediums other than skin. "Scott Campbell has a signature style that draws on past and present, combining ancient cursive script with a modern eye and execution, which is a perfect visual metaphor for how we at Hennessy honor our own craft," comments Giles Woodyer, Senior Vice President, Hennessy. "Scott's talent, passion and commitment to his craft reflect the same principles that have driven Hennessy for over 250 years." Scott Campbell found inspiration for the 2016 Hennessy V.S Limited Edition bottle when traveling to Cognac, France, to get a feeling for the Maison's history and creative process. In Cognac, he found an unexpected harmony between Hennessy and his own art. "Between the earth, the sun and the grapes, there's an unpredictable subtlety that goes into crafting Cognac. The use of human touch to create Hennessy parallels my own art, creating a character and taking on a life of its own." When Campbell reinterpreted elements of Hennessy V.S's visual branding, he began by perusing the personal journal, notes and drawings of James Hennessy, the Maison's second-generation visionary and an inveterate traveler, to glean a sense of his person. "When you know who an artist is, you can understand his story. When you have a personality to react to, the experience becomes so much deeper. When you see an artifact of a person's life, you connect with it more," Scott Campbell observes, adding, "Given that the House is 250+ years old, you could say that Hennessy is the oldest personality I've ever tattooed!" Scott Campbell describes his art for the V.S Limited Edition as a "conversation between two people, times and places. It's two seemingly opposite worlds." Inspired by James Hennessy's penmanship, the artist infused the V.S label with his own sensibility, drawing a design based on a pair of wings, a universal symbol of freedom and travel that also invites the viewer to project personal understanding onto it. "As an artist, I think that the ultimate accomplishment is to make the viewer feel curious. If a work inspires the curiosity to consider it a little longer, to adopt it in a way, then I think it is a success," he notes. Decorative elements feature an intricate, highly graphic silver and black motif incorporating Hennessy Very Special's three stars, an iconic signature of the brand that also happens to correspond with Scott Campbell's lucky number. On the back label, Scott Campbell emblazoned the quote "Love without Hesitation" because, he observes, "I deeply believe that once you can love without hesitation, you become invincible." In addition to the 750ml bottle (Priced approximately at $35), a limited number of deluxe sets in commemorative wooden boxes (Priced approximately at $150) are available. The Hennessy V.S Limited Edition Deluxe set features a numbered flask with a leather sleeve, a unique sketchbook with watermarked designs by Scott, and a keepsake booklet that provides a special inside look at the collaboration. Both will be available for purchase beginning in July at fine retailers nationwide. Scott Campbell will embark on a global tour with Hennessy beginning in July, with three U.S. stops: Los Angeles, Chicago and New York City. For more information, visit Hennessy.com or follow Hennessy on Facebook (Facebook.com/Hennessy), Twitter and Instagram (@HennessyUS, #ArtoftheChase). About Hennessy In 2016, the Maison Hennessy celebrates over two and half centuries of an exceptional adventure that has linked two families, the Hennessys and the Fillioux, for seven generations and spanned five continents. It began in the French region of Cognac, the seat from which the Maison has constantly passed down the best the land has to give, from one generation to the next. In particular, such longevity is thanks to those people, past and present, who have ensured Hennessy's success both locally and around the world. Hennessy's success and longevity are also the result of the values the Maison has upheld since its creation: unique savoir-faire, a constant quest for innovation, and an unwavering commitment to Creation, Excellence, Legacy, and Sustainable Development. Today, these qualities are the hallmark of a House a crown jewel in the LVMH Group that crafts iconic and prestigious Cognacs. Hennessy is imported and distributed in the U.S. by Moet Hennessy USA. Hennessy distills, ages and blends spanning a full range: Hennessy V.S, Hennessy Black, V.S.O.P Privilege, X.O, Paradis, Paradis Imperial and Richard Hennessy. For more information and where to purchase/ engrave, please visit Hennessy.com About Scott Campbell Scott Campbell, 39, is renowned as one of the most talented tattoo artists in the world. After abandoning an early career in biochemistry, the Louisiana-born artist moved to San Francisco, where he learned the craft of tattooing at Picture Machine, one of the oldest shops in California. He now owns and operates the legendary Saved Tattoo in Williamsburg, Brooklyn, whose client list includes New York's art and design elite, fashion designers, and an extensive celebrity roster. In recent years, Campbell has also earned acclaim from fine art communities around the world for his work in mediums other than skin. Those works include intricately carved sculptures made out of US currency, a series of watercolor paintings, and graphite drawings done on the insides of eggshells. Campbell's artwork currently features in some of the finest art collections worldwide. Photo - http://photos.prnewswire.com/prnh/20160708/387428 SOURCE Hennessy Related Links http://www.hennessy.com ZURICH, July 11, 2016 /PRNewswire/ -- Sequana Medical AG, a privately held medical device company focused on the development and commercialization of innovative, implantable pump systems that manage fluid build-up occurring in patients with liver disease, heart disease and certain cancers, announced today the appointment of Ian Crosbie as Chief Financial Officer. "We are delighted to welcome Ian to Sequana Medical. He is an excellent choice for Chief Financial Officer, with 25 years of experience, both in-house at medical device and pharmaceutical companies, and as an investment banker at several leading global firms. His expertise and strong track record in capital markets, licensing and strategic transactions strengthens the management team and will be instrumental in both the strategic positioning of Sequana and the successful commercialization of the alfapump system for patients with liver disease globally, as well as the expansion of our pump technology to the management of fluid overload in advanced heart failure," stated Noel L. Johnson, Ph.D., Chief Executive Officer of Sequana Medical. "Sequana Medical has developed and started commercializing an elegant, yet simple solution to the problem of chronic fluid overload inside the body - thereby solving a major medical problem with few therapeutic options and significantly improving patient quality of life and reducing healthcare costs. I believe strongly in the value proposition that this Company represents and look forward to working with the entire management team and Board to reach our operational and financial objectives," said Ian Crosbie. Prior to joining Sequana Medical, Mr. Crosbie was Chief Financial Officer of GC Aesthetics Ltd. based in Dublin. Before that, Mr. Crosbie was Senior Vice President, Corporate Development at Circassia Pharmaceuticals plc, a late-stage biopharmaceutical company focused on allergy immunotherapy where he led the execution of the company's 210 million IPO, as well as the M&A & licensing activities. Prior to Circassia, Mr. Crosbie enjoyed a 20-year career in corporate finance, including Managing Director, Healthcare Investment Banking at Jefferies International Limited and Director, Healthcare Investment Banking at Deutsche Bank. In addition he was a founding partner at Gargoyle Partners / Ferghana Partners. Mr. Crosbie served as a Second Lieutenant in the 32nd Armoured Engineer Regiment of the British Army and earned a degree in Engineering, Economics and Management from Oxford University. Note to Editors About Sequana Medical: Sequana Medical is a commercial stage medical device company and the leader in the active transport of fluids within the body. Our first product, the alfapump System, is a fully implantable battery-powered pump that is charged through the skin, for the management of refractory ascites (chronic fluid build-up in the abdomen), a frequent complication of late-stage liver disease and certain cancers. The alfapump System is one of the first real alternatives to large-volume paracentesis, a lengthy, invasive and painful procedure that can require weekly hospital visits for drainage of excess fluid. By moving ascites to the bladder, where the body can eliminate it naturally through urination, the alfapump System prevents fluid build-up and its possible complications, improving patient quality of life and reducing hospital visits and healthcare costs. Our DirectLink Technology allows clinicians to monitor pump performance and more effectively manage patients treated by the alfapump System. The alfapump has received the CE Mark and is commercially available in 14 countries. The alfapump System is currently under evaluation in the US under an IDE study which will be completed by the end of 2016. We will commence a feasibility study for the use of the alfapump in the management of fluid overload in advanced heart failure before the end of 2016. Fluid removal via peritoneal dialysis is an effective therapeutic approach for advanced heart disease. We believe our technology can directly address the key clinical challenge of fluid overload, with significant benefits for diuretic refractory patients, including those with HFpEF where there are few current device options. Other indications where Sequana Medical's pump technology has clinical benefit include malignant ascites and chronic pleural effusion. The Company is headquartered in Zurich, Switzerland and our investors include NeoMed Management, VI Partners, Biomed Invest, Capricorn Health Tech, Entrepreneur's Fund and Life Science Partners. For further information, please visit http://www.sequanamedical.com. Contacts: Sequana Medical Laura Schneider Marketing & Communications Associate +41-44-403-55-96 [email protected] Rx Communications Group (Investors and Media) Melody A. Carey +1-917-322-2571 [email protected] SOURCE Sequana Medical AG CHICAGO, July 11, 2016 /PRNewswire/ -- Insureon, the nation's leading online agency for small business insurance, today celebrates SVP Operations Belen Tokarski's recognition from Insurance Business America as one of its 2016 Elite Women in Insurance. According to the magazine, women comprise only six percent of top executive positions and just over 12 percent of board positions in insurance. Every year, the publication surveys readers and consults with top insurance companies to develop its list of Elite Women, which in 2016 includes names of 144 leaders from around the United States. IBA magazine lauds Tokarski for her past work targeted at improving processes for insurance agents. Since joining Insureon in 2015, she has continued that work, innovating the way the online agency runs its dedicated vertical desks. "Belen's vision and leadership are essential to the work we're doing here," said Ted Devine, Insureon's CEO. "Her ability to stay focused on long-term, big-picture initiatives while drilling down to motivate her team day to day and hour to hour that's something I've rarely seen, and it's absolutely essential to the success of the work we're doing." Currently, Tokarski is focused on transforming the way Insureon's in-house agents serve customers. When she joined the company, its structure was already unconventional: by clustering producers by desk, Insureon functions as a brain trust of specialized agents, thus streamlining the process of finding coverage for customers. In the last year, she has sought to further improve efficiency by introducing product managers to lead each desk. These non-customer-facing team members focus on analytics, product, and carrier relationships, with the goal of eliminating inefficiencies and identifying strategic ways to improve customer experience and profitability. "I kept hearing from my team about the problems they encountered," said Tokarski. "But nobody had time to sit down and consider the big-picture implications of all those problems or think about strategic solutions." So she introduced the product manager position, a role that has proven successful in other industries but had not been implemented in insurance. It's exactly this out-of-the-box thinking that has won Tokarski recognition throughout her career, including a 2011 spot on the Top 10 Women in Insurance Leadership list published by Insurance Networking News. About Insureon Since 2011, Insureon has provided coverage for more than 100,000 small businesses. Its proprietary technology and innovative vertical focus allow it to offer independent contractors, sole proprietors, freelancers, and small-business owners in hundreds of industries a streamlined online process for securing business insurance. Press Contact: Mark Meadows Propllr PR [email protected] 302-353-8258 SOURCE Insureon Related Links http://www.insureon.com CHICAGO, July 11, 2016 /PRNewswire/ -- As minimum wages increase across the country and the labor pool tightens, restaurant operators are faced with the challenge of finding ways to offset higher labor costs without driving away customers. For most operators, wage increases translate into price hikes on menus, thus posing the risk of losing valuable customer visits. Operators have to prioritize solutions in order to effectively navigate between the pressure to raise menu prices within the competitive landscape and consumer perception of value. "With many operators serving lower- and middle-income groups that seek value in the form of dollar menus and combo meals, paying higher wages will ultimately result in higher menu prices," says Darren Tristano, President of Technomic. "Operators need to best assess where consumers are willing to spend more and take price increases that will be easily accepted [to] avoid losing customer visits." Lower commodity prices have, in part, made these wage pressures more manageable. Since May 2015, wholesale prices have fallen year over year in some high-volume categories: Eggs (-50%), Beef/Veal (-21%), Processed Turkey (-8%), Fish (-6%) and Chicken (-5%). However, while commodity prices have dropped, menu prices seem to be increasing rather steadily. For the time being, this trend appears to help offset the effects of higher labor costs as many operators are giving back price increases through higher wages. In fact, data from Technomic's PriceMonitor program shows that average prices have risen at some midscale, family style restaurants since the first quarter of 2015. For example, average prices at Denny's have increased roughly 4% across 13 U.S. markets since the beginning of last year: Cheeseburgers (+3%), Chicken Strips/Nuggets (+4%) and Steak & Eggs (+4%). Similarly, IHOP shows average price increases across the same markets, according to PriceMonitor data: Bacon Cheeseburgers (+3%), Chicken/Country Fried Steak (+3%) and Turkey Sandwiches (+4%). PriceMonitor serves as an effective tool for helping operators better understand the current competitive environment, allowing restaurant brands to hone in on market-specific pricing of their competitors and gain insights to manage consumer price sensitivity. As a fully customizable price-tracking program, PriceMonitor is a time-saving service that allows Technomic clients to easily analyze competitive chain menus across U.S. and Canadian markets, ultimately leading to more informed decision-making. This service also helps clients track similar categories of menu items over time to see price fluctuations as well as market standings. Contacts: Press Inquiries and Program Details: Bernadette Noone, (312) 506-3830, or [email protected] Purchasing Details: Patrick Noone, (312) 506-3852, or [email protected] About Technomic Only Technomic, a Winsight company, delivers a 360-degree view of the food industry. We impact growth and profitability for our clients by providing consumer-grounded vision and channel-relevant strategic insights. Our services range from major research studies and management consulting solutions to online databases and simple fact-finding assignments. Our clients include food manufacturers and distributors, restaurants and retailers, other foodservice organizations, and various institutions aligned with the food industry. Visit us at technomic.com. About Winsight, LLC Winsight, LLC is a business-to-business media and information services company specializing in the convenience-retailing, restaurant and noncommercial foodservice industries. Winsight has an extensive media portfolio including four publications, CSP, Restaurant Business, FoodService Director and Convenience Store Products, a suite of digital products including websites, e-newsletters (Restaurant Business Daily and CSP Daily News) and webinars, plus video products, mobile and tablet apps, custom marketing solutions and the convenience-retailer intelligence tool, CSPedia. The Winsight Events group produces six exclusive, large-scale executive-level conferencesRestaurant Leadership Conference, FARE Conference, Outlook Leadership, Convenience Retailing University, FSTEC and MenuDirectionsin addition to more than 12 major EduNetworking conferences and advisory meetings. Winsight recently acquired Technomic, Inc., a food industry provider of primary and secondary market information and advisory services. Winsight is a recognized leader in the markets it serves. For more information on Winsight and its brands, go to WinsightMedia.com. Logo - http://photos.prnewswire.com/prnh/20110428/CG90692LOGO SOURCE Technomic Related Links http://www.technomic.com ATLANTA, July 11, 2016 /PRNewswire/ -- Marine Products Corporation (NYSE: MPX) announced today that it will release its financial results for the second quarter ended June 30, 2016 on Wednesday, July 27, 2016 before the market opens. In conjunction with its earnings release, the Company will host a conference call to review the Company's financial and operating results on Wednesday, July 27, 2016 at 8:00 a.m. Eastern Time. Individuals wishing to participate in the conference call should dial (888) 359-3624 or (719) 325-2308 for international callers, and use conference ID number 1052294. For interested individuals unable to join via telephone, the call also will be broadcast and archived for 90 days on the Company's investor website at www.marineproductscorp.com. Interested parties are encouraged to click on the webcast link 10-15 minutes prior to the start of the conference call. Marine Products Corporation (NYSE: MPX) designs, manufactures and distributes premium-branded Chaparral sterndrive, jet drive and outboard pleasure boats, and Robalo offshore sport fishing boats. The Company continues to diversify its product lines through product innovation. With premium brands, a solid capital structure, and a strong independent dealer network, Marine Products Corporation is prepared to capitalize on opportunities to increase its market share and to generate superior financial performance to build long-term shareholder value. For more information on Marine Products Corporation visit our website at www.marineproductscorp.com. For information about Marine Products Corporation or this event, please contact: Ben Palmer Chief Financial Officer (404) 321-7910 [email protected] Jim Landers Vice President, Corporate Finance (404) 321-2162 [email protected] SOURCE Marine Products Corporation Related Links http://www.marineproductscorp.com Inspired by Rachael Ray's long-standing Feedback that takes place during SXSW in Austin each year, Rachael brought the food and music event to the Chicago community on June 25 at the iconic Lincoln Park Zoo in Chicago. Artists such as Grace Potter and Lee Fields & The Expressions performed for a crowd of 2,000 and guests enjoyed a menu featuring dishes like Pilsen-Style Mexican Hot Dog and "1 st Place Pulled Pork" Sliders served on Martin's Famous Potato Rolls. "Martin's Potato Rolls have been in the grocery stores in Chicago for a few years now. We have had a wonderfully warm welcome from the Chicago community! Feedback Chicago was the perfect opportunity to participate in an exciting and fun community festival presented by Rachael Ray to celebrate Chicago's great food, music, and people," says Julie Martin, Martin's social media manager and granddaughter to the original founders of Martin's Famous Pastry Shoppe, Inc. "It was our privilege to provide the rolls and attend such a fun event! My son and I had a blast!" Martin's Famous Pastry Shoppe, Inc., is an American family owned and operated company, headquartered in Chambersburg, Pennsylvania. Since 1955, when Lloyd and Lois Martin converted their garage into a small bakery, the Martin's family has focused on baking great-tasting products using high-quality ingredients. Their dedication to excellence, quality, service, and family values is what truly sets them apart from their competitors. No longer just a "Pennsylvania novelty," Martin's Potato Rolls are the "#1 Branded Hamburger Bun in America." In addition to their famous burger and hot dog potato rolls (called "Sandwich Potato Rolls" and "Long Potato Rolls," respectively), Martin's also makes sesame-seeded Big Marty's Rolls, Hoagie Rolls, 100% Whole Wheat Potato Bread, and Cinnamon-Raisin Swirl Potato Bread. These and other Martin's products are delivered fresh to Eastern and Mid-Western stores daily and are exported internationally to a growing number of countries. To learn more about Martin's Famous Potato Rolls and Bread, you can visit their website at: www.potatorolls.com. The official website for Feedback Chicago provides information about the event, including the list of musicians performing and menu items, including those featuring Martin's Potato Rolls. www.feedbackchicago.com. Photo - http://photos.prnewswire.com/prnh/20160711/388370 SOURCE Martin's Famous Pastry Shoppe, Inc. Related Links https://potatorolls.com ATLANTA, July 11, 2016 /PRNewswire/ -- McAlister's Deli, a leading fast casual restaurant chain known for its Famous Sweet Tea and recently ranked as one of consumers' favorite chains by Restaurant Business, today announced it is looking for new partnerships with experienced multi-unit franchise owners as the brand continues its expansion into Upstate New York. "With our recent openings in the Buffalo and Rochester markets, Upstate New York represents an important part of McAlister's growth strategy and we're excited about the brand's continued expansion into the region," said Jeff Sturgis, McAlister's Vice President of Franchise Development. "The McAlister's Deli brand has become a top choice for some of the most successful multi-unit franchise owners in the country, so we're excited to watch the brand grow in these areas due in large part to the talent of our franchisees." As the company looks to open additional locations in the area, they are actively seeking qualified franchisees to develop in the areas in and around Syracuse, Albany, Binghamton and Utica. Currently, McAlister's has two locations in the state of New York one in Niagara Falls and one in Henrietta, both of which have opened in the last eight months. McAlister's had a successful year of franchise growth in 2015 with $548 million in system-wide sales, the opening of 27 new restaurants some in new markets, including Chicago, Rochester, and Orlando and nearly 60 commitments for new restaurants. This growth is fueled by both existing franchisees as well as new partnerships with experienced multi-unit franchise owners with notable portfolios. McAlister's currently operates more than 361 franchised locations in the U.S., across 28 states. The company has attracted a record number of franchise inquiries in recent years due to its quality leadership, healthy sales to investment ratio, and exceptional company performance. To learn more about franchising opportunities with McAlister's, please contact (888) 855-DELI (3354) or [email protected], or visit www.mcalistersdelifranchise.com. About McAlister's Founded in 1989, McAlister's Deli is a fast casual restaurant chain known for its sandwiches, spuds, soups, salads, desserts and McAlister's Famous Sweet Tea. In addition to dine-in and take-out service, McAlister's also offers catering with a selection of sandwich trays, box lunches, desserts, a hot spud bar and more. With numerous industry accolades, the McAlister's brand has more than 361 restaurants in 28 states. The company is headquartered in Atlanta, Ga. For more information, visit www.mcalistersdeli.com. About FOCUS Brands Inc. Atlanta-based FOCUS Brands Inc., through its affiliate brands, is the franchisor and operator of more than 5,000 ice cream shoppes, bakeries, restaurants, and cafes in the United States, the District of Columbia, Puerto Rico and 60 foreign countries under the brand names Carvel, Cinnabon, Schlotzsky's, Moe's Southwest Grill, Auntie Anne's and McAlister's Deli, as well as Seattle's Best Coffee on certain military bases and in certain international markets. Please visit www.focusbrands.com to learn more. Media Contact: Lauren Tweet, Allison+Partners, (404) 832-7182, [email protected] Logo - http://photos.prnewswire.com/prnh/20160705/386062LOGO SOURCE McAlister's Deli Related Links http://www.mcalistersdeli.com HOUSTON, July 11, 2016 /PRNewswire/ -- Medistar Corporation ("Medistar") announced today that it closed on financing and began construction on the new InterContinental Houston Medical Center hotel and Latitude Med Center luxury apartment tower located at the intersection of Main Street and Old Main Street in Houston, Texas. The site is immediately adjacent to the Texas Medical Center, the largest medical complex in the world with 50 million square feet of buildings, on 1,345 acres, supporting 8 million patient visits annually. Photo - http://photos.prnewswire.com/prnh/20160711/388407 Construction has started on new InterContinental(R) Houston Medical Center hotel and Greystar Latitude Med Center luxury apartment tower in Houston, Texas, adjacent to the world's largest medical complex. "The project includes more than 1.1 million square feet of upscale hospitality and residential solutions to meet the growing market demands not only for the Texas Medical Center, which has an increasing number of international travelers familiar with the InterContinental brand, but also the Greater Houston Area," said Kelly Lindig, Vice President at Medistar and lead development officer for the project. Lindig brings both mixed-use development and over 20 years of hotel development experience, comprising $2 billion in project value. Lindig added, "The residential and hospitality aspects of the project are designed to complement each other and will benefit the entire region. You could say that our 'across the street marketing plan' allows for stability for the hotel because of the proximity to the Texas Medical Center, while also providing a walkable solution for anyone looking for a nearby apartment home. Partnering with Greystar for the second tower makes this project work." InterContinental Houston Medical Center is the first full-service luxury hotel to be developed in the immediate area in several decades and will provide modern four-star accommodations, amenities and guest services for domestic and international travelers. With 21 stories, the hotel features 353 guestrooms and suites and includes 11,800 square feet of meeting space comprised of seven meeting and board rooms and a 7,800 square foot Grand Ballroom. Monzer Hourani, Founder and CEO of Medistar, said, "InterContinental Houston Medical Center will meet a critical need for guests visiting and doing business with the world-renowned Texas Medical Center and its member institutions. We are proud to partner with IHG to bring this project to life." Scheduled to open in late 2018, InterContinental Houston Medical Center is being developed by Medistar through a joint venture partnership with TRC Capital Partners. The hotel will be owned by Medistar and managed by InterContinental Hotel Group (IHG). TRC Capital Partners, formerly The Redstone Companies, brings extensive high-rise development and hospitality operating experience to the joint venture. Steve Lerner, CEO of TRC Capital, said, "InterContinental Houston Medical Center will be a thoroughly modern, upscale hotel and meeting space for the Texas Medical Center community. We are delighted to partner with Medistar on this landmark project." Joel Eisemann, IHG's Chief Development Officer of the Americas, said, "We are very enthused about working with our partners at Medistar Corporation to bring the InterContinental Hotels & Resorts brand to Houston and the Texas Medical Center, which serves patients and visitors from all around the world. We appreciate Monzer Hourani's vision for and commitment to this outstanding project." InterContinental Houston Medical Center was designed by HOK, is being built by GHJ Construction and is scheduled for completion in late 2018. Medistar selected Greystar, the largest operator of apartments in the United States, as its partner for the 35-story Latitude Med Center luxury apartment tower. "We are excited to be able to offer a new upscale living option this close to the Texas Medical Center," said Bo Chapman, Director of Development for Greystar. "Standing side-by-side on a site barely more than an acre, design of the hotel and apartment towers forced meticulous coordination between the development teams, often playing to each other's strengths to find solutions to unique challenges." Latitude Med Center will offer a variety of one, two and three-bedroom floor plans as well as penthouses, ranging in size from 349 to 3767 square feet of living space. From well-appointed interiors with modern living spaces to amenities that include a rooftop infinity pool, separate 10th floor amenity deck and concierge services, the community will meet the needs of any lifestyle. Designed by The Preston Partnership, Latitude Med Center is being built by Hoar Construction and scheduled for completion in late 2018. FOR MORE INFORMATION For more information regarding InterContinental Houston Medical Center, contact Medistar's Vice President Business Development, Paul McCleary at [email protected]. ABOUT MEDISTAR CORPORATION is a full-service real estate development company headquartered in Houston, Texas and specializing in the design, development, financing, acquisition and construction of healthcare, commercial, hospitality and mixed-use projects nationwide. Among Medistar's projects in active development are Parkwest (a 150-acre mixed-use development) in Katy, Texas, medical office buildings in Boerne, Castroville, Shenandoah, and El Paso, Texas, inpatient rehabilitation hospitals in Corpus Christi, Texas and Tulsa, Oklahoma, high-acuity skilled nursing facilities in Rancho Mirage, California, El Paso, Texas, and Katy, Texas, and major expansion of Bay Area Regional Medical Center (www.BARMC.us) in Webster, Texas. For more information, visit www.MedistarCorp.com. INTERCONTINENTAL HOTELS & RESORTS has 182 hotels located in more than 60 countries with local insight that comes from 70 years of experience. As a brand, we believe that superior, understated service and outstanding facilities are important, but what makes us truly different is the genuine interest we show in our guests. Our desire is to help guests make the most of their time. We connect our well-traveled guests to what's special about a destination, by sharing our knowledge, so they enjoy authentic experiences that will enrich their lives and broaden their outlook. For more information, visit http://www.ihg.com/intercontinental, https://twitter.com/InterConHotels or http://www.facebook.com/intercontinental. GREYSTAR is a leading, fully integrated real estate company offering expertise in investment management, development and property management of rental housing properties globally. Headquartered in Charleston, South Carolina with offices throughout the United States, Europe, and Latin America, Greystar is the largest operator of apartments in the United States, managing over 400,000 units in over 160 markets globally. Greystar also has a robust institutional investment management platform dedicated to managing capital on behalf of a global network of institutional investors with over $14 billion in gross assets under management including $6.3 billion of developments underway. Greystar was founded by Bob Faith in 1993 with the intent to become a provider of world class service in the rental housing real estate business. For more information, visit www.greystar.com. This content was issued through the press release distribution service at Newswire.com. For more info visit: http://www.newswire.com SOURCE Medistar Corporation Related Links http://www.MedistarCorp.com SAN MATEO, Calif., July 11, 2016 /PRNewswire/ -- Rhode Island is the most dangerous state for drivers age 65 and older, according to a new Caring.com report, followed by Maine, Minnesota, New York and Idaho. Click here for more information: https://www.caring.com/articles/most-dangerous-states-senior-drivers Caring.com ranked the most and least dangerous states for senior drivers The study compared the number of people age 65 and older who were killed in car accidents with that age group's share of the population in each state. In Rhode Island, for example, senior citizens comprised 35% of car-related fatalities in 2014 (according to the National Highway Traffic Safety Administration). Since seniors made up just 16% of Rhode Island's population (per the U.S. Census), they were 19 percentage points more likely to be involved in a fatal crash than expected. New Mexico is the least dangerous state for older drivers. North Dakota, Louisiana, Alaska, Montana, Mississippi and South Carolina are the only other states where seniors accounted for fewer car-related fatalities than their share of the population projected. "It's never easy to tell mom or grandpa to stop driving, but these numbers show why it's crucial to have that conversation before it's too late," said Dayna Steele, Caring.com's Chief Caring Expert and the author of Surviving Alzheimer's with Friends, Facebook and a Really Big Glass of Wine. "Many seniors think they'll lose their independence if they stop driving, so investigate alternatives like ride-sharing services and public transportation. Also, try to offer rides from family members, friends and neighbors when possible." 31 states have stricter rules for older drivers, according to the Governors Highway Safety Association. The most common requirement is a more frequent renewal cycle. 11 states require mature motorists to pass a vision test after a certain age. Only two (Illinois and New Hampshire) mandate a supplemental road test at age 75. About Caring.com With more than three million visitors per month, Caring.com is a leading senior care resource for family caregivers seeking information and support as they care for aging parents, spouses, and other loved ones. A Bankrate company headquartered in San Mateo, Calif., Caring.com provides helpful caregiving content, online support groups, and a comprehensive Senior Care Directory for the United States, with over 113,000 consumer ratings and reviews and a toll-free senior living referral line at (800) 325-8591. Connect with Caring.com on Facebook, Twitter, Google+, Pinterest, LinkedIn, and/or YouTube. For more information: Ted Rossman Public Relations Director [email protected] 917-368-8635 Video - http://origin-qps.onstreammedia.com/origin/multivu_archive/PRNA/ENR/Caring-com-Senior-Driving.mp4 Logo - http://photos.prnewswire.com/prnh/20151113/287218LOGO SOURCE Caring.com Related Links https://www.caring.com BURBANK, Calif., July 11, 2016 /PRNewswire/ -- My Eye Media announced today that it has expanded its Blu-ray Disc Association (BDA) Membership to Contributor Member. As part of My Eye Media's Increased Participation, Juan Reyes, My Eye Media's Chief Innovation Officer has been appointed the PG-3 Chair for the System Compatibility Group (SCG) of the BDA. Reyes will chair the BDA committee tasked with developing a system compatibility testing program in support of the new Ultra HD Blu-ray format. The committee will conduct a series of Round Robin Tests (RRTs), essential to ensuring content and device compatibility within the Ultra HD Blu-ray format. "I am gratified that the BDA membership has chosen me to lead the PG-3 committee and oversee the RRTs," said Reyes. "My Eye Media has worked closely with the Studios and Player Manufacturers for many years testing both devices and content for a multitude of digital platforms. We are especially enthusiastic about Ultra HD Blu-ray and our expanded membership with the BDA," said Michael Kadenacy CEO. Reyes will be chairing the PG-3 committee meeting at the Blu-ray Disc Association conference in Vancouver, BC, July 12, 2016. About My Eye Media My Eye Media is the leader in quality assurance, technical analysis and testing of all formats of digital motion picture content. The company's deep understanding of the technical intricacies required for the digital landscape makes its work critical to major film studios, cable and satellite channels, streaming media providers and content library owners. My Eye Media was founded in 2004 and is the most advanced and experienced digital-file testing company in the world. The company is headquartered in the heart of the post-production district in Burbank, California, and it has offices in New York and Tokyo. My Eye Media also manages a global workforce of technicians. More information at www.myeyemedia.com. SOURCE Eurofins Digital Media Services Related Links http://www.myeyemedia.com ALEXANDRIA, Va., July 11, 2016 /PRNewswire-USNewswire/ -- National PTA announced today the release of a white paper on the essential role of family engagement in increasing students' access to opportunities in science, technology, engineering and math (STEM)especially among girls and underrepresented youth. The white paper is the result of an analysis of STEM education research and STEM programs; a panel discussion with PTA members and STEM education and equity experts; and a convening of thought leaders in STEM, family engagement and education. "STEM jobs in the United States are growing twice as fast as other fields. As a result, the demand for qualified STEM professionals is high, but the supply of qualified STEM workers is lowespecially among underrepresented groups like minorities and women," said Laura Bay, president of National PTA. "The gap in the STEM pipeline is not a new problem, but intentionally engaging families is an essential new solution." Among the findings highlighted in the report: Families are unaware of the vast career opportunities in STEM and rely on their own experiences with STEM subjects to guide perceptions about STEM for their children Families are not equipped to support STEM education decision-making or to guide their children toward STEM career pathways Families have not been empowered to advocate for high-quality STEM education and programs for all students in school, home, community or digital settings "We knowand decades of research provesthat family engagement is essential to children's success. We also know that families play an important role in helping students navigate educational and career decisions and are influencers of students' perceptions of what's possible for their futures," said Nathan R. Monell, CAE, National PTA executive director. "Shifting the conversation to include families as meaningful partners in STEM education and career pathways is critical to bridging the STEM gap." The white paper is part of National PTA's new STEM Plus Families initiative. National PTA launched STEM Plus Families with the founding support of Bayer USA Foundation and Mathnasium. Bayer is the lead corporate supporter for the "S" in STEM, and Mathnasium is providing support for the "M." "Families are critical to nurturing a child's interest in STEM subjects during school and, eventually, pursuing a STEM career," said Dr. Mae C. Jemison, ambassador of Bayer's Making Science Making Sense science literacy program. "The findings of National PTA's STEM Plus Families white paper support this position as well as the direct positive correlation between robust STEM careers and the long-term prosperity of the United States." About National PTA National PTA comprises millions of families, students, teachers, administrators, and business and community leaders devoted to the educational success of children and the promotion of family engagement in schools. PTA is a registered 501(c) (3) nonprofit association that prides itself on being a powerful voice for all children, a relevant resource for families and communities, and a strong advocate for public education. Membership in PTA is open to anyone who wants to be involved and make a difference for the education, health and welfare of children and youth. About Bayer Corporation Bayer is a global enterprise with core competencies in the Life Science fields of health care and agriculture. Its products and services are designed to benefit people and improve their lives. At the same time, the Group aims to create value through innovation, growth and high earning power. Bayer is committed to the principles of sustainable development and to its social and ethical responsibilities as a corporate citizen. In fiscal 2015, the Group employed around 117,000 people and had sales of EUR 46.3 billion. Capital expenditures amounted to EUR 2.6 billion, R&D expenses to EUR 4.3 billion. These figures include those for the high-tech polymers business, which was floated on the stock market as an independent company named Covestro on October 6, 2015. For more information, go to www.bayer.us. About Mathnasium Mathnasium, the nation's leading math-only learning center franchise, specializes in teaching kids math in a way that makes sense to them. The result of 40+ years of instruction and research, the Mathnasium Method has transformed the way children understand and appreciate mathwhether they're ahead of the curve, performing at grade level, or falling behind. Franchising since 2003, Mathnasium has become one of the fastest-growing educational franchises, with a new center opening each week. There are more than 700 Mathnasium franchises in the U.S. and abroad. For more information, visit Mathnasium.com or call (877) 601-MATH. SOURCE National PTA Related Links http://www.pta.org WASHINGTON, July 11, 2016 /PRNewswire-USNewswire/ -- A groundbreaking ad depicting the challenges faced by transgender people in accessing public restroomsand highlighting the lack of state and federal nondiscrimination protections for transgender peoplewill have its national television debut on FOX News Channel next Thursday, July 21, during the final night of the Republican National Convention in Cleveland, Ohio. The ad will air nationwide again on MSNBC during the Democratic National Convention in Philadelphia one week later. The 60-second ad, which will be seen all across the country, features a transgender woman from North Carolina, where HB2 passed earlier this year. HB2 makes it illegal for transgender people to use restrooms in public buildings that match the gender they live every day, making them susceptible to even higher levels of harassment and violence. The ad can be viewed now at www.FairnessUSA.org . The growing national conversation about transgender people comes at a watershed moment. More than 200 bills targeting lesbian, gay, bisexual and transgender (LGBT) people were introduced in dozens of states during the 2016 legislative session. Many of these bills were intended specifically to harm transgender people. The presumptive Republican presidential nominee, Donald Trump, has offered varying opinions on the issue of transgender rights, but stated that HB2 has caused "a lot of problems" and that transgender people should "use the bathroom they feel is appropriate," adding that this policy has worked well for years. Texas Sen. Ted Cruz ran glaringly anti-transgender campaign ads, only to see his presidential campaign plummet shortly afterwards. However, Rep. Ileana Ros-Lehtinen of Florida and Sen. Rob Portman of Ohioboth of whom have children who are transgender or gayare among a growing number of elected Republicans who strongly support protecting LGBT people from discrimination. On Friday, Republican Gov. Charlie Baker of Massachusetts signed into law a bill that ensures explicit protections for transgender people in public places, including restrooms. That bill passed the legislature with overwhelming bipartisan support earlier this summer, and Baker had previously indicated that he would sign it. "Transgender people desperately need laws that protect us from being unfairly fired from our jobs, kicked out of our homes, and denied access to public bathrooms, just because of who we are," said Mara Keisling, Executive Director of the National Center for Transgender Equality. "Our newly released survey data shows that 59 percent of transgender people avoided bathrooms in the last year out of fear of harassment. A shocking one in ten (12%) transgender people reported being harassed, attacked, or sexually assaulted in a bathroom, and one third avoided drinking or eating so that they did not need to use the restroom. Eight percent have had medical problems like urinary or kidney infections from avoiding the restroom." "Most Americans want to do the right thing, but they have never met a transgender person, so they have misconceptions," said Ineke Mushovic, Executive Director of the Movement Advancement Project, which developed the ad. "This ad cuts through the political rhetoric and simply asks people to consider the serious challenges and discrimination faced by transgender peoplediscrimination that is still legal in most states." The Movement Advancement Project released a policy report today providing a fact-based analysis of restroom access, nondiscrimination laws, and restrictive bathroom laws such as North Carolina's HB2. "Around the country, LGBT Americans continue to fall victim to attacks and discrimination, in our lives and at every level of government. That is especially true for transgender Americans, who are being singled out and targeted by legislators for exclusion from public places and facilities," said Matt McTighe, executive director of Freedom for All Americans Education Fund. "Transgender equality is about human dignity and respect for all people. No one should be banned from something as basic as using the restroom. We hope this ad helps educate the millions of Americans watching the conventions and anyone who has not yet had an opportunity to meet and get to know a transgender person." The ad was funded by Fairness USA, a partnership led by the Freedom for All Americans Education Fund, the Movement Advancement Project, the National Center for Transgender Equality, and the Equality Ohio Education Fund, with support from the Equality Federation Institute, the Human Rights Campaign Foundation, and the National Center for Lesbian Rights. SOURCE Fairness USA Related Links http://www.FairnessUSA.org First-to-market at Celebration is the new vinyl single from the Hollywood Records and American Recordings compilation, Star Wars Headspace , executive produced and curated by visionary GRAMMY Award-winning producer Rick Rubin. The 10" vinyl single features the track "Jabba Flow: Rick Rubin Re-work (Feat. A-Trak)" by Shag Kava on both sides with Kylo Ren and BB-8 character images. Additional collectible titles include Star Wars: The Force Awakens Soundtrack 2-LP Hologram Vinyl with one-of-a-kind 3D holograms of the Millennium Falcon and TIE Fighter; Star Wars: The Force Awakens Soundtrack Picture Disc (Kylo Ren, Han Solo, Finn and Rey character images); and BB-8 Picture Disc 10" vinyl ("March of the Resistance"/"Rey's Theme"), all featuring music by Oscar-winning composer John Williams. The Star Wars: The Force Awakens 2-LP hologram soundtrack, Star Wars: The Force Awakens Soundtrack Picture Disc, and BB-8 10" vinyl are all available at Disney Music Emporium, the destination for collectible Disney music products. For more information on Walt Disney Records' releases, like us on Facebook.com/disneymusic or follow us at Twitter.com/disneymusic. For more information on Disney Music Emporium, please visit DisneyMusicEmporium.com, become a fan at Facebook.com/disneymusicemporium or follow us at Twitter.com/DisneyMusicEmp. Photo - http://photos.prnewswire.com/prnh/20160711/388413 SOURCE Disney Music Group BAGSVRD, Denmark, July 11, 2016 /PRNewswire/ -- Novo Nordisk announced today that data from 28 abstracts will be shared at the upcoming World Federation of Hemophilia (WFH) World Congress in Orlando, FL, from July 24-28. The WFH Congress is the largest international meeting for the global bleeding disorders community. The broad spectrum of data demonstrates exciting new advances in the area of hemophilia and establishes Novo Nordisk's commitment to driving innovation and research. The comprehensive program provides updates on Novo Nordisk's hemophilia pipeline, long-term efficacy of established treatments and insights into the psychosocial and functional impact of hemophilia on quality of life. Following are key highlights that will be presented at the meeting: Monday, July 25, 3:50 pm ET, Poster Presentation Recombinant factor XIII is safe and effective for prophylaxis in young children with congenital FXIII A-subunit deficiency: results from an international phase 3 trial (MP-M-200) Tuesday, July 26, 3:30 pm ET, Poster Presentations Efficacy and safety of turoctocog alfa for prophylaxis and treatment of bleeding episodes in patients with severe hemophilia A: results from the guardian2 trial (P-T-97) First report of safety and efficacy of a glycoPEGylated FVIII (N8-GP) in previously treated pediatric patients with severe hemophilia A - results from the international phase 3 pathfinder5 trial (P-T-103) Psychosocial impact of mild to severe hemophilia B on affected adults and children: methods and demographics of the bridging hemophilia B experiences results and opportunities into solutions (B-HERO-S) study (PO-T-26) Wednesday, July 27, 3:30 pm ET, Poster Presentation Bleeding characteristics of patients with congenital hemophilia and inhibitors: data from a postmarketing study of recombinant activated factor VII (SMART-7) (P-W-79) "The presentation of 28 abstracts at WFH 2016 is unparalleled and a clear signal to the hemophilia community that Novo Nordisk is committed to finding solutions to improve the lives of people living with hemophilia worldwide," said Mads Krogsgaard Thomsen, executive vice president and chief science officer of Novo Nordisk. "We look forward to the opportunity for scientific exchange at this important meeting." The abstracts above are a sampling of the data that will be presented or published by Novo Nordisk. For a complete list of abstracts please visit: http://www.wfh.org/congress/2016_Abstracts This press release contains forward-looking statements about investigational products currently in development by Novo Nordisk. As is expected, there is significant risk with drug development and there is no guarantee that future studies will reflect similar results as presented at WFH. For further information about the Novo Nordisk drug pipeline, visit http://www.novonordisk.us About Novo Nordisk Novo Nordisk is a global healthcare company with more than 90 years of innovation and leadership in diabetes care. This heritage has given us experience and capabilities that also enable us to help people defeat other serious chronic conditions: hemophilia, growth disorders and obesity. With U.S. headquarters in Plainsboro, N.J., Novo Nordisk Inc. has more than 5,000 employees in the United States. For more information, visit novonordisk.us or follow us on Twitter: @novonordiskus. Further information Media: Katrine Sperling +45-4442-6718 [email protected] Asa Josefsson +45-30797708 [email protected] Courtney Mallon +1-609-786-4079 [email protected] Investors: Peter Hugreffe Ankersen +45-3075-9085 [email protected] Melanie Raouzeos +45-3075-3479 [email protected] Daniel Bohsen +45-3079-6376 [email protected] Kasper Veje +45-3079-8519 [email protected] NovoSeven, Novoeight and Tretten are registered trademarks of Novo Nordisk A/S. Guardian, Mentor and PathFinder are trademarks of Novo Nordisk A/S. Novo Nordisk is a registered trademark of Novo Nordisk A/S. All other trademarks, registered or unregistered, are the property of their respective owners. 2016 Novo Nordisk All rights reserved. USA16HDM01939 June 2016 SOURCE Novo Nordisk PHOENIX, July 11, 2016 /PRNewswire/ -- As part of its growth strategy, OneAZ Credit Union recently changed its name from Arizona State Credit Union. The change underscores the financial institution's commitment to the individual behind every transaction, according to Dave Doss, the Credit Union's President and Chief Executive Officer. "We are a strong financial institution with a 65-year legacy of making a positive difference in the lives of our members, associates and communities, yet we suffered from brand confusion," he said. "The timing was right for us to adopt a new name that embodies who we are and the way we approach our business." OneAZ Credit Union is a full-service, non-profit credit union, with 135,000 members and $1.8 billion in assets. At its 20 branches across the state, the credit union offers an array of services to help members during the most important stages of life from preparing for college to buying a home and planning for retirement. Services aside, Doss said members benefit from long-standing relationships with credit union associates who live in their community, along with local decision-making on loan approvals and other financial matters. "Our members are the owners, so we work in their best interest," he said. The name change coincides with OneAZ's ambitious plan to double its assets in the next five years. David Sweiderk, the credit union's Executive Vice President and Chief Operating Officer, said the plan involves taking an integrated channel and an outside-in approach to look at operations from its members' perspective. Among the planned infrastructure upgrades are a best-in-class telephony system with password authentication, voice recognition and other features, along with a next-level digital experience. Prototype branches also are being planned in Sedona and at Kierland Commons in Scottsdale. The branches, set to open this summer and fall, respectively, will feature an Apple-esque design, with consultation, learning and the latest customer service technologies. "We want to make contact with members as easy, enjoyable and effective as possible, regardless of how they reach us," Sweiderk said. Above all, Sweiderk said OneAZ will maintain a laser-like focus on providing the best possible customer service. That means continuing to build upon its already robust training program, so its associates are well-versed in all of the credit union's services. "Ultimately, our associates are relationship managers who take the time to understand what our members need. We deliver a level of service they can't get anywhere else; we are here to create and forge a trusted partnership with our members," he said. Giving back has always been part of the credit union's culture. To bolster its philanthropic programs, the credit union recently established the OneAZ Community Foundation. As part of its program of giving, the Foundation invited non-profit organizations in markets where OneAZ does business to apply for one of 20 community impact grants totaling $50,000. The grants will fund programs that provide a direct benefit to the communities served and enhance the quality of life. The Foundation is currently evaluating applications and will announce recipients in mid-August. "We want our members and communities to know that we are as passionate about helping them today as we were when we opened our first branch in 1951," said Joseph C. Smith, Foundation president. For more information about the credit union, visit oneazcu.com. About OneAZ OneAZ Credit Union is a $1.8 billion full-service, not-for-profit, local financial institution with a statewide branch network serving members since 1951. More than 135,000 Arizonans turn to OneAZ for its comprehensive business and personal financial services. OneAZ Community Foundation plays an important role in providing much-needed funding to non-profit and community organizations throughout the state. OneAZ is a 10-time winner of Arizona Business Magazine's Ranking Arizona and a six-time recipient of the Peter Barron Stark & Associates Award for Workplace Excellence. The credit union is headquartered in Phoenix and welcomes members from throughout the state. For more information, call 844.663.2928 or visit oneazcu.com. SOURCE OneAZ Credit Union Related Links https://www.oneazcu.com/ PHILADELPHIA, July 11, 2016 /PRNewswire/ -- Deal Will Bring Access to the Latest Breakthroughs in Science and Medicine for Researchers and Clinicians in Panama's Health and Academic Institutions Elsevier, a world-leading provider of scientific, technical and medical information products and services, today announced that Access to Scientific Literature (ABC), the Scientific Library program sponsored by Panama's Ministry of Health and Ministry of Science and Technology, have selected Elsevier's ScienceDirect and ClinicalKey. With this two-year collaboration agreement, the country's researchers and health and academic institutions will have access to content and digital resources on scientific and clinical literature. This collaboration will also increase the number of entities with access to this information, on the different areas of knowledge. "ABC is a National Secretariat of Science Technology and Innovation (SENACYT) initiative that will be available for scientific professionals, clinicians, researchers, lecturers and students from different knowledge areas in the Republic of Panama," said Dr. Jorge Motta, National Secretary, Ministry of Science and Technology, Panama. According to SENACYT, "To progress in research, development and innovation it is vital to have access to the latest breakthroughs advancement in science and technology. However, SENACYT is aware of the challenges hospitals, research and academic bodies face in gaining access to world-class information solutions due to economic constraints." The ABC programme allows many institutions and entities in Panama to have access to ClinicalKey and ScienceDirect, impacting the number of research outputs and helping to increase the national research capacity while strengthen the quality of education and social services in Panama. Elsevier and SENACYT share a common goal to improve the development of scientific, clinical and technology area in Panama, thereby putting an end to inequality and promoting equitable research and R&D in healthcare. Panama appointed Elsevier as a key partner to achieve its goals, including providing access to the most updated and high-quality information solutions in health, technology and science. Gerrit Bos, Executive Vice President, EMEALA-APAC, Elsevier Health, said, "ClinicalKey is more than just a clinical reference platform. It is an information solution that addresses core needs of ABC as care providers. The agreement with ABC will provide health professionals, lecturers and students in Panama with the most complete and current collection of trusted content and multimedia scientific and medical information at anytime and anywhere, contributing to the scientific and healthcare development of Panama." Elsevier will continue incorporating new features for ABC to continue contributing the quality of higher education and health services in Panama, according to Bos. "We look forward to further delivering trusted and updated clinical content and support for Panama's mission to have high-quality patient care and health education," Bos said. "ClinicalKey is already providing medical professionals across numerous countries with a platform to answer questions posed within a clinical care context." ClinicalKey provides evidence-based clinical answers drawn from the single largest body of clinical content available, including 600+ journals, 1,100+ books, drug information, guidelines, patient education and Medline. The smart search enables ClinicalKey to understand clinical terms and thus discover the most relevant medical content and find related content often missed by other search engines. ClinicalKey is optimized for any mobile device, making it more convenient to search and validate on-the-go. www.clinicalkey.com/info/es ScienceDirect is Elsevier's leading information solution for researchers, teachers, students, healthcare professionals and information professionals. It combines authoritative, full-text scientific, technical and health publications with smart, intuitive functionality so that you can stay informed in your field, and can work more effectively and efficiently. www.sciencedirect.com About Elsevier Elsevier is a world-leading provider of information solutions that enhance the performance of science, health, and technology professionals, empowering them to make better decisions, deliver better care, and sometimes make groundbreaking discoveries that advance the boundaries of knowledge and human progress. Elsevier provides web-based, digital solutions - among them ScienceDirect, Scopus, Elsevier Research Intelligence and ClinicalKey - and publishes over 2,500 journals, including The Lancet and Cell, and more than 35,000 book titles, including a number of iconic reference works. Elsevier is part of RELX Group, a world-leading provider of information and analytics for professional and business customers across industries. www.elsevier.com Media contact Christopher Capot Director, Corporate Relations, Elsevier +1-917-704-5174 [email protected] SOURCE Elsevier ALEXANDRIA, Va., July 11, 2016 /PRNewswire-USNewswire/ -- Within three months of launching a collaborative $750,000 veterans' initiative with the Chrysler Liquidating Trust and the Department of Veterans Affairs, the PenFed Foundation has used the program to provide more than $160,000 in aid to veterans living in three major U.S. cities. Almost 150 veterans have received funding to meet needs ranging from rental and security deposits to public transportation vouchers and basic home furnishings. As the donor, the Chrysler Liquidating Trust stipulated that the funds be distributed where the need is greatest for veterans in the cities of Detroit, Los Angeles and Philadelphia. Unpaid obligations have been identified as major barriers for homeless veterans in search of stable housing. Financial burdens can also place veterans at risk of losing their homes. The PenFed Foundation actively seeks partnerships to harness the strength of multiple organizations. This multi-organizational approach results in greater resources to address issues plaguing veterans head-on. "No Americanparticularly one who has served in uniformshould have to go without a place to call home," said PenFed Foundation President and CEO James Schenck. "There are plenty of organizations that want to help, and the PenFed Foundation is playing a key role by bringing them together to ensure more veterans are able to secure their finances and find safe places to live." Schenck acknowledged, "The VA has expended a great deal of energy and resources on ending veteran homelessness, but we can't expect our government to do it alone. Charitable organizations like the PenFed Foundation, private industry and individuals across our nation are integral parts of the effort to secure homes for all our veterans." The PenFed Foundation also partners with organizations to drive referrals to its programs which serve veterans all over the country. As a part of the partnership, Veterans Health Administration (VHA) Homeless Program staff will identify qualifying veterans. The veterans are ultimately referred to the PenFed Foundation for help through its Military Heroes Funda nationwide emergency financial assistance program for veterans. PenFed Foundation Director of Programs Mark Smith believes that the partnership model is highly effective. Smith said, "We are experiencing a generation of significant momentum as multiple federal agencies and private firms come together for the purpose of helping our veterans. It's a wonderful way to concentrate America's efforts to end homelessness among those who have served our country." About the PenFed Foundation Founded in 2001, the PenFed Foundation is a national nonprofit organization committed to helping members of our military community secure their financial future. It provides service members, veterans, their families and support networks with the skills and resources they need to improve their lives through programs on financial education, credit-building, home ownership, and short-term assistance. Affiliated with PenFed Credit Union, the foundation has the resources to effectively reach military communities across the nation, build strong partnerships, and engage a dedicated corps of volunteers in its mission. The credit union funds the foundation's personnel and most operational costs, demonstrating its strong commitment to the programs the foundation provides. PenFed Credit Union is federally insured by the NCUA and is an equal housing lender. To learn more, visit: www.penfedfoundation.org. Logo - http://photos.prnewswire.com/prnh/20150521/217945LOGO SOURCE PenFed Foundation "SpeedNews Conferences has a five-year history of informing aerospace manufacturing executives at our Aerospace Manufacturing Conference. Now, as the common ground between aerospace and automotive continues to grow, we are adding our AeroAuto Conference -- the first event that will put leading aerospace and automotive manufacturing executives and decision makers face-to-face. The Series will help executives to network, share information and discover each other's best practices, with a goal of improving operations. We are thrilled to bring these groundbreaking events to Michigan, the global capital of the automotive industry," said Joanna Speed, Managing Director, A&D Events, Penton Aviation. "Between talent, engineering and manufacturing, there are strong ties between the automotive and aerospace industries. Our collaboration with Aviation Week Network and SpeedNews Conferences will leverage these synergies," said Tony Vernaci, vice president, global business development for the Michigan Economic Development Corporation. "Michigan has always been the hub for the automotive industry, but with nearly 600 companies doing work in aviation, a world-class aeronautic engineering program and strong capabilities in R&D and manufacturing, Michigan is now becoming a hub for aerospace companies too. We are excited to showcase these strengths with Aviation Week Network in 2017." The Fifth Annual Aerospace Manufacturing Conference will focus on all key manufacturing aspects including tooling, machining, equipment, components, electronics, advanced materials and manufacturing, engineering, and technological systems. The agenda will cover what is really behind the hype of Internet of Things (IoT), a major theme across Penton impacting many industry sectors including aviation; as well as additive manufacturing, big data, and how the automotive and aerospace industries learn from each other. Attendees of the first AeroAuto Conference will discuss the current situation, key issues, enabling technologies and outlook for the aerospace and automotive manufacturing industries; vehicle light weighting; emerging developments and coping with security requirements; supply chain best practices and lessons learned; additive manufacturing/3D printing and nanomaterials; vehicle health management systems and prognostics; passenger infotainment; and carbon emissions regulation. Expected attendees of both events include Presidents, "C" level executives, and GMs; Production and Quality Control Professionals; Directors and VPs of Product Manufacturing; Engineers (Material, Mechanical, Electrical, Systems, Aerospace/Automotive, Industrial); Procurement and Supply Chain Professionals; Customer Support Professionals; Program Managers; Academia Professionals and Scientists; Investment Professionals and Financial Institutions; Sales and Marketing Professionals; Research Analysts and Consultants; Research and Development Professionals; and Real Estate / Economic Development Professionals. For more information, visit Aviation Week Network and SpeedNews Conferences at the 2016 Farnborough International Airshow (Chalet B14), or contact Joanna Speed at (O) +1-424-465-6501, (M) +1-310-384-6942, or [email protected]. On Twitter, follow @speednewsconf (https://twitter.com/speednewsconf). For information about all SpeedNews events, visit http://speednews.com/all/conference. ABOUT PENTON'S AVIATION WEEK NETWORK Penton's Aviation Week Network is the largest multimedia information and services provider for the global aviation, aerospace and defense industries that has a database of 1.2 million professionals around the world. Industry professionals rely on Aviation Week for analysis, marketing and intelligence. Customers include the world's leading manufacturers, suppliers, airlines, business aviation operators, militaries, governments and other organizations that serve this global market. The product portfolio includes Aviation Week & Space Technology, AC-U-KWIK, Aircraft Blue Book, Airportdata.com, Air Charter Guide, Air Transport World, AviationWeek.com, Aviation Week Intelligence Network, Business & Commercial Aviation, ShowNews, SpeedNews, Fleet and MRO forecasts, global maintenance, repair and overhaul (MRO) tradeshows and aerospace & defense conferences. ABOUT PENTON Penton is an innovative information services company that empowers nearly 20 million business decision makers in markets that drive more than 12 trillion dollars in purchases each year. Our products inform with rich industry insights and workflow tools; engage through dynamic events, education and networking; and advance business with powerful marketing services programs. Penton is the way smart businesses buy, sell and grow. Headquartered in New York, Penton is privately owned by MidOcean Partners and Wasserstein & Co., LP. For more information, visit http://www.penton.com or follow us on Twitter @PentonNow. ABOUT SPEEDNEWS CONFERENCES SpeedNews Conferences, the leader in global executive events, provides targeted information and professional networking opportunities for senior level aviation decision makers, aerospace leaders, financial institutions, economic development organizations, and industry analysts. Each Conference delivers an array of commercial aviation, raw materials, defense aviation, aerospace manufacturing, economic development, M&A developments, and regional and business aviation information and data. SpeedNews Conferences offer an unmatched depth of market data and innovative ways to present your organization's materials. Meet with distinguished experts in the aerospace industry, and learn about current trends, new products and forecasts, as well as network with your customers and peers. From aircraft and engine manufacturing to current financial reports and data, you will walk away with timely, crucial knowledge from these experts to help guide your business plans for the coming year. To stay on top of our Conferences, please view the current issue of Conference Watch - the bimonthly newsletter which provides a glimpse into our SpeedNews Conferences, the highlights and the foresights, and a peek into our Conferences on the horizon. MEDIA CONTACT: Joanna Speed SpeedNews O +1-424-465-6501 M +1-310-384-6942 [email protected] @speednewsconf Facebook.com/SpeedNews linkedin.com/company/speednews Photo - http://photos.prnewswire.com/prnh/20160711/388251 SOURCE Penton Related Links http://www.penton.com FARNBOROUGH, United Kingdom, July 11, 2016 /PRNewswire/ -- Pratt & Whitney, a division of United Technologies Corp. (NYSE: UTX), and Rolls-Royce will join forces to provide comprehensive technical support at Royal Air Force Marham to support F135 engines and the LiftSystems on the U.K.'s F-35B Lightning II aircraft. The two industry-leading engine companies will institute a Performance-Based Logistics (PBL) approach to sustainment for the propulsion systems. A PBL contracting structure incentivizes contractors to focus on outcomes such as propulsion system availability, leading to greater efficiencies, mutual cost reductions, and operator benefits. The companies formalized the new working relationship by signing a Memorandum of Understanding, agreeing to increase levels of cooperation and improve synergy as they join forces in support of the customer. The agreement defines how the companies will collaborate and grow their sustainment capabilities. Pratt & Whitney, lead propulsion integrator for the F-35 program, will focus primarily on system-level performance of its F135 engines. Rolls-Royce will lead on support for the LiftSystem technology the company developed and produced, while also undertaking some delegated support work on the main F135 engine. The two companies will also look to duplicate this support model for other F-35B customers. "With this new agreement, we'll be able to provide optimum service and sustain the F135 Propulsion System and the LiftSystem to meet the growing global demands of the F-35 customer base," said Bennett Croswell, president, Pratt & Whitney Military Engines. "This new agreement demonstrates the commitment from both companies to keep the customer at the heart of what we do, focusing on meeting their needs in the most cost-effective and efficient manner possible," said Chris Cholerton, Rolls-Royce president Defence Aerospace. "Rolls-Royce has been supporting the U.K.'s military aircraft fleets for more than 100 years, and we look forward to matching the innovation of this fantastic aircraft with an equally innovative support program." The new agreement will focus the strengths of both companies to provide the best possible service solution in a more affordable and effective way for customers. The agreement will draw the companies closer together and lay the groundwork for further enhancing support for the propulsion systems in the future. Pratt & Whitney and Rolls-Royce already support F-35B aircraft flown by the U.K. and U.S. Marine Corps across the United States. About Pratt & Whitney Pratt & Whitney is a world leader in the design, manufacture and service of aircraft engines and auxiliary power units. United Technologies Corp., based in Farmington, Connecticut, provides high-technology systems and services to the building and aerospace industries. To learn more about UTC, visit its website at www.utc.com, or follow the company on Twitter: @UTC. This press release contains forward-looking statements concerning future business opportunities. Actual results may differ materially from those projected as a result of certain risks and uncertainties, including but not limited to changes in government procurement priorities and practices, budget plans and availability of funding, and in the number of aircraft to be built; challenges in the design, development, production and support of advanced technologies; as well as other risks and uncertainties, including but not limited to those detailed from time to time in United Technologies Corp.'s Securities and Exchange Commission filings. For more information about Pratt & Whitney, visit http://www.pratt-whitney.com. Matthew Bates George McLaren Pratt & Whitney Military Engines Rolls-Royce Communications Manager Communications Manager - Defense 860-371-9857 317-366-9624 [email protected] [email protected] SOURCE Pratt & Whitney Related Links http://www.pratt-whitney.com LONDON, July 11, 2016 /PRNewswire/ -- Raytheon Company (NYSE: RTN) and the U.S. Air Force have begun flight testing Small Diameter Bomb II in two additional modes: Coordinate Attack and Laser Illuminated Attack. SDB II features a highly advanced tri-mode seeker, enabling the weapon to use imaging infrared, millimeter wave and laser guidance to find targets on the battlefield. In the Coordinate Attack mode, SDB II employs its on-board GPS system to attack high-value, fixed targets from close positions and from standoff ranges of greater than 40 miles. In the laser mode, SDB II utilizes its semi-active laser to track and eliminate laser-illuminated targets. "The most recent round of SDB II flight testing verifies the weapon system's maturity. The program continues to progress toward the next phases of government confidence testing and operational testing," said Jim Sweetman, Raytheon's SDB II program director. "No other weapon system in the world employs an advanced tri-mode seeker to eliminate moving and stationary targets in the battlespace." During this recent round of testing, Raytheon and the Air Force continued to refine SDB II's Normal Attack capability by executing flight tests against fixed and moving targets in various tactical scenarios. The Normal Attack mode uses the imaging infrared and millimeter wave seeker modes, and classifies targets as wheeled, tracked or boat. Developmental testing will continue this summer with more Normal Attack, Coordinated Attack and Laser Illuminated Attack flight testing. The SDB II team will also conduct live fire tests of all up rounds. About SDB II SDB II employs Raytheon's unprecedented tri-mode seeker. The new seeker operates in three modes: millimeter-wave radar, uncooled imaging infrared and semi-active laser. These three modes enable the weapon to seek and destroy targets, even in adverse weather conditions from standoff ranges. SDB II can strike targets from a range of more than 40 nautical miles, with a dynamic warhead that can destroy both soft and armored targets, while keeping collateral damage to a minimum through a small explosive footprint. The highly accurate SDB II has the flexibility to change targets after release through a secure datalink that passes in-flight updates to the weapon. The U.S. Department of Defense has validated SDB II as a weapon that meets a critical warfighter need and has invested more than $700 million in the SDB II program. About Raytheon Raytheon Company, with 2015 sales of $23 billion and 61,000 employees, is a technology and innovation leader specializing in defense, civil government and cybersecurity solutions. With a history of innovation spanning 94 years, Raytheon provides state-of-the-art electronics, mission systems integration, C5I products and services, sensing, effects, and mission support for customers in more than 80 countries. Raytheon is headquartered in Waltham, Mass. Visit us at www.raytheon.com and follow us on Twitter @Raytheon. Media Contact Farnborough John B. Patterson +1.520.794.4559 Tucson Ashley Mehl +1.520.794.51412 [email protected] SOURCE Raytheon Company Related Links http://www.raytheon.com DUBLIN, July 11, 2016 /PRNewswire/ -- Research and Markets has announced the addition of the "Muscle Stimulation Devices Market: Global Industry Analysis and Opportunity Assessment, 2016-2026" report to their offering. This report examines the muscle stimulation devices market for the period 2016-2026. The primary objective of the report is to offer updates and insights on market opportunities in the global muscle stimulation devices market. Muscle stimulation is a technique that utilises electric impulses as therapy on the muscle for relieving chronic pain. Transcutaneous electrical nerve stimulator (TENS), burst mode alternating current (BMAC), interferential (IF) and neuromuscular electric stimulation (NMES) are muscle stimulation devices that are widely used worldwide. These devices are mainly used by patients suffering from chronic pain and by athletes for relieving muscle pain. To understand and assess opportunities in this market, the report is categorically split into four sections: market analysis by product type, application, end use and regions. The report analyses the global muscle stimulation devices market in terms of market value (US$ Mn). The report starts with an overview of muscle stimulation devices and their usages in various applications. In the same section, the author covers the muscle stimulation devices market performance in terms of revenue. This section includes analyses of key trends, drivers and restraints from supply and demand perspectives. Scope of the Report Product type covered in the report: - Transcutaneous electrical nerve stimulator - Burst mode alternating current - Interferential - Neuromuscular electric stimulation Application segment covered in the report are: - Pain management - Neurological and movement disorder management - Musculoskeletal disorder management End-user type segment covered in the report are: - Hospitals - Physiotherapy clinics - Sports clinics - Home care To arrive at the market size, the report considers average price of muscle stimulation devices across geographies. The forecast presented here assesses the total revenue of muscle stimulation devices. When developing the market forecast, the starting point is sizing up the current market, which forms the basis for the forecast of how the market is anticipated to take shape in the near future. Given the characteristics of the market, we triangulated the outcome based on different analysis based on supply side, demand side and GDP growth rate. Key market participants covered in the report include DJO Global, Inc., Zynex, Inc., NeuroMetrix, Inc., RS Medical, Inc. and Omron Corp. Key Topics Covered: 1. Research Methodology 2. Assumptions & Acronyms 3. Executive Summary 4. Muscle Stimulation Devices Market Overview 5. Muscle Stimulation Devices Market Dynamics 6. Muscle Stimulant Devices Market, By Product Type 6.1. Introduction, By Product Type 6.2. Transcutaneous Electrical Nerve Stimulator (TENS) 6.3. Interferential (IFT) 6.4. Burst Mode Alternating Current (BMAC) 6.5. Neuromuscular Electric Stimulation 7. Muscle Stimulant Devices Market, By Application 7.1. Introduction, By Application 7.2. Pain Management 7.3. Neurology & Movement Disorder Management 7.4. Musculoskeletal Disorders Management 8. Muscle Stimulant Devices Market, By End User 8.1. Introduction, By End User 8.2. Hospital 8.3. Physiotherapy Clinics 8.4. Sports Clinics 8.5. Home Care 9. Muscle Stimulant Devices Market, By Region 10. North America 11. Latin America 12. Western Europe 13. Eastern Europe 14. Asia Pacific Excluding Japan (APEJ) 15. Middle East & Africa (MEA) 16. Japan 17. Competition Landscape - DJO Global Inc - NeuroMetrix Inc - Omron Corp - RS Medical Inc - Zynex Inc For more information visit http://www.researchandmarkets.com/research/vm9hkm/muscle Media Contact: Research and Markets Laura Wood, Senior Manager [email protected] For E.S.T Office Hours Call +1-917-300-0470 For U.S./CAN Toll Free Call +1-800-526-8630 For GMT Office Hours Call +353-1-416-8900 U.S. Fax: 646-607-1907 Fax (outside U.S.): +353-1-481-1716 SOURCE Research and Markets Related Links http://www.researchandmarkets.com DALLAS and TORONTO, July 11, 2016 /PRNewswire/ -- Research Now, the global leader in digital data collection to power analytics, and Lucros Partners, a leader in category management and shopper insight, announced today a partnership to sell Shopper Intelligence in the US market. Shopper Intelligence is an international, quantitative measurement research program that is based on interviewing shoppers, from all major categories, brands, and retailers, in one cost efficient benchmarking process. "Shopper Intelligence provides insights into shopper behavior at a scale that isn't achievable through custom research," said Kelly McGinnis, President and Founder of Lucros Partners. "Consumer Package Goods manufacturers and retailers in industries such as food and beverage, alcohol, beauty, and OTC medications generally have POS data, market data, and loyalty card data readily available, but fail to uncover the motives behind the transactions. The advantage of Shopper Intelligence is that, for a very reasonable price, it provides quantitative measures direct from the shopper's voice, allowing for actionable benchmarks while saving time and money in the process. We're delighted to partner with Research Now, the world's premier data collection company, to bring this offering to the US." The US program for Shopper Intelligence provides over 300,000 customer views into over 130 product categories across more than 20 retailers in the food and beverage industries. With this solution, manufacturers and retailers are able to uncover a range of attitude and shopper behavior metrics from both online and brick and mortar shopping experiences, helping drive comprehensive category management and shopper marketing strategies. The inclusive data set is provided through an intuitive, easy-to-use online dashboard, with additional analysis and insights provided by Lucros Partners. "We are excited to partner with Lucros Partners to take this solution to market in the US, as Lucros brings a wealth of shopper and category management expertise to clients," said Will Robinson, VP, Corporate & Product Development at Research Now. "Shopper Intelligence is a program we've been supporting globally since 2008, and we are confident that US-focused manufacturers and retailers will receive the same tremendous value experienced by our clients around the world. The program truly underscores our ability to deliver quality insights at a massive scale." Shopper Intelligence is a trademark of Shopper Measures Int'l Pty Ltd. and is used under license. For more information about Shopper Intelligence, please visit: http://www.shopperintelligence.com/ About Research Now Research Now Group, Inc. is the global leader in digital data collection to power analytics and insights. It enables data-driven decision-making for its 3,500 market research, consulting, media, and corporate clients through its permission-based access to millions of deeply-profiled consumers using online, mobile, social media, and behavioral data collection technology platforms. The company operates in more than 35 countries from 21 offices around the globe, and is recognized as the quality, scale, and customer satisfaction leader in its industry. For more information, go to www.researchnow.com. About Lucros Partners LPSI has provided winning Insights & Solutions to the consumer packaged goods & alcohol suppliers as well as retailers since 2008. We enable our clients to gain the upper hand by offering premium category management services as well as the Shopper Intelligence platform in both Canada and the United States. Shopper Intelligence is an immense, syndicated survey for both CPG manufacturers and their retail partners. While existing industry data sources simply tell you WHAT shoppers are buying, Shopper Intelligence is a highly trusted source for your cross-store and cross-category data & insights. Logo - http://photos.prnewswire.com/prnh/20150723/240761LOGO SOURCE Research Now Related Links http://www.researchnow.com ALISO VIEJO, Calif., July 11, 2016 /PRNewswire/ -- Ringler Associates Incorporated, the largest company of structured settlement advisors in the United States, is proud to announce a new member of its team, Dennis Alonso in New York City. Dennis joins Ringler from American International Group P&C (AIG), where he was a Vice President, managing the Excess Casualty claims process for five Senior Analysts with a $25M average annual payout on catastrophic losses. Dennis Alonso joins Ringler Dennis served in other managerial roles at AIG beginning in 2004, developing and increasing internal cross sell activity through the use of structured settlements as well as creating department communication strategy for the external broker community. He was ranked a 'top performer' for five consecutive years. Dennis was a lead negotiator of structured settlements at AIG and personally resolved catastrophic injury claims to meet the needs of the injured party. Prior to his 12 years at AIG, Dennis worked at Liberty Mutual as a Senior Claims Specialist. He received his MBA from Hofstra University and a Bachelor of Arts in History at Adelphi University in New York. In welcoming Dennis Alonso to Ringler, President and CEO Geoffrey E. Hunt says, "With Dennis' depth of experience, we are excited to have him join the company at this time. His knowledge across the spectrum of the profession will certainly prove valuable to our future growth strategy." Ringler Chairman, W. Ross Duncan, adds, "We are delighted to have Dennis on board, understanding the complexities of the claims process, now working with us from his office in the financial district in New York City. We extend a warm welcome to Dennis, his wife Christine, and their children, Stefanie, Nicholas and Stella to the Ringler family." About Ringler Ringler is the largest structured settlement company in the United States with over 120 Consultant in 61 offices since it was established in 1975. The Ringler team consists of over 250 experienced professionals who have earned the trust of all parties involved in the settlement process. Every Ringler Consultant takes an individualized, customer-focused approach to each case, backed by the strength and resources of a national brand to collaborate with injured people, attorneys and insurance professionals providing the best settlement solutions for claimants and their families. Photo - http://photos.prnewswire.com/prnh/20160710/388125 Logo - http://photos.prnewswire.com/prnh/20160706/386541LOGO SOURCE Ringler Associates Incorporated Related Links http://ringlerassociates.com TAMPA, Fla., July 11, 2016 /PRNewswire-USNewswire/ -- Santiago Iniguez, president of IE University and dean of IE Business School in Madrid, Spain, began a one-year term July 1, 2016 as chair of the board of directors of AACSB International (AACSB). Iniguez succeeds William Glick, former dean of the Jesse H. Jones Graduate School of Management at Rice University, and in doing so becomes the first chairmanin the organization's 100 year historywho is from a non US-based institution. "Santiago is a thoughtful and influential leader, author, and speaker on the subject of the current and potential future states of business education," said Thomas R. Robinson, president and chief executive officer of AACSB International. "We are thrilled that he will serve as chair the board during a key inflection point for business schools, as they confront challenges and serve opportunities in a rapidly changing environment." Iniguez's volunteer work with AACSB has spanned over eight years. He was elected to the AACSB Board of Directors in 2013 as a member, and as vice chair-chair elect in 2015. Over the years, Iniguez has served as a prominent advocate and leader within the business education industry. Such efforts have included serving as chair and/or member of more than 10 different committees, councils, or advisory groups, including the European Advisory Council and the Committee on Issues in Management Education (CIME). CIME focuses on identifying the emerging themes and challenges in business education on a global basis, and under Iniquez's leadership, issued the recent "Collective Vision" which evaluates and presents a new future for business schools. Iniguez has also played an active role in the AACSB Accreditation process by serving as a member of several peer review teams and accreditation committees. He is a prominent speaker, and since 2005, has presented at more than 20 AACSB conferences around the world. His passion for amplifying the impact of global business education is demonstrated through his active participation in thought leadership groups and prolific writings on the subject. "Management has the potential to be among the most noble of professions. It can create growth, wealth, and development in society, as well as catalyze innovation and improve living conditions," said Santiago Iniguez. "Business schools are the icebreakers of the very dynamic sector that is higher education, and AACSB helps business schools reach not only their individual missions but to make the best contributions to society as a whole." Iniguez is Professor of Strategic Management at IE Business School. Iniguez's outlook is a decidedly global one and in addition to his role as Chairman of AACSB, Iniguez serves on the boards of Renmin University Business School (China), CENTRUM (Universidad Catolica, Peru), Antai Business School (Jiao Tong University, China), Mazars University (France) the Russian Presidential Academy-RANEPA (Russia) and FGV-EASP Fundacao Getulio Vargas (Brazil). He has been portrayed by the Financial Times as "one of the most significant figures in promoting European business schools internationally." Iniguez holds a Degree in Law, a Ph.D. in Moral Philosophy and Jurisprudence (Complutense University, Spain) and an MBA from IE Business School. He was a Recognized Student at the University of Oxford, UK. He has co-authored several books on moral and political philosophy as well as articles and case studies on business management, and is also a LinkedIn Influencer. His book "The Learning Curve: How Business Schools Are Reinventing Education" deals with the future challenges of management education and was published by Palgrave McMillan in November 2011. For more information on AACSB International's governance, visit: http://www.aacsb.edu/about/governance/. About AACSB International As the world's largest business education network connecting academe with business, AACSB provides business education intelligence, quality assurance, and professional development services to more than 1,500 member organizations across 91 countries and territories. Founded in 1916, AACSB Accreditation is the highest standard of quality in business education, with 761 business schools accredited worldwide. AACSB's global headquarters is located in Tampa, Florida, USA; its Asia Pacific headquarters is located in Singapore; and its Europe, Middle East, and Africa headquarters is located in Amsterdam, the Netherlands. For more information, visit www.aacsb.edu. About IE IE shapes leaders with global vision, an entrepreneurial mindset, and a humanistic approach to drive innovation and change in organizations. Founded in 1973, IE runs undergraduate, graduate and executive education programs at IE Business School, IE Law School, IE School of Architecture and Design, IE School of Human Sciences and Technology, and IE School of International Relations. IE has a faculty of more than 500 professors, a student body representing nearly 130 countries, and a network of more than 50,000 alumni. Recognized as one of the world's top centers of learning, IE has received international recognition for its use of online and blended learning methodologies. For more information, please visit: www.ie.edu Logo - http://photos.prnewswire.com/prnh/20160711/388301LOGO SOURCE AACSB International Related Links http://www.aacsb.edu/ NEW YORK, July 11, 2016 /PRNewswire-USNewswire/ -- School Food Focus today put food manufacturers on notice that school food leaders will no longer tolerate ingredients that threaten our kids' health. With thousands of products on the school food market, many with long and unwieldy ingredient lists, selecting products that fit limited budgets that are both delicious and nutritious can be a challenge. Life just got a whole lot easier for schools and manufacturers who are committed to improving the overall quality, nutritional value, and safety of food provided to children with the School Food Focus Ingredient Guide for Better School Food Purchasing (www.schoolfoodfocus.org/ingredientwatch/) released today. What's for lunch? Our kids deserve better. "More than 33 million children rely on public school meals for their daily nutrition, yet our school food system is significantly under-resourced, and far too dependent on highly processed food. This must change," said Founder and Executive Director Toni Liquori. The guide includes a list of "unwanted ingredients" such as artificial colors and preservatives that are possible carcinogens and should be eliminated from products. The "watch list" includes ingredients that can be a red flag as they are common in foods of lower nutritional quality, and tend to indicate a highly processed food. Schools collectively spend over $16 billion per year to feed our nation's school children. This new guide demonstrates what can happen when school districts coordinate their purchasing power and put market pressure on food companies to provide healthier products for our nation's school children. "It's a great tool to share with manufacturers and allows us to be clear with our parents, administrators and students about what ingredients are not acceptable," said Tarrah DeClemente, Manager of Health Promotion for Chicago Public Schools. "When school districts demonstrate a unified demand for transparency and accountability in the food we put on our students' plates, we have the potential to change the food system and with that, everyone wins." School Food Focus is the national collaborative that ignites change in our food system by working on both the demand and supply sides of school food. Together, we're changing the way school food is produced and purchased so that every child in the U.S. regardless of income or race has access to delicious, nutritious school meals. Photo - http://photos.prnewswire.com/prnh/20160708/387475 SOURCE School Food Focus Related Links http://www.schoolfoodfocus.org SAN FRANCISCO, July 11, 2016 /PRNewswire/ -- SiFive, the first fabless semiconductor company to build customized, open-source enabled semiconductors, today announced its flagship Freedom family of system on a chip (SoC) platforms. Built around the free and open RISC-V instruction set architecture invented by the company's founders at the University of California, Berkeley, SiFive's Freedom U500 and Freedom E300 platforms represent a fundamentally new approach to designing and producing SoCs that redefines traditional silicon business models and reverses the industry's prohibitively rising licensing, design and implementation costs. "The semiconductor industry is at an important crossroads. Moore's Law has ended, and the traditional economic model of chip building no longer works," said Yunsup Lee, co-founder of SiFive and one of the original creators of RISC-V. "Unless you have tens if not hundreds of millions of dollars, it is simply impossible for smaller system designers to get a modern, high-performance chip, much less one customized to their unique requirements. The Freedom platforms unleash the flexibility and power of custom silicon to the smallest company, inventor or maker. Companies of all sizes are no longer held hostage to current semiconductor providers' software deliveries and outdated business models." RISC-V was born from the dire need to address the skyrocketing cost of designing and manufacturing increasingly complex new chip architectures, as a result of the economic demise of Moore's Law. SiFive's hardware designs leverage the body of software and tools available from the open-source community under the guidance of the RISC-V Foundation, dramatically reducing the cost of developing custom silicon. System designers can use the SiFive Freedom platforms to focus on their own differentiated processor without having the overhead of developing a modern SoC, fabric or software infrastructure. "RISC-V represents a bold new path for system designers in embedded and industrial markets," said Ted Speers, head of product architecture and planning for Microsemi Corporation's SoC business unit. "We went to SiFive not only because its co-founders created RISC-V, but also due to its team's agile methodology, which enabled the company to deliver a complete RISC-V sub-system and tool-chain targeting our secure, low power SmartFusion2 SoC FPGA platform on a very aggressive schedule." The Freedom platforms comprise a complete software specification, board OS support packages (BSPs), development boards and base silicon. The platforms provide customers the ability to create their own silicon enhancements and customizations, which SiFive then quickly incorporates and delivers to the customer at a much lower cost and faster time-to-market than traditional custom silicon designs. The platforms also provide significant performance and power advantages over existing microcontrollers and FPGAs. Freedom U500 Series: The Freedom Unleashed (U) family features a fully Linux-capable embedded application processor featuring the world's most advanced, multicore RISC-V CPUs, running at a speed of 1.6 GHz or higher with support for accelerators and cache coherency. Designed in TSMC 28nm, the Freedom U500 platform targets initial customers in diverse markets such as machine learning, storage and networking. The Freedom U500 platform also supports standard high-speed peripherals including PCIe 3.0, USB 3.0, Gigabit Ethernet, and DDR3/DDR4. The Freedom Unleashed (U) family features a fully Linux-capable embedded application processor featuring the world's most advanced, multicore RISC-V CPUs, running at a speed of 1.6 GHz or higher with support for accelerators and cache coherency. Designed in TSMC 28nm, the Freedom U500 platform targets initial customers in diverse markets such as machine learning, storage and networking. The Freedom U500 platform also supports standard high-speed peripherals including PCIe 3.0, USB 3.0, Gigabit Ethernet, and DDR3/DDR4. Freedom E300 Series: The Freedom Everywhere (E) family is designed for embedded microcontroller, IoT and wearables markets. Designed in TSMC 180nm and architected to have minimal area and power, the Freedom E300 platform features the world's most efficient RISC-V cores with support for RISC-V compressed instructions, which have been shown to reduce code size by up to 30 percent. For Developers To give developers a head start on software development, full FPGA models of each SoC are available through SiFive today. Developers will also be able to prototype their customizations in the form of custom RISC-V instructions, accelerators and co-processors. For more information, including how to purchase development boards with the Microsemi SmartFusion2 SoC FPGA, please visit dev.sifive.com . SiFive will showcase the Freedom family of SoC platforms during the RISC-V 4th Workshop in Boston on Tuesday, July 12. About SiFive SiFive is the first fabless semiconductor company to build customized silicon based on the free and open RISC-V instruction set architecture. Founded by RISC-V inventors Krste Asanovic, Yunsup Lee and Andrew Waterman, SiFive democratizes access to custom silicon by helping system designers reduce time-to-market and realize cost savings with customized RISC-V based semiconductors. SiFive is located in San Francisco and has venture backing from Sutter Hill Ventures. For more information visit www.sifive.com. MEDIA CONTACTS Jack Kang SiFive (510) 673-1309 [email protected] Leslie Clavin SHIFT Communications for SiFive (415) 591-8440 [email protected] Logo - http://photos.prnewswire.com/prnh/20160708/387623LOGO SOURCE SiFive Related Links http://www.sifive.com ADHD is most often diagnosed in male children. According to the Centers for Disease Control (CDC), from 2012 2014, 14.1 percent of boys ages 5-17 were diagnosed with ADHD, compared to only 6.2 percent of girls. While boys with ADHD tend to exhibit more externally-focused symptoms, such as demonstrating hyperactive tendencies, in general symptoms of ADHD include trouble concentrating, staying organized, and remembering details. For boys with ADHD the traditional school format that requires students to sit attentively through long lectures poses an almost impossible challenge. According to a study of male high school students published in the U.S. National Institute of Health's National Library of Medicine, adolescents with ADHD experienced significant academic impairment in high school compared to their non-diagnosed classmates. They were observed as experiencing overall lower grade point averages and higher rates of course failure. Teachers in the study also reported that ADHD students turned in a lower percentage of assignments, were more likely to be absent or tardy, and were over eight times more likely to drop out of school. "We are meeting with an increasing number of parents whose sons have been diagnosed with ADHD," said St. John's Director of Admissions Major Robert Forde. "Their sons have been underachieving in the public school system, but not because of a lack of ability. They are simply struggling in their current classroom environment and it's causing a lack of self-esteem and self-discipline. Our goal is help give those young men the support they need to build their confidence and show them that they have unlimited potential." To help meet these goals, St. John's has developed a four-pronged approach to helping boys succeed, which includes lower student to teacher ratios, a more structured learning environment, an emphasis on engaging learning activities, and individualized support. For boys with ADHD, the lower faculty to student ratio at St. John's makes a significant impact on their ability to remain focused and engaged in the classroom. According to Pam Kraus, a chemistry teacher at St. John's, smaller classroom sizes allow her to provide the individual attention cadets with ADHD need to gain the most out of each lecture. "In a classroom of only ten students if I see a cadet zoning out, it's obvious and I can draw him back in," said Kraus. "It's not as easy to do that in a classroom of thirty." Understanding that young men tend to focus and absorb information more effectively when instruction is provided in smaller segments, St. John's structures its classes into 45 minute periods. While many public schools have transitioned to block scheduling, which asks students to focus on a single topic for up to 90 minutes, St. John's understands that young men learn more effectively when given more frequent mental and physical breaks. Daniel Jones, a sixth grade teacher at St. John's, understands the benefits of breaking-up lecture periods with physical activities. "I call them 'brain breaks,'" said Jones. "They're sixth graders. They need more time to move around. If I can tell they're losing focus, I'll take them outside and we'll play 'Red Light, Green Light.' I've found these breaks make them more focused when we return to the classroom." Pam Kraus has adopted a similar, more active approach to learning in her chemistry classroom. "I do everything I can to make each lecture relatable," said Kraus. "If we're talking about molecules, I let the cadets build models using gumballs, marshmallows, and toothpicks. There is always a way to make a concept relevant, and it truly makes a difference in their comprehension." According to Major Forde, it's not just the in classroom instruction that is making a difference for cadets at St. John's. "We provide a structured environment," said Forde. "They have study hall during the day, and study halls in the barracks. They can receive tutoring from their peers, and they can attend tutoring sessions with their teachers. They learn that there is no excuse for not completing an assignment because there is always someone they can ask for help who care about their success." Daniel Jones has also seen how structured classes benefit boys with ADHD. "We follow a daily routine that is posted and entered into their agenda," said Jones. "The cadets always know what to expect relating to class work for the day. Also, if a cadet needs help with an assignment, I'll communicate that to his study hall teacher, or I'll go the barracks after dinner to give him extra help. Soon the cadets learn that they can do the work, and they start to do it confidently." According to Forde, possibly the greatest facet of St. John's that impacts cadets' academic and personal growth is the military school environment. "At St. John's we teach young men the value of teamwork," said Forde. "They soon realize that they have an opportunity to be part of something greater than themselves. They realize that their individual success impacts the group's success. It builds confidence and self-esteem. Boys enter our school struggling academically and they leave as confident leaders with plans for their future." For Pam Kraus, it is this transformation that is the most rewarding part of her career. "I had a student arrive at St. John's who was struggling academically," said Kraus. "After two years he graduated with straight As and a goal to pursue Chemistry in college. Now he has a realistic goal of becoming a pharmacist. When parents see their son who had been drifting finally make strides, it is incredibly rewarding." CONTACT: St. John's Military School Melissa McCoy Marketing Director St. John's Military School P.O. Box 5020 Salina, KS 67402 Office: 785.309.5444 Toll Free: 866.704.5294 [email protected] Photo - http://photos.prnewswire.com/prnh/20160707/387145 Photo - http://photos.prnewswire.com/prnh/20160707/387144 Logo - http://photos.prnewswire.com/prnh/20160317/345436LOGO SOURCE St. John's Military School Related Links http://www.sjms.org NEW YORK, July 11, 2016 /PRNewswire-USNewswire/ -- Global beverage company Suntory Holdings Limited today announced a partnership with The Nature Conservancy, one of the world's leading conservation organizations, to support water education programs in the United States. The new partnership, which will focus on protecting water sources in the U.S. cities raising awareness and appreciation for the natural resource, builds on Suntory's longstanding commitment to protecting and nurturing the natural environment. The Nature Conservancy focuses on practical, science-based solutions to protect rivers, lakes and watersheds around the world. "Water is the foundation of our business and makes everything we do possible," said Tomomi Fukumoto, Executive Officer of Suntory Holdings Limited. "The Nature Conservancy is a natural partner for us in the US and we are excited for the work we'll do together to educate consumers and encourage them to think about protecting water sources for future generations." "We're honored to join forces with Suntory, which clearly shares our passion and commitment to protecting water," said Giulio Boccaletti, Global Managing Director for Water at The Nature Conservancy. "We look forward to achieving much with Suntory and believe their support and leadership will have a meaningful impact in preserving this vital resource." Known for its commitment to coexisting with people and nature, Suntory supports a wide range of environmental activities in Japan, including the conservation of forests where water resources are cultivated protecting wild birds as indicators of a rich ecosystem and educating youth on the importance of water. Partnering with the Conservancy will extend Suntory's water education programming to the US. About Suntory Suntory Group is among the world's leading consumer products companies, with $20 billion in annual sales. We offer a uniquely diverse portfolio of beverage products enjoyed by millions around the world: from award-winning Japanese whiskies Yamazaki and Hibiki, The Premium Malt's beer, and iconic American spirits Jim Beam and Maker's Mark to non-alcoholic favorites Orangina, Lucozade, and Ribena. Suntory also produces wellness products and operates a flower business. Founded as a family-owned business in 1899 in Osaka, Japan, Suntory Group has grown into a multi-national corporation, with operations throughout the Americas, Europe, Asia and Oceania. We are driven by Yatte Minahare the spirit of bold ambition and our 42,000 employees worldwide draw upon our unique blend of Japanese artisanship and global tastes to explore new product categories and markets. Suntory Group is committed to our founding promise to coexist with people and nature: protecting water resources, nurturing our communities and fostering the arts. We encourage our employees, partners and customers to Follow Your Nature; Suntory believes we grow for good together when we stay true to ourselves and pursue the paths that nature intended. Learn more about Suntory Group, its brands, and its commitment to social responsibility at www.suntory.com, on facebook.com/SuntoryGlobal or @SuntoryGlobal on Twitter. About The Nature Conservancy The Nature Conservancy is a leading conservation organization working around the world to conserve the lands and waters on which all life depends. Together with its more than 1 million members and 600 scientists, the Conservancy has protected 120 million acres of land and thousands of miles of rivers worldwide, and operates more than 100 marine conservation projects globally. The Conservancy works on the ground in all 50 U.S. states and more than 69 countries. To learn more, visit www.nature.org or follow @nature_press on Twitter. CONTACT: Erin Burke, [email protected], 646-218-8760 Logo - http://photos.prnewswire.com/prnh/20160708/387576LOGO SOURCE Suntory Related Links http://www.suntory.com/ WALNUT, Calif., July 11, 2016 /PRNewswire/ -- Sunvalley Solar, Inc. (SSOL), a leading solar power technology and solar system integration company, announced today that it has been awarded a contract to build two separate 1,107 KW-DC photovoltaic systems for Wreden Ranch Dairy in Hanford, CA. Each 1.1 MW-DC Photovoltaic System is estimated to produce 2,139,492 KWh annually. Accumulated system size is 2.2 MW-DC and estimated annual generation is 4,278,984 KWh. The system utilizes 6,920 high efficiency 320 watt poly-crystalline solar panels. The total eligible project cost is over 4 million dollars. "This is definitely another milestone for Sunvalley Solar in terms of the system size and contractual value after our successful acquisition of Rayco Energy (now a full owned subsidiary of Sunvalley Solar Inc, focusing on residential and multi-family sector projects). The additional experienced management and EPCM professionals from Rayco Energy, have allowed Sunvalley Solar to develop its installation business in both Southern California and Northern California, in both commercial solar system and residential and multi-family sector projects. This large commercial project enables Sunvalley to demonstrate its commitment to providing its customers with the most efficient and cost-effective solar solutions. We are confident that more contracts of this sort will follow," said Mehmet Cercioglu. Mehmet Cercioglu is the General Manager of Sunvalley Solar Tech, a full owned subsidiary of Sunvalley Solar Inc., which focuses on the commercial solar installation business. The installation of this project will start mid 2016. About Sunvalley Solar, Inc. Sunvalley Solar, Inc. is a leading solar system solution provider that offers comprehensive solar energy technology, system design, installation, equipments, and technical support for electrical contractors, builders, homeowners, businesses/commercial buildings, and government entities that assist them in lowering of utility bills, reducing environmental impacts, and increasing energy reliability and independence through solar energy. Located in Los Angeles, California, Sunvalley Solar, Inc. is committed to reducing the world's carbon foot print from traditional energy sources to make renewable sources such as solar the nation's mainstream source of power. To learn more, visit www.sunvalleysolarinc.com. Forward-Looking Statement: The statements in the press release that relate to the Company's expectations with regard to the future impact on the Company's results from acquisitions or actions in development are forward-looking statements within the meaning of the Private Securities Litigation Reform Act of 1995. The statements in this document may also contain "forward-looking statements" within the meaning of Section 27A of the Securities Act of 1933 and Section 21E of the Securities Exchange Act of 1934. When used in this press release, the words "anticipate," "believe," "estimate," "may," "intend," "expect" and similar expressions identify such forward-looking statements. Forward-looking statements are subject to risks, uncertainties, and other factors that could cause actual results to differ materially from those contained in such statements. Such risks, uncertainties, and factors include, but are not limited to, future capital needs, changes, and delays in product development plans and schedules, or market acceptance. Contact: Sunvalley Solar, Inc. Investor Relations [email protected] 909-598-0618 ext 117 SOURCE Sunvalley Solar, Inc. Related Links http://www.sunvalleysolarinc.com DALLAS, July 11, 2016 /PRNewswire/ -- Tech Titans, the largest technology trade organization in Texas, has named EnTouch Controls as one of this year's Fast Tech 25 High Performance Companies and announces that Greg Fasullo, its Chief Executive Officer, is a finalist for Emerging Company CEO of the year as part of its 16th Annual Tech Titans Awards. The Tech Titans awards recognize outstanding technology companies and individuals in the North Texas area who have made significant contributions to their industries during the past year. The Tech Titans Award winners will be announced at the Tech Titans Awards Gala at the Hotel InterContinental in Dallas, Texas, on Friday, August 19. More information about the event is available at www.techtitans.org. "The Tech Titans Awards are the premier recognition of innovative technology companies and individuals who contribute to the vibrancy and success of North Texas," said Bill Sproull, President and CEO of Tech Titans. "These finalists are an elite group who make a difference building tomorrow's technology community with their innovation, leadership and advocacy. We look forward to highlighting the groundbreaking advancements that continue to impact North Texas and the world." Besides title sponsor AT&T, other supporters of the Tech Titans Awards Gala include Ericsson, Nokia, Fujitsu Network Communications, Huawei, Pearson Partners, VLSIP Technologies, Deloitte, ZRG Partners, Dassault Systems and the Dallas Business Journal. Crowe Horwath and Comerica Bank Life Science are sponsors for Fast Tech. "Recognition for these prestigious awards is a direct result of the collective efforts of our talented team and the impact we are having, both for our customers and for the environment," stated Fasullo. "I am excited that our company was recognized as one of the top 25 in North Texas and humbled to be recognized personally. I would like to personally thank Tech Titans for being considered in such esteemed company." About EnTouch Controls EnTouch is a technology company that leverages facility asset and energy intelligence solutions to accurately assess and control energy consumption and expenditure. The company's award winning EnTouch 360 platform provides a dedicated team of energy experts who utilize leading-edge software, best-in-class hardware and predictive or "targeted" analytics to improve operational efficiencies, significantly reduce energy consumption and maximize energy savings. Nationally recognized for its ongoing commitment to sustainability and their innovative Internet of Things (IoT) approach, EnTouch supports over 4000 locations in diverse industries including retail, restaurant, grocery, commercial real estate, healthcare, and hospitality. Connect with EnTouch on Facebook, Twitter and blog. About the Tech Titans Awards The Tech Titans Awards were launched in 2001 by the Metroplex Technology Business Council, North Texas' most comprehensive organization supporting technology. The prestigious annual contest recognizes outstanding technology companies and individuals in the North Texas area who have made contributions during the past year locally, as well as to the technology industry overall. The Tech Titans committee is a group of dedicated volunteers who organize the awards ceremony each year, and judges for the contest are drawn from a variety of disciplines in technology, leaders in the DFW area and past winners. For more information, visit www.techtitans.org About Tech Titans Tech Titans includes a diverse group of technology leaders from start-ups to fortune 500 companies from North Texas who collaborate, share and inspire creative thinking that fuels tomorrow's innovations. As part of its goals, Tech Titans is active in: Cultivating a technology community of companies, entrepreneurs, investors and students Growing future tech leaders with its talent and workforce development initiative Advocating technology's advancement in governmental arenas Connecting people and inspiring innovative ideas through its special interest forums and annual Awards Gala Tech Titans is the largest technology trade association in Texas, representing individuals and more than quarter million employees through 300 member companies. More information about Tech Titans can be found online at www.techtitans.org. EnTouch Controls Contact: Tom Kay (214) 912-9205 [email protected] Tech Titans Contact: Amy Alexander 972.792.2862 [email protected] SOURCE EnTouch Controls Related Links http://entouchcontrols.com BEACHWOOD, Ohio, July 11, 2016 /PRNewswire/ -- DDR Corp. (NYSE: DDR) announced today that DDR Board member Thomas F. August was named President & Chief Executive Officer, effective immediately. The appointment of Mr. August follows the termination of David J. Oakes as President & Chief Executive Officer and as interim Chief Financial Officer. Mr. Oakes' termination was not related to the Company's financial or operating results or to any disagreements or concerns regarding the Company's financial or reporting practices. (Logo: http://photos.prnewswire.com/prnh/20131217/DDRLOGO) Mr. August is currently Chairman of the Board of DCT Industrial Trust Inc. (NYSE: DCT), an industrial real estate investment trust (REIT), and is a 40-year veteran of the real estate industry with extensive experience leading all facets of finance, investment and operational activities. Mr. August previously served as President and Chief Executive Officer of Equity Office Property Trust (EOP) from July 2010 until the end of 2015 and served as its Chairman from October 2009 to July 2010. EOP is a REIT controlled by The Blackstone Group and is one of the largest owners and managers of office properties in the United States. Mr. August also previously served as President, Chief Executive Officer, and a Trustee of Prentiss Properties Trust, an office REIT, from 1996 until its acquisition in 2006. In addition, he held other executive roles, including Chief Financial Officer, at Prentiss beginning in 1987. "I am very pleased to have Tom join DDR as our CEO," said Terrance R. Ahern, Chairman of the Board of Directors of DDR. "Tom has twice successfully led large real estate platforms one in the public market and one in the private market. Both platforms were best-in-class and rewarding to the companies' shareholders. We're excited to have him lead DDR." In addition, the Company announced two other changes to its executive management team: Vincent A. Corno , a 24-year veteran of the real estate industry, will join the Company as Executive Vice President of Leasing and Development, effective today, reporting to Mr. August. Mr. Corno will work closely with Paul Freddo , Senior Executive Vice President, in connection with the transition of Mr. Freddo's responsibilities, which the Company anticipates will extend beyond the end of 2016. Christa A. Vesy , currently serving as DDR's Executive Vice President & Chief Accounting Officer, has been named Interim Chief Financial Officer, effective immediately. Ms. Vesy will report to Mr. August. Mr. Corno most recently served as Senior Vice President - Real Estate for DICK'S Sporting Goods, Inc. (NYSE: DKS) and previously as Senior Vice President of Real Estate with Saks Incorporated and as Senior Vice President with The May Department Stores Company. He also held the position of Vice President of Development with Forest City Enterprises. Mr. Corno, who earned his undergraduate and juris doctor degrees at St. Louis University, is also a licensed attorney and a certified public accountant. Ms. Vesy became Executive Vice President & Chief Accounting Officer of DDR in 2012, with responsibility for overseeing the property and corporate accounting and financial reporting functions. Before joining the Company as Senior Vice President & Chief Accounting Officer in 2006, she worked for The Lubrizol Corporation and the Assurance and Business Advisory Services group of PricewaterhouseCoopers LLP. Ms. Vesy graduated with a Bachelor of Science degree in business administration from Miami University in Oxford, Ohio and is a certified public accountant. Mr. August commented, "We are pleased to welcome Vince and Christa to their new roles. We are excited to have Vince join DDR with his varied experience and perspective as a real estate executive for several first-class retailers. Christa's deep knowledge of the Company's accounting and financial operations make her a perfect fit to serve as interim CFO." About DDR Corp. DDR is an owner and manager of 349 value-oriented shopping centers representing 113 million square feet in 37 states and Puerto Rico. The Company's assets are concentrated in high barrier-to-entry markets with stable populations and high growth potential and its portfolio is actively managed to create long-term shareholder value. DDR is a self-administered and self-managed REIT operating as a fully integrated real estate company, and is publicly traded on the New York Stock Exchange under the ticker symbol DDR. Additional information about the Company is available at www.ddr.com. Media Contact Matt Schuler Senior Director of Communications 216-755-5842 [email protected] Investor Contact Matt Lougee Senior Vice President of Finance 216-755-5500 [email protected] SOURCE DDR Corp. Related Links http://www.ddr.com NEW YORK, July 11, 2016 /PRNewswire/ -- Thomson Reuters (TSX/NYSE: TRI) today announced that it has entered into a definitive agreement to sell its Intellectual Property & Science business to private equity funds affiliated with Onex Corporation ("Onex") and Baring Private Equity Asia ("Baring Asia") for $3.55 billion in cash. The sale is subject to regulatory approval and customary closing conditions, including the expiration or termination of applicable waiting periods under the Hart-Scott-Rodino Antitrust Improvements Act, and is expected to close in the next few months. The sale is not subject to any financing condition. Onex and Baring Asia have obtained debt and equity commitments for the transaction. The Intellectual Property & Science business provides comprehensive intellectual property and scientific information, decision support tools and services that enable the lifecycle of innovation for governments, academia, publishers and corporations to discover, protect and commercialize new ideas and brands. Its portfolio includes Web of Science, Thomson CompuMark, Thomson Innovation, MarkMonitor, Thomson Reuters Cortellis and Thomson IP Manager. "We are pleased to announce the agreement today to sell our Intellectual Property & Science business to Onex and Baring Asia," said Jim Smith, president and chief executive officer of Thomson Reuters. "With the completion of this divestiture, Thomson Reuters will be even more focused on operating at the intersection of global commerce and regulation." "Intellectual Property & Science is a diversified portfolio of high-quality, well-positioned businesses providing proprietary, curated content through products and services that are entrenched in their customers' day-to-day activities," said Kosty Gilis, a Managing Director with Onex. "We are delighted to have the opportunity to acquire the company and partner with management and Baring Asia to enhance Intellectual Property & Science's operations and support its growth in the years to come." "We look forward to partnering with Intellectual Property & Science management and Onex to support the development of the company globally, particularly in Asia where we see a differentiated growth opportunity," said Jean Eric Salata, Founder and Chief Executive of Baring Asia. "Already an established leader in China and across the region, we believe the outlook for the business is underpinned by an increasing shift towards more knowledge driven economies and a continued emphasis on research and development." Thomson Reuters expects to use about $1 billion of the net proceeds to buy back shares and the balance to pay down debt (primarily commercial paper) and reinvest in the business. Any share buybacks will be part of the previously announced $1.5 billion share buyback program. Guggenheim Securities, LLC and J.P. Morgan Securities LLC are acting as financial advisors to Thomson Reuters for the proposed divestiture. Allen & Overy LLP is acting as legal counsel for Thomson Reuters. Thomson Reuters Thomson Reuters is the world's leading source of news and information for professional markets. Our customers rely on us to deliver the intelligence, technology and expertise they need to find trusted answers. The business has operated in more than 100 countries for more than 100 years. Thomson Reuters shares are listed on the Toronto and New York Stock Exchanges (symbol: TRI). For more information, visit www.thomsonreuters.com. Onex Onex is one of the oldest and most successful private equity firms. Through its Onex Partners and ONCAP private equity funds, Onex acquires and builds high-quality businesses in partnership with talented management teams. At Onex Credit, Onex manages and invests in leveraged loans, collateralized loan obligations and other credit securities. The Company has approximately $23 billion of assets under management, including $6 billion of Onex proprietary capital, in private equity and credit securities. With offices in Toronto, New York, New Jersey and London, Onex invests its capital through its two investing platforms and is the largest limited partner in each of its private equity funds. Onex' businesses have assets of $36 billion, generate annual revenues of $23 billion and employ approximately 145,000 people worldwide. Onex shares trade on the Toronto Stock Exchange under the stock symbol OCX. For more information on Onex, visit its website at www.onex.com. The Company's security filings can also be accessed at www.sedar.com. Baring Private Equity Asia Baring Private Equity Asia is one of the largest and most established independent alternative asset management firms in Asia, with a total committed capital of over $10 billion. The firm runs a pan-Asian investment program, sponsoring management buyouts and providing growth capital to companies for expansion or acquisitions, as well as a pan-Asian real estate private equity investment program. The firm has been investing in Asia since its formation in 1997 and has over 125 employees located across seven Asian offices in Hong Kong, Shanghai, Beijing, Mumbai, Singapore, Jakarta, and Tokyo. Baring Asia currently has over 35 portfolio companies active across Asia with a total of 150,000 employees and sales of approximately $31 billion in 2015. For more information, please visit www.bpeasia.com. SPECIAL NOTE REGARDING FORWARD-LOOKING STATEMENTS Certain statements in this news release are forward-looking, including the company's current expectations regarding the timing for closing of the transaction and its uses of proceeds. These forward-looking statements are based on certain assumptions and reflect our company's current expectations. As a result, forward-looking statements are subject to a number of risks and uncertainties that could cause actual results or events to differ materially from current expectations, including the parties' ability to receive regulatory approvals and satisfy conditions to closing as well as other factors discussed in materials that Thomson Reuters from time to time files with, or furnishes to, the Canadian securities regulatory authorities and the U.S. Securities and Exchange Commission. There is no assurance that a transaction involving all or part of the Intellectual Property & Science business will be completed or that other events described in any forward-looking statement will materialize. Except as may be required by applicable law, Thomson Reuters disclaims any obligation to update or revise any forward-looking statements. CONTACTS MEDIA David Crundwell Senior Vice President, Corporate Affairs +44 20 7542 8763 [email protected] INVESTORS Frank J. Golden Senior Vice President, Investor Relations +1 646 223 5288 [email protected] Logo - http://photos.prnewswire.com/prnh/20130208/MM57185LOGO SOURCE Thomson Reuters Related Links http://www.thomson.com The first release in the collaboration is a modern retelling of an original 1970's-era Timex watch with a bold bullseye design and military numbering. The Timex X Todd Snyder Mod Watch pays homage to the 160-year old watch brand's rich history of traditional watchmaking, with the elevated American styling that Todd Snyder is best known for. About the Timex X Todd Snyder Mod Watch: Graphic bullseye dial design with military-style numbering Durable gray nylon NATO slip-thru strap Matte brushed silver-tone 40mm case Luminant hands 50 meter water resistance INDIGLO Night-Light Night-Light Retail price: $138 Available in the U.S. exclusively at ToddSnyder.com "I have been a fan of Timex for decades, especially their military-inspired Camper and Scout styles," said designer Todd Snyder. "That's why when I discovered a vintage 1970's Timex with that striking mod red and black bullseye graphic, I knew I wanted to work with the talented Timex design team to put my own modern spin on it to create a bold new design." "We're excited to continue collaborate with Todd Snyder on the launch of the new cobranded Mod Watch," said Silvio Leonardi, Senior Vice President of International Markets at Timex Group. "Todd's classic American heritage and commitment to superior craftsmanship are qualities that perfectly align with our brand's commitment to creating exceptional timepieces at a great price." As an extension of this long-term collaboration, Timex is partnering with Todd Snyder during his New York Fashion Week: Men's Fashion Show on July 14 at Skylight Clarkson Square, 550 Washington Street in SoHo, where the Timex X Todd Snyder Mod Watch will be on display during the presentation. Models walking in the show will wear the co-designed timepiece. To purchase the Timex X Todd Snyder Mod Watch, visit ToddSnyder.com. About the Timex Group: Timex Group designs, manufactures and markets innovative timepieces around the world. Founded in 1854, Timex Group is a privately-held company headquartered in Middlebury, Connecticut with multiple operating units and over 5,000 employees worldwide. As one of the largest watch makers in the world, Timex Group companies produce watches under a number of well-known brands, including Timex, Timex IRONMAN, Timex Expedition, Nautica, Guess, GC, Salvatore Ferragamo, Versace, Versus and Opex. The Timex brand, launched in 1950, sells millions of watches each year worldwide. Join Timex on social media: Facebook (facebook.com/timex), Instagram (@timex), and Twitter (@timex). About Todd Snyder: Iowa native Todd Snyder launched his eponymous menswear collection for Fall 2011. Inspired by Savile Row craftsmanship, military tailoring, and a distinct New York sensibility, the line has garnered wide critical and commercial praise in the US. Since his launch, Todd Snyder has been selected as one of GQ Magazine's Best New Designers in America (2012), nominated by FGI for the Rising Star Award (2013) and nominated three times for the CFDA Swarovski Menswear Award (2012, 2013, 2014). Snyder was selected as one of the 2013 CFDA/Vogue Fashion Fund finalists and most recently nominated as a CFDA Menswear Designer of the Year, fashion's top honor. During that time he launched the Townhouse, the brand's first concept store in Shibuya, Tokyo as well as locations in Kyoto and Osaka. In the Fall of 2016, Snyder will open his first New York flagship store. Photo - http://photos.prnewswire.com/prnh/20160710/388130 SOURCE Timex Group This project concludes Tishman Speyer's purchase of 1.8 million square feet of development rights from the City of New York, which included a 700,000 square foot office building that Tishman Speyer completed in 2011 and pre-leased in its entirety to the New York City Department of Health & Mental Hygiene. Today's announcement completes the City's vision for the transformation of this site into a new center of the innovation economy. Tishman Speyer is now the largest developer of both commercial and residential space in Long Island City, with more than 1,900 units of housing currently under construction across the street from the office site on Jackson Avenue. Tishman Speyer is responsible for 3.5 million square feet of Long Island City development since purchasing the options to develop both sites in 2003. "Thanks to the City of New York's investment in the area's infrastructure, enlightened policy decisions and the active support of the New York City Economic Development Corporation, the local community and its elected officials, Long Island City has become a model for 21st Century urban development," Rob Speyer said. "Tishman Speyer is proud to continue our role in unlocking the area's full potential as one of the most dynamic, live-work-play neighborhoods, not just in Queens but in all of New York City." Deputy Mayor for Housing and Economic Development Alicia Glen said, "This project will be a major job generator for Long Island City. We are focused on growing good jobs across the outer boroughs and meeting the demand for new office space. What rises at this site will not only spur our economy, but make Queens Plaza a more dynamic place to live and work." The new commercial project, which includes two 27-story towers designed by Raul De Armas of MdeAS Architects, will commence in early 2017 with completion anticipated in 2019. The towers will be connected by a four-story podium featuring a dynamic mix of retail, complemented by a food hall, restaurant, and parking garage, providing valuable amenities to local workers and residents in the vibrant mixed use neighborhood. Financing, which was arranged by HFF, is being provided by The Bank of the Ozarks. Qatari Diar's Chief Development Officer, Europe & Americas, Sheikh Jassim Bin Hamad Al Thani added, "We are delighted to be working alongside our partner, Tishman Speyer on the acquisition of this site in Long Island City and this agreement marks a significant milestone. With exclusive retail elements and contemporary office space this new venture will set the standard for mixed-use developments." WeWork co-Founder Adam Neumann said, "WeWork is proud to be an anchor tenant of Tishman Speyer's Long Island City development. This will be a fantastic space for us to bring our community of creators to this thriving and dynamic neighborhood." The 250,000 square foot location will be WeWork's second and largest in the borough of Queens. This project is the latest effort by the City of New York to bring jobs to Long Island City, and to grow the community as an innovation hub anchored by the Cornell Tech campus on Roosevelt Island. The 1.1 million square feet of office space will also help meet growing demand, with an NYCEDC analysis expecting the city to need up to 60 million square feet of new commercial space by 2025. "Long Island City continues to emerge as a vibrant hub of jobs and economic activity," said NYCEDC President Maria Torres-Springer. "These new towers will bring 2,000 permanent jobs to Western Queens, and represent a major step forward in solidifying Long Island City as a premier business district." About Tishman Speyer (www.tishmanspeyer.com) Tishman Speyer is a leading owner, developer, operator and fund manager of first-class real estate around the world. Founded in 1978, Tishman Speyer is active across the United States, Europe, Latin America and Asia, building and managing premier office, residential and retail space in key global markets for industry-leading tenants. The firm has acquired, developed and operated a portfolio approximately 140.1 million square feet with a total value of approximately US$76.1 billion spread over 276 investments involving 380 properties. Signature assets include New York City's Rockefeller Center and Chrysler Center, Sao Paulo's Torre Norte, The Springs in Shanghai and OpernTurm in Frankfurt. Tishman Speyer currently has projects at different stages of development in Atlanta, Boston, Brasilia, Chengdu, Frankfurt, Gurgaon, Hyderabad, Los Angeles, New York City, Paris, Rio de Janeiro, San Francisco, Sao Paulo, Shanghai, Suzhou and Washington, DC. About Qatari Diar (www.qataridiar.com) Qatari Diar Real Estate Company (QD) was established by the Qatar Investment Authority, the sovereign wealth fund of the State of Qatar. It has become a global leader in sustainable real estate, building landmark projects of unrivalled scope and vision. We create vibrant communities dedicated to capturing the hearts and imaginations of the people we serve. These developments are conceived from our commitment to preserving and reflecting local characters and traditions and our visions have created places with a sense of identity and purpose where people aspire to live, work and visit. Qatari Diar is currently involved in over 39 signature projects in more than 21 countries around the world, with a combined value of over $35 billion. We have created some outstanding, world-class real estate developments in landmark locations across Europe and the Americas. These include several projects in prime locations in London, Paris, Panama, Tunisia and Washington DC. Qatari Diar seeks to harness the world's greatest architectural and design talent in order to create sustainable communities that will stand the test of time. About NYCEDC New York City Economic Development Corporation (NYCEDC) is the City's primary vehicle for promoting economic growth in each of the five boroughs. NYCEDC's mission is to stimulate growth through expansion and redevelopment programs that encourage investment, generate prosperity and strengthen the City's competitive position. NYCEDC serves as an advocate to the business community by building relationships with companies that allow them to take advantage of New York City's many opportunities. Find us on Facebook or follow us on Twitter, or visit our blog to learn more about NYCEDC projects and initiatives. About WeWork WeWork's mission is to give members the space, connections and services they need to create their life's work, while helping them collaborate with like-minded people who can help their businesses grow and succeed. Founded in 2010 by Adam Neumann and Miguel McKelvey in New York, the company now employs more than 1,400 staff globally. WeWork currently has more than 100 physical locations in 29 cities around the world. WeWork seeks 'creators' from all industries and companies big and small to become members, with a suite of WeWork-specific membership benefits in each country and a local staff in each city. Nearly 10,000 companies and over 50,000 members are now based at a WeWork, with member companies ranging from start-ups to household names including Delta, IBM, KPMG, GE, and Dropbox. More than 70% of WeWork's members collaborate with each other and its international locations serve as convenient bases for business travel, enabling a great degree of work flexibility for both multinationals and small-to-medium-sized businesses alike, as well as options for easy expansion into new markets. Photo - http://photos.prnewswire.com/prnh/20160711/388211 Logo - http://photos.prnewswire.com/prnh/20160711/388210LOGO SOURCE Tishman Speyer Properties Related Links http://www.tishmanspeyer.com During the four years of the study, billed charges from medical care providers totaled $9 billion. Self-insured employers paid just over half ($5.3 billion) of those billed charges after discounts were applied and received $2.3 billion in reimbursements through stop-loss protection. The report shows that million-dollar-plus claims continue to trend up with an increase of 25% compared to last year. Severity continues to be a factor with less than 2% of million-dollar plus claimants (448) accounting for a disproportionate 18.5% of overall stop-loss claims reimbursements ($431.2 million).Over the four-year period, the average amount paid by an employer on a claim above $1 million was $1.45 million, which was reduced to $491,000 after applying the average stop-loss claim reimbursement ($962,000). Cancer continues to dominate the top of the list (number one and number two) with $618 million in stop-loss reimbursements, accounting for more than one-quarter (26.6%) of total stop-loss claims. Of the various types of cancer identified in the report, breast cancer accounted for 13.6% of the total reimbursements for this condition. Cancer is also a leading million-dollar conditionit's in the number-two spot after premature infant and liveborn complications. The report cites intravenous medications as a key driver of rising cancer costs and provides an analysis on the top twenty intravenous medications in 2015. Chronic/end-stage renal disease (kidneys) held steady in the number-three spot on the list, accounting for over $369 million in combined first-dollar claims and stop-loss claims reimbursements. Although the average treatment cost for claims associated with kidney disease has gone down 21% over the last four years, the high incidence rate of the condition contributes to its ranking. One in three Americans are at risk for kidney disease, with diabetes and hypertension as leading causes.1 The report highlights how improvements in cost management and increased use of transplants might continue to reduce the costs associated with this condition over time. As an upward trend to watch, transplants made the report in the number-six position, with a 65% increase in incidence from 2012 to 2015. In addition to the expanded use of transplants, the report cites an increase in organ donations and improved procedures (which can increase the pool of potential candidates) as contributing factors. Transplants represented over $62.2 million in stop-loss claims. Notably, there was a 79% increase in bone marrow/stem cell transplant costs and a 55% increase in associated pre- and post-transplant costs. "More employers are self-funding their medical plans than ever before, and managing the rising cost of health care continues to be one of their central concerns," explained Brad Nieland, Vice President, Stop-Loss, Sun Life Financial U.S. "By highlighting the conditions that create catastrophic claims and providing insights into trends influencing high costs, we can help employers anticipate what they'll see when self-funding and raise awareness about the importance of cost-containment resources and stop-loss insurance." This year, the report also takes into account how geographic location impacts the costs for medical care. The East South Central, Mid-Atlantic, and Pacific regions were the most expensive areas to experience a catastrophic condition, with costs above the national average (27%, 22%, and 19% respectively). For more information, see the following: PDF of the full report: http://bit.ly/2016slfcatastrophicclaimsreport Infographic of catastrophic claims costs and the top ten conditions: http://bit.ly/2016slfcatastrophicclaimsinfographic About Sun Life Stop-Loss Sun Life is the number-one independent provider of stop-loss insurance in the United States.2 Stop-Loss insurance protects self-funded employers by limiting the risk associated with catastrophic claims. As of year-end 2015, 1,979 self-funded employers had stop-loss insurance with Sun Life, covering 5.2 million individuals. About Sun Life Financial Sun Life Financial is a leading international financial services organization providing a diverse range of protection and wealth products and services to individuals and corporate customers. Sun Life Financial has operations in a number of markets worldwide, including Canada, the United States, the United Kingdom, Ireland, Hong Kong, the Philippines, Japan, Indonesia, India, China, Australia, Singapore, Vietnam, Malaysia and Bermuda. Sun Life Financial Inc. trades on the Toronto (TSX), New York (NYSE) and Philippine (PSE) stock exchanges under the ticker symbol SLF. For more information, please visit www.sunlife.com. In the United States, Sun Life Financial is one of the largest group benefits providers, serving more than 60,000 employers in small, medium, and large workplaces across the country. Sun Life's broad portfolio of products and services in the U.S. includes Disability and Absence Management, Life, Dental and Vision, Voluntary, and Stop-Loss insurance. This includes the acquisition of Assurant Employee Benefits in Q1 2016. For more information, please visit www.sunlife.com/us. National Kidney Foundation, "Fast Facts," referencing United States Renal Data System 2015 Annual Report and the Centers for Disease Control and Prevention, https://www.kidney.org/news/newsroom/factsheets/FastFacts. National Institute of Diabetes and Digestive and Kidney Disease, High Blood Pressure and Kidney Disease, http://www.niddk.nih.gov/health-information/health-topics/kidney-disease/high-blood-pressure-and-kidney-disease/Pages/facts.aspx. The number-one ranking is based on the 2014 year-end Sun Life Stop-Loss premium of $1.03 billion and on a Sun Life analysis of publicly available financial information and third-party market share data. Media Contacts: Amanda Gallo Sun Life Financial [email protected] 781-446-1264 Photo - http://photos.prnewswire.com/prnh/20160710/388105-INFO Photo - http://photos.prnewswire.com/prnh/20160710/388105-INFO SOURCE Sun Life Financial U.S. Related Links http://www.sunlife.com/us TULSA, Okla., July 11, 2016 /PRNewswire/ -- TouchStar, a multinational provider of logistics and mobility software solutions, announced that it will be attending the 2016 Crossroads Propane Expo + Conference in Fort Worth, Texas, USA. The three-day event, hosted by Texas Propane Gas Association, takes place 29-31 July. This year, TouchStar's Sales Manager, David Fredericks, will be in attendance at the conference. During the event, Fredericks, a veteran of the propane industry for 13 years, will highlight TouchStar's propane and LP gas distribution Android application, TransPac, and the company's integrated telematics and fleet tracking solution, FleetWatch. In addition, Fredericks will attend company meetings, conduct product demonstrations, and network with delegates and vendors attending the conference. "TouchStar is very excited to take part in the Crossroads conference," said TouchStar CEO, Peter Gibbs. "We are committed to our ongoing investment in propane and LP gas distribution logistics through our industry-specific mobile apps and enterprise solutions. Crossroads is an ideal venue to showcase these solutions." This year marks TouchStar's first visit to the Crossroads Propane Expo + Conference. TouchStar hopes to deepen its relationship with the members of the propane & LP gas distribution industry and to provide increased insight into its propane and LP gas enterprise solutions at the show. At press time, TouchStar's flagship propane mobility application is utilized by over 500 companies internationally. "The propane industry has made great strides in the adoption of technology to maximize the productivity of their drivers, dispatchers and admin personnel," said TouchStar Sales Manager, David Fredericks. "Technology has shifted from a 'nice-to-have' to a necessity in today's highly competitive markets. TouchStar's FleetAtlas Framework make access to these benefits easier than ever with contemporary tools designed to fit the priorities of the propane marketer at a pace that is manageable." TouchStar will be located at Booth #202 in the Fort Worth Convention Center all three days of the event. Meetings and demonstrations may be arranged with Fredericks by contacting TouchStar online at touchstargroup.com/contact-americas/, via email at [email protected], or by phone at 918-307-7100. About TouchStar TouchStar is a leading multinational logistics and mobility software provider delivering solutions that meet the specific needs of the Oil & Gas, Field Service, Transportation, and Consumer Goods industries. For more information about TouchStar, please visit www.touchstargroup.com. SOURCE TouchStar Related Links http://www.touchstargroup.com HOUSTON, July 11, 2016 /PRNewswire/ -- Announcing Tribal Installment Loans' fast, easy and secure installment loans which will allow borrowers to get as much as $1000. Borrowers will be able to receive cash directly from their bank account and will be available as soon as the next business day. Tribal Installment Loans will allow borrowers to save time and money since they can do all their transactions online through their website http://www.tribalinstallmentloans.com. Logo - http://photos.prnewswire.com/prnh/20160708/387408LOGO How Tribal Installment Loans work is what makes their services fast and secure for borrowers online. The company works with a network of lenders with experience in providing installment loans and other financing solutions for personal use. As soon as Tribal Installment Loans receive an application, it matches it to a lender who can provide the most suitable financial solution. Once a match has been made, the borrower is notified of his loan approval. If the borrower agrees with the rate and loan terms, he can expect his cash to be deposited to his account as soon as the next business day. Tribal list of lenders is listed online and can be found on this page: http://www.tribalinstallmentloans.com/lenders/. Customers may write their own reviews here. Tribal Installment Loans offer customers more flexibility when it comes to loan payments. Compared to payday loans when the loan has to be paid in full on the next payday, installment loans offer weekly and monthly payments until the loan has been completely paid. Borrowers will be able to pay off their loans successfully with more time in their hands to build their credit. Tribal Installment Loans provide installment loans that range from $100 to $1,000. This type of loan is for short term financial obligations such as payment of credit card debts, payment of utility bills, payment of loans, making small purchases, payment of medical bills, car and house repairs and more. Installment loans are not meant for long term financial obligations and are considered one of the most expensive loans. Borrowers are encouraged to review their loan terms and rates before they agree to accept the loan. Borrowers may submit their inquiry for a loan at Tribal Installment Loans to find out about their rates and terms and this will never affect their credit and is also for free. Lenders which are a part of Trial Installment Loans network have different minimum requirements but require borrowers to be at least 18 years of age, a US citizen, must have regular work with an income of at least $1,000 a month and must have an active checking or savings account with direct deposit features. For more information about the company's rates, terms and loans, borrowers may visit their site or contact Tribal Installment Loans at http://www.tribalinstallmentloans.com/contact-us/ or call 1 832 397 6307. About Tribal Installment Loans: Tribalinstallmentloans.com is a matching service that connects lenders with borrowers through its network of lenders. There is no fee for using the company's service; the rates and fees are quoted directly by the lender that matches a borrower's profile. For more information about Tribal Installment Loans borrowers may visit their site or contact Tribal Installment Loans at http://www.tribalinstallmentloans.com/contact-us/ or call 1 832 397 6307. MEDIA CONTACT: Natasha Kovaleva 1125 E Broadway, #545 Glendale, CA 91205 Tribal Installment Loans Website: http://www.tribalinstallmentloans.com Email Phone: 1 832 397 - 6307 SOURCE Tribal Installment Loans Related Links http://www.tribalinstallmentloans.com TEWKSBURY, Mass., July 11, 2016 /PRNewswire/ -- The U.S. Army Research Laboratory (ARL) has entered into a collaborative alliance via a $1.1 million grant with Raytheon Company (NYSE: RTN) to develop Scalable, Agile, Multimode, Front End Technology, or SAMFET, for the Army's Next Generation Radar program (NGR). As part of a 24-month cooperative research agreement within ARL's Advanced RF Technologies Program, Raytheon will work with ARL to create and demonstrate modular building blocks that can easily integrate with next-generation radar systems. NGR will enhance radar-reliant Air Defense and Counter Rocket and Mortar system performance, particularly in portable configurations such as hand-held, vehicle-mounted and airborne deployments. Raytheon will work with ARL to explore new approaches for the design and fabrication of modular components that will fit into NGR's open architecture, offering processing flexibility, agility and efficiency across radar bands. "Raytheon's storied track record of innovation in applied radar technologies uniquely positions us to play a critical role in the development of the U.S. Army's Next Generation Radar system," said Colin Whelan, Raytheon's vice president of Advanced Technology. "With the [United States] Army Research Lab, our team will leverage Raytheon's deep investment and unmatched expertise as a pioneer in gallium nitride technology to dramatically improve radar capabilities and keep the Army ahead of its adversaries for many years to come." The company's efforts to mature GaN for military production earned Raytheon the highest OSD-rated manufacturing readiness level of any organization in the defense industry. A semiconductor material that can efficiently amplify high power signals at microwave frequencies, GaN enables radars to operate up to five times more powerfully than they would with older semiconductor technology, and without overheating. Raytheon GaN components generate RF at 1/3 the cost per watt compared to gallium arsenide alternatives, deliver higher power density and efficiency, and have demonstrated mean time between failures at an impressive 100 million hours. This will enable Next Generation Radar to efficiently and affordably provide much higher performance. About Raytheon Raytheon Company, with 2015 sales of $23 billion and 61,000 employees, is a technology and innovation leader specializing in defense, civil government and cybersecurity solutions. With a history of innovation spanning 94 years, Raytheon provides state-of-the-art electronics, mission systems integration, C5I products and services, sensing, effects, and mission support for customers in more than 80 countries. Raytheon is headquartered in Waltham, Massachusetts. Follow us on Twitter @Raytheon. Media Contact Ian Davis +1.978.858.4135 [email protected] SOURCE Raytheon Company Related Links http://www.raytheon.com FARNBOROUGH AIR SHOW, July 11, 2016 /PRNewswire/ -- UTC Aerospace Systems, a unit of United Technologies Corp. (NYSE: UTX), was awarded a contract to support the integration and testing of the MS-177 multi-spectral imaging (MSI) and long-range intelligence, surveillance and reconnaissance (ISR) sensor onto the Northrop Grumman RQ-4B Global Hawk Unmanned Aircraft System (UAS). Adding the MS-177 system to the Global Hawk is part of the U.S. Air Force's planned modernization activities for the platform. "This award is a testament to the success of our highly collaborative effort with Northrop Grumman," said Kevin Raftery, Vice President, ISR, UTC Aerospace Systems. "Over the past three years, we have enhanced the MS-177 sensor system with advanced technology that provides U.S. combatant commanders with unmatched imaging, detailed surveillance and actionable intelligence." The MS-177 sensor is designed to provide capabilities to not only "Find" targets using broad area search and different sensing technologies, but to also Fix, Track, and Assess targets through its agility and multiple sensing modalities. The enhancements made to the MS-177 sensor system include a gimbaled optical design, a wide area search mode and a motion imagery mode. These enhancements allow the MS-177 sensor system to collect greater than six-times more area coverage per hour than the current SYERS-2B sensor operating on the U2 platform. MS-177 also has the ability to continuously track a target without having to change the flight path of the UAS. With its incomparable long range imaging capabilities and industry leading technology, the MS-177 sensor system is the new benchmark in ISR sensors for military applications. UTC Aerospace Systems designs, manufactures and services integrated systems and components for the aerospace and defense industries. UTC Aerospace Systems supports a global customer base, with significant worldwide manufacturing and customer service facilities. United Technologies Corp., based in Farmington, Connecticut, provides high-technology systems and services to the building and aerospace industries. To learn more about UTC, visit the website at www.utc.com or follow the company on Twitter: @UTC. www.utcaerospacesystems.com @utcaerosystems SOURCE UTC Aerospace Systems Related Links http://www.utcaerospacesystems.com SALT LAKE CITY, July 11, 2016 /PRNewswire-USNewswire/ -- Western Governors University (WGU) and Real Salt Lake (RSL) have teamed up on a scholarship that will provide four Utah students with a full year of tuition. Applications are now being accepted for the WGU-RSL scholarship, which will cover the full $6,000 tuition for two six-month terms at WGU. The four scholarships will be awarded at the upcoming RSL-Houston Dynamo match on Saturday, September 17, 2016. The university will also waive the $65 application fee for all scholarship applicants who apply by August 22, 2016. "Real Salt Lake is proud to join WGU to offer these students free tuition for one year," said RSL owner Dell Loy Hansen. "The RSL organization places great value on education, and we are delighted to help provide academic assistance to members of our community." To be eligible, scholarship applicants must be officially admitted into one of WGU's bachelor's or master's degree programs, complete the scholarship application, and be interviewed by a WGU scholarship counselor. While WGU will award up to four scholarships, recipients will be selected based on their academic records, financial need, and readiness for online study at WGU. Online, accredited, and nonprofit, WGU offers more than 50 bachelor's and master's degrees in business, K12 teacher education, information technology, and health professions, including nursing. The majority of WGU students are working adults who benefit from the online university's flexibility and competency-based online degree programs, which allow students to complete courses at their own pace, advancing as soon as they're able to demonstrate mastery of the material. This, combined with affordable, flat-rate tuition of $6,000 a year for most programs, is making it possible for more students to earn degrees that are helping them advance their careers. More than 4,700 Utahns are currently enrolled at WGU, part of a growing nationwide student body of more than 70,000. To learn more about the scholarship, visit www.wgu.edu/rsl. About WGU Established in 1997 with a mission to expand access to high-quality, affordable higher education, WGU now serves 70,000 students nationwide and 65,000 graduates in all 50 states. Driving innovation as the nation's leading competency-based university, WGU has been recognized by the White House, state leaders, employers, and students as a model that works in postsecondary education. It has become a leading influence in changing the lives of individuals and families, and enabling the workforce needed in today's rapidly evolving economy. WGU is accredited by the Northwest Commission on Colleges and Universities, has been named one of Fast Company's Most Innovative Companies, and was featured on NPR, NBC Nightly News, CNN, and in The New York Times. The University's 2015 Annual Report is now available online. Contact for media inquiries: Joan Mitchell VP of Public Relations 801-428-5463 [email protected] Contact for enrollment information: 866-225-5948 www.wgu.edu Follow WGU: http://www.facebook.com/wgu.edu http://www.linkedin.com/companies/western-governors-university http://twitter.com/wgu http://www.youtube.com/WesternGovernorsUniv http://google.com/+wgu http://news.wgu.edu/news/news.xml Logo - http://photos.prnewswire.com/prnh/20151012/276234LOGO SOURCE Western Governors University Related Links http://www.wgu.edu If you were looking for the Charlestown Democratic Town Committee website and ended up here, try this Got news tips, gossip, suggestions, complaints?E-mail us: progressivecharlestown@gmail.com We strive to avoid errors in our articles. Our correction policy can be found here Hyderabad, July 8 : Jade Global Software, which opened a new facility here on Friday, has set a target of $100 million revenue by March 2021. The US-based advisory, integration, testing, cloud & consulting services, business solutions and IT outsourcing company has chalked out aggressive growth plans. Its current revenue stands at $30 million. Telangana's IT Minister K.T. Rama Rao inaugurated Jade Software's mid-enterprise IT services facility. "We welcome Jade Global Software to our state. What delights us is announcements continue to flow as firms find value in doing business in Telangana state which is investor and business friendly," he said. Jade Global has been ranked in the Inc.5000 list as the fastest growing private companies in the US for five consecutive years. Karan Yaramada, CEO, Jade Global Soft, said this new 11,000 square foot facility will help the company ramp up its focus in the areas of Analytics & Information Management (AIM), Enterprise Resource Planning (ERP), Managed Services (MS) and Technology & Engineering Services (TES). "We are starting our Hyderabad centre with a capacity of 100 employees now. In the next five years our goal is to increase this number to five fold," Karan said. "We're essentially investing heavily towards enhancing our existing offerings and adding new facilities that will help ramp up our IT Services to our growing list of mid-size enterprises worldwide," he added. He believes that with more than 1,300 IT and ITES firms, Hyderabad has the right combination of infrastructure and human resources to aid to the growth of the company. "Though services, solutions, and product development are the primary focus for Jade Global, innovation has been our driving force. We find Hyderabad a perfect place to encourage entrepreneur mindset and foster innovation," he said. Currently, the company generates about 40 per cent of total revenue from its India operations and plans to increase the same to 60 per cent in the next two years. "Recently Jade Global established its footprint in Europe with a new office in Britain. We are adding people every day across three continents to augment our growth and expand our 600-member global IT Innovation team," Karan added. Jade recently closed a deal with large German based automobile company in India to automate their business processes. Seoul, July 9 : North Korea fired a submarine-launched ballistic missile, the media reported on Saturday. The projectile was launched in the waters southeast of North Korea's eastern port city of Sinpo in South Hamgyong province, Yonhap news agency quoted South Korea's Joint Chiefs of Staff as saying. The military is analysing the exact flight distance of the projectile and whether the test-firing was successful. If confirmed, it would mark North Korea's first test-launch of its SLBM since April 23 when a ballistic missile launched from a submarine flew about 30 km before exploding into fragments. The launch came a day after Seoul and Washington jointly announced their decision to deploy the Terminal High Altitude Area Defence (THAAD) with the US Forces Korea to better tackle what they claimed was North Korea's nuclear and missile threats. Chennai, July 9 : Bank employees are preparing to strike work on Tuesday and Wednesday after talks between their representatives and bank managements failed on Friday, C.H. Venkatachalam, a union leader, said on Saturday. The managements "made no concrete proposal" to the employees who have been opposing the proposed merger of the State Bank of India (SBI) with its associate banks as also privatisation of the IDBI Bank, Venkatachalam, the General Secretary of the All India Bank Employees' Association (AIBEA), said in a statement. The talks were convened by the Chief Labour Commissioner of the central government in his office in New Delhi on Friday. Officials of the Department of Financial Services, the Indian Banks' Association (IBA) and five associate banks of the SBI, and the union leaders attended the meeting. Venkatachalam said the union leaders stated at the meeting that instead of taking stringent measures against wilful and deliberate defaulters of bank loans, the government is diverting public attention by such steps as privatisation and consolidation of banks. The unions also explained as to why the proposed merger of the SBI with its associate banks, the proposed privatisation of IDBI Bank, and other such measures are unwarranted, he said. The unions emphasised that effective measures to recover the alarmingly high levels of bad loans is the real top priority for the banks today, Venkatachalam said. The managements of SBI's associate banks made no concrete proposal except making a statement that they are always willing to talk to the union, he said. The government has approved the merger of the SBI with its five associate banks -- State Bank of Bikaner and Jaipur (SBBJ), State Bank of Travancore (SBT), State Bank of Patiala (SBP), State Bank of Mysore (SBM) and State Bank of Hyderabad (SBH) -- as well as Bharatiya Mahila Bank. The AIBEA had called on about 45,000 employees of the SBI's associate banks to strike work on July 12 to protest against the proposed merger. The AIBEA had also announced support for another strike planned the next day, on July 13, to be observed by employees of all banks. Thiruvananthapuram, July 10 : Seventeen men and women are missing from Kerala's Kasargode district, and a police officer said on Sunday they were probing reports that they may have joined the Islamic State. Various central agencies are also engaged in determining the veracity of the reports. The missing include at least 10 men and six women, mostly from Kasargode, in the extreme north of Kerala. Officials from the central agencies have reportedly got in touch with families in Kasargode. Kasargode police chief Thomson Jose told IANS that they have registered two cases. "What we are told is there are 17 people missing from Kasargode. We have also come to know that officials from central agencies are also here. We will now register more cases in the coming days," he said. Meanwhile, former Home Minister and Congress leader Ramesh Chennithala said Sunday that all missing persons cannot be linked to the Islamic State. "Just because they are missing does not mean they all have joined the IS," he said. According to published reports, most of the missing appeared to have had close links with 35-year-old Abdul Rasheed, an engineer by profession who has been missing since May. Another person reported missing is a medical doctor, Ijaz Ahamed, who got his medical degree from China and till recently worked at a hospital near Kozhikode. Chief Minister Pinarayi Vijayan has said that reports on the missing people, including women, was a serious matter and that police were doing their job. On Sunday, M.K. Bindu, mother of a young woman Nimisha, called on Vijayan at his office here and sought help to locate her daughter. Nimisha was studying at a college in Kasargode and got close to a young man, Eeza. Both are missing. Islamabad, July 10 : Alleging human rights violations in Jammu and Kashmir, Pakistan on Sunday demanded "a fair and impartial" plebiscite to resolve the Kashmir dispute. The Foreign Ministry said the "extrajudicial killing" of Hizbul Muajahideen leader Burhan Wani "and scores of other innocent Kashmiris" violated the fundamental human rights of Kashmiris. This, a statement from the ministry said, "cannot deter the people of Jammu and Kashmir from their demand for the realisation of the right to self determination". It said a resolution of the Jammu and Kashmir dispute was only possible by the "realisation of the right to self determination of the people, as per UN resolutions, through a fair and impartial plebiscite". The statement followed the Friday killing of Hizbul commander Burhan Wani, which triggered widespread clashes between security forces and pro-Wani demonstrators leaving at least 16 people dead. Shimla, July 10 : An emergency meeting of the Himachal Pradesh Cabinet will be held here on Monday, an official spokesman said on Sunday. The spokesman, however, refused to divulge the meeting agenda. The last routine cabinet meeting was held here on July 8. The meeting comes in the wake of Life Insurance Corporation (LIC) agent Anand Chauhan's arrest on Friday by the Enforcement Directorate (ED) from Chandigarh under the Prevention of Money Laundering Act (PMLA). Chauhan is accused of investing Chief Minister Virbhadra Singh's "tainted" money of Rs 5 crore in LIC policies purchased in Virbhadra's name and those of his family members, including wife Pratibha Singh. The ED confirmed Chauhan's arrest on Twitter on Saturday. This is the first arrest by the ED in the money laundering case against the Chief Minister. The ED reportedly found that Virbhadra Singh had accumulated assets worth Rs 6.03 crore in his name and in the name of his family members, which were disproportionate to his known sources of income, during his tenure as the Union Steel Minister from 2009 to 2011. The Central Bureau of Investigation (CBI) is also investigating the case. Last month, Virbhadra Singh was summoned twice and he allegedly "failed to explain the evidences" against him during CBI questioning in New Delhi in the disproportionate wealth case. After his questioning, a CBI official claimed that Virbhadra Singh put the onus of explaining the assets on his wife and children. CBI sources said the Chief Minister's son Vikramaditya had claimed during CBI's preliminary enquiry that some of his assets were from the money provided by his father. A case was registered by the CBI on September 23 last year under the Prevention of Corruption Act against the Chief Minister, his wife Pratibha Singh, LIC agent Anand Chauhan and associate Chunni Lal. The FIR was an outcome of a preliminary inquiry. London, July 11 : Popular British new wave/synthpop band Duran Duran feel "motivated" by their past success, according to drummer Roger Taylor. The band formed in 1978 and has enjoyed incredible success through its history, which according to Taylor, has continued to inspire the band members through the latter part of their careers, reports femalefirst.co.uk. "We are motivated by our past success. It's quite a big thing to live up to. But at the same time, we don't want to be stuck in the past," Taylor was quoted by lifestyle publication Vegas Seven as saying. "We want to be current, modern and vital. You want to be part of what's next. That's motivational in itself," he added. Despite their landmark careers, Taylor claimed that he and his fellow bandmates -- Simon Le Bon, Nick Rhodes and John Taylor -- are all still developing as artistes. "We are all developing as musicians. John and I were talking about this recently: You can't stand still as a player. You always have to try to keep moving forward, while you can," he said. "Every hour that you play develops your art, if you like. Considering the thousands of hours that we have played together over the last few years, there is definitely been some development," Taylor added. Srinagar, July 11 : Strict curfew continued on Monday in most parts of the Kashmir Valley following heightened tension over the death of 22 people during protests against the killing of a top Hizbul commander, Burhan Wani. The victims comprised 21 protesters and a police driver. They were killed during clashes with security forces, mostly in Anantnag, Shopian, Kulgam and Pulwama districts. Shabir Ahmad, the first victim from Srinagar succumbed to bullet injuries late Sunday evening, Kashmir Valley erupted in anger on Saturday after security forces shot dead Burhan Wani, a top leader of the Hizbul Mujahideen, along with two of his associates on Friday. His funeral was attended by thousands. Three policemen taken hostage by angry mobs in south Kashmir on Friday, returned back safely, but their weapons were seized, police said here on Monday. Four police stations, 36 civil administration offices and dozens of vehicles were destroyed by unruly mobs. A total of 102 civilians and 100 security personnel were injured in the bloody clashes. All senior separatist leaders including Syed Ali Geelani and Mirwaiz Umer Farooq, were placed under arrest by the authorities while the state government on Sunday requested them to help normalise the situation. It is feared if things are not quickly brought under control, Kashmir could be in the throws of another bloody unrest when in 2010, 112 protesters died in clashes with security forces. Washington, July 11 : President Barack Obama and former President George W. Bush are slated to address an interfaith memorial service in Dallas on Tuesday for the five police officers who were killed in a sniper attack last week. According to CNN, the President will visit the Texas city at the request of Dallas Mayor Mike Rawlings, White House Press Secretary Josh Earnest said in a statement on Sunday afternoon. On Sunday evening, the White House announced that Bush would join his successor at the memorial service in Texas to mourn the victims who were killed on the night of July 7 when they came under attack during a protest staged against the recent killings of two African-Americans, Alton Sterling in Louisiana and Philando Castile in Minnesota. The suspect that attacked the protest rally was identified as Micah Johnson, 25, who died after a long stand-off with police. Vice President Joe Biden will also attend the service at the Morton H. Meyerson Symphony Centre, as will former first lady Laura Bush. Obama will also meet with families of the fallen officers. The president cut short a European trip to travel to Dallas. While in Spain on Sunday, Obama condemned citizens who attack police officers, saying they are performing a "disservice to the cause" of criminal justice reform, CNN said. Obama said that police and activists need to work together and "listen to each other" in order to mobilise real change in America. Sterling, 37, was fatally shot on July 5 by two Baton Rouge police officers after being tackled to the ground. In a similar incident, Castile, 32, was also shot dead on July 6 by the police in Minnesota's Falcon Heights. New Delhi : Title: Jihadi Jane; Author: Tabish Khair; Publisher: Penguin Random House India; Pages: 248; Price: Rs 299 We need someone to update American thinker William James's "The Varieties of Religious Experience" to explain why in the modern, technology-driven world, extremist manifestations of a religion can still draw adherents. And not only barely-literate inhabitants of deprived and oppressed areas but also - and increasingly - educated youth from fairly affluent, assimilated backgrounds in developed societies. Muslim youth in/from the West are one - though not the sole - example, but with some being involved in the depredations of Al Qaeda and now the Islamic State, they receive most focus. What makes youth enjoying a quality of life many others can only envy become radicalised and fanatical as to cause death and destruction is difficult to determine. Is it the ability of the recruiters, some personal experience, or something else are questions that journalist-turned-academician and author Tabish Khair deals with in his latest novel about two British Muslim girls' lives in Yorkshire and further away. Told from the perspective of Jamila, a conservative but not radical Muslim, it begins with her friendship with Ameena, then different as can be. While Jamila is a headscarf-clad, regular mosque-goer from a close-knit, orthodox family, Ameena, who is from a more modern but divided family (and doesn't get on much with her mother with whom she lives), wears trendy clothing, smokes, and hangs around with boys. Their lives change when Ameena, who has turned to religion for solace after being taken up and discarded by the school heartthrob, becomes more overtly religious on being ignored by someone closer (but not discarded her broad norther accent). Meanwhile for Jamila, it is her father's second (and fatal) heart attack, her brother's marriage and her family's intention to get her married off too. The girls, now living together, slowly retreat into a shell, only in contact with their (only) friends on the Internet of whom, "most of them seemed to be doing something about the (Muslim)issues that exercised us". Most significant is Hejjiye, an older but strikingly beautiful Kuwaiti woman, "married to an Arab computer programmer who had quit his job, first to join some Arab political uprising with vaguely democratic aspirations, and then to gradually move towards jihadist groups". He was "now fighting for the 'faith' in Syria, and she was there to support him, which was the job of all women - to help their men fight for the faith". She also convinces Ameena and Jamila to come and become 'jihadi brides'. It is quite a simple trip for them to Turkey and over the border (with the customary bribes to the Turkish border guards) and to the orphanage near the Syria-Iraq border, run by Hejjiye. Ameena achieves her bid to marry a jihadi, Hassan, who however turns to be brutal even to her, while Jamila is slowly repenting her decision to come, given the dreariness and cruelty. So far, they are away from the fighting but the area soon becomes a warzone with the Kurdish Peshmerga on the verge of overrunning it. Hejjiye and the jihadis strike up a deal for their safe withdrawal in return for freeing two Kurdish women fighters they hold. But Ameena has a diabolic plan - for her and (an oblivious and then unwilling) Jamila to become suicide bombers and attack the Kurds during the handover. What happens next is what makes this novel a thrilling and gripping read. Khair not only sketches - and quite vividly - the girls' (and their families) varying perspective on life in the society they find themselves, and the society they join, not to mention the unacknowledged incongruity those who otherwise repudiate mores and norms of Western (and secular) life in their quest to replicate an "older perfect time" but have no qualms in using modern inventions and technology. Nor are they immune to naked self-interest (especially when it comes to self-preservation), ambition and career-building - as Jamila unflatteringly recounts. Leave alone the obvious larger political and religious issues Khair raises, equally significant are on the (lack of) cross-cultural understanding and meaning in life (specially in developed societies) but don't make the mistake of thinking all this only refers to this religion in question. (Vikas Datta can be contacted at vikas.d@ians.in) Kolkata, July 11 : FMCG major Emami Ltd will launch more weather-agnostic products to protect itself from the effects of erratic weather which have affected its sales, the company's annual report said. "... a majority of our products are seasonal, erratic weather also affected our sales. In view of this, we balanced our portfolio through the introduction of non-season (perennial) products," company's Managing Director S.K. Goenka said in its annual report released on Friday. "Over the next few years, we expect to launch more weather-agnostic products to de-risk ourselves from meteorological vagaries," he said. The company remains bullish in the rural market and rural business contributed nearly half of its revenues in the last fiscal (2015-16). "The contemporary rural consumer is aspirational, conscious about looking good and living healthy. Hence, we believe that rural India is going to make a weightier contribution to our numbers in times to come," said Goenka. According to the report, the company will remain keen on acquiring brands or businesses, which fit well with its strategy and have synergy with its business operations. During the last fiscal, it acquired the hair and scalp care business under the Kesh King brand along with allied brands of ayurvedic medicinal products. Withstanding apprehensions about the increase in borrowings following the Kesh King acquisition, Goenka said prior to acquisition, the company had net cash in excess of Rs 800 crore on its books. "The acquisition was therefore a premeditated one with only half of the Rs 1,684 crore (including duties and taxes) acquisition being financed through borrowings. Company's focus has been in the ayurveda segment. Goenka said when the company's sectoral peers promote ayurvedic products, they raise the level of water for entire category by enhancing consumer awareness. "The company's future plan includes putting greater emphasis on ayurveda science to deliver innovative and effective products," the report said. The company would focus on increasing its overseas business in the coming years. "We are working to increase the share of international businessAin our overall revenues, even as global markets could remain challenging in 2016-17," company Director Prashant Goenka said in the report. New Delhi, July 11 : India is planning to evacuate its nationals from South Sudan where renewed fighting between rival military factions has claimed nearly 300 lives. "I am aware of the developments in South Sudan," External Affairs Minister Sushma Swaraj tweeted. "We are planning evacuation of Indian nationals," she said. The latest bout of violence started after a localised gunfight outside South Sudan President Salva Kiir Mayardit's residence in the country's capital Juba on Thursday when he was holding a meeting with former rebel leader and current First Vice President Riek Machar. Both Kiir and Machar have appealed for calm to all factions. Sushma Swaraj advised Indian nationals not to panic and to register themselves with the Indian embassy. "Indian nationals are advised not to travel to South Sudan," she said. New Delhi, July 11 : In a bid to boost India-Kenya defence partnership, Prime Minister Narendra Modi on Monday handed over the keys of 30 field ambulances to Kenyan President Uhuru Kenyatta. "Boosting India-Kenya defence partnership PM Narendra Modi hands over keys of 30 field ambulances gifted to Kenya," tweeted Vikas Swarup, official spokesperson of the Ministry of External Affairs. "The field ambulances manufactured by Ashok Leyland and to be used by Kenyan Defence Forces are part of government to government cooperation in defence," he further tweeted. Modi and Kenyan President Uhuru Kenyatta also led delegation level talks. London, July 11 : Britain's Conservative politician Andrea Leadsom has pulled out of the contest for becoming the next Tory party leader and prime minister, leaving only Home Secretary Theresa May in the race. A source close to the energy minister said "the abuse has been too great", BBC reported. Leadsom was up against Home Secretary Theresa May in the race to succeed David Cameron as the Prime Minister, and apologised to May on Monday after suggesting that being a mother made her (May) a better candidate for the job. BBC reported that Cameron's successor could now be in place "much earlier than nine September" -- when the contest was due to finish. It will be up to the 1922 committee of Conservative MPs to decide the timetable -- or whether to continue with a leadership contest. If it is decided that May is to become leader, uncontested, she would become prime minister-designate or "PM elect" only upon the declaration by the 1922. The time between Gordon Brown winning the Labour leadership uncontested and succeeding Tony Blair as the Prime Minister was 38 days. Leadsom -- who was a leading light of the Brexit campaign -- made it to the final two, alongside May -- who campaigned for 'Remain' vote -- last week. She secured the support of 84 MPs -- including former Tory leader Iain Duncan Smith and Boris Johnson -- compared to May's 199 votes. Justice Secretary Michael Gove was eliminated after coming third. There had originally been five contenders to succeed Cameron, with MPs voting in two rounds to get that number down to two -- with party's 150,000-strong membership to have the final say. Colombo, July 11 : Former Sri Lanka President Mahinda Rajapakse's son was arrested on Monday over financial fraud. Namal Rajapakse was arrested by the Financial Crimes Investigations Division over fraud, and was questioned for hours, Xinhua news agency reported. He was alleged to have recorded a statement over the misplacement of funds amounting $480,000 during the previous government. The former first family were under a probe over allegations of fraud and corruption that had taken place in the Rajapakse government. Mahinda Rajapakse was ousted out of power in the presidential poll in January 2015. New Delhi, July 11 : In another major deal in India's cement space, Karsanbhai Patel-led detergents major Nirma is set to buy a part of LafargeHolcim's units in the country for $1.4 billion, having a total capacity of 11 million tonnes, it was announced on Monday. Lafarge India, one of the group's main subsidiaries in India, operates three cement plants and two grinding stations with a total capacity of around 11 million tonnes per annum. These will be sold to Nirma, subject to approval by the Competition Commission of India. "This agreement is an important step in our 3.5 billion Swiss franc ($3.7 billion) divestment program. With this deal, two-thirds of the program has been secured and the remainder of the program is well on track," said Eric Olsen, Chief Executive of LafargeHolcim. The deal comes less than 10 days after debt-ridden Jaiprakash Associates said it has entered into a pact with UltraTech Cement to sell its cement units with a capacity of 17.2 million tonnes for an enterprise value of Rs.16,189 crore ($2.4 billion). The sale does not mean LafargeHolcom's exit from India. Through its other subsidiaries, ACC Ltd and Ambuja Cement, the group will continue to be a dominant player in the country with a combined capacity of more than 60 million tonnes and a distribution network that extends across the entire country, a statement issued by the group said. For Nirma, the deal majorly adds to its existing cement capacity. It already has a presence in Rajasthan and the acquisition will ramp up its capacity to around 13.5 million tonnes. This apart, Nirma has proposed another cement unit in at Mahuva in Gujarat. For the France-headquartered group, this deal will prove second-time lucky. In August last year, LafargeHolcim had entered into an agreement with Birla Corp for the divestment of some cement units with a capacity of 5.15 million tonnes, including the plant at Sonadih and grinding station at Jojobera in eastern India. Then in February this year, the company said it was no longer in discussion with Birla Corp and was looking at a sale of assets involving an annual cement capacity of around 11 million tonnes, also subject to an approval of the country's anti-trust commission. "Due to the current regulatory issues relating to the transfer of mining rights captive and critical to the two plants, LafargeHolcim was obliged to submit an alternate remedy to the CCI to ensure compliance with the order," the company had said. Better known for its detergents, Nirma also has a presence in products such as soaps, salt, soda ash, caustic soda, cement and packaging. With over 12 manufacturing facilities in India and the USA, Nirma sells its products across the globe and reported a sales figure of over $ 1.1 billion in 2015-16. New Delhi, July 11 : The central government has extended the date for states to join the Uday debt restructuring scheme for electricity distribution companies to enable those like Tamil Nadu, which are doubtful of its benefits, to sign the agreement, Union Power Minister Piyush Goyal said on Monday. "I only feel very sad for the people of Tamil Nadu, because Uday (Ujwal Discom Assurance Yojana) will save Rs 22,400 crore of discoms' debt in the next three years," Goyal told reporters here. "Tamil Nadu has an accumulated debt of Rs 68,000 crore and I see no reason why people of the state should be denied the benefit of this saving," he said. The union cabinet last month extended till March 31, 2017, the date for joining Uday, which has been signed by 18 states and one union territory so far. Uday envisages taking over 75 per cent of discoms' cumulative debt. States would issue loans against the debt at prevailing market rates. The balance 25 per cent would be issued as sovereign backed bonds by discoms. The scheme also envisages access to cheaper coal, modernising transformers to cut distribution losses, as well as a provision to revise tariffs, which has been criticised by the AIADMK government in Tamil Nadu. State Power Minister Natham R.Viswanathan has described the price increases every quarter provided under Uday as a harsh imposition on the poor. Noting how states like Rajasthan, Uttar Pradesh, Bihar and Haryana are benefiting significantly from Uday, Goyal said that Tamil Nadu would eventually have to pay off its discom debts because even banks have been instructed not to fund in this regard. "Some day Tamil Nadu will have to pay off its discom debts. Uday will help them reduce the burden of increased tariff on the people," the minister said. He said he had earlier sent a team of senior officials of the power ministry to Tamil Nadu to explain how Uday will help the people of the state. New Delhi, July 11 : The Sashastra Seema Bal (SSB) on Monday started its first on-field Border Outpost (BoP) training module for its 50,000 personnel guarding the India-Nepal and India-Bhutan borders. The exercise is aimed to refresh critical learning elements and boost the effectiveness and professionalism of the force. The training module being conducted simultaneously at 270 company locations involving personnel of 625 BOPs was inaugurated by Minister of State for Home Affairs (MoS), Kiren Rijiju through video conferencing here. The SSB has been mandated to guard the India-Nepal and India-Bhutan borders which touch five Indian states with a total length of 1,751 km and four Indian states with a total length of 699 km, respectively. An SSB official said that its the first phase of a two-week intensive refresher training programme for BoPs of SSB in which 15,000 personnel will participate. "Such exercises are shared with SSB personnel in the training camps but this is a first on-field BoP training module. A total of 50,000 personnel guarding Indo-Nepal and Indo-Bhutan borders will be provided the training in three phases," the official added. The MoS expressed his happiness, saying a new chapter has been added to the historic role of the SSB as the force has been transformed into not only a border guarding force, but also a specialized force due to its responsibility to provide services to the VIPs and Left Wing Extremism affected areas. "Its task becomes more difficult in case of porous borders with some neighbouring countries like Nepal and Bhutan, where there is no fencing. This training module will benefit all SSB personnel," Rijiju said while speaking on the occasion. Rijiju also released a handbook for BOPs on the occasion. Senior officers of SSB, Ministry of Home Affairs (MHA) and a large number of SSB officers and personnel deployed all along the India-Nepal and India-Bhutan borders witnessed the launching of the programme from 49 locations through video conferencing. The Director General of SSB, Archana Ramasundaram, said that all the battalions personnel deployed along the borders will be able to attend this BOP training module while discharging their routine operational duties. "The training is very important as it turns a common man into a disciplined soldier. The emphasis has been given on various aspects such as soft skills, stress management, gender sensitivity and health. Several case studies have also been included in the training module," she said. "The module has been designed to refresh the critical learning elements with an aim to increase overall effectiveness and professionalism of the force," she added. The Director General also said that services of various agencies like Wildlife Crime Control Bureau (WCCB), Narcotics Control Bureau (NCB), National Investigation Agency (NIA) and NGOs working in the SSB's operational areas of responsibility have also been taken to make this module more fruitful and professionally enriching. Kochi, July 11 : Chief Ministers legal adviser M K Damodaran on Monday again appeared in the High Court on behalf of controversial lottery baron Santiago Martin, shrugging off the controversy kicked up by his previous appearance for the tainted businessman. Santiago Martin had filed a petition in the High Court seeking quashing of proceedings initiated by the Enforcement Directorate (ED) to attach his properties. Appearing for Martin, M K Damodaran asked the Court to put off action against the businessman until after his arguments were heard. The HC posted the petition for further hearing on July 14. At the next hearing, the Additional Solicitor General is slated to appear for the Centre. The HC will take a call on whether to give the go ahead to the ED to attach Martins properties after hearing the ASG. The Chief Ministers legal adviser appearing for Martin, who is facing allegations of having usurped crores of rupees from the State through a bogus lottery business, had kicked up a storm. Even though there was speculation that the M K Damodaran would step down in the light of the controversy, the senior counsel later clarified that his appearing for Martin was no reason for him to quit the position. New Delhi, July 11 : Sanitation workers of the civic bodies in national capital have been paid salaries till June, the municipal corporations told the Delhi High Court on Monday. A division bench of Chief Justice G.Rohini and Justice Sangita Dhingra Sehgal was informed by the civic bodies that salaries from April to June were paid to sanitation workers, but salaries for the month of May and June not paid to other employees. The Delhi government told the bench that municipal reform fund (MRF) was disbursed to those civic agencies which performed well and this year it was given to South MCD only and not to the other two corporations. It further said that a proposal has been placed before the Delhi cabinet regarding disbursement of MRF even to those civic agencies which have not performed well. Hearing a bunch of pleas on non-payment of salaries to sanitation workers by civic bodies, the court disposed of them and said it would pass detailed order later. Earlier, it had directed civic bodies to pay regular salaries to sanitation workers on or before 7th of every month. Shimla, July 11 : An emergency meeting of the Himachal Pradesh council of ministers held here on Monday expressed solidarity with beleaguered Chief Minister Virbhadra Singh, facing allegations of money laundering, and rejected demands for his resignation. A resolution passed at the 20-minute meeting reposed full faith in Virbhadra's leadership, a cabinet minister told IANS. There was no question of the Chief Minister's resignation and the Congress stood united behind him, the council of ministers said. The meeting comes in the wake of Life Insurance Corporation (LIC) agent Anand Chauhan's arrest on Friday by the Enforcement Directorate from Chandigarh under the Prevention of Money Laundering Act. Chauhan is accused of investing Virbhadra Singh's "tainted" money of Rs.5 crore in LIC policies purchased in Virbhadra Singh's name and those of his family members, including wife Pratibha Singh. Except Mukesh Agnihotri and Kaul Singh, nine other ministers were present at the meeting, at the end of which the Chief Minister left for a two-day Bilaspur tour. "We stand behind Chief Minister Virbhadra Singh. Virbhadra Singh will become the Chief Minister for the seventh term too," senior cabinet minister Vidya Stokes told reporters after the meeting. The meeting was told that the opposition Bharatiya Janata Party's clamour for Virbhadra's resignation was not only absurd but also exposed its utter disregard for the rule of law, a government statement said. "Instead of showing respect and trust in the law and justice system of the country, some BJP leaders, having no popular support, seek to circumvent the legal process to dislodge a democratically elected popular Chief Minister," it added. The cases in question pertain merely to financial returns and despite the Income Tax Department being seized of the matter, the cases were investigated by three different agencies -- the Income Tax Department, the Central Bureau of Investigation and the Enforcement Directorate -- with the sole objective of harassing the Chief Minister, which is highly condemnable, the statement said. The attempts to subvert the legal process and destabilise a popular democratically elected government were condemnable, it said. The ED reportedly found that Virbhadra Singh had accumulated assets worth Rs 6.03 crore in his name and in the name of his family members, which were disproportionate to his known sources of income, during his tenure as the Union Steel Minister from 2009 to 2011. Last month, Virbhadra Singh was summoned twice and he allegedly "failed to explain the evidences" against him during CBI questioning in New Delhi in the disproportionate wealth case. Ahmedabad, July 11 : After serving over nine months in jail, Patidar reservation spearhead Hardik Patel was on Monday granted bail in the last case against him, preparing the ground for his release. The Gujarat High Court, which had allowed him conditional bail last week in two sedition cases, followed suit in the case related to the attack on the office of Visnagar BJP MLA Hrishikesh Patel and other government properties during the reservation agitation. He had challenged in the high court the Visnagar court's refusal to give bail to him. The appeal was heard on Monday. Police had filed a chargesheet against Hardik Patel and 16 others in a case of rioting and assault registered at Visnagar town. The first rally by Patels seeking quota in government jobs and educational institutions was held at Visnagar on July 6 last year. The 23-year-old Patel leader has been bailed out in the sedition cases on the condition that he stays away from Gujarat for six months. In the Visnagar case, he has been barred from entering Mehsana district for three months after the previous term concludes. "This, in effect, means he will be out of Mehsana district (of which Visnagar is a part) for nine months," his advocate Zubin Bharda told reporters. Mehsana is the home district of Prime Minister Narendra Modi, BJP President Amit Shah and Gujarat Chief Minister Anandiben Patel. The high court has allowed Hardik Patel to stay in the state for two days to visit his house to collect his belongings. However, the court declined his request to visit the temple of family deity in Unjha town in Mehsana, Bharda said. "It may be about a couple of days when Hardik walks out into fresh air as we need to complete some formalities," his lawyer said. Sources in the Patidar Anamat Andolan Samiti (PAAS) say Patel was likely to spend the next six months in election-bound Uttar Pradesh. The Kurmis in the country's most populous state are equivalent to Patels in Gujarat and are considered electorally significant. Gujarat PAAS convenor and spokesman Varun Patel told IANS: "We are yet to decide where Hardik stays. It will either be Uttar Pradesh, Rajasthan or Delhi." The release of Hardik Patel was welcomed with firecrackers and shouting of slogans by his supporters and family members outside the high court, his hometown Viramgam in Ahmedabad district and at Visnagar town in north Gujarat and in Surat city. "We are very happy that Hardik would be free after nine long months," his mother Ushaben Patel told mediapersons at their residence in Viramgam. Asked if she would agree to Hardik Patel continuing the quota agitation, she said she would stand by him at all times. "He is no longer just my son. He is the son of the community. If he thinks he is required for the community, I will stand with him," she said. Hardik Patel has several times in the past said he would continue his agitation in a peaceful manner and was not agreeable to the 10 per cent quota announced by the Anandiben Patel government to economically backward classes among the non-reserved category of communities. Srinagar, July 11 : Curfew-bound streets littered with grey rocks, baked bricks and half-burnt heaps of rubber tyres are deserted. But the quiet on Srinagar roads dotted by gun-toting security men is that of a graveyard amid fresh unrest in the Kashmir Valley that has left dozens dead in police firing on protestors. The acrid smell of tear gas fills the air. At some places, the only voice one hears besides police whistles is of "Azadi, Azadi" -- slogans blaring out of mosque loudspeakers in old Srinagar-city called Downtown. The scenic valley, which had readied itself for a fresh tourist season after the month of fasting ended, now looks dead amid the simmering anger and violence sparked by the killing of Burhan Wani, a 22-year-old commander of Kashmir's largest Islamist rebel group, Hizbul Mujahideen. Wani, according to his father Muzaffar, was driven to militancy at the age of 15 in 2010 when Kashmir witnessed a bloody unrest over civilian deaths in staged shootouts. His family says he and his elder brother Khalid were beaten up by police in their hometown Tral. In military fatigues and guns, Wani, a son of a highly-educated middle-class south Kashmiri family, appeared frequently on Facebook asking Kashmiri youth to join him in his "anti-India war". He is credited with having revived the dying militancy in Kashmir as his Facebook and Twitter videos glamourised the insurgency because he didn't hide his identity, unlike Kashmir militants in the past who would only be known by their pseudonyms. Wani's video messages often went viral on WhatsApp and other private media in Kashmir. And when he finally fell to the bullets of security forces on the third day of Eid, tens of thousands of people attended his funeral in Tral, some 50 km from here. His death triggered a vicious cycle of protests and firing by security force which has left 22 people dead by official count (other unverified accounts, put it at over 30) in parts of the valley, adding spark to separatist sentiments after years of relative peace and stability. The situation today resembles the early 1990s when the nascent separatist movement enjoyed mass support, and mass demonstrations were a daily affair. Kashmir watchers say Wani's death was only a trigger that sparked off the fresh violence in Kashmir, India's only Muslim-majority state where nearly three decades of separatist conflict has left tens of thousands dead. "Kashmir is a volatile place. The governments (both state and centre) misread bouts of calm as peace," said Raouf Rasool Ahangar, editor of the daily Kashmir Images published from Srinagar. "Kashmiri youth feels pushed to the wall by a constant stream of provocation," Ahangar told IANS, listing alleged government proposals for appropriation of land for separate colonies for retired soldiers and exiled Kashmiri Pandits. "Now, the latest is in the form of the unabated killing of their peers in police action. The young Kashmiri today feels so defeated, so lost, so futureless that they are willing to stake their lives and chance their future, which unfortunately remains equally shrouded in confusion." Others feel that anger is as old as the Kashmir dispute between India and Pakistan. Ghulam Mohammed Wani, a political science teacher in a Srinagar college, said the current unrest was "symbolic of larger unaddressed Kashmir problem" waiting for a solution since 1947. "See, nobody in Delhi is talking about Kashmir. Delhi is not respecting sentiments of Kashmiris. And the state government despite its pre-election promises of peace talks, has not been able to win the confidence of Kashmiris," he told IANS. Kashmir, which is also claimed by Pakistan, will continue to see these summers of unrest till a lasting solution is found to the problem, he said. "Manifestations could be different. Today it is Burhan, in 2008 it was Amarnath land row and in 2010 it was civilian killings. Tomorrow it can be anything." On the streets, angry youth refuse to talk to the media. "You misreport," a protestor yelled at an IANS correspondent. "Can't you see blood-ridden bodies," the young man asked almost charging towards the journalist. (Sarwar Kashani can be contacted at sarwar.k@ians.in) New Delhi, July 11 : National passenger carrier Air India on Monday said that it has added seven more centres under its 'Spot Fares' scheme. According to the airline, the scheme is intended to offer fares equivalent to that of a second class seat ticket of the Rajdhani Express. "On account of popular response and with an aim to enhance growth, national carrier Air India has decided to add seven more centres under its 'Spot Fares' scheme," the airline said in a statement. Earlier, the passenger carrier had offered 'Super Fares' seats to the passengers who were unable to get confirmed bookings on Rajdhani Express at a price equivalent to first class seats in the train. The scheme was launched on select domestic sectors from June 27 to September 30. "These fares would be available for sale within four hours of the scheduled departure of the flights. These fares are available for sale through city and airport booking offices, call centre and Air India website," the statement said. The airline further said that the scheme is available for more than 100 flights across the country. "By introducing these fares we are not only able to generate additional revenue but also able to fill each and every seat till the last minute," the statement added. Nairobi, July 11 : Prime Minister Narendra Modi on Monday visited the UN offices in Nairobi and planted the sapling of a tree. "A brief multilateral stop on a bilateral agenda! PM @narendramodi tours the UN Offices in Nairobi, plants a sapling," External Affairs Ministry spokesperson Vikas Swarup tweeted. Nairobi is one of the four cities in the world where the UN has its offices, the others being New York, Geneva and Vienna. The offices in Nairobi are the only ones in a developing country. The headquarters of the UN Environment Programme (UNEP) is among these offices. India and Kenya on Monday signed seven agreements following bilateral discussions headed by Modi and Kenyan President Uhuru Kenyatta. The Prime Minister also addressed the students of the University of Nairobi. Modi arrived in Kenya from Tanzania on Sunday on the final leg of his four-nation African tour. He will leave for New Delhi later on Monday. New Delhi, July 11 : A court here on Monday pulled up the Central Bureau of Investigation (CBI) and gave them a last chance to complete its probe in a 1984 anti-Sikh riots case in which Congress leader Jagdish Tytler was given clean chit by the agency. As CBI filed its status report in the case before Additional Chief Metropolitan Magistrate Shivali Sharma, she pulled up the agency for not taking proper steps to complete the probe. The CBI also sought two more months for further investigation, which was allowed. The court fixed September 14 as the next date of hearing in the case. The court on December 4, 2015, ordered the CBI to further investigate a riots case against Tytler, pointing to arms dealer Abhishek Verma's statement that Tytler had tried to influence a witness. The court had said it should be found out whether Verma's statement was true or not. Verma, in his statement, told the CBI that Tytler had tried to influence one of the witnesses by giving him a hefty sum of money and promising to settle his son abroad. New Delhi, July 11 : The Congress on Monday condemned Pakistan Prime Minister Nawaz Sharif's statement on the Jammu and Kashmir situation and said it should first learn the meaning of human rights. "Pakistan Prime Minister's statement supporting a declared terrorist is absolutely condemnable," Congresss leader Abhishek Manu Singhvi told reporters here. "They are forgetting that Pakistan itself is imploding. And it seems they don't know the meaning of human rights. They don't know they are known as the world's largest exporter of terrorism," the Congress leader added. Lashing out at the Centre, Singhvi said: "You get such kind of reply as a result of your diplomacy." Sharif on Monday called for a plebiscite in "occupied" Jammu and Kashmir to let its people decide if they want to remain with India or align with Pakistan. He also voiced "concern and deep sorrow" over Hizbul Mujahideen Burhan Wani's killing on Friday by security forces. Sharif also expressed concern over the detention of Kashmiri separatist leaders and said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. "No one can support a declared terrorist, who had a history of terrorist activities... As far as other people are concerned, they may be misguided sympathisers who must be dealt with very carefully with sympathy as well as firmness...," the Congress leader said. "It is a matter of regret, misfortune and even disgrace because our Prime Minister is totally absorbed in self-promotion and involved in photo opportunities and event management, from the US to Pakistan to China to Australia ," Singhvi said. The Congress leader said that the Indian foreign policy is in a downward spin. "The best example is that in the recent Nuclear Supply Group's meeting, our so-called supporters whom the Prime Minister feted, applauded and hosted were India's principal opposers." Nairobi, July 11 : India and Kenya on Monday agreed to boost cooperation in the defence and healthcare sectors even as Prime Minister Narendra Modi, without naming Pakistan, said that all those who shelter terrorists should be condemned. "While we are conscious of our development challenges, (Kenyan) President (Uhuru Kenyatta) and I also share concerns of security and stability," Modi said in a joint press statement with Kenyatta following delegation-level talks here. Following the talks, India and Kenya signed seven agreements, including a memorandum of understanding (MoU) on defence cooperation. The Prime Minister said that as India and Kenya are connected by the Indian Ocean, both have strong maritime traditions. "As such, our closer cooperation in the field of maritime security occupies an important place in our overall defence and security engagement," he said. "The MoU on defence cooperation signed just now would strengthen our institutional cooperation between our defence establishments." Modi said that he and Kenyatta recognised that terrorism and the rapid spread of radical ideologies posed a common challenge to the people of both countries, to the region and to the whole world. Modi also flagged health care as another key area of cooperation between the two countries. "I understand that health care is President Uhuru's key priority," he said. "India's strength, especially in pharmaceuticals, can join hands with your priorities to shape an affordable and efficient health care system in Kenya," he said, adding that this would make Kenya a regional medical hub. Modi also said that India would soon hand over a Bhabhatron, a state-of-the-art cancer therapy machine made in India cancer therapy machine , to the Kenyatta National Hospital. This apart, India handed over 30 field ambulances to the Kenyan Defence Forces Modi said the historical people-to-people ties between India and Kenya have provided a strong basis for a wide-ranging partnership which extended "from agriculture and health to developmental assistance, from trade and commerce to investment, from closer contact between our people to capacity building, from regular political consultations to defence and security cooperation". He said India was ready to share its development experiences and expertise, and concessional credit and capacities to assist in Kenya's development objectives. Among the agreements signed on Monday, two pertained to lines of credit from India to Kenya -- one on development of various small and medium enterprises and the other on upgrading a textile factory. Later, addressing students at the University of Nairobi, Modi said that all those who shelter terrorists should be condemned. "We live in a world where preachers of hate and violence are threatening the fabric of our society," Modi said. "As young dynamic citizens of Kenya and as members of the African society, you would need to be watchful of those who spread radical ideologies," he said. "And be equally condemning of those who who give shelter to terrorists and use them as political instruments." The Prime Minister said that India wanted a lasting partnership with Africa. "Let us also not forget that together, India and Africa represent a third of humanity," he said. "We want to forge a lasting partnership that does not rely on old models and rules of engagement, one which focuses on and empowers people, one where we share the fruits of each other's prosperity, one which seizes the opportunities of the 21st century and also responds to its challenges to bring safety and security to our societies." Modi said that among the rising African economies, Kenya has been one of the strongest performers. "With significant presence of Indian companies in Kenya, our investment partnership is robust, diverse and vibrant," he said. Prior to his speech, the Prime Minister offered floral tributes at a statue of Mahatma Gandhi in the university. Earlier on Monday, Modi laid a wreath at the mausoleum of Kenya's first President Jomo Kenyatta. Modi arrived in Kenya from Tanzania on Sunday on the final leg of his four-nation Africa tour. He will leave for India later on Monday. Mumbai, July 11 : Mumbaikars on Monday mourned the victims of the serial blasts in Western Railway suburban trains on July 11, 2006 when 209 persons were killed and over 700 injured. Top railway officials joined commuters at various railway stations and placed wreaths and observed two minutes silence in memory of the victims on the 10th anniversary of the serial train blasts. BJP MP Kirit Somaiya also led a commemoration function with the participation of a large number of commuters. The commemoration was held at Matunga, Mahim, Bandra, Santacruz, Jogeshwari, Borivali and Bhayander where the packed peak hour local trains were hit in 11 minutes between 6.24-6.35 pm. The bombs made of the deadly RDX and ammonium nitrate were concealed in pressures cookers and had been planted in first-class compartments. They were exploded with deadly precision, taking the home-bound commuters completely unawares that rainy evening and killing 209. In terms of casualties, the 7/11 terror attacks were the second biggest after the March 12, 1993 serial blasts which killed 257 and bigger than the 26/11 terror strikes with 166 deaths. Subsequent investigations threw up names of various organizations including Lashkar-e-Qahhar, the banned SIMI and suspected involvement of the ISI in the blasts. A total of 12 persons were convicted for their role in the terror incident - said to be a revenge for the the Gujarat riots and Kashmir incidents - after a long-winded trial which ended last year. In September 2015, Special MCOCA Court Special Judge Y.D. Shinde sentenced to death five accused - Kamal Ansari (Bihar), M. Faisal Ataur Rahman Shaikh (Mumbai), Ehtesham Siddiqui (Thane), Naved Hussain Khan (Secunderabad) and Asif Khan (Jalgaon) - convicted on planting the bombs. Those who were given life sentences included Tanveer A. M. Ibrahim Ansari, Majid Mohammed Shafi, Mohammed Ali Alam Shaikh, Sajid Margub Ansari, Muzammil Ataur Rahman Shaikh, Suhail Mehmood Shaikh, and Zameer A. Latiur Rehman Shaikh. They were charged with spreading terror, criminal conspiracy, organised crime and murder under various sections of the Indian Penal Code, the Maharashtra Control of Organised Crime Act, the Unlawful Activities Prevention Act, the Explosives Substances Act and the Railways Act. An injured protester being taken to hospital in Kashmir Image Source: IANS News Srinagar, July 11 : Amid recurring clashes in curfew-bound Kashmir Valley, three injured protesters died in hospitals here on Monday, taking the death toll to 32 in three days of bloodshed following the killing of a top militant that has sparked fresh India-Pakistan tensions. And for the first time, police opened fire hitting two civilians in embattled Chief Minister Mehbooba Mufti's hometown Bijbehara in southern Kashmir, which has accounted for all the deaths bar one since Hizbul Mujahideen commander Burhan Wani was killed by security forces on Friday. At least 31 civilians and a police driver have been killed in clashes between the security forces and angry young demonstrators across the Kashmir Valley since Saturday, various informed sources told IANS. But police put the death toll at 23. There were no fresh deaths on Monday. But the sources said nine more casualties were added to the toll after at least three people succumbed to injuries and five who had died earlier were counted on Friday. Clashes, however, raged on in many parts of the valley as young men armed with rocks defied prohibitory orders to hurl stones at police and paramilitary pickets. Bijbehara, Mehbooba Mufti's hometown, some 40 km from here towards the south of the valley, was the latest to be consumed by the clashes, police sources told IANS. Sources in Srinagar's S.M.H.S. Hospital said two civilians with bullet injuries were admitted on Monday afternoon and both were from Bijbehara. "One of them was hit in the stomach and other in his left thigh," a doctor told IANS requesting anonymity. Police sources said a mob set ablaze a police picket in Lassipora in Pulwama district in south Kashmir. Sopore, Handwara, Bandipora and Baramulla in north Kashmir also witnessed stone throwing incidents. Another police camp was set on fire in north Kashmir's Sopore town. Normal life across the valley was paralysed for the third day amid strict curfew and a separatist-called shutdown. Public transport went off the roads. Private cars plied at some places in areas where there were no restrictions. Shops and other businesses remained shut. Government offices and banks were also closed as cellphone internet services remained suspended. As the anger in Kashmir simmered, Pakistan Prime Minister Nawaz Sharif called for a plebiscite in the "occupied" Jammu and Kashmir. India asked Pakistan to worry more about human rights abuses in parts of Kashmir it controls. Radio Pakistan quoted Sharif as saying that the "massacre of citizens by Indian forces and use of brutal force against Kashmir is regrettable". In New Delhi, Minister of State for Home Affairs Kiren Rijiju said Pakistan should worry more about human rights violations in the "occupied Kashmir" -- Indian euphemism from Pakistani Kashmir than in the valley. Home Minister Rajnath Singh reached out to political leaders, including Congress chief Sonia Gandhi, and discussed the Kashmir situation. He also spoke to former Jammu and Kashmir Chief Minister Omar Abdullah. The Kashmir Valley has been on an edge since Friday evening when senior militant commander Wani was killed by security forces. Wani, 22, a new face of Kashmir's separatist war, was shot dead with two of his associates in a south Kashmir village. Four police stations, 36 civil administration offices and dozens of vehicles have been destroyed by unruly mobs in clashes over the weekend. Meanwhile, the government on Monday allowed the resumption of annual Amarnath pilgrimage which was suspended for three days. "The convoy of the yatris is protected by the security forces who are escorting the pilgrims to the valley," a police official told IANS. But the pilgrimage will be allowed only through the north Kashmir route as the southern track to the Hindu cave shrine remains blocked due to simmering tension. The shrine is located in the troubled south Kashmir. New Delhi, July 11 : The Supreme Court on Monday said that it may refer to the larger constitution bench the question whether the constitutional right to equality of a women could be raised to "interfere" with religious beliefs and customs denying women between the age group of 10 to 50 years entry to Kerala's Sabrimalatemple. The question on which the court would hear detailed arguments on November 7 is whether women's right to equality before law guaranteed under the Constitution's Article 14 could be invoked in the face of the freedom of conscience and free profession, practice and propagation of religion guaranteed under Article 25 and Freedom to manage religious affairs guaranteed under Article 26. Noting that "an issue like this has never been raised", the bench of Justice Dipak Misra and Justice C. Nagappan said that it would hear arguments to refer to the constitution bench the plea challenging the denial of entry to women between the age group of 10 to 50 years to Sabrimala temple. The court decided to hear the arguments after senior counsel K.K.Venugopal appearing for the Devaswom Board urging the court to tread cautiously as the issues affects a large section of people in Kerala. Other lawyers present during the hearing urged the bench to take into consideration the desire and wishes of the presiding deity of the temple. As Venugopal urged caution, the bench said: "We are aware of that. we will pass an order detailing why we are compelled to refer to a Constitution Bench. Here is an issue which has never arisen before." The conflict of constitutional provision has been raised by the bench earlier also when in the course of the hearing in April, it had asked the Devaswom Board "whatever grounds you (Devaswom Board ) make take.. can a woman be denied entry in theAtemple. Will it stand a constitutional test?" In the course of the hearing on Monday, the bench asked senior counsel V.Giri if Kerala government was not going to revisit its stand on the entry of women between the age group of 10 to 50 years with the change of government. The CPI-M-led Left Democratic Front government in 2007 had filed an affidavit saying that it favoured entry of women in the temple but this position was reversed in an affidavit filed by the Congress-led United Democratic Front government in February 2016. Incidentally this is the first hearing on the Sabrimala temple after the LDF government assumed power recently. New Delhi, July 11 : The Delhi Police on Monday conducted searches at an office of a private firm here in connection with its probe into alleged call detail records (CDRs) leak case. Crime Branch sleuths carried out searches at the office premises of detective agency BLS Management Solution Pvt Ltd in central Delhi's Barakhamba road and seized several incriminating documents. "BLS isn't cooperating in investigation. They all have gone underground. All the (company) staff have been sent to their native places. They have shut down completely. So it is difficult to say anything right now," Joint Commissioner of Police (Crime) Ravindra Yadav told IANS. Asked if the company registered with Ministry of External Affairs for visa verification, he said: "Yes, probably they are having a world wide contract for this." In a reply to another question on if police will report this to Ministry of Home Affairs and the MEA, he said: "Once we get all the facts verified. And if required." Investigators said that the detective agency BLS Management Solution Pvt. Ltd. has been illegally obtaining CDRs to spy on various targets at the behest of its clients who approached it for surveillance in matrimonial disputes, financial enquiries of their rivals, love affairs, civil disputes, legal disputes and others. "Several CDRs were seized from the firm's computer and hunt is on to nab the firm's head of department Vinod Chaudhary, operational manager Sunil Pathak and owners who are on the run." Police had on Sunday arrested Jaiveer Singh Rathore, 46, Pankaj Tiwari, 26, Aditya Sharma, 32, and Sanjeev Chaudhary, 42, for illegally obtaining CDRs. Investigators had said that Rathore, owner of a detective agency based in Uttam Nagar in west Delhi, got the records from Constable Narender posted in the Surveillance Cell at Inspector General of Police office in Uttar Pradesh's Kanpur district. Rathore used to sell the CDRs to private detective agencies, including BLS firm, for Rs 5,000 to Rs 30,000. New Delhi, July 11 : The situation in curfew-bound Kashmir Valley is "improving continuously", CRPF Director General Durga Prasad said on Monday. "The situation is improving continuously and our motive is to bring it back to normalcy fast," Prasad told IANS. He said 20 Central Reserve Police Force (CRPF) companies with some 2,500 personnel were deployed along with the Jammu and Kashmir Police all across the valley. "If we need, more personnel can be deployed." Asked if there was a specific strategy to control the unrest, Prasad replied: "There is no strategy. We are just ensuring that the situation comes back to normalcy as soon as possible." Security forces, including the CRPF and police, have been accused of killing 31 people, mainly the young, during violent street protests since a top militant commander was shot dead on Friday. The violence has also claimed the life of a police driver. New Delhi, July 11 : Thirty-nine dengue cases have been reported in the national capital so far, a civic body report said on Monday. While 15 cases of dengue were reported in June, 24 cases have been reported in the first 10 days of July. Meanwhile, Union Health Minister J.P. Nadda chaired a meeting with Delhi Health Minsiter Satyendra Jain and Mayors of all Delhi corporations here to review the preparedness for prevention and control of dengue in Delhi and the National Capital Region (NCR). The vector-borne disease claimed at least 80 lives in 2015, a year that saw 15,867 cases of dengue. Assuring all necessary help to Delhi government, Nadda informed that 33 surveillance labs, 2 apex labs with advanced diagnostic facilities are adequately equipped to undertake dengue tests. He said that all State Governments have been advised to ensure price capping on dengue testing. New Delhi, July 11 : The Supreme Court on Monday fast-tracked hearing on the appeals by the convicts sentenced to death in December 16 Delhi gangrape case as it would hear the matter on July 18 in one go. The bench of Justice Dipak Misrra, Justice C.Nagappan and Justice R.Banumathi decided to hear the matter on July 18 from 2 p.m. to 6 p.m. as senior counsel and amicus curiae Sanjay Hegde urged the court to hear the matter in one go instead of holding piecemeal hearings. Hegde and senior counsel Raju Ramachandran were appointed amicus curiae on April 8 in assisting the court in the hearing of the appeals by the four accused - Mukesh, Pawan, Vinay Sharma and Akshay Thakur. While Ramachandran would assist the court in the hearing of the appeals by Mukesh and Pawan, Hege would assist the court in the hearing of appeals by Sharma and Thakur. On March 13, 2014, a Delhi High Court bench of Justice Reva Khetrapal and Justice Pratibha Rani had upheld the death sentence of all four. "Society's abhorrence to atrocious crimes perpetrated upon innocent and helpless victims has resulted in the death penalty being retained on the statute book to remind such criminals that human life is very precious and one who dares to take the life of others must lose his own life," the high court had said in its order. Mukesh, Pawan, Vinay Sharma, Akshay Thakur and Ram Singh along with a juvenile were accused of gang rape and assault of a 23-year-old paramedical student inside a private bus. The victim and her friend were thrown out of the bus after the crime. Ram Singh allegedly committed suicide while in incarceration. The victim died of grave intestinal injuries December 29, 2012 at Singapore's Mount Elizabeth Hospital. Ekaterinburg (Russia), July 11 : Indian and Russia on Monday resolved to strengthen bilateral trade ties and enhance cooperation so that the two countries can play a major role in reviving global growth. Indian Commerce Minister Nirmala Sitharaman met Russian Minister of Trade and Industry Denis Manturov here, the venue of Russia's biggest manufacturing trade fair - Innoprom - where India is the partner country this year. "There is a strong potential for growth in India-Russia bilateral trade. Be it high-end engineering, or manufacturing, India is moving towards being synonymous to quality, reliability and durability," Sitharaman said according to a statement by India's commerce ministry. Sitharaman and Manturov discussed various specifics to further strengthen industrial and trading ties, the statement said. Later, addressing the India-Russia business forum, Sitharaman invited Russian companies to partner with India so that the two nations "can play a major role in reviving global growth". "We will discover the full potential of inter-regional cooperation. Over the last years cooperation between Russia and India has grown significantly. We are ready to intensify our cooperation with all the interested business, investors and financial organisations," Manturov said addressing the forum. "India is doing Make in India programme, and its course to open the economy and attract foreign capital makes it interesting for Russian business to invest in your country," he added. As many as 110 Indian companies are taking part in Innoprom 2016, including the Department of Heavy Industries, Department of Electronics and IT, Ministry of New and Renewable Energy, Bharat Forge, Sun Group, NTPC, NHPC and the Engineering Exports Promotion Council (EEPC). "We are proud to be the partner country at Innoprom 2016. The Indian companies participating will highlight the strengths in the area of engineering and innovation," Sitharaman said at the Innoprom inauguration. Noting that India's Tata and Sun Groups are exploring investment options in Russia in the natural resources, construction, smart cities and engineering services, Sitharaman said: "Russia is the first country to welcome the 'Make in India' programme." Manturov said Russia is realising its programme to build industrial and technoparks in 50 regions of the country, like the Skolkovo City near Moscow. Ranchi, July 11 : : A paramilitary trooper was killed on Monday after a gunfight with Maoist guerrillas in Jharkhand, police said. The trooper from the Cobra Battalion of the Central Reserve Police Force was injured in the gun battle with guerrillas at Budhwa Pahada in Latehar district on Monday. He was airlifted to Ranchi for treatment but died during treatment. The Jharkhand Police has launched a special operation in Latehar and Garwah districts after getting a tip-off on the presence of top Maoist leader Arvindji. Maoists are active in 18 of the state's 24 districts. Srinagar, July 11 : At least 13 Central Reserve Police Force (CRPF) troopers were injured on Monday evening in a grenade explosion in a police station in the old city area of this Jammu and Kashmir summer capital. A Srinagar police officer told IANS that agitated protesters were pelting stones at Nowhatta police station area. "When the security forces were busy trying to quell the agitating mob, militants in the mob hurled a grenade which exploded inside the police station injuring 13 CRPF troopers. The injured are being shifted to hospital for treatment." The officer said reinforcements had been rushed to the area. Dhaka, July 11 : The two witnesses to the terror attack in a Dhaka cafe on July 1 have been missing even though police have claimed that they were released on the night of July 6. The families of Tahmid Hasib Khan and Hasnat R. Karim said that neither had returned home, the Dhaka Tribune reported. On July 2 the security forces rescued at least 30 hostages ending 12-hour siege. Tahmid and Hasnat were then taken in as witnesses along with other survivors of the terror attack on Holey Artisan Bakery and O'Kitchen Restaurant in Dhaka's diplomatic enclave. All the survivors of the Gulshan cafe attack, except Tahmid and Hasnat, were released the day after their rescue. According to sources in the police department, both of them gave separate statements about the incident. It was not made clear why they were detained for another 72-hours, the Dhaka Tribune said. And it is not clear where the two survivors - Tahmid, a student of the University of Toronto, and Hasnat, a former teacher of the North South University ended up after their release. Family members of Tahmid, a Canadian resident, have been running campaign on social media to get him back. They also tried to get him released citing his medical condition as he is an epileptic, but failed. "They have been released after interrogation," Deputy Commissioner of Dhaka Metropolitan Police Masudur Rahman said on Sunday night. He, however, did not specify the exact time of their release. Monirul Islam, Additional Commissioner of Counter-Terrorism and Transnational Crime Unit of police, on July 6 afternoon said that police had not detained or arrested Tahmid. "They are just being questioned as they witnessed the incident," he said. The two police officials' versions suggest that Tahmid and Hasnat were released on the night of July 6, hours before Eid. However, both the families confirmed that they had not returned home as of 11 p.m on Sunday, nine days after the July 1 carnage that claimed at least 22 lives. Police earlier said they were looking into their alleged involvement in the Gulshan attack as circulated on the social media. Tahmid's father, Shahriar Khan said: "If the state needs to question him, they should, but they should let us know where he is." Hasnat's father, Rezaul Karim, also confirmed that his son was still missing, the Dhaka Tribune said. Kolkata, July 11 : Trinamool Congress MP Idris Ali on Monday courted controversy contending that controversial Islamic preacher Zakir Naik's speeches did not support terrorism. The Lok Sabha member was promptly rebuked by his party. "I have heard his speeches and I don't think his speeches support terrorism. I don't think there is any proof that his speeches have resulted in any killing or maligning of Hindus or any other community," Ali, a Lok Sabha member from Basirhat in North 24 Parganas district, told the media at the sidelines of an event. Hinting a political motive behind it, Ali questioned the call for a ban on Naik's speeches. "Maybe there could be a political motive, I don't know. I think any such ban will be unfortunate. I don't know much about Naik, but from what I have seen on TV, I don't think there is anything wrong. So why this ban," he said. Naik, 50, is at the centre of a storm with calls for imposing a ban on his TV for allegedly inflammatory speeches. While the Maharashtra government has already ordered a probe into his teachings, speeches and literature, the central government has indicated that Naik could face action if his speeches were found objectionable. Embarrassed by the remarks, the Trinamool promptly censured him. "My colleague, Idris Ali, has been cautioned for making a statement without the consent of the party. The issue he commented on is a sensitive one and has not been discussed by us internally," said Trinamool spokesperson Derek O'Brien. "The party will have a discussion on the subject and then form an opinion," he added. Earlier in January, Ali left his party red-faced alleging that Prime Minister Narendra Modi had links with terrorists involved in the attack at the air force base in Pathankot. That time too he was censured by the party and was made to give an explanation in writing. New Delhi, July 11 : A 26-year-old woman has been booked under POSCO Act after a 17-year-old boy, whom she accused of raping her, claimed that she allegedly lured him into the act. A rape case was also registered against the teen, police said on Monday. "We received a call on Sunday afternoon from a woman, that she had come to a hotel with a boy. She said that she had been sexually assaulted," Additional Deputy Commissioner of Police (South) Nupur Prasad told IANS. "A case of rape was registered against the boy," the officer added. A senior police officer said that the boy, a resident of Kishangarh village in south Delhi, who recently passed Plus Two examinations, got in contact with the woman on a social networking site one month ago. The girl used to regularly chat with him. The boy told police that he had mistaken the woman for being one of his friends. After he found that she was not his friend, the boy revealed his age to her, and continued talking. He alleged that the woman had lured him to meet her in a hotel, police said. When the boy met the woman on Sunday afternoon in a hotel in Mahipalpur area of south Delhi, she made a call to the police alleging sexual assault, the officer added. "We have registered a case against the woman under Protection of Children from Sexual Offences (POSCO) Act on the complaint of the boy," the officer added. "The woman is a resident of Najafgarh in west Delhi," she said, adding, "We are investigating." No arrest has been made in the case so far, police added. Kolkata, July 11 : Preferring to turn down his party's plea to resign as the chairman of Public Accounts Committee (PAC) of West Bengal Assembly, senior Congress leader Manas Bhunia on Monday announced calling a meeting of the committee on Tuesday. "I have convened a meeting of the committee tomorrow (Tuesday). I am not ready to accept that I am academically weak. I have served almost in all assembly committees. I was also a member of PAC twice," Bhunia told media persons. Rejecting the plea of Leader of Opposition Abdul Mannan, of the Congress, plea to appoint Communist Party of India-Marxist's Sujan Chakraborty, Assembly Speaker Biman Banerjee on July 4 announced Bhunia's appointment. Bhunia on July 8 formally accepted his appointment the PAC chairman, claiming that he was "never officially informed about the party's stand". He however had admitted of having received informal requests by the party to quit the post. The state Congress subsequently on July 9 officially requested Bhunia to quit the post and "not fall prey to Trinamool Congress' trap". Nairobi, July 11 : Prime Minister Narendra Modi on Monday left Kenya for India at the conclusion of his four-nation tour to Africa. "My Kenya visit was a memorable one. It has led to further cementing economic and cultural ties between India and Kenya," Modi said as he emplaned for New Delhi. On Monday, India and Kenya signed seven agreements following bilateral discussions headed by Modi and Kenyan President Uhuru Kenyatta. Modi later addressed students in the University of Nairobi and visited the UN offices in Nairobi. He then addressed the Kenya-India Business Forum. Modi also interacted with members of the Bharatwallah Alumni Association, people who had studied in various Indian institutions under Indian government programmes and scholarships. This was the first prime ministerial visit from India to Kenya in 35 years after the visit of then Prime Minister Indira Gandhi in 1981. Apart from Kenya, Modi's five-day African sojourn, also took him to Mozambique, South Africa and Tanzania. This was the Prime Minister's first official visit to the African mainland. Jaipur, July 11 : Rajasthan Chief Minister Vasundhara Raje, who is visiting Russia, on Monday said that Russia can be a progressive partner of Rajasthan in development, and sought its expertise in sectors like infrastructure and mineral extraction, an official statement said here. Addressing a business seminar organized by Russia-India Business Forum at Yekaterinburg, she said: "Russia can help Rajasthan in development of infrastructure, mineral extraction, urban planning, pollution control, defence manufacturing, heavy machinery and engineering sectors". Yekaterinburg is administrative capital of Sverdlovsk Oblast. Raje earlier in the day also met head of government of Sverdlovsk Oblast region D.V. Pasler and the local business delegates. "We (Rajasthan) and Sverdlovsk could complement each other for engineering and mining sectors," she said while addressing the seminar. Rajasthan is seeing a substantial growth in engineering and automotive sectors and it can be gauged from the fact that about 150 companies were already making automotive components in the state. "I feel that mutual cooperation could further reinforce these sectors," Raje added. She pointed out Rajasthan's economy, which was larger than that of many global economies, is growing faster than many of the leading states, accounting for half of India's GDP. According to her, Rajasthan's GDP stands at $84 billion at constant prices. "We have come out with various policies to attract investment," Raje said. Inviting Russan entrepreneurs to invest in the state, she said that the state offers ample opportunities in heavy machinery sector. She also invited the Russian companies to participate in the Global Rajasthan Agritech Meet (GRAM), to be held from November 9 to help in promotion of agri-business. GRAM, which is likely to be attended by over 40,000 farmers, would focus on value-addition chain, hitech and sustainable agriculture, increase of market linkages, best practices and sharing of important information related to agriculture. Chennai, July 11 : The two-day strike call given by major unions in the banking sector have been deferred following an restraint order by the Delhi High Court, said a top leader of All India Bank Employees' Association (AIBEA). "In view of the Delhi High Court restraint order, our strike on 12th and 13th July stands deferred," said AIBEA General Secretary C.H.Venkatachalam. The unions in the banking sector had given the strike call protesting against the merger of the five associate banks of State Bank of India (SBI) with SBI and privatisation of IDBI Bank. The union is opposed to the government's decision to merge State Bank of Bikaner and Jaipur (SBBJ), State Bank of Travancore (SBT), State Bank of Patiala (SBP), State Bank of Mysore (SBM) and State Bank of Hyderabad (SBH) with the SBI. Chinese vice premier urges trade competitiveness improvement Updated: 2016-07-11 09:53 (Xinhua) SHANGHAI - Chinese Vice Premier Wang Yang has urged domestic enterprises to innovate their way out of downward trade pressure by cultivating new competitive edges. China is facing continuous downward trade pressure due to sluggish external demands and structural problems, but still enjoys promising growth prospects in the long term, Wang said during a recent inspection tour to trade firms or platforms in Shanghai, according to a press release on Sunday. Enterprises should push ahead with structural reforms to upgrade their business and enhance competitiveness based on technology, quality, service and brand, Wang added. Governments at all levels should support emerging business models such as cross-border e-commerce and encourage enterprises to develop their own brands and marketing networks. Meanwhile, processing trade could be transferred to the central and western regions, the vice premier said, adding that China will further open up its modern service sector and develop service trade. Wang also met foreign representatives attending the two-day G20 Trade Ministers Meeting in Shanghai, which ended on Sunday. Juba, July 12 : South Sudan's President Salva Kiir on Monday evening ordered a ceasefire after days of heavy fighting between his government troops and forces loyal to Vice President Riek Machar in the capital Juba. President Kiir directed all commanders to cease all hostilities, control their forces and protect civilians, Information Minister Michael Makuei said in a televised speech on the state broadcaster, SSTV. The ceasefire order took effect from 6 p.m. local time and that any member of the Machar-led forces who surrender must be protected as well, Makuei said, Xinhua reported. "All the regular forces that were deployed because of whatever, they need to go back to their respective units, any soldier or any member of the regular forces that will be found loitering about with his rifle without reporting to his unit will be arrested and immediate action will be taken," Makuei cited Kiir as saying. He added President Kiir had expressed his commitment to the implementation of the August 2015 peace deal signed by him and Machar to end more than two years of civil war. Heavy fighting between the rival factions erupted again on Monday. Witnesses said that heavy artillery shelling and mortars were heard in parts of Juba. It followed deadly clashes on Friday and Sunday in the capital city. The Health Ministry said at least 271 people were killed in Friday's clashes, while the number of casualties in fighting since Sunday is not yet known. President Kiir's remarks came after the UN Security Council called for both sides to end fighting. The UN Mission in South Sudan (UNMISS) on Monday deplored the continued fighting in Juba and severe conditions it had imposed on civilians. "In the last 24 hours, 67 people have been injured in or around the (UN) Protection of Civilian sites, eight of whom have died," UNMISS said. Gunfire was reported in areas close to UNMISS compounds in Jebel and Tomping during the days of fighting. "UNMISS compounds are caught directly in the fighting and continue to sustain impacts from small arms and heavy weapons fire," UNMISS said, condemning the deliberate targeting of UN premises and its personnel as a serious violation of international law. The UN mission said more than 7,000 people had sought protection in its compounds. UN peacekeepers have been protecting the UN compounds and Protection of Civilian sites, which house internally displaced people. The violence raised fears that the war-torn country could descend into civil war again. President Kiir and former rebel leader Machar have fought a civil war which broke out in December 2013 and left tens of thousands of people dead. The peace deal signed by the two men last August under UN pressure led to the formation of a national government in April with Machar returning to his old post. Quarterly prices rose by 0.9% in prime outer London in October, the highest rise in five years, Knight Frank research shows. The largest quarterly rises in London were in Belsize Park (3.2%), Dulwich (2.3%), Wandsworth (2.1%) and Wimbledon (1.8%). Tom Bill, head of UK residential research at Knight Frank, said: A second national lockdown in England is unlikely to impact the prime London property market as the first one did. The property market will remain open during the month-long lockdown and momentum generated since the market re-opened in May will drive deal activity into Q1 next year. Prime outer London covers the likes of Barnes, Battersea, Canary Wharf, Chiswick, Clapham, Fulham, Hampstead, Richmond, Riverside, Wandsworth & Clapham, Wapping and Wimbledon. In terms of lettings, rental values declined 9.1% in the year to October, compared to 7.6% in prime outer London. This was due to high levels of supply. Prime outer London has seen more short-term lettings properties come to market, as owners decide to let rather than sell in this uncertain market. Gary Hall, head of lettings at Knight Frank, said: If you exclude south-west London, supply levels are currently 20% above where we would normally expect them to be at this time of year. That has come down from a figure of around 40% earlier this year. Rents are still falling and the properties that are letting are where the price has been reduced. Per the claim filed with FINRA, in 2005 a broker at Royal Alliance Associates, Inc., who was also an investment advisor with Regal Advisory Services, Inc., advised the Claimant to sell two rental properties that he owned and invest the proceeds in a tenancy in common (TIC). The specific TIC that Respondents recommended was a single tenant building in Baytown, Texas, that was to be occupied by a Best Buy store, according to allegations in the FINRA claim. Respondents told the Claimant how safe this property would be, per the FINRA claim, because the tenant was creditworthy and the property did not have debt encumbering it. Respondents also emphasized the benefit of regular income payments and care-free professional management of the property, according to allegations in the claim filed with FINRA. When income from the investment drastically declined, as alleged in the FINRA claim, the Claimant found that it was illiquid. According to Mr. Feldman, the nature of the ownership structure makes these TIC interests much more difficult to sell than traditional real property, and there is a limited market for them." More Information About The Law Offices Of Jeffrey A. Feldman Can Be Found At: http://www.jeffreyfeldman.com. As alleged in the FINRA Claim, many significant down sides to TIC investing were never discussed with the Claimant. Some of these include substantial fees, lack of control, often having to obtain unanimous consent among fellow TIC holders in order to take any action relating to the property, less liquidity than owing real estate outright, and a purchase price for the property that was likely well above fair market value, per allegations in the FINRA claim. In fact, according to the claim filed with FINRA, the underlying property is now worth approximately half of what the Claimant paid for it, even though the real estate market in Baytown, Texas has been relatively good over the last nine years, suggesting that the Claimant paid a substantially inflated price for the TIC. ISIS Cafe, Queens New York In memory of those lost in Orlando and San Bernardino, I am asking the owners to change the name of their cafe. Frank Spotorno, Republican candidate for Congress in the 14th District, NY, renewed his call to work with the owners of a cafe named ISIS Hookah Lounge, located in Astoria, Queens at 23-52 Steinway Street, to change the name of their establishment. In memory of those lost in Orlando and San Bernardino, I am asking the owners to change the name of their cafe. Every morning I drive by their establishment on my way to work, Im reminded of the senseless deaths of our fellow Americans. To honor and respect their memory, I will work with the owners to get the name changed. Last year, after the killing of 14 Americans in San Bernardino, CA, Sportorno asked that the ISIS Hookah Lounge change their name. The owners explained that there were significant costs involved in changing their business' name, including purchasing a new sign and obtaining New York City permits. Now, after the tragedy in Orlando, Spotorno said the wait cant be much longer. I am willing to work with the owners and raise the money to pay for the costs of changing their name. While I understand that ISIS has different meanings, the name is now often associated with Islamic terrorism and the killing of innocent Americans. The name not only offends our Queens community, but our nation. Several New York City newspapers have covered the story since the hookah lounge opened in 2010, which was named after the Egyptian goddess Isis. The owner of the cafe, Michil Gadalla, a Coptic Christian, said in a statement to the Daily News that the ISIS of today are terrorists and terrible people they give a bad reputation to my business." Gadalla has also explained that he wants to change the name, but he claims its too expensive, estimating the costs at more than $15,000. While every American is entitled to freedom of speech, that privilege also comes with responsibilities, said Spotorno. The name of this cafe can no longer be associated with the Egyptian goddess of love, peace and tranquility. Several other businesses and corporations have had to make the same decision that Mr. Gadalla has to make. ISIS pharmaceuticals, a $7 billion California biotech company that makes drugs to treat cancer and neurological conditions, changed its name last year to Ionis Pharmaceuticals. The company used the name ISIS for 25 years before making the change. We decided to change our company name because, when people see or hear our name, we want them to think about the life-saving medicines we are developing, said Ionis COO Lynne Parshall. A nail salon in Queens named ISIS Nails changed its name in 2014 after its business dropped by 30 percent and harassing calls and visits were made. The name of the establishment is now Bess Nails and Spa. Other companies, including Isis Wallet and ISIS Downtown, have changed their names. Spotorno believes that its time for ISIS Hookah Lounge to do the same. If Mr. Gadalla really wants to change his business' name, perhaps there is a way for all Americans to help him. I will help to try to raise the funds, and make a personal contribution, to make it happen," said Spotorno. For more information, visit spotornoforcongress.com. Please send media inquiries to Dan Murphy at spotornoforcongress(at)gmail(dot)com (email) and 914-714-4370 (phone). Clinerion and eClinicalHealth, innovative companies working in the area of electronic patient recruitment, announce a collaboration between the two companies and their respective platforms, Clinerions Patient Recruitment System and eClinicalHealths Clinpal. Under the terms of the collaboration, Clinerion and eClinicalHealth will leverage the complementary nature of their respective technologies by offering them in tandem to hospitals and sponsor companies/CROs. Clinerion and eClinicalHealth touch the clinical trials process at different and complementary points. Clinerions Patient Recruitment System (PRS) supports the clinical trial process by identifying and recruiting suitable patients through real-time search of millions of Electronic Healthcare Records (EHRs) within its growing global network of participating hospitals. eClinicalHealths Clinpal represents a comprehensive electronic platform for engaging and recruiting patients through direct patient facing web solutions, while at the same time running sophisticated workflows which guide both the patient and the site through the clinical trial process. Together, these two technologies have the potential to augment each others effect by combining Clinerions powerful Big Data tools with the direct, individualized patient/physician engagement offered by Clinpal. We are excited about the new horizons this collaboration offers for our clients says Ulf Claesson, CEO of Clinerion. Clinerions PRS quickly fills the patient pool of a study with suitable candidates using our patented search technology. Clinpal further replenishes this pool by making sure we capture individual patients directly, and prevents leaks by engaging with patients and improving their experience of the study. The Clinpal Team is eager to engage with Clinerion to advance technology-enabled clinical trials. Together, we can deliver enhanced patient engagement, reduce site burden, and expedite working practices on behalf of CROs and our joint Sponsors, says Karl Landert, Chairman of the Board and CEO of eClinicalHealth. For more on Clinerions Patient Recruitment System: http://www.clinerion.com/product/prs For more on eClinicalHealths Clinpal: http://www.clinpal.com About eClinicalHealth Headquartered in Scotland, eClinicalHealth Limited, developers of the revolutionary Clinpal patient engagement platform, was founded in early 2012 to provide innovative clinical trial solutions. The company is committed to leading open and collaborative innovation discussions about patient centric clinical trial processes and technology with pharmaceutical companies, CROs and other service and technology providers. eClinicalHealth website: http://www.clinpal.com About Clinerion Clinerion is an international technology services company offering highly scalable electronic patient recruitment solutions to increase efficiency and quality in clinical research. The company's Patient Recruitment System connects to and leverages existing electronic medical records. Following international privacy and confidentiality standards, Clinerion's solutions pseudonimyze, enrich and normalize those records and facilitate real-time patient recruitment for clinical trials. Clinerion website: http://www.clinerion.com For more information, please contact: Le Vin Chin Head of Marketing and Communications Clinerion Ltd Margarethenstrasse 47, CH-4053 Basel, Switzerland Tel.: +41 61 865 60 54 media(at)clinerion(dot)com Mary Briggs Vice President of Sales and Business Development and North American Operations eClinicalHealth Ltd. Logie Court, University Innovation Park, Stirling FK9 4NF, United Kingdom Tel.: +44 3330 124205 mbriggs(at)eclinicalhealth(dot)com Footsteps #14 received the Ampersand Art Supply award at the PPSCC For Pastels Only on Cape Cod 2016 exhibition. Whether at home or abroad, Linda and her impressive body of work continue to garner recognition. Award-winning pastel painter Linda Gross Brown is heading into a busy summer season on a successful note. Her painting "Footsteps #14" recently received the Ampersand Art Supply Award in the Pastel Painters Society of Cape Cod's annual exhibition, PPSCC For Pastels Only on Cape Cod 2016, which continues through July 10. Looking ahead, Linda and three of her paintings, "Cotswalds #1," "Footsteps #3," and "Tracks in the Sand," will be heading to France for the exhibition Pastel en Perigord, which will run from July 23 to August 21. This is the second year that she has been invited to the exhibition, and Linda is delighted to be returning to the beautiful and historic location of Saint-Aulaye in Dordogne. In addition, "A Cold Walk" and "Treehaven #1" have been juried into the 2016 Bowery Gallery National Competition, which runs from August 2 to August 20. The competition will take place at the Bowery Gallery in New York City and is for artists working in any two-dimensional medium. Whether at home or abroad, Linda and her impressive body of work continue to garner recognition. Her skillful manipulation of tone, color, value, and subject ensure Linda's invitingly serene and introspective paintings many admirers and an established place in the world of pastel painting. VaLogic is ready to expand further in supporting Frederick area Life Science companies. Bill Robertson, President VaLogic LLC, a leading validation, calibration and lab services provider that works in the development of life-enhancing therapeutics, announced today that it has hired local company Gallagher Design to develop a new website and marketing collateral that will improve VaLogics ability to clarify its market position and inform its client base of the companys wide-ranging service capabilities. VaLogic and Gallagher Design are each located in the City of Frederick, Maryland. Gallagher Design was formed in Washington, D.C. over 25 years ago; principal, Patrice Gallagher, brings years of comprehensive experience in designing and building brands for non-profit, private sector businesses and political clients. Working as a consultant with Gallagher Design, Ed Hinde specializes in strategic marketing, advising clients on the reasons why and how to best position their brands for market success. VaLogic is ready to expand further in supporting Frederick area Life Science companies. Gallagher Designs work has been thought-provoking, helping us to consider a range of options within their process. Weve enjoyed working with a local collaborative partner who has helped us focus on our strengths and objectives, said Bill Robertson, President of VaLogic. About VaLogic Since 2001, VaLogic has provided responsive, dedicated resources to deliver competitive advantage for clients working at the forefront of life-enhancing therapeutics. With a whole system view, the company develops a customized, integrative approach that manages risk, reduces time to market, and guarantees the supply chain. Whether VaLogics clients are building a new facility, renovating or expanding an existing one, or in the midst of routine operations, VaLogic is the smarter choice for validation, calibration and lab services that best positions these businesses for success. On the front lines of China's flood battle Updated: 2016-07-11 08:13 By Hou Liqiang and Zhou Lihua(China Daily) Firefighters rescue a man trapped under a bridge in Deyang, Sichuan province, on Friday. [Photo by Ma Xu/China Daily] Since June 30, floods, hail and landslides have killed more than 160 people in China, mainly along the Yangtze River and its tributaries, according to the Ministry of Civil Affairs. The list of calamities includes the collapse of 73,000 houses and the forced evacuation of 1.99 million residents. More than 1 million people are in urgent need of basic living assistance. The country has mobilized the People's Liberation Army, armed police, firefighters, officials and the public in the fight against flooding. They have been rescuing and evacuating stranded people, reinforcing dikes and dams, transporting relief supplies and dredging rivers in more than 10 provinces, including Anhui, Fujian, Hubei, Hunan, Jiangsu, Jiangxi and Zhejiang. IntellaFlex 3504-XR high-capacity enterprise network monitoring system With our family of intelligent network monitoring solutions, network managers can optimize their existing monitoring tool investment often distributed across multiple locations with the confidence that comes with a comprehensive solution. Today at Cisco Live, Ciscos annual IT and communications conference, APCON, a leading provider of intelligent network monitoring and security solutions, showcases its new IntellaFlex 3504-XR at booth 1349. APCONs IntellaFlex data center monitoring and security solutions offer complete visibility to service providers and enterprises that need to secure and protect their data. As enterprises have more points to monitor on their networks, traffic visibility is critical for managing and troubleshooting a data centers performance and security, said Richard Rauch, president and CEO of APCON. With our family of intelligent network monitoring solutions, network managers can optimize their existing monitoring tool investment often distributed across multiple locations with the confidence that comes with a comprehensive solution. The IntellaFlex 3504-XR is ideal for high-capacity enterprise-grade monitoring requirements in large data centers. As data volume increases across a business network, the IntellaFlex 3504-XR provides a scalable solution with up to 504 non-blocking 10G/40G Ethernet ports and 5.04 terabits per second of data throughput. Inspiring confidence through APCONs exceptional product quality and supported by APCONs professional services team of network certified engineers who can assist pre- and post-deployment, IntellaFlex systems are highly reliable and easy to manage with: Redundant controller cards and power supplies 1G, 10G, 40G and 100G wire speed ports Separate data and control plane architecture Hot-swappable power supplies, blades, controllers and transceivers An easy-to-use WebXR GUI and TitanXR management software The IntellaFlex 3504-XR is available for general release in Q3, 2016. For more information, visit the IntellaFlex page on apcon.com. Any media representative who wants to schedule an APCON product demonstration may contact the APCON public relations team at 469-291-6902. About APCON For more than 20 years, APCON has consistently delivered smart, stable and scalable technology solutions that provide an unparalleled level of confidence to service providers and businesses seeking total data center visibility and security. Its customers range from midsize companies to Fortune 1000 enterprises in more than 40 countries. APCON assures superior network monitoring while supporting traffic analysis and streamlined network management and security. For more information, visit http://www.apcon.com or follow us on Twitter @apcon. Brooke Vanskaik recently joined Association Management Group - Charlotte (AMG), one of the Carolinas largest professional homeowner association managers, as Community Manager. Brooke is very detail-oriented, personable and proactive. We look forward to using her excellent prioritization skills, proactive mindset and forward thinking to meet the needs of our communities. Association Management Group - Charlotte (AMG), one of the Carolinas largest professional homeowner association managers with five offices in North and South Carolina, recently hired Brooke Vanskaik as Community Manager. Her responsibilities include overseeing the day-to-day operations of HOAs, including handling homeowner telephone calls and emails, implementing board decisions and processing accounts payable and accounts receivable. I love the supportive atmosphere of the AMG office. I am ready for a unique challenge that puts my organizational and people skills to good use, she said. To enhance her expertise, Vanskaik plans to pursue the rigorous CMCA credential (Certified Manager of Community Associations) from CAI (Community Associations Institute), the professional and educational organization serving the community association industry. Previous to AMG, Vanskaik served in the Ohio National Guard as a Chemical, Biological, Radiological, Nuclear Specialist. She also worked in middle school special education, assisting children with disabilities ranging from ADHD to Down Syndrome during the school day. According to Dacy Cavicchia, General Manager of the AMG Charlotte office, Vanskaik brings vital skills to the team. Because of her previous military experience, Brooke is very detail-oriented, personable and proactive. We look forward to using her excellent prioritization skills, proactive mindset and forward thinking to meet the needs of our communities. To learn more about how AMG can provide professional support in HOA and community management, contact Brooke Vanskaik, AMG-Charlotte Community Manager, bvanskaik(at)amgworld(dot)com. About AMG: AMG is a professional community association management company dedicated to building effective community associations. AMG guides and assists executive boards to help protect the association's interests, enhance the lives of community members and improve the property values in the community. With offices throughout the Carolinas in Greensboro, Winston-Salem, Charlotte and Raleigh, NC, and Greenville and Aiken, SC, AMG is a knowledgeable partner in enforcing community governing documents with a proven set of processes and techniques, and supporting communities with a broad range of services which can be tailored to individual community needs. Association Management Group, Inc. is a locally Accredited Business by the BBB and is a nationally Accredited Association Management Company (AAMC) by the Community Associations Institute. For more about AMG, visit http://www.amgworld.com. The National Insurance Restoration Council (NIRC) welcomes Woods Roofing, Inc. as a Platinum Preferred Contractor. The NIRC accredited membership provides property owners with a vetted network of certified contractors that go through a rigid screening process and uphold high ethical and professional conduct while educating property owners about the insurance restoration process. The NIRC certifies the best and most experienced contractors, upholding and creating the highest standard in the industry. Based in South Dakota, Woods Roofing specializes in residential re-roofing, commercial flat roofs, deck replacement, and steel installation. The highly-skilled team of professionals at Woods Roofing are hand-picked from among the best in the industry and are trained to provide property owners with the solutions they need-as well as quality service. The professionals at Woods Roofing are hand-picked from among the best in the industry. We are proud to partner with the NIRC and join their network as a Platinum Preferred Contractor. Its great to be part of a vetted member network and growing movement that is focused on improving industry standards nationwide, says Jim Woods, CEO and owner of Woods Roofing Inc. The NIRC performs a stringent screening process for new members with independent 3rd party partner Global Risk Management Solutions (GRMS). GRMS screens members and ensures adherence to rigid compliance standards. As a consumer advocacy organization, the NIRC serves the common interest of insurance restoration contractors and consumers alike. The NIRC is honored to have Woods Roofing join our esteemed network of industry contractor members, says Joe Radcliff, CEO of the National Insurance Restoration Council. About the National Insurance Restoration Council Headquartered in Orlando, Florida, the National Insurance Restoration Council (NIRC) is a non-profit organization devoted to protecting and educating property owners and restoration contractors when dealing with insurance claims. The NIRC acts as the principal advocate for improved legislation and case law to protect consumers and contractors when dealing with insurance claims throughout the nation. The NIRC serves the common interest of insurance restoration contractors and protects consumers from unethical contractors. Vetted and certified NIRC certified contractors adhere to a strict code of professional conduct, educate property owners about the insurance restoration process, and give back to communities in storm damaged areas. NIRC certified contractors go through an extensive background check to become members of the Diamond Standard code of business. The NIRC as a self-regulated entity and cooperates with the Department of Insurance (DOI) and other regulatory authorities throughout the United States to improve the ethics of the industry through education and high standards. Learn more at http://www.NIRC4Change.org. About Woods Roofing, Inc. Woods Roofing, Inc. offers a complete range of services to residents of South Dakota. No job is too big or too small. The highly-skilled team of professionals at Woods Roofing are hand-picked from among the best in the industry and are highly trained and accredited to provide property owners with quality service. Woods Roofing also provides property owners with a free estimate and provides helpful roofing tips. Woods Roofing proudly holds an A+ rating with the Better Business Bureau and is a certified Owens Corning Preferred Contractor and certified Metro Roof Products Stone Coated Steel Shingles Installer. To learn more visit http://www.JimWoodsRoofing.com. Media Inquiries: Vera Anderson Elev8 Consulting Group Ph: 386.243.5388 Web: http://www.elev8cg.com ### PR and marketing professionals know the media landscape is changing, and theres more of an emphasis on video and images than ever before. As part of PR News Big 4 Social Media Summit in San Francisco, there will be a one-day intensive Visual Storytelling Boot Camp on August 9 to help attendees leverage these new required skills. In this intensive one-day boot camp at the Grand Hyatt San Francisco, the best in the business will share their expertise in creating shareable videos in-house, developing and engaging an audience on visual platforms such as Snapchat, Instagram and YouTube and communicating an organizations story in 60 seconds. Become an expert on these topics and more through original sessions such as: How to Create Compelling and Shareable Video Across the Social Spectrum Be Your Brands Photographer: How to Create Social Media Images With the Gear You Already Have Break From the Pack With Data Visualization and Infographics Use YouTube as More Than Just a Video Repository (Measurably) Successful Visual Campaigns Read about the full program here. Content with video is dominating todays social media space, and digital pros are embracing it fast to avoid falling behind. Attendees will have the rare opportunity to hear intimately from the experts running the digital field about what they know best. Learn video optimization from YouTubes Video Producer, Zynara Ng. Hear from Kriselle Laran, VP, Head of Digital at Zeno Group on identifying the best content for each platform. Come away from the Boot Camp thinking like a data journalist from Googles Visual Designer, Amy Roberts. Supplement this event with the Big 4 Social Media Summit at the same location the next day, August 10, to pair attendees new visual storytelling knowledge with the best practices for improving social media presence across the brand, including on the big four Snapchat, Twitter, Facebook and Instagram. Save by registering for both events with the Full Access Pass. Early Bird rates for both the Full Access Pass and the one-day Boot Camp end July 22. A hotel room block is available through July 16, where attendees can get a special rate of $299/night. Contact PR News Jessica Placenia at Jessica(at)accessintel(dot)com with questions regarding the event. To become a sponsor of the event, contact PR News Account Executive Lindsay Silverberg at lindsay(at)prnewsonline(dot)com. About PR News: PR News is a daily intellectual hub that serves the communications and marketing community at corporations, agencies and nonprofits. The PR News Group focuses on honing and growing PR practitioners' skills in social media, crisis management, digital PR, measurement, employee relations, media training, CSR and writing through its flagship newsletter, webinars, conferences, awards programs, workshops and guidebooks. With the launch of its weekly newsletter over 70 years ago, PR News has remained dedicated to supporting the growth of communicators all while keeping them abreast of the latest news affecting the industry. Visit PR News online at http://www.prnewsonline.com. # # # "The entire process ran smoothly and efficiently from beginning to end without a glitch." Waterstone Defeasance recently closed a defeasance transaction involving five conduit loans totaling $64.1 million, secured by five multifamily properties in Georgia and Florida. Waterstone guided the owners through the defeasance process coinciding with the owners refinance of their loans. As the defeasance consultant, Waterstone managed the activities of the numerous parties involved with the transaction in order to meet the borrowers closing schedule. Parties associated with a defeasance transaction typically include the servicer, servicers counsel, borrower, borrowers counsel, securities broker, custodian, accountant, rating agencies, and the successor borrower. It was a pleasure working with Brian Pedersen and the Waterstone team on this transaction. The entire defeasance process ran smoothly and efficiently from beginning to end without a glitch. Thank you for your hard work, said Seth Zimmerman, with the ECI Group. Working with Seth and the ECI Group was a pleasure. We look forward to continuing our relationship, said Julia Gilbert of Waterstone Defeasance. About Waterstone Defeasance Waterstone Defeasance, LLC is an independent consulting firm specializing in assisting commercial real estate borrowers through the defeasance process. Since 2005, Waterstone has assisted owners and their advisors achieve the lowest possible defeasance costs. Waterstone has developed an industry-leading online defeasance calculator so clients can get a quick defeasance estimate on their website (defeasanceservices.com). Headquartered in Charlotte, North Carolina, the company also has offices in New York, Dallas, and Irvine. Contacts: Carol Tillman COO 704.926.6508 Brian Pedersen Director 704.926.6533 Weve integrated production workflow to optimize efficiency and cost-effectiveness, giving our resellers an even more pronounced leg up on the competition when it comes to selection, service, speed and savings. Whats in a label? Whatever you want, thanks to the just-formed strategic partnership between Discount Labels and Lancer Label. But dont take our word for it. Click here to get a free pass to ASI Chicago, and check out the nations largest selection of custom label solutions at Booth #1624. Together, Discount Labels and Lancer Label continue to offer a national network of resellers quality, service, speed and value to which theyve become accustomed. Weve integrated production workflow to optimize efficiency and cost-effectiveness, giving our resellers an even more pronounced leg up on the competition when it comes to selection, service, speed and savings, notes Brian Webb, National Director of Strategic Accounts. Ample samples at Booth #1624 will enable seeing first-hand the combined array of substrates, shapes, sizes, variable image/data options, colors, and special effects and finishes. Discount Labels and Lancer Label together exceed a century in business, so weve both been able to capture a large portion of the distributor marketplace. As a team, were transitioning from two one-stop shops to one one-stop supershop, Webb points out. Were excited to spread the word to the reseller market, and ASI Chicago is a high-profile way to showcase both capabilities and capacity. Discount Labels, already selling through the largest authorized label distributor network in the US and Canada, is now expanding with addition of Lancer Label. Lancer Labels strong customer service reputation and printing versatility mesh well with Discount Labels corporate culture and capabilities. The strategic partnership will strengthen Discount Labels existing position as Americas largest wholesale label supplier. About Discount Labels: Discount Labels specializes in die cut labels, premier labels, durable labels, UL labels, variable data labels, printer labels, magnets, stamps and promotional products for every industry including automotive, construction, healthcare, manufacturing, restaurant and retail. Discount Labels sells through the largest authorized label distributor network in the U.S. and Canada. Eligible dealers are active in one of the following industries: printing, graphic arts, specialty advertising, business forms, packaging and shipping, signs, banners, rubber stamps, or office products. Established in 1965, Discount Labels has grown to be Americas largest wholesale label supplier. Find more information at http://www.DiscountLabels.com and visit us on Facebook, Twitter and Google+. About Lancer Label: With over 50 years of experience in the label industry, Lancer Label is dedicated to helping distributors grow their businesses. With the industry's best sales materials, outstanding customer service and versatile printing capabilities we are here to support our distributors every step of the way. We make selling labels easy! For more information and to place orders online, visit LancerLabel.com. For the latest news and labeling promotional offers, find Lancer Label on Facebook, LinkedIn, Twitter and Google+. TraffickCam app collects hotel room data for comparison by law enforcement. The Congregation of the Sisters of St. Joseph will double every dollar donated up to $100,000, with the ultimate goal of raising $200,000. The Congregation of the Sisters of Saint Joseph, a community of Catholic women religious and associates, has committed a $100,000 matching gift to fund TraffickCam, the free anti-trafficking smartphone app that has taken social media, the traveling public and the tech world by storm. Donations will support the ongoing development of the TraffickCam mobile app and law enforcement database. The Congregation of the Sisters of St. Joseph will double every dollar donated up to $100,000, with the ultimate goal of raising $200,000. Tax deductible donations can be made at ExchangeInitiative.com, the social action organization that developed the app. TraffickCam empowers travelers to help in the fight against sex trafficking by taking photos of their hotel rooms and anonymously upload them to a national database, which will be used by law enforcement and investigators to locate victims and their pimps. In a week and a half, the TraffickCam app has logged nearly 70,000 downloads on the App Store (bit.ly/TraffickCamApp) and Google Play (bit.ly/TraffickCamAndroid). Thousands of media outlets, online publications and TV stations have written about the unique TraffickCam app, developed by the social action organization Exchange Initiative and researchers at Washington University. The story has generated nearly 31 million Twitter impressions and has been shared countless times on Facebook. As a result, more than 1,500 hotel room photos are being added each day to a database of more than 1.56 million photos, including photos from hundreds of hotels not previously represented. Sex trafficking is a form of modern day slavery that forces children and adults to engage in commercial sex acts against their will. The average age that victims enter the sex trade is 12 to 14 years old , coerced through drugs, violence, debt bondage and intimidation. According to UNICEF, at least 300,000 American children and 1.2 million children worldwide are trafficked each year . Exchange Initiative is a social action organization founded by Nix Conference & Meeting Management, which leads the charge among meeting planners worldwide to help end sex trafficking in hotels. Nix addresses the trafficking issue with the management of every hotel where they do business and presented the national conference IGNITE: Sparking Action Against Sex Trafficking in 2014. Nix initiated and signed the first-ever Meeting Planners Code of Conduct with ECPAT-USA in January, 2012. Nix Conference & Meeting Management was honored this week by ECPAT-USA as a Top Member of The Code, for the second year in a row. ECPAT-USA named just 19 companies in the U.S. as Top Members for their exceptional work to integrate child protection practices into their businesses in 2015. ABOUT EXCHANGE INITIATIVE Exchange Initiative provides resources, information and networking solutions to combat sex trafficking in the U.S. Download the app on the App Store (iOS) and Google Play (Android) or use the desktop app at TraffickCam.com. For more information, visit Facebook.com/TraffickCam, twitter.com/TraffickCam, ExchangeInitiative.com, Facebook.com/ExchangeInitiative and twitter.com/TheEXInitiative or call Molly Hackett at (314) 645-1455. CONGREGATION OF THE SISTERS OF ST. JOSEPH The Congregation of the Sisters of St. Joseph is a community of nearly 500 vowed women religious dedicated to the love of God and neighbor, committed to sharing life together in community, and missioned to be a unifying presence wherever we live and minister. For more information, visit csjoseph.org. # # # Media Contact Mary Schanuel mschanuel(at)synergy-pr.com 314.961.9772 Jobe's SUP 2 the People Demo Tour Visitors at each of the tours 25 stops will have the chance to try Jobe stand up paddleboards (SUPs) and experience products from tour co-sponsors Today, Jobe Watersports announced the details of its SUP 2 The People demo tour. The tour will launch on July 23, in San Diego, Calif. It includes stops in California, Nevada, Utah, Idaho, Washington and Oregon before finishing on August 21 in Santa Barbara, Calif. The complete tour schedule is available at http://jobesports.com/sup2thepeople. Visitors at each of the tours 25 stops will have the chance to try Jobe stand up paddleboards (SUPs) and experience products from tour co-sponsors Johnnie-O clothing, Bai Beverages, Eagle Eyes, JoFit, Eagles Nest Outfitters, GU Energy Gel, CLIF Bar and Rockview Farms. Local SUP groups, paddling clubs and dealers will support nearly all of the tours stops. We want to get more people on the water, said Maud Broekmeulen, marketing manager for Jobe Watersports. Whether theyre trying the sport for the first time, or trying out the latest technology, we want them out there having fun. Two Jobe brand ambassadors, Stephanie Lynn Martin and Taylor Reed Newton, will lead the tour. Martin and Newton were selected as part of a contest leading up to the tour. Spending the summer introducing people to a sport I love is a dream come true, said Newton. Add in the chance to see some of the most beautiful places in the country, and its hard to imagine a better summer job. Martin and Newton will share their experiences through Jobes social media channels, including @jobewatersports on Twitter, @jobesup on Instagram, Jobe SUP on Facebook and JobeSports on Snapchat. Visitors who want to meet up with the SUP 2 the People demo tour can RSVP for a stop near them at http://jobesports.com/sup2thepeople. Currently Scheduled Tour Stops Sun, Jul 17 Dillon, CO Fri, Jul 22 & Sat, July 23 San Diego, CA Sun, Jul 24 Dana Point, CA Mon, Jul 25 Lake Arrowhead, CA Tue, Jul 26 Long Beach, CA Thu, Jul 28 Las Vegas, NV Sat, Jul 30 Park City, UT Mon, Aug 1 Boise, ID Wed, Aug 3 McCall, ID Fri, Aug 5 Coeur d'Alene, ID Sat, Aug 6 & Sun Aug 7 Seattle, WA Tue, Aug 9 Portland, OR Wed, Aug 10 Hood River, OR Fri, Aug 12 Bend, OR Sat, Aug 13 Sunriver, OR Sun, Aug 14 N Lake Tahoe, CA Mon, Aug 15 Lakeport, CA Tue, Aug 16 Rancho Cordova, CA Wed, Aug 17 Sacramento, CA Thu, Aug 18 San Francisco, CA Sat, Aug 20 Mammoth Lakes, CA Sun, Aug 21 Santa Barbara, CA About Jobe Jobes mission is to get more people on the water. The company makes stand up paddleboards, wakeboards, water skis, wetsuits, life jackets and other watersports gear. Headquartered in the Netherlands with a global distribution network, Jobe makes innovative products that help people have fun on the water. http://www.jobesports.com Libra Systems has announced that it has integrated with DealerSocket. The partnership, the company stated, enables a more efficient workflow for users of DealerSocket Dealership Desking that wish to also leverage Libras advanced credit reading analytics. Libras patent-pending Risk-to-Roll software intelligently reads credit based on the non-discriminatory credit profile characteristics of each deal to guide users to the most profitable deal structure within APR and advance guidelines. By using Libra, dealers can increase profit potential in a manner that also offers dealers a more comprehensive approach to fair credit compliance. "I am very pleased to offer this integration to our users," said Jonathan Ord, DealerSocket co-founder and CEO. "For many of our dealers, Libra has become a valuable tool from both a profitability and a compliance standpoint. By making Libra more accessible to our dealers, DealerSocket continues its commitment to provide our customers with innovate new technology. Libra does not replace current desking technology or users of that technology, but is intended to help dealers maximize profitability in a legally compliant manner, said Michael Maledon, CEO of Libra Systems. Libra offers dealers protection by replacing the subjectivity of reading credit with an automated process. And the result is increased speed of the deal, higher front and backend gross profits, and significantly fewer unwinds and resigns. To learn more about DealerSocket, visit http://www.dealersocket.com. To learn more about Libra Systems, visit http://www.librasys.com, or contact Robin Dukes, Director of Sales, at (305) 934-5599. About DealerSocket DealerSocket is a leading automotive technology platform that helps dealerships in the United States, Canada, and Australia improve profitability through a fully integrated suite of marketing, sales, service, customer experience, DMS, websites, data mining, and inventory management solutions. Headquartered in San Clemente, Calif., DealerSocket employs more than 1,000 people, serves more than 10,000 dealerships and 300,000 active users in the United States, Canada, and Australia. DealerSocket's advanced technology provides benchmarking data that paces the industry, and its insightful experts identify trends and develop strategic roadmaps that help dealers optimize processes and operate more profitably. Visit DealerSocket.com for more information. About Libra Systems Headquartered in Phoenix, Arizona, Libra Systems develops and supports integrated software solutions that simplify business operations for automotive retailers. Libras patent-pending Risk-to-Roll software enables dealers to quickly and consistently identify the most profitable deal structure based on the non-discriminatory credit characteristics of each deal. Libra allows dealers to eliminate subjective guesswork that can often result in lost deals, lost profit, funding delays, and claims of discriminatory lending. Visit LibraSys.com for more information. July 2016 Fisher & Paykel, a global design innovator and manufacturer of indoor and outdoor home appliances, and DCS, the pioneer of professional kitchen equipment, are pleased to announce the addition of an Integrated Bottom Freezer Refrigerator to their lineup. The new family sized, integrated bottom freezer, which comes in popular 84 and 80 sizes, features a large 36 door. These models can even be placed side by side for a seamless solution to meet the need for maximum storage. The Bottom Freezer integrated refrigerator complements the other Fisher & Paykel and DCS appliances that we currently offer, providing our customers with comprehensive design solutions, explains Roger Bridge, General Manager of Marketing for Fisher & Paykel. Additionally, the bottom freezer model and the integrated refrigerator line as a whole is attainable, allowing our customers the ability to upgrade from traditional freestanding refrigerators at an excellent price point. Just like the French Door integrated refrigerator, the new model allows seamless integration with minimal gaps around the cabinetry and a flush fit. Additionally, it can be customized to integrate with new or existing cabinetry, or integrate via a stainless steel door panel set (sold separately). The door panels allow multiple angles of adjustment, and four feet can be adjusted from the front to accommodate uneven floors. The adjustable door panels also allow for precision fine tuning, as the cabinets settle after installation. With these additions, the integrated refrigeration line for both Fisher & Paykel and DCS Includes: French Door Available with ice only and with ice and water. 72", 80" and 84" installation option Panel ready and stainless steel door options Bottom Freezer Available with ice only and with ice and water. 80" and 84" installation options Panel ready and stainless steel door options The integrated refrigerators feature traditional Fisher & Paykel and DCS design experiences, including: ActiveSmart Foodcare which helps keep food fresher longer. Flexible internal storage, such as split shelving, deep-shelf designs, slide-out bins and room for gallon storage in the doors. SmartTouch controls, which streamline food organization to suit lifestyles Automatic ice making External water dispensing, which takes up no usable capacity and is available in the optional stainless steel door panel set only. For more information on the integrated refrigeration line, please visit: https://www.dcsappliances.com/us/indoor-kitchens/refrigeration/integrated-refrigerators.html About Fisher & Paykel Since 1934, the Fisher & Paykel design heritage has been founded on a pioneering spirit and culture of curiosity that challenges conventional appliance design to consistently deliver products tailored for human needs. Incorporating outstanding performance, and user focused innovation; Fisher & Paykel and DCS by Fisher & Paykel appliance brands inspire people through indoor/outdoor kitchen and laundry products, designed with real life in mind. The brands incorporate innovative technologies driven from a passion to produce the most technically advanced, efficient, and contemporary styled appliances. As pioneers of the worlds first drawer dishwasher, DishDrawer; Fisher & Paykel continues to pave the way for appliance innovation. Since the 1980s, DCS has defined cooking appliance innovation for the commercial food industry, and designs commercial quality appliances for the home. Fisher & Paykel Appliances is a global company operating in 50 countries, manufacturing in the United States, Mexico, Italy, Thailand and New Zealand. For more information, please visit: http://www.fisherpaykel.com. ### A relationship over 300 years in the making Updated: 2016-07-08 08:09 By Chris Peterson(China Daily Europe) United Kingdom's long ties with China will hopefully help the nation brave the Brexit storm Sometimes the best relationships take years to evolve, and in the case of China and Britain, the origins stretch back to the late 18th century. It's been a bumpy ride, and there are things that both sides may regret. If this sounds like a marriage counseling session, in a way it is. Let's go back to the beginning. It all started innocuously. Someone further up the food chain than me here at China Daily thought it would be great if I could contribute an article on British reaction to the Communist Party of China's 95th birthday. Fine, until I pointed out that Britain as a sovereign state does not formally or officially recognize political parties, and few people follow CPC affairs that closely here. That seemed to be that until I recalled that Britain became the first Western power to recognize the People's Republic of China in 1950. So now we had something to work with. Then, as is inevitably the case, a major breaking news story obliterated any chances I had of meeting the self-imposed deadline for a detailed feature. Britons selfishly and amazingly voted in favor of leaving the European Union (a bit like turkeys voting for Christmas). Britain's political establishment seemed to implode, and only now is my mind free enough to return to the question of China-UK ties. As an amateur historian, China and its connections to Britain have always fascinated me. It is fertile ground for the kind of detail and stories that appeal to me, and makes history come alive. Take, for example, the British envoy Lord Macartney's mission to Beijing in 1793, the first time the UK attempted to make official contact with the Chinese empire. It didn't go that well at first. My favorite anecdote is the one about Chinese officials saying Macartney, a British aristocrat and official representative of King George III, would have to kowtow to Emperor Qianlong (1711-1799). That was never going to happen, so in true British style a compromise was reached in which Macartney would bend the knee slightly in the sort of courtly gesture he would make to his own monarch. (Here in Britain, we didn't go for the forehead-banging routine - too much like hard work.) The emperor sent a long letter to King George. I won't trouble you with the details, but in essence he told him that he'd given Macartney a good dinner and loads of presents, but there was no way a permanent British ambassador could be based at the Beijing court. At least he didn't tell the British monarch to tremble and obey. Things went from bad to worse - let's just agree the Opium Wars were a very bad idea, the annexation of Hong Kong dubious, and the virtual break up of China into individual fiefdoms an extremely bad move. One bright spot was the determination of the Chinese Communists and nationalists to link with the British in their determination to overcome Japanese military-backed expansionism in the '30s and '40s. This leads us to 1950, and the British recognition of the CPC as the force that brought China together again. Of course, British recognition wasn't entirely altruistic, worried as London was by the fate of thousands of Britons living in China and its various business interests. In 1997, China regained sovereignty over Hong Kong in a deal that seems to have satisfied both sides, and the relationship has been getting stronger and closer ever since, culminating in October's state visit to the UK by President Xi Jinping, which both sides said heralded a "golden era" of relations. As I write, it seems that close relationship will be one of the factors that helps Britain weather an extraordinary period of uncertainty. I really hope so. The author is managing editor of China Daily European Bureau, based in London. Contact the writer at chris@mail.chinadailyuk.com (China Daily European Weekly 07/08/2016 page12) Dataline, a leading direct marketing company with a national database of 300 million individual records, announced the official release of Dataline Digital. Dataline first entered the digital space in 2012 through digitizing our transaction based offline data for online targeting, said Paul Sobel, CEO and founder. Today, were expanding beyond the cookie with Dataline Digital. As users move across online and offline channels, there is an ever increasing need for marketers to be able to connect the dots. Dataline Digital helps address these concerns. Our offering includes an expansive taxonomy, mobile segments, email records, and custom modeled offline-to-online segments. We are taking real known purchasers and helping marketers build a 360-degree view of their target audiences offline and online buying habits. Since its founding in 2000, Dataline has always believed in the power of precision targeting. Dataline Digital is now taking precision targeting to the next level empowering marketers to reach their desired audiences, across channels and devices, at scale. Headquartered in Princeton, New Jersey, Dataline Inc. has offices around the country in New York, Washington D.C., and Chicago. About Dataline: Founded in 2000, Dataline is a pioneer in the convergence of offline and online buying habits. Established as a direct marketing company, Dataline now runs both offline and online divisions. Dataline Digital empowers marketers to reach known buyers through transforming offline transactional data into over 400 digital audience segments. Partnering with a select group of DMPs, DSPs, and Exchanges, Datalines custom segments are built through a proprietary offering, combining offline modeling and sophisticated analytics. With roughly 300 million unique records and over 2,000 data points, Dataline scales target audiences to meet the goal-based needs of todays marketers and clients. (July 11, 2016) Savills Studleys Rick Rome was recently honored with the Vincent E. Reed Award at the 2016 Wiley A. Branton Awards Luncheon for the Washington Lawyers Committee for Civil Rights and Urban Affairs, which took place on June 22 at the JW Marriott. Rome, Executive Vice President and Co-Regional Manager for Savills Studleys Washington, D.C. office, was recognized for his significant contributions and commitment to providing educational opportunities both in and outside of the classroom. Since his initial engagement with the Washington Lawyers Committee, Rick has been full in, said Rhonda Cunningham Rhodes, Deputy Director of the Washington Lawyers Committee. His deep commitment to civil rights and particularly the WLCs DCPS partnership program is without comparison. He recognizes that access to a quality education is a civil right and it includes more than what can be in given in the classroom by a teacher. Experiential activities, outside engagement and parental involvement are critical to students so they may maximize their learning potential. The Vincent E. Reed Award honors those who help the DC Public School partnership establish and expand programs designed to increase parent engagement and academic enrichment opportunities for students at Title I schools. Rome provided crucial funding for The Parent Engagement Pilot Program, which launched in Fall 2015. The funding allowed the Education Project to offer matching funds to parent teacher organizations at selected Title I schools that committed to raising funds to achieve the dual goals of the program. Additionally, Rome helped launch a highly successful hands-on STEM Fair at Hendley Elementary, establishing a model for other school partnerships to follow. He has provided leadership at Savills Studley that has led to a significant percentage of employee involvement in the partnership program, Rhodes added. His personal contribution to the seed funding for the Parent Engagement Pilot Program used to provide matching funds to several successful parent engagement projects is yet another example of his commitment. I was very pleased to be able to present another award to him and to Savills Studley in the form of this years Vincent Reed Award. The Vincent E. Reed Award is given in the name of Dr. Vincent Reed, a distinguished educator whose encouragement and support were directly responsible for the WLCs decision to establish its public education support programs. His initial effort led to the WLC representing Parents United for the DC Public Schools and the DC Public School Partnership Program, which operates in more than 50 schools in the city. About the Washington Lawyers Committee The Washington Lawyers Committee for Civil Rights and Urban Affairs is a non-profit 501(c)(3) organization established in 1968 to provide pro bono legal services to address discrimination and entrenched poverty in the Washington, D.C. community. http://www.washlaw.org/ About Savills Studley Savills Studley is the leading commercial real estate services firm specializing in tenant representation. Founded in 1954, the firm pioneered the conflict-free business model of representing only tenants in their commercial real estate transactions. Today, supported by high quality market research and in-depth analysis, Savills Studley provides strategic real estate solutions to organizations across all industries. The firms comprehensive commercial real estate platform includes brokerage, project management, capital markets, consulting and corporate services. With 29 offices in the U.S. and a heritage of innovation, Savills Studley is well known for tenacious client advocacy and exceptional service. The firm is part of London-headquartered Savills plc, the premier global real estate service provider with over 30,000 professionals in over 700 locations around the world. Savills plc is listed on the London Stock Exchange (SVS.L). For more information, please visit http://www.savills-studley.com and follow us on Twitter @SavillsStudley and LinkedIn. BSI 2016 Recognition Plaque I credit this recognition to our team across Canada, the US and Caribbean, and how they work together to achieve success. BAASS Business Solutions (BAASS), a leading technology consulting firm, has been placed at number 25 in the Bob Scotts Insights Top 100 VARs for 2016. The Top 100 resellers are chosen from organizations specializing in the sale and implementation of Enterprise Resource Planning (ERP) and accounting software. We want to congratulate this years class of Bob Scotts Top 100 VARs, said Bob Scott, executive editor of Bob Scotts Insights. The selection is based on annual revenue generated by each reseller. A special report that includes the names of the organizations selected for this years Top 100 list, ranked by revenue, can be downloaded on Bob Scott's Insights' website. Joseph Arnone, President of BAASS Business Solutions Inc., stated, I credit this recognition to our team across Canada, the US and Caribbean, and how they work together to achieve success. We offer the best solution for each client to meet the evolving needs of the industry. Bob Scott has been informing and entertaining the mid-market financial software community via his email newsletters for 18 years. He has published this information via the Bob Scotts Insights newsletter and website since 2009. He has covered this market for 24 years through print and electronic publications, first as technology editor of Accounting Today and then as the Editor of Accounting Technology from 1997 through 2009. He has covered the traditional tax and accounting profession during the same time and has continued to address that market as executive editor of the Progressive Accountant since 2009. About BAASS Business Solutions BAASS is a full service technology firm with over twenty-five years of experience. With a broad client portfolio, BAASS serves over 4,500 clients across North America and the Caribbean. BAASS has been recognized within the industry on numerous occasions. These include being ranked in Accounting Worlds Top 100 VARs, Accounting Technology Magazines Pacesetters Award, two consecutive Business Partner of the Year awards from Sage Software Inc., and thirteen consecutive Sage Presidents Circle awards for being a top performing Business Partner. Through an experienced team of IT and business application and design consultants, BAASS offers a comprehensive range of services including needs assessment, management consulting, system design and configuration, software training and technical support in accounting, ERP, CRM, e-business, custom solutions, and specializes in Sage 300 ERP, Sage CRM, Sage ERP X3, Sage HRMS, Deltek, and Intacct products. BAASS is headquartered in Thornhill, Ontario. For more information, please visit http://www.baass.com or call 866-260-5302. Connect with BAASS on LinkedIn, Twitter, and YouTube. A new folk school opening next month in the Washington, D.C. metro area is part of a growing trend in the popularity of the schools, known for teaching crafts, skills and trades that predate our modern-day fondness for screen time. The Waterford Foundation, one of the countrys first community-based historic preservation organizations, will hold the inaugural weekend of its Waterford Heritage Craft School August 19-21 in the National Landmark Village of Waterford. This is a great fit for us, since the folk school movement focuses on learning from master artisans like the ones we host at our annual fair, said Foundation Executive Director Tom Kuehhas. And its about connecting with the past and enjoying a sense of place. I cant think of a better place to do that than a village that dates to the late 18th century. While Waterfords school will be the first folk school in the D.C. area, its one of more than 40 in 22 states nationwide according to the Folk School Alliance, a network of North American folk schools. At least 10 of those schools were founded within the last 10 years. This years Waterford Heritage Craft School classes will run for three days and include: Applique quilting, taught by two members of a local quilters guild with more than 20 years combined experience; Archaeology, presented by a Catholic University archaeology professor with more than 35 years experience; Lime mortar, taught by a mason who is also a recognized preservation specialist; and Antique window restoration, taught by a carpenter with considerable preservation experience. Tuition is $300, plus the cost of materials for the quilting class. To register, contact the Waterford Foundation at 540-882-3018 or visit http://www.waterfordfoundation.org. The Waterford Foundations mission is to preserve the historic buildings and open spaces of the National Historic Landmark of Waterford, Virginia, and, through education, to increase the publics knowledge of life and work in an early American rural community. zvelo, the leading provider of contextual categorization and malicious detection services that are used to generate a wide range of data sets about websites, web content and more, has announced the awarding of US Patent No. 9,386,08362 by the United States Patent & Trademark Office. The patent, for a managed services platform, was awarded on July 5, 2016, and contributes to zvelos growing patent portfolio. The full description of the patent can be found the USPTO website: http://patft.uspto.gov/netacgi/nph-Parser?Sect1=PTO1&Sect2=HITOFF&d=PALL&p=1&u=%2Fnetahtml%2FPTO%2Fsrchnum.htm&r=1&f=G&l=50&s1=9386083.PN.&OS=PN/9386083&RS=PN/9386083 About zvelo, Inc. As the proven market leader for content and contextual categorization, as well as malicious and botnet detection, zvelo is the trusted partner for the markets preeminent ad tech, network security and mobile subscriber analytics vendors. zvelo solves a diverse range of client business needs including brand safety, web filtering, contextual targeting, subscriber analytics and ad fraud prevention. The processing power, accuracy, coverage and speed of zvelo technology delivers the fastest real-time updates available today. zvelo http://www.zvelo.com is headquartered in Denver, Colorado with offices in the Philippines, Spain and Florida. You can follow them on Twitter at @zvelo. Fairview Microwave Introduces a Brand New Portfolio of Solid State GaN Power Amplifiers from Stock Fairviews new selection of GaN power amplifiers offer engineers and designers a unique off-the-shelf, in-stock solution which would otherwise take months to acquire Fairview Microwave Inc., a supplier of on-demand microwave and RF components, releases a brand new line of solid state GaN (Gallium Nitride) amplifiers. These new GaN power amplifiers offer designers a unique solution of off-the-shelf components, typically requiring months of lead time to acquire. The rugged connectorized amplifier designs from Fairview have the advantage of high output load impedance that offers easier impedance matching over wider bandwidths using lower loss components. The high thermal conductivity of Gallium Nitride helps to dissipate heat more effectively which results in amplifier designs that have significantly higher output power levels over broadband and narrowband frequencies. Common applications include commercial and military radar, jamming systems, medical imaging, communications and electronic warfare. Fairviews newest offering of GaN RF amplifiers includes models that feature very high gain levels from 43 to 60 dB across mostly broad frequency bands ranging from 30 MHz to 7.5 GHz. Saturated output power levels range from 10 watts to 100 watts with up to 35% Power Added Efficiency (PAE). All of the high power GaN amplifiers from Fairview have single voltage supplies which are internally regulated. The 50 ohm input/output matched designs are adaptable to a range of power and modulation requirements. These GaN solid state power amplifiers also show impressive harmonic response (-15 to -20 dBc) under worst case conditions. This selection of GaN amplifiers are designed to withstand environmental conditions such as humidity, altitude, shock and vibration. Some models are also equipped with integrated heat sinks and cooling fans. Most designs are EAR99. Fairviews new selection of GaN power amplifiers offer engineers and designers a unique off-the-shelf, in-stock solution which would otherwise take months to acquire, explains Brian McCutcheon, Vice President and General Manager at Fairview Microwave. Fairviews new GaN amplifiers are in-stock and ready to ship today. You can view the new GaN SSPAs by visiting https://www.fairviewmicrowave.com/rf-products/gan-power-amplifiers.html directly. Fairview Microwave can be contacted at +1-972-649-6678. ### About Fairview Microwave A leading supplier of on-demand RF and microwave products since 1992, Fairview Microwave offers immediate delivery of RF components including attenuators, adapters, coaxial cable assemblies, connectors, terminations and much more. All products are shipped same-day from the companys ISO 9001:2008 certified production facilities in Allen, Texas. Press Contact: Brian McCutcheon Fairview Microwave 1130 Junction Drive #100 Allen, Texas 75013 (972) 649-6678 Not only has hurricane season arrived, but severe weather all across the country can happen during the summer months, which often leads to the temporary loss of electric power. The U.S. candle industry and state fire marshals advise consumers to take critical safety measures if using candles or other open flames during a power outage. An estimated 26% of fatal candle fires occur during the loss of electrical power. While flashlights and battery-powered lamps often provide a safe source of light during these power outages, candles are frequently utilized as a back-up source of light during lengthy periods. Power outages as a result of hurricanes and severe weather cannot be avoided, but accidental candle fires can. The National Candle Association and the National Association of State Fire Marshals recommend the following precautions to keep your family and home safe: Pillar candles and container candles are a better choice during a power outage than taper candles. Broader-based candles are less likely to be accidentally knocked over. When possible, candles should be enclosed within glass globes for added protection from burns or fire. Place candles on a stable surface in a fire resistant holder that is at least 12 inches away from anything flammable, including upholstered furniture and window drapes. For added safety when the lights go out, a candle in its holder may be placed on a stable, nonflammable surface, such as a metal cookie sheet, frying pan or ceramic dinner plate. Avoid moving a burning candle during a power outage if possible. It is easy to trip in the dark or brush against something flammable. Container candles may be too hot to handle, causing you to drop the container, which could start a fire. Never leave a burning candle unattended. Try to restrict people and candles to one room in the house so the location of family members and candle flames always can be accounted for. Always extinguish candles upon leaving a room. Make sure the candles are well out of the reach of children and pets. Young children are especially apt to bump into things when a room is unfamiliarly dark. Dont use candles to search for something in a closet or small confined space. Many items in closets like clothes, papers or boxes are flammable and could accidentally ignite. Never fall asleep while candles are burning. Extinguish all candles before going to bed, and never use a candle as a nightlight. Extinguish candles safely. Extinguish the candle by cupping your hand behind the candle flame before blowing it out or, better yet, snuff out the flame with a metal candle snuffer. A spark or ember, if blown from the candle, could ignite combustibles nearby. To learn more about candle fire safety, visit http://candles.org/fire-safety-candles/. ### National Candle Association (NCA) is the trade association representing U.S. candle manufacturers and their suppliers. It is widely recognized as the leading technical authority on candle manufacturing, science and safety. Visit http://www.candles.org. National Association of State Fire Marshals (NASFM) members are the senior state-level fire safety officials in the U.S., including the District of Columbia. NASFMs primary mission is to protect human life, property and the environment from fire and related hazards. Visit http://www.firemarshals.org. 2016 Columbus Day Grand Marshal Robert LaPenta, Honorees Mario Batali and Federica Marchionni To have our Grand Marshal Robert LaPenta lead the parade with Mario and Federica by his side speaks to the vitality and importance of Italians and Italian Americans in the fields of food, fashion and business. The Columbus Citizens Foundation announced today that it has named culinary trailblazer Mario Batali and visionary business leader Federica Marchionni as honorees of the 2016 Columbus Day Parade. They will join top executive Robert LaPenta, who was previously announced as 2016 Grand Marshal. Angelo Vivolo, President of the Columbus Citizens Foundation, said of the announcement: Mario and Federica are not only leaders in their respective industries, but they are also true visionaries admired throughout the city. Their selection as honorees is a testament to their many accomplishments. To have our Grand Marshal Robert LaPenta lead the parade with Mario and Federica by his side speaks to the vitality and importance of Italians and Italian Americans in the fields of food, fashion and business. Batali is a celebrated and much beloved New Yorker, and has received multiple awards and recognition for his cookbooks, restaurants and Eataly marketplaces. He can be seen every weekday hosting ABCs daytime talk show, The Chew. Italians and Italian Americans over the years have made a huge culinary impact in the United States and particularly New York City, Batali remarked, And Im proud to be a part of that history. Marchionni heads renowned US clothing brand Lands End and has the distinction of being the only female Italian CEO of a major US company. Prior to her appointment at Lands End she held positions as brand chief at Ferrari and President of Dolce&Gabana USA Inc. I am very proud of my Italian heritage and equally proud to be in my adoptive country of the United States, Marchionni said, Whether through movies, fashion, food or lifestyle trends, Italians have the ability to cast a spell on America and the world, and I love being a part of that long tradition. In addition to planning the Columbus Day Parade, the Columbus Citizens Foundation currently provides over 700 scholarships at elementary, high school and college level to Italian-American students who have academic ability but lack financial means. This educational support resonates strongly with the 2016 honorees. With hard work, resilience and the right opportunities, extraordinary things can happen, Marchionni commented, And the Columbus Citizens Foundation helps provide those opportunities. Education is a vital building block that so many children do not receive, said Batali, I am happy to be helping support a Foundation that provides scholarship to so many deserving students. The annual Columbus Day Parade, organized by the Columbus Citizens Foundation and presented by the Conair Corporation, is the world's largest celebration of Italian-American heritage and culture in the United States. The Columbus Day Parade was first started in 1929 when New York City businessman and Italian immigrant Generoso Pope led a parade from East Harlem to Columbus Circle and has since become an ongoing celebration of the contributions of Italians and Italian Americans to the United States. The Columbus Day Parade begins at 11:30am EST on Monday, October 10 and will air live locally on WABC-7 from 12 noon to 3 pm EST. For more information on the parade, please visit columbuscitizens.org. MORE ABOUT THE COLUMBUS CITIZENS FOUNDATION The Columbus Citizens Foundation is a non-profit organization in New York City committed to fostering an appreciation of Italian-American heritage and achievement. The Foundation, through a broad range of philanthropic and cultural activities, provides opportunities for advancement to deserving Italian-American students through various scholarship and grant programs. The Foundation organizes New York City's annual Columbus Celebration and Columbus Day Parade, which has celebrated Italian-American heritage on New York's Fifth Avenue since 1929. MORE ABOUT MARIO BATALI Mario Batali counts twenty-six restaurants, ten cookbooks, numerous television shows and three Eataly marketplaces among his ever-expanding empire of deliciousness. In February of this year, Mario opened La Sirena Ristorante, a lively yet elegant trattoria on the plaza level of New York Citys Maritime Hotel in Chelsea. Mario is the author of ten cookbooks including the James Beard Award Winning Molto Italiano: 327 Simple Italian Recipes. Marios most recent cookbook, America Farm to Table: Simple, Delicious Recipes Celebrating Local Farmers was released in October 2014. This coming October Mario will dive deep into American Regional Cooking with 250 simple and delicious recipes from San Diego fish tacos to Boston Cream Pie. Mario appears daily on ABCs The Chew, a daytime talk show on ABC that celebrates and explores life through food. He and his co-hosts won their first Emmy as Best Talk Show Hosts this year. To learn more about Mario Batali, visit mariobatali.com. To learn more about the Mario Batali Foundation or to donate, visit http://www.mariobatalifoundation.org/ MORE ABOUT FEDERICA MARCHIONNI Federica Marchionni is widely recognized as a multi-talented, visionary business leader with the skills to successfully navigate a highly competitive and evolving global environment, including building and positioning brands, creating successful merchandising offers and developing global distribution with a passion for success. As CEO of Lands End, Marchionni is at the helm of a diverse and dedicated team of people representing catalog, retail and online operations in the United States, Europe and Japan. She is responsible for upholding the companys founding principles, quality, value and service, and building upon that legacy while staying focused on delivering financial performance and shareholder value. Ms. Marchionni is highly committed to driving Lands Ends Corporate Social Responsibility efforts with an emphasis on sustainability. She started her career in the fastest growing industry of technology during the transition phase of telecommunications, working for industry leaders such as Samsung, Phillips and Ericsson with increasing responsibilities. Before moving to the United States, she was the chief of the brand at Ferrari, where she developed strategic plans to advance the companys mission and objectives. As a Global Executive and recently President of Dolce&Gabbana USA Inc., Marchionni greatly contributed to the companys worldwide success by influencing the entire value chain. Marchionni graduated summa cum laude from La Sapienza University in Rome with a Masters Degree in Business Administration, studied in France and the UK and speaks four languages. She has always been a supporter of philanthropic and charitable organizations. -END- Im excited to have the opportunity to invite my fans and followers into my home and speak to them on a more personal level. Brian Tracy, CEO of Brian Tracy International and Best-Selling Author of more than 75 books, announced on Friday that he will be hosting a live online keynote and Q&A session entitled The Power of Habit. Tracy, who grew up as one of four brothers in a poor family, vigorously studied and applied success strategies of some of the most influential and wealthy individuals in the world until ultimately achieving success in his career as the Chief Operating Officer (COO) for a $265 million dollar company. Since leaving his career as a COO in the early 1980s, he has become a household name and established himself as an expert on the subjects of personal, business, and sales success. He is recognized as one of Americas leading authorities and speakers on the development of human potential and personal effectiveness. The much-anticipated event The Power of Habit: Live Keynote and Q&A will be Tracys first live online event in more than 5 years. Tracy, who travels to as many as 25 countries per year delivering speeches on a variety of topics ranging from success psychology to business strategy and leadership, said the idea for the event came about after many requests from his followers on social media to host events in their countries. Unfortunately, due to time restrictions and travel logistics, Im unable to make it to every country Im asked to visit. This event will give me the chance to connect with and speak to all of my followers no matter what country they reside in. During the event, Tracy will discuss the step-by-step actions to take in order to develop successful habits, how to decide what habit will make the biggest impact on your success, and strategies to improve your self-discipline, self-control, and self-esteem. After the hour-long keynote, viewers will then have the opportunity to ask Tracy their specific questions on forming habits to achieve their personal goals during a 45-minute Q&A session. Im excited to have the opportunity to invite my fans and followers into my home and speak to them on a more personal level. But, more importantly, Im excited to deliver this message to individuals on a larger scale and help even more people reach their full potential in life. For more information on the event or to register to attend, visit: http://www.briantracy.com/power-of-habit-event. About Brian Tracy Brian Tracy is Chairman and CEO of Brian Tracy International, a company specializing in the training and development of individuals and organizations. Brians goal is to help people achieve their personal and business goals faster and easier than they ever imagined. Brian Tracy has consulted for more than 1,000 companies and addressed more than 5,000,000 people in 5,000 talks and seminars throughout the US, Canada and 75 other countries worldwide. As a keynote speaker and seminar leader, he addresses more than 250,000 people each year. For more information, visit: http://www.briantracy.com. tekVizion has been a trusted, independent resource for Microsoft since 2008 based on tekVizion's ability to accelerate time to value and ensure quality for customers tekVizion, a leading provider of third-party testing, integration services and custom application development, announced at the Microsoft Worldwide Partner Conference that it has attained a Microsoft Gold Communications Competency for a fourth time. This demonstrates a best-in-class ability and commitment to meet Microsoft customers evolving needs in todays dynamic business environment and distinguishing itself within the top one percent of Microsofts partner ecosystem. tekVizion validates interoperability of business communications solutions via independent testing to ensure deployment success for enterprise customers, service providers and vendors. Building on that, the company launched the tekVizionVerified program with a guarantee that technologies validated will successfully interoperate within the exact configuration tested. Since launching earlier this year, tekVizion has already guaranteed more than 100 Microsoft solutions under the tekVizionVerified program. Weve been a trusted, independent resource for Microsoft business communications solutions since 2008 and have achieved the Microsoft Gold Communications Competency for a fourth time based on our ability to accelerate time to value and ensure quality for customers, said Darlene Smith, Senior Director of Partner Alliances, tekVizion. Plus we are guaranteeing interoperability for Microsoft technologies with our tekVizionVerified program, currently at 100 and counting. By achieving a gold competency, partners have demonstrated the highest, most consistent capability and commitment to the latest Microsoft technology, said Gavriella Schuster, general manager, Worldwide Partner Group at Microsoft Corp. These partners have a deep expertise that puts them in the top 1 percent of our partner ecosystem, and their proficiency will help customers drive innovative solutions on the latest Microsoft technology. Attaining the Microsoft Communications competency demonstrates partner expertise in videoconferencing, voice over Internet protocol and instant messaging. Equipped with exclusive training, the latest software and support on Microsoft solutions, partners help customers reduce the cost of travel, real estate and facilities maintenance while gaining operational efficiencies. The Microsoft Partner Network helps partners strengthen their capabilities to showcase leadership in the marketplace on the latest technology, to better serve customers and to easily connect with one of the most active, diverse networks in the world. To meet with tekVizion and learn more interoperable Microsoft solutions email Microsoft(at)tekvizion(dot)com. About tekVizion tekVizion helps service providers, vendors and enterprise customers accelerate time to value, improve reliability and reduce the costs of deploying multi-vendor communication networks. tekVizion offers a range of services including interoperability testing, on-demand access to virtual lab environments, integration services and custom application development. Founded in 2002, tekVizion hosts the first interoperability lab of its kind. The companys extensive lab resources include over 250 network elements and a team of dedicated specialists, certifying over 400 applications per year. tekVizion is headquartered in Plano, Texas, with offices in Chennai, India, and London. Contact us at +1.214.242.5900, info(at)tekVizion(dot)com, or visit http://www.tekVizion.com. AgilePoint, a leader in the Microsoft SharePoint workflow and app development space, will be unveiling its new partner program at Microsofts Worldwide Partner Conference to be held July 10 14 in Toronto, Canada. The new program is designed to attract Microsoft partners who specialize in SharePoint workflow development but which need a comprehensive approach and technology for transitioning customers from legacy SharePoint installations to SharePoint 2016 or Microsoft Office 365. The new partner program is part of AgilePoints go-to-market strategy for AgilePoint NX, which was recently positioned among the top five low-code development platforms by Forrester Research. While it was an honor to be recognized by Forrester, commented Jesse Shiah, AgilePoints CEO, its important to note that of the top five low-code platforms, AgilePoint NX is the only one to offer deep integration with SharePoint, Office 365, Dynamics CRM, and other Microsoft products. Shiah went on to point out that AgilePoint NX has an extensive library of connectors and adaptors that enable Microsoft customers to build composite workflows and systems that incorporate functionality from Microsoft and non-Microsoft products, such as Salesforce, SAP, NetSuite, and Oracle. AgilePoint NX, the industrys only responsive application Platform as a Service, allows channel partners to build future-proof workflows and apps that are SharePoint-version agnostic. AgilePoint NX apps are responsive to devices, browsers, environments, and the needs of disparate business units. AgilePoint NX enables channel partners to, in essence, become SaaS vendors, Shiah concluded, providing them with a private-label portal through which they can license apps to their customers on a subscription basis. AgilePoint, a Microsoft Gold level managed ISV partner, has a long history of servicing Microsoft customers with innovative technologies, commented Michelle Peterson, Director, Solutions Sales at Microsoft. Were excited to see how AgilePoint NX can catalyze broad migration from on-premises SharePoint farms to Office 365. Forrester Research, Inc. The Forrester Wave: Low-Code Development Platforms, Q2 2016. Clay Richardson and John R. Rymer. April 14th, 2016. About AgilePoint AgilePoint, a leading provider of Low-Code Business Process Management (BPM) software products, has 1,400+ on-premises and cloud deployments in 25 countries. AgilePoint enables its customers to build enterprise applications that connect people, content, and systems. By leveraging tools they are already familiar with, such as SharePoint, Office 365, Salesforce, Oracle, NetSuite, Dropbox, Box, One Drive and Google Drive, AgilePoint customers can build self-adaptive applications in half the time it takes to build traditional, rigid applications using competing BPM products. AgilePoints key industries include manufacturing, energy, legal services, financial, insurance, healthcare, and government. We are honored and thrilled to work with Landau to raise money for flood relief that will go directly to these people. Record floods have devastated the citizens of West Virginia. In response to this tragedy, Bethesda Blues and Jazz Club and Americas Got Talent star, Landau Eugene, Murphy Jr. will hold a special benefit concert on Friday, July 22. A portion of each ticket sold goes directly to aid for the flood victims. Tickets are only $25 and can be purchased online at http://www.bethesdabluesjazz.com and at http://www.landaumurphyjr.com/. Profits from Landaus latest single, Come Home to West Virginia, have also been designated for flood relief. His song is presently in the iTunes Top 10. It will also be sold at his Bethesda Blues and Jazz appearance for a $5.00 donation to the American Red Cross. "We are deeply saddened by the tragedy that the massive floods have brought to our neighbors in West Virginia. We wanted to do something to help them, says Bethesda Blues and Jazz Supper Club owner Rick Brown. So, we are honored and thrilled to work with Landau to raise money for flood relief that will go directly to these people. Doors open at 6:00 p.m. and the show begins at 8:00 p.m. For tickets and more information, visit our website at http://www.bethesdabluesjazz.com. Bethesda Blues and Jazz is located at 7719 Wisconsin Avenue, Bethesda, Maryland. About Bethesda Blues and Jazz Club The Bethesda Blues & Jazz Supper Club, once The Bethesda Theater, was built in 1938 and opened as one of the era's Art Deco cinema palaces. With an $8 million renovation, the National Historic Register Property has been restored and is now the region's leading live music supper club. Since its opening in 2013, the club has hosted more than 500 artists, 200,000 customers, and 150 private events. The Bethesda Blues and Jazz Supper Club is located at 7719 Wisconsin Avenue in Bethesda, Maryland. For more information, visit http://www.bethesdabluesjazz.com or call 240-330-4500. Today, the League of United Latin American Citizens (LULAC), in partnership with Facebook, held a ribbon cutting ceremony to celebrate the upgrade of a LULAC technology center at GapBuster, Inc. in Riverdale, Maryland. GapBuster focuses on closing the educational performance gap among minority students through various educational enrichment activities and leadership development opportunities. LULAC remains at the forefront of community efforts to create broadband access for the Latino community, said LULAC National President Roger C. Rocha, Jr. "With Facebook as a partner, we will continue ensuring that the Hispanic community has access to dependable and useful technology that provides Latinos with access to education and skills training in order to pursue educational opportunities and succeed in the workforce. The effort is part of LULACs Empower Hispanic America with Technology (EHAT) initiative, which focuses on closing the technology gap in underserved communities. The upgrades to the technology center included new desktop computers, laptops, printer, smart TV for educational purposes, high speed Internet, and office software. This center is one of three such centers that Facebook has helped fund. The other sites are located in San Francisco, CA and El Pueblo, CO. Were proud of our partnership with LULAC and thrilled to support its mission to provide the Latino community with access to technology, said Susan Gonzales, Facebook Community Engagement Director. "Exposure to technology is critical to the development of our youth, and through our support of LULACs technology center at GapBuster, Inc., we can encourage students to explore careers in computer science and engineering. Without access to the Internet or to computer equipment, students are more likely to fall behind in their school work. The upgraded technology center will help GapBuster continue to empower the Latino population to successfully pursue higher education opportunities. "The computer technology center will enhance our service to the community and assist with closing the digital divide between low-income Hispanic residents by providing high speed internet access and up-to-date computer and networking equipment," said Dr. Yvette Butler, Founder and Executive Director of GapBuster, Inc. "We want to thank LULAC and Facebook for their commitment to uplifting the Latino community and supporting small local nonprofits that work to meet the needs of the neighborhoods they serve." ### About LULAC: The League of United Latin American Citizens (LULAC) is the nations largest and oldest civil rights volunteer-based organization that empowers Hispanic Americans and builds strong Latino communities. Headquartered in Washington, DC, with 1000 councils around the United States and Puerto Rico, LULACs programs, services and advocacy address the most important issues for Latinos, meeting critical needs of today and the future. For more information, visit http://www.lulac.org. About Facebook: Founded in 2004, Facebook's mission is to give people the power to share and make the world more open and connected. People use Facebook to stay connected with friends and family, to discover what's going on in the world, and to share and express what matters to them. About GapBuster: GapBuster, Inc. AKA GapBuster Learning Center (GBLC) is a non-profit educational program dedicated to promoting higher levels of achievement and closing the performance gap of students by enriching and supplementing the learning experience. Founded in 1999, GapBusters vision is to close the academic achievement gap. Through supplemental education, enrichment programs, and leadership development training, GapBuster, Inc. develops well-rounded individuals positioned to excel in academic, social, and professional environments. For more information, visit http://www.gapbuster.org Rising sea level threatens us all, from people and property to wildlife and wild places, said Jonathan Zucker, Aquarium Board Chair. We feel a special obligation as a trusted convener of key players - and presenter of scientific data - to make sure th July 11, 2016 Sea level rise, storm surge and changing ocean conditions caused by climate change are being experienced firsthand in the Lowcountry, and the South Carolina Aquarium is introducing a new initiative to provide citizens and communities with the facts about the foremost environmental issue facing our generation. According to the National Oceanic and Atmospheric Administration (NOAA), in the 1970s Charleston experienced just two days of tidal flooding per year, but by 2045 the city is predicted to see 180 days, or half the year, of flooding annually. The Resilience Initiative for Coastal Education (RICE), which will be announced July 14, is being established to coordinate a resilience strategy for the communities and shoreline of the Lowcountry to create awareness, to develop tools to address the changing landscape, and to work with organizations to plan for sea level rise. Rising sea level threatens us all, from people and property to wildlife and wild places, said Jonathan Zucker, Aquarium Board Chair. We feel a special obligation as a trusted convener of key players - and presenter of scientific data - to make sure that everyone is informed about what is at stake and who is at risk. Over a three-year period, the Aquarium and its partners will: Develop a comprehensive public engagement and education awareness program to communicate the importance of resilience planning. Develop an advanced storm surge and coastal inundation modeling system for the coastline utilizing NOAA imaging data. Engage municipal, corporate and government agency leaders in coordinated planning to arrive at innovative, long-range solutions. The Aquarium will announce details surrounding RICE on July 14, 2016 at 10 a.m. in the Aquariums Great Hall. Mayor John Tecklenburg along with Aquarium President Kevin Mills and Dr. Emory Campbell, Director Emeritus of the Penn Center, will be on hand to discuss the ties of the Gullah Geechee culture to the environment, the resiliency initiative, and how the City of Charleston is taking action to address rising sea levels. The painting American Gullah by artist Sonja Griffin Evans will also be unveiled. To R.S.V.P. to this event, please contact Kate Dittloff at kdittloff@scaquarium.org or call (843) 579-8660. The first phase of the initiative is currently underway across the Lowcountry. The Aquarium, in association with the Medical University of South Carolina, South Carolina ETV, the U.S. Department of Energy, and Allen University, are hosting a series of community town hall meetings. Attendees will be introduced to climate change through the film Climate Change: A Global Reality. Following the film, a group of local experts will be on hand to discuss the impacts climate change has on the area, and how communities and individuals can best prepare for rising seas. The next town hall meeting takes place July 16 at the Charleston County Main Library; two additional meetings will take place August 20 in Myrtle Beach and October 15 in Savannah. To learn more about the Charleston town hall click here, For information on the remaining town halls click here. For media inquiries contact Kate Dittloff, (843) 579-8660 or kdittloff(at)scaquarium.org. About the South Carolina Aquarium: The South Carolina Aquarium, Charlestons most visited attraction, features thousands of amazing aquatic animals from river otters and sharks to loggerhead turtles in more than 60 exhibits representing the rich biodiversity of South Carolina from the mountains to the sea. Dedicated to promoting education and conservation, the Aquarium also presents fabulous views of Charleston harbor and interactive exhibits and programs for visitors of all ages. The South Carolina Aquarium, a 501(c) (3) not-for-profit organization and is open daily from 9 a.m. to 5 p.m. The Aquarium is closed Thanksgiving Day, half day Dec. 24 (open 9 a.m. to 1 p.m.) and Dec. 25. Admission prices are: Toddlers (2 and under) free; Youth (3-12) $17.95; Adults (13+) $24.95. The Aquarium plus the 4-D Theater experience is free for Toddlers, $22.95 for Children, $29.95 for Adults. The 4-D Theater experience only is $6.95 for Children and Adults, and free for Members. For more information call 843-720-1990 or visit scaquarium.org. Memberships are available by calling 843-577-FISH. #### Working with community partners to keep children healthy; generously supporting local organizations that connect New Jerseyans to life-saving health services; and, donating time, talent and resources to make New Jersey communities stronger and more vibrant. Details on these and other ways that Horizon Blue Cross Blue Shield of New Jersey is making a positive difference in the lives of millions of state residents can be found in the just-released 2015 Corporate Social Responsibility Report. As a New Jersey-based company for 84 years, Horizon continually strives to be a responsible corporate citizen that enriches the lives and health of the states residents, said Robert A. Marino, Chairman & CEO of Horizon BCBSNJ. I am proud of what we have been able to accomplish through our charitable efforts, and applaud our 5,000 employees for consistently demonstrating their passion for giving back to the communities where they live and work. The report lays out the results achieved by Horizons Corporate Social Responsibility Department in 2015: $5.2 million in grants from The Horizon Foundation for New Jersey. Signature initiatives include Breathe Easier with Asthma Management (BEAM), a collaborative with the Boys & Girls Clubs in NJ that supports asthma education and awareness efforts and Healthy U, a partnership with the NJ YMCA State Alliance to prevent childhood obesity. The Foundation made 128 grants in 2015 including support for HomeFront in Mercer County, the Jersey City Bike Share Program, and Newark Celebration 350, honoring Newarks 350th birthday. $2.68 million in corporate giving, charitable contributions and financial support of local organizations through sponsorships of 134 events including the Salvation Army 150th Anniversary Gala and the ShopRite LPGA Classic. 5,449 hours volunteered by Horizon BCBSNJ employees and $237,000 in matching funds for employee contributions. About Horizon Blue Cross Blue Shield of New Jersey Horizon Blue Cross Blue Shield of New Jersey, the states largest and most experienced health insurer is a tax-paying, not-for-profit health service corporation, providing a wide array of medical, dental, and prescription insurance products and services. Horizon BCBSNJ is leading the transformation of health care in New Jersey by working with doctors and hospitals to deliver innovative, patient-centered programs that reward the quality, not quantity, of care patients receive. Learn more at http://www.HorizonBlue.com. Horizon BCBSNJ is an independent licensee of the Blue Cross and Blue Shield Association serving more than 3.8 million members. Greenfield Advisors Vice Chairman and Co-Managing Director, Dr. Clifford A. Lipscomb, is one of the authors writing in a new book about the economic effects of hydraulic fracturing on a worldwide scale. Dr. Lipscombs extensive background in economics and real estate valuation gives him a unique view on how hydraulic fracturing, commonly called fracking, would affect Japan, which is the focus of his chapter in the newly released book, The Global Impact of Unconventional Shale Gas Development. The chapter, Shale Gas Development and Japan, was co-written with Dr. Hisanori Nei of Japan, Dr. Yongsheng Wang of the Bank of New York Mellon, and Sarah J. Kilpatrick, and examines how natural gas found in shale formations is affecting the economy of Japan. The country currently imports much of its natural gas, and because of the size and geography of Japan, other energy sources, such as wind or conventional oil, are available only in limited locations. Dr. Lipscomb and his co-authors researched how the Great East Japan Earthquake in 2011 forced the country to severely limit its use of nuclear power and instead diversify into other energy sources. Liquified Natural Gas (LNG) could be transported to the country, but it came with a higher price tag. Japan provided us with an interesting set of circumstances to examine, Dr. Lipscomb said. I visited Japan in 2013 to meet with Japanese real estate professionals and to present my research at the Asian Real Estate Society meetings in Kyoto. Then and now, I found that Japan is still recovering from the loss of its ability to produce nuclear power. Meanwhile, the country is working to provide enough energy for its citizens and to support its economy. In the years since the earthquake, some of the nuclear power plants have come back online. However, the Japanese government is working to diversify the countrys energy sources, and that includes importing large amounts of LNG, which can be collected through fracking. Japan, like other areas of the world, is discovering that fracking, while controversial, is also an inexpensive way to drill for natural gas located in large shale formations. Theres no question fracking and shale gas drilling have changed the way we look at energy on a global scale, Dr. Lipscomb said. Weve seen it here in the United States in recent years, and it was interesting to examine how countries on the other side of the globe are utilizing natural gas in their energy portfolios. Also, the economics of importing LNG derived from unconventional shale gas development in the U.S. are still attractive. There is a nice arbitrage opportunity there, although it is not as attractive as it once was 1-2 years ago. The Global Impact of Unconventional Shale Gas Development is available for purchase at http://www.springer.com/us/book/9783319316789. About Greenfield Advisors Founded in 1976, Greenfield Advisors is a boutique economic and financial analysis firm that provides government and private sector clients with customized consultations and advisory services. Best known for its analysis of complex economic, financial, and real estate situations in high-profile litigation matters, Greenfield Advisors also develops feasibility studies, business plans, and appraisals for its clients. Greenfield Advisors subsidiary, Bartow Street Capital LLC, serves as its investment banking and capital raising arm, and its subsidiary, Accre LLC, acts as an investment principal. Learn more about Greenfield Advisors by calling 206-623-2935 or visiting http://www.greenfieldadvisors.com. Mark Loughman just released the video for "One Long Line" Brought to life by director and frequent Loughman collaborator Daniel Christiansen, the video casts Loughman against the backdrop of a 100-year old barn to evoke the defiant isolation of his lyrics and wending guitar lines. Rocker Mark Loughman has released his first music video from his recently released LP Bleedin Aura for the lead single, One Long Line. The track and video feature master session drummer Kenny Aronoff. Brought to life by director and frequent Loughman collaborator Daniel Christiansen, the video casts Loughman against the backdrop of a 100-year old barn to evoke the defiant isolation of his lyrics and wending guitar lines. "One Long Line", which is about' the emotional brilliance of desolation", was produced by Mark Loughman and Warren Huart (The Fray, James Blunt) and recorded at the legendary Sunset Sound in Hollywood. When I first heard the album, I knew this was a track I wanted to create a strong visual presentation for, so I was pleased to get the opportunity to take a crack at it as the lead video, says Christiansen. For Loughman, the song captures the dark side of his psyche with lyrics like As far as I can see, we're all in one long line and it appears we must walk along it, until we die. Aronoffs pounding drums and Loughmans biting tremolo guitar give the song an edginess that evokes paranoia, further enhances by Loughmans impassioned vocal delivery. Ostensibly the song is about the end of the world, Loughman says. Theyre pretty dreary, somber lyrics if you listen in, and I think we did a good job of capturing that in the recording and the video. Daniel and I were very much on the same page about what we wanted to achieve visually, were quite in sync with our creativity and work well together. Loughman stumbled upon the videos main shooting location through his friend producer Val Garay. Val has this old barn on his property in Topanga Canyon and we thought it would be the perfect setting for the video, Loughman says. Its been restored and converted into a tracking space by Val, but it still retains a lot of its original, slightly dilapidated character. After shooting Loughman on location, Christiansen supplemented the barn footage with shots of Loughman and Aronoff playing live in-studio and crafted the video out of the roughly 4 hours of footage. We shot everything really fast, down and dirty, and I think that really fits Marks style, particularly on this song, Christiansen says. I think the video captures Marks uniquely dark sensibilities, his Bleedin Aura if you will. The video for One Long Line is streaming now on YouTube. Bleedin Aura is out now on Spitfire Music and available at markloughman.com. EC-Council Foundation cordially invites you to nominate yourself or a worthy peer for our Information Security Awards Gala! EC-Council Foundation wants to celebrate the best ethical hackers, penetrati So often information security is looked at as individual domains, like risk management in this box, audit in this box, etc. With the theme this year were hoping to pull back a little and really look at how nothing exists in its own box. EC-Council Foundations series of Global CISO Forums has made waves in the information security industry and this year will be no exception. The theme for the 2016 edition of the Global CISO Forum is The Butterfly Effects of Strong Information Security Leadership. The conference will be an opportunity for the speakers and audience to explore the ways their leadership impacts their teams, organizations, and careers. The reason for the theme selection was the organizing committee behind the Forum felt it was time leaders realize the ripple effects of each of their actions and decisions. This ripple effect can be felt in everything from technology purchases to team leadership to hiring decisions to audit response. Says Ron Baklarz, CISO at Amtrak and member of the EC-Council Foundation CISO Event Committee, So often information security is looked at as individual domains, like risk management in this box, audit in this box, vendor management in this box, etc. With the theme this year were hoping to pull back a little and really look at how nothing exists in its own box. The agenda for the Forum will include a black tie gala honoring the finalists and winners for the InfoSec Tech & Exec Awards program on the night of September 14, 2016. There are seven information security awards to be awarded during the gala, and two of them are expressly for executive level information security professionals: CISO of the Year and C|CISO of the Year. Nominations will be accepted through July 31, 2016. Finalists will be invited to attend either the Forum or Hacker Halted, EC-Council Foundations technical event held in conjunction with the Forum at no cost. Before the conference begins, Simeio Solutions, a Forum sponsor, will be inviting the CISOs to attend a networking session at the Porsche Experience Center. Attendees will be invited to test out the driving simulators, ride along with professional drivers on the world-class racetrack, sip cocktails, and mingle with fellow CISOs. The Forum will feature high-level, industry-recognized keynote presenters followed by a series of panel discussions designed to go deeper into the issues that keep CISOs awake at night. Also included on the agenda will be a cocktail reception to encourage networking, the Hacker Halted signature party, breakout groups for writing white papers, training opportunities, and much more. The annual Global CISO Forum is collocated with EC-Councils most popular conference, now in its fourth year in Atlanta. The Global CISO Forum is limited to C-level executives, VPs, Senior Directors, etc. About the EC-Council CISO Program: EC-Councils CISO Program has three components: CISO Events, the Certified CISO (C|CISO), and CISO Resources a repository for white papers and articles geared toward a CISO crowd. EC-Councils CISO Events are invitation only and geared specifically for high-level, executive information security professionals. Combining keynotes with panel discussions, the events are opportunities for CISOs from around the world to hash out the trends and challenges of information security among their peers. The C|CISO is a certification recognizing an individuals cumulative experience and expertise in executive information security management. Overseen by a board of seasoned and distinguished professionals, the C|CISO Program has certified over 1,400 IS executives from around the world. For more information about EC-Councils CISO Program, please visit ciso.eccouncil.org. About EC-Council EC-Council has been the worlds leading information security certification body since the launch of their flagship program, Certified Ethical Hacker (CEH), which created the ethical hacking industry in 2002. Since the launch of CEH, EC-Council has added industry-leading programs to their portfolio to cover all aspects of information security including EC-Council Certified Security Analyst (ECSA), Computer Hacking Forensics Investigator (CHFI), Certified Chief Information Security Officer (CCISO), among others. EC-Council Foundation, the non-profit branch of EC-Council, created Global CyberLympics, the worlds first global hacking competition. EC-Council Foundation also hosts a suite of conferences across the US and around the world including Hacker Halted, Global CISO Forum, TakeDownCon, and CISO Summit. For more information about EC-Council, please see http://www.eccouncil.org. Lennys Subs, the Souths favorite all-American sub sandwich franchise, is celebrating a major milestone. On July 8th, the brand sold its 25 millionth Philly cheesesteak sandwich in San Antonio, Texas. The achievement comes as Lennys Philly cheesesteak continues to experience record sales. The irresistible flavor of the brands delicious signature sandwich is made with grilled onions, Philly-style, grilled to order beef and Swiss American cheese. The Philly Cheesesteak is well known as Lennys number-one seller, and has become the focal point of the brands entire grilled sandwich line. When I think about our Philly cheesesteak, my mouth waters, said Kevin Martin, president and CEO of Lennys Subs. Its something I crave, and its why so many of our loyal customers keep coming back. Our Philly cheesesteak isnt just one of the best products on Lennys menu, its one of the best Philly cheesesteaks in the world. In order to celebrate the milestone 25 millionth Philly cheesesteak, Lennys is kicking off a special promotion. The celebration is already underway in San Antonio, where the customer who bought the milestone sub received a $250 Lennys gift card. And the celebration will continue on July 26 as guests who order a delicious Lennys Philly cheesesteak will receive 25 percent off their sandwich at participating locations. We want to give back to the customers who helped us cross the 25 million mark, said Martin. We also want to build on the momentum this world class sandwich has created with our fans. Every time we promote our Philly cheesesteak, we see an impressive boost in sales. That growth wont be limited to Lennys traditional Philly cheesesteak. Due to the sandwichs success, the brand has expanded its Philly category. Lennys now offers an A1 Steak Sauce Philly, veggie Philly and more chicken Philly options. Our Philly cheesesteak sets the bar high for all of the other amazing fresh, grilled-to-order subs on our menu, and we want to celebrate that success, Martin said. With this special offer, we have a tremendous opportunity to cultivate brand loyalty and get everyone craving our World Famous Philly in all the communities we serve. ABOUT LENNYS SUB SHOPS: Since the first Lennys opened in 1998 in Memphis, TN, the mission has been simple: to make and serve great food. Known for serving the Worlds Best Philly Cheesesteaks and other high quality deli subs, grilled sandwiches and salads, Lennys Subs offers breads baked fresh daily, premium meats sliced to order and freshly prepared toppings. With more than 100 locations today, Lenny's Subs continues to expand throughout the southeast by offering single and multi-restaurant franchise opportunities while selling the best-tasting all-American subs available. John Larson has an exceptional track record and has demonstrated a passionate dedication to the senior community in his 28-year career The Board of Directors at the senior living facility Terwilliger Plaza announced a new President and CEO. John M. Larson started on June 6, 2016. Larson has 28 years of experience in the senior living industry, having previously served in executive roles for other Continuing Care Retirement Communities (CCRC), namely Holladay Park Plaza of Portland and Mirabella of Seattle. The Board of Directors selected Larson after conducting a nationwide search to fill the position. During Larsons tenure as Regional Director and Executive Director of Mirabella of Seattle, he raised the financial viability of the community, improved satisfaction scores among both residents and staff and expanded the number of skilled nursing beds. Larson was also responsible for establishing an in-home care agency that provides caregiver and companion services, overseeing a major expansion and renovation of the facility and consolidating wellness programs to better serve community residents. Larson has been a member of Leading Age Oregon since 1988 and serves on the CCRC's Regulation and Legislative committees. He is licensed as an Oregon Nursing Home Administrator and holds a Masters in Intercultural Studies from Columbia International University. John Larson has an exceptional track record and has demonstrated a passionate dedication to the senior community in his 28-year career, said Chairman of the CEO Search Committee Clifford Droke. The Board of Directors is particularly enthusiastic about his collaborative leadership style and business acumen, and we are confident that Terwilliger Plaza will continue to thrive under his direction. Located in downtown Portland, Oregon, Terwilliger Plaza is a vibrant and highly respected self-governing senior and retirement community with a resident population of approximately 350 seniors. Founded in 1958, the facility offers comfortable and spacious apartments with a variety of sought-after amenities, beautiful views of downtown Portland and common areas to accommodate numerous and varied social activities. As a self-governing facility, residents have an opportunity to attend quarterly town hall meetings and to elect board members, who make important policy and financial decisions for the facility. According to the institutions by-laws, the Board of Directors must be comprised of nine to 11 people, with the majority being residents of Terwilliger Plaza. For more information, interested parties are invited to visit http://www.terwilligerplaza.com/ or call the office directly at (503) 808-7870. Chairman of the Board of Directors Scott Philips can be reached at scottp(at)tplaza(dot)org. Terwilliger Plaza is located at 2545 SW Terwilliger Blvd. in Portland, Ore., 97201. Terwilliger Plaza is an independent senior living and retirement community located in downtown Portland, Ore. The facility offers spacious apartments with desirable views and numerous amenities. Terwilliger Plaza is self-governing, which means residents have a voice and a vote on community policies. The facility also offers continuing care provided by licensed nurses in cooperation with outside health care service providers. St. Clair County investigators have questioned and taken a DNA sample from Larry D. Hall in their revamped investigation into the death of Eulalia Mylia Chavez of Palo Alto, California. She wasn't identified until 2007, after an exhumation led authorities to find they make a mistake when fingerprinting her. The case was reopened. Before then she'd been known as "The Summerfield Woman" and "Summerfield Jane Doe" to authorities, named for the community where she was found. "We said to ourselves, 'We can solve this. This is solvable,'" County Sheriff Rick Watson told The Belleville News-Democrat (http://bit.ly/29yAfTt). In May, two county investigators traveled to interview Hall at the North Carolina federal prison where he's serving his sentence, according to the newspaper. Hall, of Wabash, Indiana, was convicted in the kidnapping and murder of Jessica Roach, who went missing in 1993 near Georgetown, Illinois. Her body was found weeks later in an Indiana cornfield. Hall has been suspected in other cases over the years and confessed to dozens of crimes, including details about Chavez. But he later recanted the information. It was unclear if he has an attorney. Investigators said even if there is a DNA match to Chavez, Hall won't be prosecuted. He can't be released from prison and Illinois no longer has the death penalty. Chavez, who was 28 at the time of her death, had been hitchhiking around the country. Our liberties we prize and our rights we will maintain. Iowas state motto is powerful and succinct. This motto has seemingly been Iowas guiding star since our founding. Iowa eliminated a ban on interracial marriage in 1851. Iowa granted its Black citizens the right to vote years before the federal government. Iowa fought for liberty during the Civil War, sending more troops per capita than any other state to end the scourge of slavery, and played a role in the Underground Railroad. Iowa was among the earliest signers of the 19th Amendment granting women the right to vote. Iowa became the first state to desegregate our schools, was one of the earliest states to recognize marriage equality and until recently was ranked among the most accessible states for voting access. G'day! It's Murray here. I've put together a little quiz to test your musical knowledge. Think you can score top marks in Murray's Magic Music Quiz? Give it a go now! The project involves constructing two single bore tunnels, each 10.46m in diameter and running up to 22m below the existing alignment between Caballito and Castelar. The first phase of the project involves tunnelling between Caballito and Haedo, with Phase 2 extending the tunnel to Castelar. In the longer-term, the tunnel will be extended a further 14km from Castelar to Merlo and Moreno. Phases 1 and 2 include the construction of 11 underground stations and will enable minimum headways to be reduced from 10 minutes to three minutes. The completion of the underground line will also eliminate 38 level crossings. At present crossing barriers are lowered for up to 29 minutes an hour on some sections of the line. The project to bury the Sarmiento Line has had a long and turbulent history. In February 2006, Aregentina's then president Mr Nestor Kichner announced the launch of an international tender for the Caballito - Liniers section. The scope of the tender was subsequently widened to encompass the remainder of the tunnel to Moreno. In January 2008 newly-elected president Mrs Christina Kirchner pledged the project would be completed by 2011. However, plans to finance the project privately ran into difficulty later in the year due to the global economic crisis and the government subsequently decided to finance construction through public sources. In December 2008 a consortium of Comsa, Iecsa, Ghella, and Odebrecht was awarded a contract to construct the Caballito - Ciudadela section, which was due to be completed within three years. The first TBM was lowered into an access shaft at Haedo in 2012, when the then transport minister Mr Florencio Randazzo pledged the tunnel would open in 2015. However, with the government experiencing further difficulty in obtaining international financing, the machine has remained idle for the last four years. The government now expects the Caballito - Castelar section of the tunnel to open in 2020. Sinara delivered the first prototype 2ES6 to RZD in November 2006 and the first production locomotive entered service at the end of 2008. The type is now in widespread use across Siberia and in the Urals. The 3kV dc eight-axle locomotives have a continuous output of 6MW and a starting tractive effort of 833kN. Ural Locomotives will deliver a total of 82 2ES6s to RZD this year and the type is due to be introduced on the Yuzhno - Uralskaya South Urals Railway within the next few months. Welcome to Railway Gazette. This website uses cookies to improve your experience. By continuing to browse this site you are agreeing to our use of these cookies. You can learn more about the cookies we use here. OK Since the attack in Orlando on June 12, the Islamic State of Iraq and Syria (ISIS) has claimed or is suspected of responsibility for ensuing terrorist attacks in Jordan on June 21, Lebanon on June 27, Istanbul on June 28, Dhaka, Bangladesh, on July 1, Baghdad on July 3, and Saudi Arabia on July 4. Are these attacks part of a new global strategy by ISIS or, except for the ISIS label, a coincidence? Whatever the investigations ultimately reveal, the facts may be portrayed (or ignored) to fit narratives written even before the blood has dried. Do the recent attacks represent a surge in terrorist violence? Not really. In the course of the ongoing conflict, similar spates of attacks have occurred from time to time. People tend to view them as evidence of escalation or a strategic shift, as something new and significant, when the cluster of activity is little more than a reflection of chance several groups of attackers getting lucky at the same time and causing the world to take notice. A similar spike materialized in January with terrorist attacks in Libya, Iraq, Turkey, Afghanistan, Indonesia and Egypt. Do the recent attacks represent a surge in terrorist violence? Not really. Another part of the equation is that Islamist extremists, both al Qaeda and ISIS, annually call for attacks during the holy month of Ramadan, which this year ended on July 5. While mainstream Muslims regard bloodshed during the religious period as sacrilegious, jihadists are persuaded that what they misguidedly perceive as noble acts like killing infidels will earn them greater reward if carried out during Ramadan. Even greater violence was seen during last year's Ramadan. On June 26, 2015, as ISIS massacred over 200 civilians in Kobani, Syria, jihadists elsewhere carried out a series of terrorist attacks: Al-Shabaab militants attacked an African Union military post in Somalia, terrorists gunned down tourists at a beach resort in Tunisia, bombed a Shiite mosque in Kuwait, carried out another suicide bombing in Syria, and beheaded one person and injured several others in France. Altogether, more than 400 people were killed in these June 2015 attacks. This year, the reported combined death toll for jihadist terrorist attacks since Orlando, including at least 250 killed in Baghdad, is 385. The 2015 attacks were unconnected with one another. It is not yet clear whether all of the attacks are linked this time around. The terrorist attacks in Paris in November and Brussels the following March clearly were part of a terrorist campaign conducted by a network of French and Belgian fighters recruited and led by Abdelhamid Abaaoud, a Belgian foreign fighter who joined an ISIS unit in Syria in 2013. Other members of his network included ISIS veterans returning home assisted by an underground of local confederates. That network has been largely dismantled, but European authorities are discovering and dismantling the embryos of new networks. The more recent attacks in Orlando, Istanbul, Baghdad, Jeddah and Medina in Saudi Arabia, and Dhaka might be explained in one of several ways. Except for inspiration, the attacks may be unrelated and only coincidentally carried out around the same time. ISIS has been conducting terrorist attacks in Iraq, Turkey and Saudi Arabia for some time; these attacks may be viewed as part of the ongoing conflict in Syria and Iraq and reflect the group's general strategy. For example, ISIS terrorists have continued an intense terrorist campaign in Baghdad, carrying out more than 100 bombings and killing more than 500 people in the first six months of 2016. Bangladesh may be a separate phenomenon. The country has seen growing radicalization and increasing violence. Bangladeshi volunteers have been involved in various jihadist fronts around the world. Orlando is clearly a "standalone" attack with only a tenuous connection to ISIS. Alternatively, at least some of the attacks may reflect the dispersal of foreign fighters ISIS volunteers now returning home determined to carry on the jihad as well as new inspiration for homegrown violent extremists determined to go on their own warpath. This phenomenon is going to be a big driver of future terrorist violence as ISIS, under external military pressure, frays from within and its foreign fighters disperse. ISIS encourages and facilitates such attacks, but the above list does not reflect a strategic decision by ISIS leadership to launch a global terrorist campaign. In other words, ISIS is the incubator, but not the central command, of a global terrorist campaign. Or possibly, ISIS's leadership has made a strategic decision to do whatever it can to stay in world headlines even as it loses ground in Syria and Iraq. This also has a strategic logic. Jihadist strategy is based upon manipulating perceptions: maintaining its image of strength, momentum and success. This does not mean that every attack is centrally directed or that ISIS leadership is involved in its planning. ISIS seeks as much violence and chaos as possible, but appears to be opportunistic it exhorts, facilitates, assists and, in some cases, may provide general direction. Much appears to depend on individual initiative. White House and Pentagon announcements that U.S. airstrikes have killed specific ISIS commanders, giving their name and position, imply that American intelligence has a detailed wiring diagram of ISIS leadership. But do we have detailed information on its decisionmaking or do our assumptions rest upon what we observe? What we see are some attacks that appear to support a logical strategy: destabilizing Turkey, stoking sectarian tensions in Baghdad, shaking up Saudi Arabia. Other attacks carry the banner of ISIS to bolster the credentials of their perpetrators, but reflect local contests and offer little evidence of direct ISIS involvement. Most of the homegrown terrorists, like the shooter in Orlando, simply declare themselves to be ISIS fighters, and while ISIS applauds their actions, it cannot be said to have carried them out. A displaced terrorist group can still carry on a global campaign. Following the U.S. invasion of Afghanistan and the dispersal of al Qaeda in late 2001, a global campaign of terrorism unfolded with major al Qaeda terrorist attacks in India, Pakistan, Tunisia, Yemen, Indonesia, Kenya, Saudi Arabia, Morocco, Turkey, the Philippines, Spain, Egypt, the United Kingdom and Jordan. These were carried out by alumni of al Qaeda's training camps augmented by new recruits. A displaced terrorist group can still carry on a global campaign. Between September 2001 and April 2006, 33 major terrorist attacks were linked to al Qaeda and an even greater number of terrorist plots had been uncovered and foiled. By 2006, the global terrorism campaign was on the decline as local governments some of whom might have preferred to stay on the sidelines in the U.S.-led global war on terror joined the international effort and ripped up local al Qaeda networks when faced with attacks on their own soil. The point is that even while al Qaeda's leaders were on the run, their followers were able to wage a worldwide terrorist campaign. We may see a time lag here, as well, with a global terrorist campaign inspired by ISIS lasting long after its demise as a territorial entity. A number of the members of the terrorist network responsible for the Paris attack in November and the Brussels attack in March are in custody and should be able to tell authorities more about that network, which, in turn, may offer some clues about the role of ISIS in the current attacks. But will members of the network know whether its leader, Abaaoud, was an entrepreneur who persuaded ISIS leaders to support his initiative to launch a terrorist campaign in France and Belgium, or whether he was merely a lieutenant acting on behalf of higher-ups in ISIS? (One has to be cautious even about what those arrested say: Abaaoud was the local fighters' only contact in Syria and he may have presented himself more as the leader than as a subordinate taking orders from others.) And we still don't know to what degree Abaaoud was a unique figure and therefore whether ISIS retains this capability with him gone. Turkey has identified the attackers at Istanbul's airport and has additional suspects in custody. The quality of this attack suggests that the attackers, like those in Paris, may have had ISIS support, but we are not sure. Are they another version of the Abaaoud network? Did the attackers all spend time in Syria? Where did their orders come from? Is there evidence of communications with ISIS while they were in Turkey? Authorities in Bangladesh have identified the attackers in Dhaka and should be able to indicate whether they also spent time in Syria and if there is any evidence that they took orders from ISIS, or were homegrown terrorists inspired by ISIS. The same is true of those who carried out the attacks in Jeddah, Medina and Qatif in Saudi Arabia. Political agendas invariably complicate analysis. Some government officials may wish to downplay the homegrown aspect of the threat and instead blame all terrorist attacks on a central command center in Syria. The idea of a central command is tantalizing. During the Vietnam War, the United States spent years searching for the enemy's "Pentagon," a central command center believed to be located somewhere in western Vietnam or Cambodia. It was never found and it is not certain that any such physical headquarters ever existed. Adventure films end more satisfyingly with the hero escaping as the headquarters of some evil enterprise blows up in the background. Other officials may wish to portray all of the attacks as an escalating terrorist campaign, which, in turn, calls for an escalation of allied military operations to quickly crush ISIS or requires increased security measures at home. According to this view, the attacks prove that current efforts are not working, or are not working fast enough. In contrast, still others will prefer to portray the attacks as the death throes of an increasingly desperate ISIS that is losing ground. In other words, the attacks are evidence that current military efforts are succeeding. Or some may wish to portray the attacks as an escalating terrorist threat posed by Islamic radicalism in order to support broader biases against Islam or immigration, and the admission of Syrian refugees in particular. This interpretation makes no distinction between a strategic decision by ISIS and a troubled shooter in Orlando all manifest the same threat. Each interpretation will have different political and policy consequences. This is not to suggest that the intelligence services will bend information to support political positions, but rather that given the reality of incomplete, inconclusive and sometimes contradictory information political players will have ample opportunities to portray these events in a way that suits their agendas. Few observers are likely to be satisfied by a messy, but possibly more realistic analysis, which suggests that the recent attacks reflect a combination of ongoing and not necessarily related campaigns in Syria, Iraq and adjacent countries; entrepreneurial terrorists using the space provided by ISIS as a launching pad for their own jihadist ambitions; scattering foreign fighters heading back home to carry on the fight; ISIS exhortations to local supporters to take up arms; and the appeal of ISIS ideology to angry and often troubled individuals pursuing their own trajectories. Jenkins is a senior adviser to the president of the nonprofit, nonpartisan RAND Corporation and director of the National Transportation Security Center at the Mineta Transportation Institute. This commentary originally appeared on The Hill on July 11, 2016. Commentary gives RAND researchers a platform to convey insights based on their professional expertise and often on their peer-reviewed research and analysis. The U.S. and NATO response to Russia's more assertive policy in Europe since 2014 has focused heavily on deterring possible Russian threats to the Baltic region. However, Russia is giving increased priority to expanding its military power and influence in the Black Sea area. As allies take steps to strengthen defense of the Baltic region, they need to pay increased attention to enhancing security and deterrence in the Black Sea area. Russia's illegal annexation of Crimea has shifted the military balance in the Black Sea more strongly in its favor and significantly expanded Russia's strategic footprint. In addition to obtaining full control of Sevastopol, the finest natural harbor in the Black Sea, Russia also gained control of three other former Ukrainian naval bases in Crimea. The Russian Black Sea Fleet is scheduled to undergo a major overhaul and modernization. Russia announced plans to spend $2.4 billion over the next four years to bolster the fleet, including procurement of more modern surface ships and submarines outfitted with advanced cruise missiles, as well as integrated air-defense and amphibious-landing capacities.This enhancement of the Black Sea Fleet will strengthen Moscow's ability to project power in that region and expand its influence in the eastern Mediterranean, Balkans and Middle East. The Black Sea region is rife with potential flashpoints that threaten regional security. The Black Sea region is rife with potential flashpoints that threaten regional security. The situation in Ukraine is particularly worrisome. While the threat of Russian military intervention has receded for the moment, the danger of a conflict between Russia and Ukraine persists. Ukraine is vulnerable to Russian pressure and subterfuge. Its economy is in dire straits and remains heavily dependent on Russian energy, especially natural gas. Some important reforms have been introduced, but Ukraine still has a long way to go in its struggle to establish a stable, independent democratic state. Whether Ukraine succeeds or not will depend heavily on the firmness of the West's commitment and support for Ukraine's European Choice. In the security realm, the United States, the United Kingdom and Canada are providing valuable training for the Ukrainian armed forces designed to enhance their self-defense capabilities. NATO continues to pursue military exercises and cooperative programs to improve Ukraine's ability to work with allies and other partners on regional and global security problems. Tensions between Turkey and Russia represent another volatile threat to regional security. Bilateral relations remain strained due to Russia's military intervention in Syria, backing of the Assad regime and political and military support for Kurdish separatists. President Recep Tayyip Erdogan recently expressed regret for Turkey's downing of a Russian fighter jet that had violated Turkish airspace last November. But this move was driven largely by hopes of restoring lucrative tourism and economic ties that Moscow cut in the aftermath of the shootdown. Erdogan remains deeply concerned about Russian military activities in the Black Sea region, has lamented NATO's lack of a visible military presence there and called on allies to take steps to prevent the Black Sea from becoming a Russian lake. Turkey is not alone in feeling greater attention needs to be paid to Black Sea security issues. NATO allies on the western shore of the Black Sea, Romania and Bulgaria, have also expressed growing concerns about Moscow's efforts to increase its political and economic influence in their countries. Both governments have welcomed the increased U.S. military presence and exercises in the region, along with the completion of the first operational land-based NATO missile defense site in Romania. NATO defense ministers discussed proposals last month for development of an enhanced military presence in Southeastern Europe, including creation of a multinational land brigade in Romania and additional naval deployments. These moves, if endorsed at the Alliance's Summit in Warsaw July 8-9, would be valuable steps toward enhancing stability and security in the Black Sea region. In addition, the Summit provides an opportunity to develop a comprehensive strategy toward the Black Sea region, working in tandem with the European Union and other regional organizations. This strategy should include maintaining a persistent NATO maritime, land and air presence in the region and additional training and exercises. The United States and European countries should do more to support regional defense cooperation in the Black Sea and help Ukraine reform and modernize its armed forces and security services. F. Stephen Larrabee holds the Distinguished Chair in European Security (Emeritus) at the nonprofit, nonpartisan RAND Corporation and was a member on the National Security Council staff in the Carter administration. Stephen J. Flanagan is senior political scientist at RAND and served on the National Security Council Staff in the Obama and Clinton administrations. This commentary originally appeared on U.S. News & World Report on July 7, 2016. Commentary gives RAND researchers a platform to convey insights based on their professional expertise and often on their peer-reviewed research and analysis. Russia to pay Kazakh national $8,000 for illegal bringing to criminal liability MOSCOW, July 11 (RAPSI, Yevgeniya Sokolova) The Vakhitovsky District Court in Kazan, the capital of Republic of Tatarstan, has ordered Russias Finance Ministry to pay 500,000 rubles (about $8,000) in compensation to a citizen of Kazakhstan Sumbat Oganov for illegal bringing to criminal responsibility, his attorney Raushania Kamalova told RAPSI on Monday. In December 2005, Oganov was found guilty of smuggling and illegal drug trade and sentenced to 15 years in prison. Later, the Supreme Court of Tatarstan reduced the sentence by 2 years, according to Kamalova. However, it was found that materials of the republics Interior Ministrys operational search activity had been fabricated. In December 2015, the Naberezhnochelnynsky City Court found Oganov guilty only of transferring drugs to his accomplice and sentenced him to 8 years in prison, the attorney added. Thus, Oganov served 2.5 years in prison for the crime he had not committed, Kamalova said. New procedure for medical examination in jail established in Russia - report MOSCOW, July 11 (RAPSI, Lyudmila Klenko) - The Ministry of Health of Russia established the procedure for medical examination of detainees in temporary detention centers, Izvestia newspaper reported on Monday, citing the Ministrys press-secretary Oleg Salagai. According to the new procedure, within two hours after arriving at the detention center inmate will be examined by medical professionals. Inmate will have blood pressure and pulse measured by the staff, which will also check the condition of internal organs through the methods of percussion, palpation and auscultation. Doctors of the facilities will have to keep journals listing information on the condition of detainees and file reports if the latter have medical conditions preventing staying in a center. If disease is revealed, detainee is to be transferred to a hospital. The examination will be carried out only with the written consent of a prisoner or his legal representative. According to Izvestia, the Ministry of Healths order will come into force in the near future. After that the number of doctors and paramedics working in prisons will be established as well. Global Research, July 8, 2016 By Stephen Lendman The long delayed Iraq Inquiry Committee Chilcot report took seven years to complete, filled 12 volumes, yet excluded whats most important declaring the 2003 Iraq war illegal, flagrantly violating international law, destroying the cradle of civilization, raping it for control and profit, and demanding accountability for those responsible. Its 2.6 million words pronounced no judgment on Blairs partnership with GW Bushs naked aggression against a nonbelligerent country, based entirely on misinformation and Big Lies. US, Britain and co-conspiratorial coalition partners attacked and occupied Iraq based on falsified claims about nonexistent WMDs, no evidence of chemical or biological ones, mushroom-shaped cloud hysteria, manipulated intelligence, a fabricated Al Qaeda connection, and other phony threats. Intelligence was willfully cooked to fit policy. Britains so-called Dodgy Dossier generated fear to enlist public support for war, justifying the unjustifiable. Bush, Blair & Co. are war criminals, responsible for millions of deaths, vast destruction, along with endless violence and chaos, US-created and supported ISIS now acting as imperial foot soldiers. Blair acted internally on his own, willfully lied, mislead parliament, his senior ministers and Britains public inventing a nonexistent threat with a certainty that was not justified, said Chilcot. War on Iraq was entirely based on lies. Genocidal crimes followed. Yet neither Bush or Blair faces accountability at home or by the International Criminal Court (ICC) established by the Rome Treaty to prosecute individuals for crimes of war, against humanity and genocide. Chilcot said Britain invade(d) Iraq before the peaceful options for disarmament had been exhausted. Military action at that time was (a first) not a last resort. Saddam Hussein posed no regional threat, said Chilcot. Britain with America and coalition partners acted extrajudicially. His report stopped short of demanding whats most important long-denied accountability. Bush, Blair & Co. belong in the dock, prosecuted for Nuremberg-level high crimes ones Chief Justice Robert Jackson called the supreme international crime against peace. As we see a surge in inflation globally, it is now critical that everyone is aware of the implications this will have along every step of the insurance and reinsurance value chain. Clashes have resumed in South Sudan's capital Juba between troops loyal to President Salva Kiir and ex-rebel leader Riek Machar. Former UN diplomat Peter Schumann tells DW the fighting may escalate into a full-scale war. South Sudan's presidency on Friday put the death toll from the clashes at 270, although it is thought to be much higher by now. The country's embattled president called for calm in a bid to end the bloodshed on Sunday. Witnesses reported Monday hearing gunfire near military barracks hosting ex-rebel leader Riek Machar and his troops in Juba's suburbs of Gudele and Jebel. United Nations Secretary General Ban Ki-moon said he was "shocked and appalled" by the fighting and called on both sides to stop the violence. The renewed clashes have raised fears that South Sudan could face more instability after emerging from a two-year civil war, which began in December 2013. The world's youngest nation has seen more fighting than peace since winning independence from Sudan in July 2011. Peter Schumann, former director of the United Nations mission to Sudan, told DW that there is a strong possibility that this renewed fighting may escalate into a full-scale war. DW: What do you think triggered the fighting between forces loyal to President Salva Kiir and those of Riek Machar? Peter Schumann: There are reports that forces from outside Juba are advancing towards the capital. We had a similar thing in December 2013. Civilians are targeted because they are part of a particular ethnic group [which is] aligned to political groups - either Riek Marchar's opposition or the government. The similarities are scary because we now know what happened after December 2013. Will it escalate again? My understanding, from what I see and what I hear from Juba, is that the probability of it escalating into a full-scale war is much higher than that [of the situation] stabilizing. DW: Each side claims to have been attacked by the other and no one has taken responsibility so far. Does this mean that the two leaders, Kiir and Machar, need a third party? We have seen over the last two years that a third party engagement has a very limited possibility of stopping the fighting. I think very importantly, the inability of Salvar Kiir, Riek Machar and the second vice-president James Wani Igga, who [failed] to give clear orders and stop the fighting, leaves only one conclusion - that they are not in charge of those who are really fighting. DW: What do you think could be done to bring the country back to normality? I think it needs a very radical approach. Neither Riek Machar nor Salva Kiir have demonstrated that they can bring stability back to the country. Both of them have to leave the arena. We need a fresh leadership, we need a completely new setup in South Sudan. Peter Schumann is the former director of the United Nations mission to Sudan. This interview was conducted by Eunice Wanjiru. Property details: Land for sale in San Bernardino, California (Cedar Glen) Straight Sale, Clear Title. $2,950.00 buy it now or make an offer. Payment in Full, No installments The purchase price: Purchase price for the property. Plus there is an additional document processing fee of $189.00 The property is being sold AS-IS, WHERE-IS. Please perform your due diligence and bid only if you are able to follow through on your purchase. Cedar Glen, CA 92321 The lot located a short distance down a dirt road. Views across... Price: $ 2,950 Seller State of Residence: California Property Address: Track No 1921 Larchmont Lot 141 Block 3 State/Province: California City: San Bernardino Type: Homesite, Lot Zoning: Residential Zip/Postal Code: 92321 Location: 924**, San Bernardino, California You will be redirected to eBay Nearby 92321 Find a great selection of commercial real estate, manufactured homes, timeshares and more for Sale Buy real estate. Find a great selection of commercial real estate, manufactured homes, timeshares and more for Sale in US and Canada. Search Real Estate , We're sorry, this article is not currently available UPDATE: Alias Training has ceased operations. See its Facebook post below. UPDATE 2 : Larry Vickers Announces new training company, Aztec Training Services. UPDATE 3: Craig Douglas (Southnarc) of ShivWorks also released a statement We just heard from a couple of highly regarded tactical trainers of their decision to part ways with Alias Training & Security Services over failed financial obligations. Alias works as a promoter, connecting its client trainers to students and venues for instruction. Trainers pay Alias a fee for this marketing and management service as it frees them up to teach, develop new products and, in some cases, gel their hair. This week Mike Pannone and Jeff Gonzales posted similar announcements to their social media channels letting followers know they are parting ways with Alias. Immediately. Both instructors explain Alias has not payed them, despite their students paying Alias for spots in their classes. Pannone's and Gonzales's announcements can be found below. Founded by Paul Hotaling, Alias opened it's doors in 2012 and has built a considerable roster of top flight tactical instructors including: Bob Vogel, Kyle Raisbeck- Tactical Medical, Tracker Dan Dan Stanchfield, Ken Hackathorn, Craig Douglas (SouthNarc), Mike Pannone, Larry Vickers, Pat McNamara, Daryl Holland, Freddy Osuna, Jeff Gonzales and Rob Leatham. Prior to 2012, Hotaling managed a similar service under the flag of the now defunct Grey Group Training. In it's day, Grey Group, based outside the gate at Ft. Bragg, was a go-to supplier of high-end training and gear for discerning military members and contractors. It shuttered its doors in 2014 following the firm's 2012 sale to Mission Ready Equipment, itself a doomed venture related to the also doomed sporting goods chain, Blue Ridge Mountain Sports. Blue Ridge closed it's doors in January, 2016. Hotaling parted ways with GGT in 2012, taking with him the training clients that would form the backbone of Alias Training Services. We will not be surprised to see more announcements of a similar nature from other Alias clients in the coming days and weeks. From Mike Pannone, CTT Solutions, 7/10/2016: In the training industry a persons integrity is everything and once lost can rarely if ever be rehabilitated. I am currently in arrears for a very substantial amount of unpaid instructor fees from Alias with no hope of being compensated, and I have serious concerns that my clients are at risk. For this reason, I must take action. **Effective upon the release of this statement, CTT-Solutions will cease all connections with Alias Training and Security Services or any agent thereof. Please go directly to www.ctt-solutions.com for all future classes or inquiries about attending or hosting a class. This will be the avenue for enrollment consistent with all formerly Alias-promoted instructors. I am sure many of you have questions on how I arrived at this decision. To give you context, I was a part of the Alias group at its launch in July 2012 by Paul Hotaling. Paul / Alias functioned much like a concert promoter where he was paid by the sponsored instructors, all of whom are independent companies separate from Alias. In the last ten months, CTT Solutions and the other Alias-promoted instructors failed to receive timely deposits from Paul/Alias. CTT-Solutions itself discovered that Paul had failed entirely to pay for a class and only after its own forensic re-creation of both training and schedule documents. Then problems worsened when Paul/Alias failed to pay instructors altogether even after the performance of a class for days and sometimes even weeks. Paul/Alias conduct extended to the clients themselves who grumbled about the near-impossibility in getting a response from Paul/Alias for information or refunds. This was a consistent complaint heard by the instructors from across the country. To compound the issues, venues were not receiving timely or sometimes any payment for range fees. I was recently informed that several venues had not been paid going back to the Fall of 2015 despite those funds being already taken from the instructors payment. Collectively, the instructors gave Paul/Alias every benefit of the doubt on these issues but that can no longer be done. Alias currently owes payment for a class I conducted 3 weeks ago in a venue that has yet to be paid for range fees. This has become a recurrent problem that Paul/Alias created for Mac, Jeff, Craig and myself since the end of 2015 that has only become progressively worse. I know I speak for not only myself but Mac, Jeff and Craig when I say your patronage is something we value greatly, making this a particularly frustrating and disappointing situation for all of us. You are why we do what we do. Your desire to learn fuels our desire and reinforces our dedication to teach. We never forget that our efforts are to provide the best training available in the industry. Rest assured that will never change but we need to close this chapter as quickly as refunds can be issued. I look forward to our continued efforts to prepare, and perfect our skills for protecting ourselves and our loved ones in the challenging times we live in. From Jeff Gonzales, Trident Concepts, 7/7/16: As many of you are aware we have been utilizing the services of Alias Training and Security (ATS) over the last 20 months. Recently, we have experienced some major problems forcing us to reconsider our association with ATS. They have been delinquent on paying us for the last several classes and more than likely will not be paying us for our CQB class in Alliance, OH I am currently getting ready for this coming week. I am not the only one who has experienced these problems, good friends and fellow trainers Mike Pannone, Pat McNamara and Craig Douglas have all had similar experience both in delinquency of revenue owed as well as lack of communications with ATS. I feel and I know I echo the others my level of frustration has reached a point where I have exhausted all avenues and the benefit of the doubt has reached the reasonable limit. While we have done our best to communicate and come up with a reasonable solution all of our efforts have failed leading to our decision. Effective immediately I will not longer be working with ATS to promote and book our classes, all class from this point forward will be done through our own services and website. While it should be apparent I do not have any control or influence over how ATS manages their operations, know the rest of the group and I went above and beyond trying to remedy this situation. I take this matter very seriously and I'm sure you will all see how the group and I have acted in the most professional manner, but now it is time to move forward. I count myself very fortunate to have been as successful as I have been over the years and I am indebted to the students who trust us with their training needs. This too I take very seriously and while this situation is unfortunate it in no way has affected our mission, our professionalism or our efforts. For those of you who have registered with ATS please contact them in an effort to get refunded your class fees. Please understand, we cannot continue to carry the debt forward so as of the release of this notification all classes are canceled. I have conducted three classes to include a week long class for free as we attempted to work through this problem. My fees owed will be close to $20k so while I wish I had a better solution I am working as best as I can within the framework of reasonable solutions. This much I can promise you, we will not fall into this situation again. We have taken safeguards and implemented new policies moving forward with the primary objective of reconstituting the classes we have the rest of the year on our schedule. If you are not able to get a refund from ATS we suggest you contact your credit card company and initiate a a claim requesting your funds be returned. If you have any grievances with ATS I would like to hear from you so please contact us. I will do my level best to respond during next week's class so bare with me. I hope I have conveyed to you the situation and my response, I am anxious to move forward and put this behind us. Thank you for your understanding and patience and most important your steadfast support. Craig Douglas (Southnarc), ShivWorks, 7/10/16: Effectively immediately the ShivWorks Armed Movement in Structures (AMIS) class scheduled July 30-31, 2016 in Los Angeles, CA. through Alias Training/Security Services is cancelled. Students who have enrolled and paid either full tuition, or a deposit should seek a refund from Alias Training as I have received no fees from Alias for this class. If that provides no relief I suggest you contact your credit card company. I will not be scheduling any future classes through Alias Training. Alias Training is currently in arrears for payment to me for the AMIS class I conducted for them in May of this year in South Carolina, and is non-responsive in my attempts at contacting them. In discussing this issue with Mike Pannone, Pat McNamara, and Jeff Gonzales, it appears that this issue is consistent with them and they too are owed fees well above what Alias owes me. We have also discovered that several ranges that host Alias classes are owed fees. At this point I believe that Alias Training is no longer a viable training resource and cannot recommend them to anyone in good conscience. You the community are what this industry is about and Ive always thought of you all more as my patrons rather than my students. I have an obligation of candor and forthrightness. You allow people like me, Mike, Pat, and Jeff to do what we love doing and pay our bills. So we are in your debt and I can speak for them in saying that we will do what we always do, and remember that this is about giving you all the best training experience that we possibly can, and that unfortunately cannot be done through Alias Training. The issue the four of us and Alias Training have will go wherever it goes and we would prefer that it be resolved quickly and without the intervention of third parties and the legal system. Train hard and stay safe. Craig Douglas Then of course the announcement by Larry Vickers via his social media channels as seen at the top of this article. The press release posted on Soldier Systems follows: We are proud to announce the formation of Aztec Training Services. Our mission will be to offer top tier tactical and marksmanship training and consulting services to military, government, law enforcement, and civilian markets. Our courses will be taught by highly experienced, combat proven instructors drawn from the highest levels of military special operations and law enforcement communities who are recognized subject matter experts in what they teach. We are very fortunate to be able to announce Larry Vickers as our founding instructor and also as a managing partner in Aztec Training Services. Larry is one of the best known personalities in the firearms and tactical industry as a highly respected industry consultant, subject matter expert, tactical trainer, and TV and Youtube personality. He is a combat experienced veteran with many years in special operations including as an assaulter in Operation Acid Gambit during Operation Just Cause which was Delta Forces first successful hostage rescue mission. He was also instrumental in the development of the HK416 and many other popular weapon accessories and tactical equipment. Stay tuned as we continue to add to our all-star instructor roster. Effective immediately, all remaining Larry Vickers classes on Alias Trainings schedule will be managed by Aztec Training Services. If you are a registered student at one of the upcoming Alias/Vickers classes, please contact us for more details. www.aztectrainingservices.com This is the first Q&A in a series with the Student Government Association Executive ticket candidates. The month of March is celebrated as Womens History month, a time reserved to celebrate the past and contemporary achievements of women. The Anti-Discrimination Movement and Athens 4 Everyone held a Stop the Killing Vigil and Protest at Athens City Hall to remember Philando Castile and Alton Sterling who lost their lives at the hands of police on Sunday, July 10, 2016, in Athens, Georgia. They also remembered the lives of five members of the Dallas Police Department who were victims of violence. (Photos by David A. Barnes, Shubham Kadam and Landon Trust) Close FILE - In this June 12, 2016, file photo, Lin-Manuel Miranda poses in the press room with the award for best musical at the Tony Awards, in New York. For Broadway fans, no date this year will be as important as Saturday, July 9, 2016. Thats the day Lin-Manuel Miranda, creator and star of Hamilton, leaves his best-selling show. That date also marks the last shows of Leslie Odom Jr., who plays Aaron Burr, and Phillipa Soo, who portrays Eliza Schuyler, but Mirandas departure is the hardest. (Photo by Charles Sykes/Invision/AP, File) SHARE By MARK KENNEDY, AP Drama Writer NEW YORK (AP) For Broadway fans, no date this year will be as tearful as Saturday. That's when Lin-Manuel Miranda, creator and star of "Hamilton," leaves his best-selling show. That day also marks the last shows of Leslie Odom Jr., who plays Aaron Burr, and Phillipa Soo, who portrays Eliza Schuyler. Miranda's departure may be the hardest, but wipe your tears. There's lots of Miranda coming up. "HAMILTON" ON FILM The original cast of "Hamilton" what Miranda calls "an incredible '28 Yankees of actors" was captured on video last week. RadicalMedia, which taped the last night of "Rent" on film, recorded two performances of "Hamilton" and asked actors on their days off to come back and do close-ups. "We're getting it because we know how hard it will be to get that later. So let's get it now, while we're all under one roof," Miranda said recently. Thomas Kail, who helmed the successful "Grease: Live" on Fox and won a Tony for directing "Hamilton," directed the filmed version. Miranda wasn't sure when or how the film will ever be shown, but at least there's a high quality version somewhere. "Cold comfort to the impatient, but I think comfort to many," he said. SPECIAL GUEST? In addition to saying he wants to return to the Broadway show from time to time, Miranda has also left open the possibility that he may make a guest appearance in "Hamilton" outside of New York, perhaps in Chicago, San Francisco, Los Angeles, London, or Washington, D.C. "When I say I want to hop back in, I think that sort of extends everywhere. I don't know where and I don't know when yet," he said. Miranda did the same thing with "In the Heights" on tour, and said he'd be happy to step in if the actor playing Alexander Hamilton in any given city needs a vacation: "I would love to." MARY POPPINS 2 Miranda will star opposite Emily Blunt in Disney's sequel to "Mary Poppins" directed by Rob Marshall. Rehearsals start later this year; shooting starts in early 2017. For the sequel, "Hairspray" songwriter Marc Shaiman is composing a new score and writing original songs with Scott Wittman. "I've heard some of the songs that Shaiman and Wittman have written and they're incredible," said Miranda. The new movie will take place in Depression-era London, 20 years after the first film, and will take story lines from P.L. Travers' children's books. Miranda will play a new character, an English street lamplighter named Jack. "We'll be in London most of next year," he said. "I've got to work on my accent." ANIMATED PRINCESS You'll be able to hear new Miranda songs when Disney releases "Moana," an animated film with a Polynesian princess at its heart. It's scheduled to hit theaters Nov. 23. Miranda said he learned that he'd landed the composing gig alongside Opetaia Foa'i and Mark Mancina for the film the same week he discovered that his wife was pregnant. He wrote songs between performances of "Hamilton" and had cast members sing the demos. He also wrote songs for Dwayne Johnson, who voices a demigod named Maui in the film. Miranda said he found old footage of Johnson singing during his wrestling days to find his range. Writing a Disney score has long been on Miranda's bucket list. "I wanted to do that since Sebastian started trying to convince Ariel that she should stay under the sea when I was 9 years old," Miranda said, adding that fans should look no further than his son to show his adoration of Disney musicals. "It's no accident that his name is Sebastian." "HAMILTON" MIXTAPE "Hamilton," which began as a mixtape, will now inspire a mixtape. A new album set to drop in November will feature a mix of covers, songs inspired by the show and six or seven tunes cut from the final show. "That is still deciding what it wants to be," he said. Miranda, who didn't reveal the guest artists, said some of the cut songs include a slavery rap battle, one called "Congratulations" sung by Angelica Schuyler, and a song called "Valley Forge" that he plundered for "Stay Alive." "A lot of my favorite rap albums have skits and so those are our skits me singing hoarsely at four in the morning," he said. Miranda lent his voice to a new all-star recording of the 1965 song "What the World Needs Now is Love," with all proceeds going to help the LGBT Center of Central Florida, and he collaborated on a song with Jennifer Lopez called "Love Make the World Go Round" that will benefit victims and families of the Orlando shooting. DOCUMENTARY ON PBS The 90-minute "Hamilton's America" is directed by Alex Horwitz, one of Miranda's best friends from college, who started filming Miranda for the show while the composer was writing "Hamilton." "He's got footage of me writing 'My Shot,'" he said. "He's gone on to get interviews with George W. Bush, the Obamas, Jimmy Fallon, Questlove." Miranda also did sit-down interviews with theater icons Stephen Sondheim and John Weidman and a discussion with rapper Nas about writing lyrics. The documentary airing Oct. 21 and produced by RadicalMedia is an attempt to explore where "Hamilton" intersects with history and includes "footage from the show that no one has seen yet," Miranda said. In this photo taken June 30, 2016, Miami-Dade Police officer William Cook, Jr., and his police dog Ringo patrol Miami International Airport in Miami. House and Senate lawmakers announced an agreement on an aviation bill to boost airport security, reduce screening lines and require airlines to refund fees to passengers whose bags are lost or delayed. The bill would also extend the Federal Aviation Administrations programs and policies through Oct. 1, 2017. The FAAs current operating authority is due to expire on July 15. (AP Photo/Alan Diaz) SHARE By JOAN LOWY, Associated Press WASHINGTON (AP) House and Senate lawmakers announced an agreement Wednesday on an aviation bill to boost airport security, reduce screening lines and require airlines to refund fees to passengers whose bags are lost or delayed. The bill would also extend the Federal Aviation Administration's programs and policies through Sept. 30, 2017. The FAA's current operating authority is set to expire July 15. The bipartisan agreement was announced by senior members of the House and Senate transportation committees. Approval by both chambers is expected to swiftly follow. Congress has only nine days to act in order to prevent a partial shutdown of the agency. The bill would require airlines to refund checked bag fees to passengers whose luggage is lost or is delayed 12 hours or more for domestic flights or 15 hours or more for overseas flights. It also requires airlines to generally ensure that children 13 years of age or under are seated adjacent to an adult or older child traveling with them. A bill that passed the Senate in April by a vote of 95 to 3 would also have extended other consumer protections to passengers, including a requirement that airlines refund fees for other services when not delivered, such as advance seat assignments or early boarding. But those provisions, which were opposed by airlines, were dumped during negotiations with the House. To address long airport screening lines, the bill requires the Transportation Security Administration to hire a marketing firm to generate greater public participation in the agency's PreCheck expedited screening program for passengers who have been vetted and determined to be low security risks. The bill also requires TSA to ensure PreCheck screening lanes are open during high-volume travel times. And the measure authorizes a pilot program to develop and test more efficient passenger and luggage screening systems. Responding to airport attacks in Brussels and Istanbul this year, the bill also authorizes a doubling of TSA teams that stop and search suspicious passengers in airport public areas that are outside the security perimeter, often using bomb-sniffing dogs. The bill would toughen the vetting of airport employees who have access to secure areas, expand random employee inspections and require reviews of perimeter security. That is a response in part to the "insider threat" problem raised by the destruction last year of a Russian Metrojet airliner over Egypt. Investigators suspect a bomb was smuggled aboard. "In this age of terrorism, we have a responsibility to thoroughly scrutinize anyone who has access to airport secure areas," said Sen. Bill Nelson, D-Fla. "We can't afford to make any mistakes." The bill also authorizes TSA to donate unneeded security equipment to foreign airports with direct flights to the United States. It directs TSA to establish a pilot program at three to six airports to reconfigure and install security systems that increase efficiency and reduce vulnerabilities in airport terminals. Congress is "on the verge of passing the most comprehensive aviation security reforms in over a decade," said Sen. John Thune, R-S.D., chairman of the Senate Commerce, Science and Transportation Committee. Among several drone-related provisions is one sought by the chemical industry that allows the FAA to designate critical infrastructure, chemical facilities or amusement parks as no-fly zones for drones. The bill also prohibits drone users from interfering with emergency response activities like fighting wildfires and raises civil penalties for violators to up to $20,000. Authorities recorded 20 incidents in which drones flew too close to wildfires last year, with more than half hindering firefighting efforts. It has happened again at least nine times so far this year. The bill is also notable for what it doesn't include: any plan to remove air traffic control operations from the FAA and spin them off into a nonprofit corporation. The privatization plan was the top legislative priority of Rep. Bill Shuster, R-Pa., chairman of the House transportation committee, and the airline industry. But the plan ran into opposition from other powerful committee chairmen opposed to ceding Congress' authority over aviation matters to a corporation and segments of the aviation industry that feared a corporate board would be dominated by large airlines also opposed the plan. Airlines say privatization is needed because the FAA's culture is too slow and inflexible to finish the air traffic system's transition from old radar technology to satellites. The modernization has dragged on for more than a decade and fallen short of promised financial benefits and reduced congestion. SHARE The drug companies are ripping us off, pill by pill, shot by shot. Instead of working to earn reasonable returns by relieving our suffering and saving lives, they now focus on profits above all. Their main targets are insurance companies. But when insurance companies take a hit, they bump up premiums to employers or the government. So we all pay in taxes, reduced take-home pay, co-payments and deductibles. This problem brought an 84-year-old patient to my office in tears. She had been diagnosed with hepatitis C years ago when the virus was first recognized. An old interferon-based treatment caused months of severe side effects and ultimately failed. Her lab tests and physical exam showed advancing cirrhosis. Sovaldi, a new drug that eliminates the virus in about 90 percent of such patients and reduces the risk of hepatitis-related liver cancer and other complications, seemed to offer an answer. The cost turned out to be huge, more than $80,000 for the three-month course of treatment. She was covered by a Medicare HMO plan, but not well enough. Her co-payment would be $3,000 per month. The $9,000 total would wipe out her remaining life savings. When Sovaldi hit the market it set a new standard for drug pricing. The $80,000-plus treatment charge meant that if every American who could benefit received the drug, the annual cost would exceed that of all other drugs prescribed in the U.S. combined. The road to this break-the-mold pricing started when Gilead Sciences Inc. acquired the drug's developer, Pharmasset, for $11 billion in the fall of 2011. Pharmasset's chief executive made an estimated $255 million on the deal and its 82 employees each averaged an estimated $3.3 million. Sovaldi was not yet on the market, and Gilead took a double markup on the drug, charging enough to more than cover not only Pharmasset's costs of research and development, but also the high price paid for the company. The pharmaceutical industry has learned to expect such windfall profits because it knows insurance companies can't say no to unique, patented drugs that have no competitors. Insurance becomes a lever that releases massive payments to the drug companies. If Gilead had to sell its drugs to individuals using their own dollars, how many $80,000-plus treatments could it sell? The drug companies defend their pricing practices by stoking fears that curbing exorbitant profits will kill the golden goose of drug development. The fallacy of this claim can be seen in pricing shenanigans in the post-patent and generic drug market, where research costs have long since been paid for. Turing Pharmaceuticals, for example, attracted attention when it acquired sole rights to the post-patent drug Daraprim, the first-line treatment for toxoplasmosis. Finding itself with a monopoly, Turing promptly marked up the price 5,000 percent. The transparency of its actions and the striking magnitude of the increase prompted criticism even within the industry. Fortunately, my patient's story ends well. After many anxious weeks she qualified for help from the Patient Assistance Network, a nonprofit that has funded care for more than half a million underinsured patients. She's nearing the end of her treatment and has no detectable virus in her blood. The outcome represents a miracle of American biotechnology, but the back story is a travesty. With other new drugs in the pipeline set to impose Sovaldi-like prices, drug costs will continue to lead the growth of America's economy-killing health care expenditures. In the area of generic and post-patent drugs, the Food and Drug Administration needs to start regulating pricing practices to protect the public. Reforming the financing of drug development will require more creativity. The government should consider subsidizing research and development to reduce the industry's risk, in return for oversight on pricing that would allow reasonable returns on investment. Sovaldi's price tag is an unmistakable warning sign the drug pricing system is a danger to the health of the nation. Dr. Daniel J. Stone is an internal medicine and geriatric medicine specialist in Los Angeles. He wrote this for the Los Angeles Times. SHARE Pity poor Republicans. For months now, they've clung to their one and only hope of winning the White House. It had nothing to do with the strength of the economy or the state of the world. Nor did it have anything to do with Donald Trump or his pathetic campaign. It was something entirely different. Knowing they couldn't count on Trump to win the White House on his own, Republicans placed all their bets instead on their fervent hope that Hillary Clinton would be indicted for her exclusive use of a personal email server while secretary of state. Pity poor Republicans. That hope evaporated completely this week with FBI Director James Comey's surprise announcement that the FBI would recommend to the Justice Department that no charges be filed against Clinton. Clearly, Comey wasn't happy delivering that verdict. Indeed, before exonerating her, he went out of his way to beat up on Clinton. It's unprecedented for the FBI, the finder of fact, to comment publicly on a case until the results of their investigation are presented to Department of Justice officials. But that didn't stop Comey. While the investigation was still underway, before career prosecutors knew what the FBI had discovered or had made their own decision in the case, Comey himself broke DOJ rules by stepping forward himself and accusing Clinton of being "extremely careless," using bad judgment, and, in effect, lying to Congress about whether any classified information was contained in the 30,000 work-related emails she provided to the State Department. (The New York Times later reported the number of emails which contained markings indicating the presence of classified information was two out of 30,000.) He even suggested, without evidence, that Clinton's private server might have been hacked into by "hostile actors." Comey, in fact, gave Republicans all the ammunition they might need to make attack ads against Hillary. If only they had a candidate who knew how to take advantage of it. Instead, Donald Trump would rather talk about the Star of David and what a great leader Saddam Hussein was. But all of Comey's negative comments were nonetheless drowned out by his ironclad conclusion: "Our judgment is that no reasonable prosecutor would bring such a case." You almost got the feeling that he, a Republican and former deputy attorney general under President George W. Bush, was chomping at the bit to drop the hammer on Hillary Clinton, but couldn't find enough evidence to do so. Attorney General Loretta Lynch concurred in the FBI's judgment two days later, effectively bringing an end to the so-called Clinton email scandal. Again, pity poor Republicans. They can't take no for an answer. They're now scrambling for any possible way to keep the email issue alive until November 8. Republicans in Congress have already held one hearing to grill Director Comey, and have scheduled four more. Speaker Paul Ryan has demanded that Clinton be denied classified briefings normally given to any presidential candidate. Former presidential candidate Jim Gilmore is calling for the appointment of a Special Counsel. And Donald Trump, when he's not talking about Saddam Hussein or the Star of David, accuses Comey of being part of a conspiracy with President Obama, Attorney General Lynch and former President Bill Clinton to protect Hillary from criminal charges. It's nothing but desperation politics. Why don't Republicans just accept the truth? Hillary Clinton did something monumentally dumb, sloppy, careless even. And she's the first to admit it. None of her earlier excuses for setting up her own private email server prevailed. But, after an 11-month FBI investigation, involving more than 165 federal agents, and eight congressional hearings into her emails and role in the terrorist attack on Benghazi, we now know for sure that Clinton did nothing illegal. There will be no charges filed against her. For all practical purposes, the great Clinton email scandal is dead. Period. In their first debate, last October 13, Bernie Sanders famously told Hillary Clinton: "The American people are sick and tired of hearing about your d n emails." It was true then, it's even truer today. Those "d n emails" turned out to be not so damning, after all. Bill Press is the host of a nationally-syndicated radio show, a CNN political analyst and author. His email address is: bill@billpress.com. Wine blind tasting at Eno Wine Room, an all-female LGBT improv show and more things to do in Chicago on Monday, July 11. EAT Stock Smorgasbord Advertisement Nomad Kitchen 4019 N. Damen Ave. 847-393-3955 Advertisement Chef Abra Berens of Local Foods' Stock Cafe prepares a seven-course, family-style dinner paired with Moody Tongue beer, with dishes including spiced carrot dip, green bean casserole and poached sausages with charred radicchio. 5 p.m. $40-$45. Tickets: chefabraberens.bpt.me DRINK Blind Tasting Eno Wine Room 505 N. Michigan Ave. 312-321-8738 Put your wine knowledge to the test by ordering an $18 flight of three red or white wines and guessing the grape varietal, country it comes from, region and age. Correct answers can earn you $8-$13 off. 4 p.m.-midnight. DO Va-JAM The iO Theater 1501 N. Kingsbury St. 312-929-2401 Women and members of the LGBT community can attend a workshop with all-female improv group SuperHuman and then break into teams to perform together. The night ends with a set from the instructors. Anyone is welcome to come watch. 8 p.m. $5. 'Space Age' Eat. Watch. Do. Weekly What to eat. What to watch. What you need to live your best life ... now. > Free Street Theater Advertisement 1419 W. Blackhawk St. 773-772-7248 The two-man show written and performed by partners Ricardo Gamboa and Sean James William Parris explores their experiences growing up gay in marginalized communities. 7 p.m.; doors open at 6:30 p.m. $10-$50 in advance or pay-what-you-can at the door. Tickets: freestreet.org/space-age Free Monday (Free!) Empty Bottle 1035 N. Western Ave. 773-276-3600 See Toronto-based post-punk band The Scenics, who are on their first U.S. tour to celebrate the release of their LP "In The Summer," along with sets from Foul Tip, Plastic Crimewave Syndicate and The Runnies. 9 p.m. Free. HAPPY HOUR OF THE DAY Nacional 27 (325 W. Huron St. 312-664-2727) offers $7 mojitos from 5-7 p.m. through Friday in honor of National Mojito Day. For more Eat & Drink news, click here. The garments story can suffer an immediate setback if foreign buyers consider it too risky to travel to Bangladesh. The terrorist siege of a cafe in Dhakas high-security diplomatic area in which at least 20 people, mostly foreigners, have died confirms the worst fears about the Bangladesh governments inability to control the rise in Islamic militancy in the country. This comes in the wake of over 40 individuals, like secular bloggers and members of minority communities, being killed in public places in the last three years. The earlier attempt by the authorities in Dhaka to argue that it was difficult to protect individuals from rogue attacks and that Islamist militancy had not established a presence in Bangladesh will now have to be given up. It also has to be acknowledged that there is a threat to the well-being of the nation in the immediate future. The Awami League government led by Sheikh Hasina has been credited with ushering in a period of stability and economic uplift by achieving steady growth of six-seven per cent over several years. This has been critically enabled by booming garments exports as Bangladesh has beaten most other Asian countries, notably India, in replacing China as a global leader in the low-cost manufacture and export of garments. The garments story can suffer an immediate setback if foreign buyers consider it too risky to travel to Bangladesh. A good number of those killed in the siege were from Italy, a key player in outsourcing of garments. If the growing trend of extremist violence -- the so-called Islamic State has owned up to perpetrating the latest carnage -- is not checked then there is bound to be an adverse economic impact. And if that continues for long, then India could well see economic refugees crossing the porous border across which both individual militants and carriers of counterfeit currency have been regularly travelling. Few are right now optimistic about the Awami Leagues ability to retain control over the situation as its democratic credentials have lately been questioned. It was high on legitimacy when it won a landslide victory against the Bangladesh Nationalist Party to come to power in 2008 through elections held under a caretaker government. The Awami Leagues refusal to hold elections under a similar arrangement a couple of years ago and the BNPs decision to boycott the elections have robbed the Awami League's mandate of legitimacy. What is worse, Bangladeshs actions in bringing to book the perpetrators of crimes against the people during its war of independence have been viewed by international rights groups as unfair as standard international procedures have not been followed during the trials. Bangladesh can remain secular, as most of its citizens are, only if the government of the day is seen to be fair to its political opponents while firmly going after terrorists. The developments in Bangladesh are not good for India because of the political realities currently prevailing. Illegal migration from Bangladesh, irrespective of its size, has played a key role in mobilising support and ensuring victory for the Bharatiya Janata Party in the recent Assam elections. The Bharatiya Janata Party in eastern states has, for good political reasons, been campaigning against not just the illegal migrants and terrorists slipping in, but also the smuggling of cattle into Bangladesh. If the situation there deteriorates, political forces in eastern India seeking to maintain social harmony and a secular atmosphere will find their task becoming increasingly difficult. The image is used for representational purpose only Photograph: Reuters 'The UK India Business Council remains positive on the outlook for trade/economic ties with India.' Britains decision to leave the European Union will not have much impact on UK-India economic ties, UK India Business Council chief executive officer Richard Heald, below, left, tells Sanjay Jog. Excerpts: Will the Brexit have an adverse impact on businesses in the UK and India? There is great amount of uncertainty after the Brexit referendum. While the way forward and timelines to achieve negotiated agreements with the EU and other trade partners are not yet known, it is clear that the UKs trade and economic engagement with the worlds leading countries, including India, will become more important to the nations future, not less. Indeed, the UK India Business Council expects more emphasis to be put on improving bilateral economic ties especially with India. We are already hearing increasingly positive noises at all levels about the opportunities that exist in the current environment to increase economic ties between India and the UK. The UK India Business Council remains positive on the outlook for trade/economic ties with India. The arguments for both Indian and UK companies to trade and to invest in each others economies remains intact. The recent relaxation of foreign direct investment norms in key sectors is a welcome step forward and the passage of the goods and services tax in the monsoon session will be a significant boost both to economic growth, inbound investments and enhancing the ease of doing business. At the same time, the UK Chancellor has announced plans to reduce the levels of corporation tax further to encourage investment into the UK. We are confident that the UK will remain a good place to do business. The British economy is fundamentally strong which, taken together with the benefits of a common language, rule of law, ease of doing business, time zone, and world-leading technical and intellectual innovation, there are reasons to be extremely positive about the UK and Indian economic partnership. Will the present political development affect operations of Indian companies in the UK? Indian businesses will continue to receive warm welcome in Britain, and all of us who believe in India will continue to work for even stronger and closer ties. Many of the 700 Indian companies have invested in the UK for UK-specific reasons rather than for ease of access to the EU (pharma, financial services, engineering/ electric research collaborations, access to non-resident Indians). This will not change and we fully expect that the UK will negotiate access to the single market. The current scenario, thus, opens many new opportunities for UK industry to work even more closely with Indian corporates and build a much stronger, and more open trade and investment partnership. Clearly, the UK will go on selling a very large volume of goods and services to the EU as the single market is the UK's largest trading partner and will remain so. Will Brexit impact Indian companies future investment decisions in the UK? Actually, recent statistics would indicate that only 14 per cent of Indian companies investing in the UK do so largely for the EU access, compared with 48 per cent for UK-specific reasons, and 38 per cent because of a combination of UK and EU reasons. Brexit has affected sentiment, but a large percentage of Indian companies in the UK feel Brexit will either make no difference to them or be good for their business. Anecdotally, the UKIBC has seen increasing uncertainty into the referendum campaign. This was evidenced by investment decisions/ projects being put on hold pending the referendum. After the result, in the immediate aftermath, it is entirely natural that companies evaluate their future plans from a holistic point view. At the same time, we at the UKIBC have had companies that have identified opportunities and approached us as they accelerate their plans. The UKIBCs position is in favour a continuation of the UKs access to the single market on the most favourable compatible with the will of the UK people. We believe a bilateral trade treaty with India should be a priority and would be immensely beneficial to both countries. Image: British Airways' Airbus A380 arrives at a hanger after landing at Heathrow airport in London. Photograph: Paul Hackett/Reuters The image is used for representational purpose only It is clear that the world desperately needs a globalisation model that will work for all and not just some, says Sunita Narain. The Brexit vote-52 per cent of the United Kingdom voted to leave the European Union (EU)-has important lessons for our desperately globalising world. It tells us that economic globalisation must be shaped by political globalisation. Growth that is not shaped by tolerance or is not inclusive will lead to anger and huge consequences, mostly unintended. Brexit is the ugly face of that unintended consequence. Britain today is a deeply divided society. Polling before the actual vote and demographics show that the people who voted to stay in the EU had degrees, jobs that required these degrees and were young. The areas that voted to leave were poorer. It was a vote on class lines. It was an angry vote by people who have not seen the benefits of globalisation and were easy prey for xenophobic leaders and the media. They voted against status quo, in spite of the fact that every expert said it would have crippling economic consequences. In the vicious campaign experts were discarded - dismissed as being everything but right (UK Justice Secretary Michael Gove even called them Nazis). They were plain wrong because they came from the other side. It also seems to me that politicians increasingly like to operate in a divided society; polarisation of views makes their victories easier. This should worry the Brits, and all of us. Why should there be such a breakdown in communication in such well-informed, literate societies and in times when everybody is so well connected to the Internet and social media? This, in my view, is the core of the problem. We are increasingly less informed societies as our circles of information have shrunk. This means we are also increasingly more divided and disconnected societies as we have no comprehension of the others' position. The other does not exist. The divide is led by politicians and then orchestrated by the media. In Britain, it is said that the readers of The Guardian newspaper voted to stay. The readers of the tabloids, The Sun or Daily Mail, were in favour of leaving. (In the US, which is seeing a similar cultural explosion under Donald Trump, it would be the New York Times against Fox News.) The trend continues on social media. We follow the people whose opinion we value. When we say anything that is unpalatable to the other side, we get trolled. We then engage even less. The door closes. In this way we stop seeing and hearing perspectives. In the case of Britain, the European common market brought huge benefits to the city of London. The city, in fact, became bigger than the country. In the Brexit vote, virtually every region of England voted against London. Even Scotland and Northern Ireland that voted in, did not vote saying that for them Europe was a better bet than London. The city boomed because of the so-called EU access, which was the financial world's passport to the single market. It forgot, or did not get to hear, that its success was not mirrored across the country. According to the Organisation for Economic Co-operation and Development (OECD), income inequality in the UK in 2015 was well above the average for OECD countries and had been rising since 2005. Even as high-income earners have increased in the country, the top marginal tax rate has seen a marked decline - from 60 per cent in the 1980s to 45 per cent in 2015. It shows that even as taxes and benefits have reduced income inequality by a quarter in the UK, it is still lower than what is found in France or Germany. This marginalisation - millions feeling that they have been left out of the celebrations - led to the fissures, which in turn led to Brexit. It is clear that the world desperately needs a globalisation model that will work for all and not just some. When governments decide on policies they need to keep in mind inclusive and equitable growth. When markets decide on policies, they only need to mind profits. In Europe, the need for political globalisation is even more acute. This is because governments have conceded policy control to a faceless bureaucracy in Brussels. They need to balance this loss of control by decentralising and devolving power to the people. Governments need to work democracy for it to work. But it is not easy as Brexit has shown. In the end, it reveals a fatal flaw in the current model of globalisation, which makes national governments cede power, without providing global leadership at the very top. When governments sit together to decide on global matters, they are guided by national self-interest. From climate change to wars in Iraq, Libya and Syria, it is about muscle power at the very top and not about democracy. Who decided? In whose interest and how was the decision taken? It is clear that the dismemberment of the United Nations is costing the world today. Those bubble-wrapped in their comfort views have been pricked. Now it is for them to make a new, more inclusive world. The writer is at the Centre for Science and Environment. Brokerages expect revenue growth at a 7-quarter high but profitability may disappoint. India Incs profit growth is likely to disappoint in the June quarter, after a reasonably good performance in January-March. The financial year is likely to start on a muted note for top companies. Revenue, on the other hand, is likely to be at a seven-quarter high, and is expected to grow for a second consecutive quarter after declining in the earlier five. Combined net revenue of the 50 companies that are part of the NSE Nifty index is estimated to grow 4.1 per cent, year on year, in April-June. Net sales were down 4.5 per cent during the corresponding quarter last year, while they grew 3.8 per cent, year on year, in the March quarter. Companies are likely to take a knock on their margins with the sample net profit likely to decline 1.9 per cent, year on year, in the first quarter of 2016-17, down from 3.4 per cent growth during the corresponding quarter last year. The analysis is based the April-June quarter earnings estimates by six leading equity brokerages, Kotak Securities, Edelweiss Securities, Antique Stock Broking, Religare Securities, ICICI Securities and Nomura. For banks and financial firms, net sales are gross revenue net of interest expenses, while for others they are total income from sales of goods and services net of indirect taxes. Profits are net and may include exceptional gains and losses as estimated by the brokerages. The average operating margin, including other income, for 41 index companies, excluding banks and financial companies, is estimated to decline by 1.63 percentage points, year on year, to 20.2 per cent of net sales. Companies had reported a record high margin of 22.5 per cent of net sales in the March quarter. The decline in margins may force manufacturing and service sector companies, excluding banks and financial firms, to report their first decline in operating profit in at least five quarters, despite the acceleration in top line growth, both year on year and sequentially. The combined net profit for non-financial companies is estimated to grow by 2.8 per cent, year on year, in the first quarter, better than the 1.7 per cent growth in the same quarter last year but down sharply from the 27.8 per cent growth in the March quarter. Analysts attribute the dichotomy in top line and bottom line growth to the recent surge in commodity and energy prices. While the recent rise in international commodity prices may aid the top line growth of energy and metal producers such as Reliance Industries and Hindalco, it forces manufacturing companies to function at lower operating margins, said Dhananjay Sinha, head of institutional equity, Emkay Global Financial Services. He expects a further decline in margins in the forthcoming quarters as the impact of higher commodity prices widens during the second half of the financial year. Edelweiss Securities Prateek Parekh expects a sectoral shift in profitability even as headline growth remains tepid. EBITDA (earnings before interest, depreciation, tax and amortisation) margins are expected to jump 0.50 percentage points, year on year, almost entirely led by investment companies such as cement and capital goods. Consumption companies, which had seen sharp margin expansion over the past two years, are likely to see limited gains in the first quarter, he wrote in his report. Among individual companies, Sun Pharma, Hindalco, GAIL and ACC are likely to lead the earnings growth chart while BHEL, Bank of Baroda, Idea Cellular and Tata Steel are likely to be the biggest laggards during the quarter. In terms of sectors, the brokerages expect higher profit growth in select automobile makers like Eicher Motors, private sector banks, cement makers and infrastructure players such as Larsen & Toubro. Defensives such as TCS, Infosys, HCL Technologies, ITC, Hindustan Unilever and Asian Paints may have a muted quarter with low-single digit net profit growth despite an improvement in their top line. Piramal Fund Management has emerged as the largest lender to real estate developers at a time when the sector is facing severe slowdown. Three months after announcing it would give lines of credit worth Rs 15,000 crore (Rs 150 billion) to property developers, the Ajay Piramal-promoted Piramal Fund Management has done 35 per cent of its total disbursals. Piramal disburses Rs 1,500 crore (Rs 15 billion) per month. It has emerged as the largest lender to real estate developers at a time when the sector is facing severe slowdown. In March, it said it would give out lines of credit to developers like commercial banks so that developers could use pre-sanctioned credit limits for different purposes. The minimum credit limit would be Rs 1,000 crore. As announced, we have given letters of credit to eight developers in Mumbai, Bengaluru, Chennai, and the National Capital Region, among other cities. The total lines sanctioned was Rs 15,000 crore, said Khushru Jijina, managing director, Piramal Fund Management, in an interview with Business Standard. Piramal Fund Management has given letters to the Wadhwa Group led by Vijay Wadhwa, the Omkar group and the Subhod Runwal group in Mumbai, Jijina said. However he refused to divulge the names of developers in other cities. Developers have used the money for buying land, construction and refinancing old loans. The concept has played out very well in Mumbai, he said. Jijina said they gave equity financing at 22 per cent for land buying, and construction finance at 12 to 14 per cent. We saw that developers who got lines from us are pro-actively looking to buy land parcels as they have confidence that they have funding readily available, he said. Navin Makhija, managing director, Wadhwa group, said credit lines helped the group to buy land parcels in Mumbai and its suburbs. When you go out to buy land, it (line of credit) gives you confidence. Jijina said after the success of the programme, they are looking to launch the second phase in the next couple of months with similar corpus of funds. Jijina said they are looking to launch lease rental discounting facilities to developers in six to nine months. Lease rent discounting, or LRD, as they are popularly known, developers avail loans from banks by discounting their future rent receivables. Developers are recognising that we can write big cheques, sanction facilities fast, and have a good understanding of markets and our rates are competitive, said Jijina. Devanik Saha wonders if saffronisation of India is on the rise The capital of any country is generally defined as a place which is the administrative centre of the country and from where important decisions concerning policy matters are taken. On February 13, 1931, then-Viceroy Lord Irwin inaugurated New Delhi as the new capital of the country and ever since, it has always served as the power center until May 16, 2014. The Rashtriya Swayamsevak Sangh, headquartered in Nagpur, is touted as the ideological parent of the Bharatiya Janata Party, has longed for an opportunity to advance its cultural agenda. It worked extremely hard in the 2014 campaign, reaching out to the voters in vast rural hinterlands through their ground workers known as Panna Pramukhs and supported the BJP wholeheartedly. Their efforts paid dividends and with 282 seats in the Lok Sabha, the BJP formed the first ever non-Congress majority government on May 16, 2014. The BJP-led National Democratic Alliance formed the government in 1998 and 1999 and ruled for a full five-year-term, but (former prime minister) Atal Bihari Vajpayee kept the RSS at an outlying distance and never allowed them to control the BJP and its decisions fully. As the new BJP government began settling in and time progressed, it became clear that Modi, being a dedicated RSS pracharak, would never interfere with the Sanghs ambitions and the RSS began to wrap various important positions and places of influence with its saffron fangs. Appointing persons to important educational and institutional posts who swear by the RSS ideology was the topmost agenda, which it has aggressively pursued since 2014. Right from introducing religious texts and changing history books to appointing RSS ideologues in educational institutions and organisations, it is evident that ex-HRD Minister Smriti Irani accepted their demands, though it is another matter that she denied this in her own dramatic way in Parliament. More recently, her minister of state for education, Ram Shankar Katheria recently said that 'bhagwakaran' (saffronisation) of education will definitely take place in India. He went on to say that saffronisation and 'sanghwad' (federalism) will be good for the country and is inevitable. A senior journalist friend of mine, who reports in the education circles told me that whatever Irani may have said openly, but internally, she gave a leeway to the Sangh to advance their agenda. Promoting ultra-Hindu nationalism and equating nationalism as support for Hindutva through the BJP have been the two major successes of the Sangh. In the past few months, I have personally witnessed several hoardings and painted walls in Delhi especially in Muslim-dominated areas such as Seelampur and Nizamuddin, advocating for gau raksha (cow-protection) and making the cow the national animal. Furthermore, reports of obsessions around cow urines magical abilities to cure ailments and even cancer, vigilante groups lynching cattle traders, repeatedly banking on the nationalistic agenda and branding almost half the country as anti-national with differing views, and promoting chanting of nationalistic slogans. In a particular case in Uttar Pradesh, the home ministry went out of the way to revoke the National Security Act slapped on a Bajrang Dal member Vivek Premi who paraded a Muslim man on the streets. Even science hasnt been spared and has been given religious and cultural hues. Prime Minister Narendra Modi hasnt minced his words. In 2014, while addressing a gathering of doctors, he said We worship Lord Ganesha. There must have been some plastic surgeon at that time who got an elephants head on the body of a human being and began the practice of plastic surgery. In the last Indian Science Congress, a paper was presented about Lord Shiva being the greatest environmentalist ever, another paper on the medical effects of blowing of a conch shell. All this has been backed up by significant funding cuts in the research sector. Furthermore, the appointments of Gajendra Chauhan, Pahlaj Nihalani and Chetan Chauhan indicate that sycophancy has replaced meritocracy. Recently, senior journalist Swati Chaturvedi wrote in a piece for DailyO as to how The next frontier for the Sangh is the PSU bank director and government nominee appointments and her sources in the BJP mention that they are apprehensive that this will lead to further stress in the worsening bad loans situation. However, the Raghuram Rajan controversy, perhaps, was the most evident example of how far the RSS can go, at the cost of Indias international reputation. It was amply clear that his ouster may lead to investors losing some faith in India and bring down its reputation in international financial markets, but there are clear indications that the whole drama of hounding him, questioning his patriotism and eventually forcing him to resign, was backed by the RSS which hired Subramanian Swamy covertly to fight their battle openly. Apparently, the saffron brigade wasnt happy with Rajan speaking out of turn and commenting on political issues. This is further given credence by a recent Economic Times interview by S Gurumurthy, who is considered an RSS ideologue and is influential in Nagpur circles, wherein he questioned Rajans policies and talks about hiring governors who know India well. He went on and tweeted: We are rag-pickers from the dustbin of global think tanks. What they have discarded also we pick up and follow. The hoopla around Rajans resignation hadnt even reduced when Swamy fired his next salvo at Arvind Subramanian, the chief economic advisor, eventually suspending his demand post the controversy and a negative effect on the partys image. All decisions of significance within the BJP are always taken in consultation with the RSS top brass but given the nature of essential policy and national decisions; clearly signify that Nagpur has replaced Delhi as the de-facto capital of India. The recently released National Education Policy has accommodated all requests made by the RSS such as introduction of value education in schools, giving preference for teaching in mother tongues, the reversal of the no-detention policy, the promotion of Sanskrit as a living language instead of a classical one and the introduction of yoga across the educational spectrum, the Asian Age reported. Though the electoral defeats in Delhi and Bihar were huge setbacks, but winning Assam helped them get on course for their next big ambition -- the BJPs as well as the RSS -- secure a majority in the Rajya Sabha, which if achieved, will allow the RSS to push for national legislations without a hitch, a nationwide beef ban for instance. The debate on the Uniform Civil Code has also attracted much attention. While many in the civil society advocate for gender equality and rights for Muslim women, but they fear that with RSS being the umbilical cord of the BJP, the UCC would end up being a majoritarian code being forced on women of other religions. Last but not the least, the cabinet reshuffle earlier this week had a big RSS stamp over it. It appeared as if Modi and BJP president Amit Shah took a dip in saffron coloured waters before going to the Rashtrapati Bhavan. Irani made way for Prakash Javadekar, an RSS man, to lead the HRD ministry. It is speculated that the saffronisation agenda wasnt taking place at a desired pace and too many controversies hurt the partys image. Therefore, picking Javadekar as the HRD minister would ensure more agenda based work with less noise. As many as nine of the newly-inducted 20 ministers (45 per cent) are RSS men; thereby indicating the deep influence of the Sangh in the reshuffle. While many have argued that India should shift its capital to a more central location in the country such as Dandakaranya in Chhattisgarh suggested by Lord Meghnad Desai in a piece for the Indian Express, it appears like the capital has been shifted long ago, albeit covertly and silently. IMAGE: Volunteers of the India's Hindu nationalist organisation Rashtriya Swayamsevak Sangh take part in a drill during their workers' meet. Photograph: Amit Dave/Reuters One year ago, on the evening of July 11, 2015, Joaquin "El Chapo" Guzman got up from the bed in his prison cell, walked behind his cell's shower divider, and slipped through a hole in the floor, beginning his second jailbreak and entrenching his reputation as one of Mexico's most ambitious drug lords. He was recaptured six months later, in January, and now awaits what will almost certainly be his extradition to the US. Should he finally be sent north, it will be the culmination of his decades at the top of Mexico's narco hierarchy an ascent that has left the world awash in drugs, Mexico drenched in blood, and Guzman almost without rival. 'A way to survive:' the rise of 'El Chapo' Guzman Joaquin El Chapo Guzman Born in the rough mountains of Sinaloa state in northwest Mexico in the late 1950s, Guzman comes from humble origins. He spent his early years hauling and selling oranges, and as a young man he joined his uncle and moved into the contraband trade. According to Sean Penn, in the actor's sensational profile of Guzman, by age 9 he was already working in the marijuana and poppy fields around La Tuna, the town in Sinaloa state's Badiraguato municipality where he was born. Guzman told Penn that by his mid-teens the drug trade was the only viable career path. Badiraguato municipality Mexico Sinaloa "Well from the age of 15 and on, where I'm from ... in that area, and up until today, there are no job opportunities," Guzman said during an interview as part of Penn's profile. "Unfortunately, as I said, where I grew up there was no other way and there still isn't ... a way to survive ... no other way to work in our economy to be able to make a living." If Guzman saw the drug trade as the only way to make a living, then he had ample connections to get started. Story continues Pedro Aviles Perez, his uncle, is considered a top member of the first generation of notorious Sinaloa drug smugglers, who not only ushered in the modern drug-smuggling era in the 1960s but made extensive use of airplanes to do so a method Guzman would later embrace. As much as Guzman benefited from his connections to Sinaloan traffickers, his timing also probably sped his ascent. With US authorities cracking down on trafficking routes through the Caribbean, Colombian drug lords widened their gaze. "They started to look at Mexico, which was a godsend for them, because they had cultural similarities, namely the language," Mike Vigil, the former chief of international operations for the US Drug Enforcement Administration, told Business Insider earlier this year. In the 1980s, Miguel Angel Felix Gallardo emerged as the leader of the Gudalajara cartel, which controlled most of the drug trafficking in Mexico for much of the decade. A fateful decision in 1985, however, would clear Guzman's path to the top of the narco food chain. Members of the Guadalajara cartel kidnapped, tortured, and killed DEA agent Enrique "Kiki" Camarena. US President George H.W. Bush put pressure on the Mexican government, and Gallardo was eventually jailed in 1989. After that, Guzman and Ismael "El Mayo" Zambada both professional lieutenants of Gallardo assumed control of the Sinaloa cartel. In 1989, when he assumed control of the Sinaloa cartel's operations, Guzman also rolled out what could be considered one of his lasting contributions to the drug trade: narco tunnels. el chapo mexico altiplano The Sinaloa cartel, under Guzman's direction, "basically was the impetus for building tunnels across into the United States," Vigil told Business Insider. One of the Sinaloa cartel's first tunneling masters was Felipe de Jesus Corona-Verbera, who graduated from architecture school at the University of Guadalajara in 1980. Corona, who was close with Guzman, was the driving force behind the cartel's first major tunnel, which connected Agua Prieta in Mexico with Douglas, Arizona. The Agua Prieta-Douglas tunnel allowed Guzman to move so much cocaine so quickly that Colombians reportedly started calling him "El Rapido," or "the quick one." "Corona made a f------ cool tunnel. Tell them to send all the drugs they can send," Guzman said, according to a former Sinaloa cartel member questioned by US prosecutors. Read more about the Sinaloa cartel's elaborate tunnels >> narco tunnel image REUTERS/Jorge Duenes But the breakup of the old Guadalajara cartel would not be amicable for long. Guzman would soon lead his faction into bloody wars for control of Mexico's drug-trafficking plazas, or territories. The war for control of the lucrative Tijuana plaza kicked off in 1989, when the Arellano Felix clan killed one of Guzman's close friends and then declared Baja California, the state that's home to Tijuana and abuts California, to be its exclusive territory. "No one needed to be greedy," former DEA agent Jack Robertson told David Epstein of ProPublica. "But the Arellanos were like, 'No, this is ours. Come here, and we'll kill you.' That did not sit well with Chapo." An attempt by the Arellano Felix Organization, or AFO, to kill Guzman at the Guadalajara airport in mid-1993 ended with the death of Cardinal Juan Jesus Posadas Ocampo, the second-highest official in Mexico's Roman Catholic Church. Arrest and first prison escape el chapo prison 1993 After the death of Posadas, Guzman fled to Guatemala, but he was soon arrested and sent back to Mexico, where he was imprisoned at Puente Grande prison. The AFO, which bribed its members' way out of incarceration, flourished in Guzman's absence, but he didn't exactly sweat out his time in prison. According to Insight Crime, he was able to pass messages to his cohorts through his lawyers. Two of the Beltran Leyva brothers, a family allied with Guzman, supplied him with cash to ensure that he could live lavishly behind bars (he had so many conjugal visits that he began taking Viagra), and Juan Jose Esparragoza Moreno, aka "El Azul," another Sinaloa cartel leader, got the cartel's drugs to market. In 2001, as authorities were putting together his extradition, Guzman broke out of prison either carted out in a laundry basket or let out by bribed officials most likely with the help of Sinaloa cartel members who held high-level positions inside Mexico's prison system. Golden Triangle Mexico Throughout this period, Guzman remained on the run, shutting himself off in the mountains of Sinaloa and Durango states, which, along with portions of Chihuahua state, make up the Golden Triangle, an area of high drug cultivation largely under the control of the Sinaloa cartel. According to Insight Crime, he had an elaborate security system that insulated him for most of the 2000s. Fighting resumed with the AFO after Guzman's breakout, and over the next decade the members of the Arellano Felix clan were arrested, extradited, or killed. Guzman's conflicts were not limited to the AFO. Though the Sinaloa cartel allied with the Juarez cartel of Amado Carrillo Fuentes in 2002, by 2005, after killings and retaliation killings of members of both families, the two sides were engulfed a bloody turf war that still reverberates in Mexico. By 2012, Sinaloa would emerge victorious, wresting control of the vital trafficking corridor through Ciudad Juarez from the Juarez cartel. (Ciudad Juarez, which for many years had the most homicides in the world, has seen a reduction in violence since.) But amid his clash with the Juarez cartel, Guzman became embroiled in another bloody clash with an erstwhile ally: the Beltran Leyva Organization. The BLO, founded by brothers who grew up in the same area as Guzman and were a part of the Guadalajara cartel, formed the Blood Alliance with the Sinaloa cartel in the early 2000s and acted as enforcers during the Sinaloa cartel's showdowns with the Juarez cartel and the Gulf cartel, as well as the Zetas. The BLO also infiltrated the Mexican political and military spheres on behalf of Guzman. The arrest of a Beltran Leyva brother in 2008 and the subsequent release of Guzman's son from prison led the BLO to accuse the Sinaloa cartel of betrayal, and the close allies split into warring factions. Despite an alliance with the Zetas, the BLO was eventually worn down by the fighting, and all the family's brothers were killed or captured. 'A complete savage' shorty el chapo guzman drug cartel While Guzman has gotten a reputation for deft business dealings, eschewing violence, his numerous wars belie that characterization. "He is a complete savage," Tom Fuentes, the assistant director at the FBI from 2004 to 2008, told CNN after Guzman escaped last year. "What they do, and how they do business, is based on complete terror," Fuentes continued. "They kill journalists, politicians, police officers, corrections officers. And then not just that person, but every member of their family." He has coupled this brutality with an expansive network of bribery, corruption, and double-dealing. Mexico's center-right Institutional Revolutionary Party, or PRI, which ran the country basically as a one-party state from the 1930s until 2001, has been accused of complicity in the drug trade, with its crackdowns over the decades centralizing power in what would eventually become Guzman's organization. The conservative National Action Party, or PAN, has also been accused of favoring the Sinaloa cartel, with PAN presidents Vicente Fox and Felipe Calderon launching numerous offensives against Sinaloa enemies. The perception has been so strong, Insight Crime notes, that PAN leadership has put out press releases and videos denying any connection. mexico blood bless it Calderon, president from 2006 to 2012, deployed Mexican troops to address growing drug-related violence soon after he took office. They were initially deployed to Michoacan in southwest Mexico but were soon stationed throughout the country and contributed significantly to the violence that has racked the country since. Guzman's associates have been able to thoroughly penetrate the Mexican security apparatus as well. The BLO, while it was still allied with Sinaloa, not only allegedly had numerous top members of Mexico's federal investigative agency on the payroll but was also paying the country's drug czar $450,000 a month. "Agents I talked to tell me that Sinaloa has people in every branch of the government, more law enforcement than elsewhere," Epstein, author of a ProPublica piece on the decline of the AFO, told Business Insider earlier this year. These allegations are not limited to Mexican law enforcement. In 2014 an investigation by a Mexican newspaper alleged that the Sinaloa cartel and the DEA had an arrangement in which cartel members provided information on their rivals to the US government and, in turn, were allowed to continue operating. Elements of account were corroborated by Vicente Niebla Zambada, "El Mayo" Zambada's son, who was convicted of drug trafficking in Chicago in 2013. While links between the DEA and the Sinaloa cartel are unclear, experts have said contact with traffickers wouldn't be out of place in an antinarcotics operation. And any links to the DEA may not have been as important as the Sinaloa's connections in Mexico. "The Sinaloa cartel, I think remained unscathed because they had more politicians," Vigil, the former DEA agent, told Business Insider. "They were much more established, and they quickly grew because they had tremendous experts that helped Chapo Guzman and Mayo Zambada grow that network." Recapture and 2nd prison escape el chapo guzman Even though Guzman's political connections were no doubt extensive, they do not seem to have been enough to keep him free forever. In February 2014, after one near miss, Mexican marines surrounded the Sinaloa kingpin at an oceanside condo in Mazatlan, Sinaloa state. The arrest, after Guzman spent 13 years on the run, came as a surprise and led some experts to suggest that President Enrique Pena Nieto, a member of the PRI elected in 2012, came to see Guzman's apprehension as a political imperative. Guzman, now locked away at the Altiplano prison in central Mexico, became something of a feather in the Mexican government's cap. el chapo jail While extradition proceedings did get underway, the attorney general at the time said Guzman would be sent north only after he had served his time in Mexico in "300-400 years." The Sinaloa cartel chief had no intention of waiting around. Acting on escape plans that were most likely initiated soon after his capture (and which reportedly involved engineers trained in Germany), Guzman slipped through the hole in his cell shower's floor on a July evening last year. el chapo shower Guzman then used a motorcycle specially designed to operate in the tunnel, traveling a mile to a partially constructed house, where he was whisked by van to an airport north of Mexico City, and then on to a hideout in the mountains of Durango. Walk through 'El Chapo' Guzman's prison-escape route el chapo jail Reuters Beginning of the end A massive manhunt was soon underway. Even as Guzman exchanged flirty text messages with Mexican actress Kate del Castillo (who arranged Guzman's October meeting with Penn somewhere in the Golden Triangle), marines and other law-enforcement agencies were scouring the area. el chapo kate del castillo sean penn Mexican navy helicopters were accused of shooting up homes in western Sinaloa, displacing hundreds, yet Guzman remained free. He reportedly celebrated Christmas with his family, and then rang in the new year with an alleged mistress all this just a few months after he briefly traveled to Tijuana for male-enhancement surgery. His escape came to an end on January 8, when Mexican marines raided a home in Los Mochis, a city outside Sinaloa territory in northwest Sinaloa state. He fled the raid through sewer tunnels, emerging to steal a car for a short-lived getaway. El Chapo arrest Guzman was transferred back to Altiplano after his recapture, and his legal team has filed numerous motions to halt an extradition process that the Mexican government seems dead set on finishing. ("The cataract of resources presented by Guzman Loera can delay the process, but not stop it," a government source told El Pais.) Guzman's current wife has also joined the fray, decrying his treatment in prison to the media. The specter of his two escapes remains, however. After his July escape, dozens of officials and officers were arrested in connection with the breakout. The rot was so deep that the few months between his jailbreak and rearrest were probably not enough to root it out. El Chapo Joaquin Guzman "Let's be clear he will also use very, very common instrument of corruption and intimidation, and he could very well subvert the conditions" in prison, Mexican security analyst Alejandro Hope said a few weeks after Guzman's capture. "It should be remembered, and some of the structural weaknesses of the Mexican prison system are still there ... one of the persons that is being prosecuted for his escape was the head of the federal prison system," Hope added. "This was not just El Altiplano. This was systemic." These concerns go straight to the top of the Mexican government. After a power outage at Altiplano in May, Pena Nieto and Interior Minister Miguel Osorio Chong decided to transfer Guzman under the cover of darkness to a prison outside Ciudad Juarez, an area ostensibly in the control of Guzman's cartel. Now, inside Cefereso No. 9, Guzman is guarded by 75 agents, while outside, 600 more soldiers and police officers patrol the perimeter. (One soldier assigned to guard the prison was found dead a few weeks ago, though it's unclear whether it was linked to Guzman's imprisonment.) Guzman a man whose ill-gotten wealth earned him a place on Forbes' billionaires list and whose cartel once supplied "80% of the heroin, cocaine, marijuana, and methamphetamine with a street value of $3 billion that floods the Chicago region each year" now passes his days in solitude, reading "Don Quixote" and "The Purpose Driven Life." He speaks little; guards and other officials keep their distance, wary of a criminal mastermind who has corrupted, cajoled, and eliminated nearly all the rivals he has encountered. In Sinaloa, his home turf, once forgotten enemies appear to have reemerged, emboldened by Guzman's seeming demise. Factions of the BLO reportedly led a deadly raid on Guzman's hometown, forcing his mother, who lives there in a mansion he built for her, to flee. Perhaps more worrisome, Rafael Caro Quintero, released from jail 12 years early, is reportedly back on the scene. Caro Quintero, nicknamed "the narco of narcos," has designs on regaining his stature in Guzman's absence, and the rising body count in Chihuahua would seem to confirm his maneuvers. In prison, Guzman plays chess by himself. It would seem he's running out of moves. NOW WATCH: 1 YEAR LATER: Heres what may come next for 'El Chapo' Guzman More From Business Insider 'The Kashmiri identity and its unique blend of Sufi Islam, its culture and language can best survive in a plural and secular India.' 'Neither independence nor merger with Pakistan can achieve that objective.' 'Peace will return to Kashmir only when Kashmiris realise this, else they will be part of the 1,000-year war,' says Colonel Anil A Athale (retd). Many Indians are dismayed at the latest turn of events in Kashmir. As someone involved in the issue for over two decades, this does not come as a surprise. Like all insurgencies the Kashmiri one has also seen a transformation from a socio-economic problem to a purely political issue. Insurgencies are like amoeba, constantly changing its shape and size. Kashmir is no exception. From its socio-economic roots it has transformed into ideological issues of 'identity', hence the more than expected reaction to death of a home-grown militant vis-a-vis LeT mercenaries. However, the Indian response is still wedded to the past and consists of doling out economic goodies to solve an essentially political problem. The present burst of violence has shown the ineffectiveness of the tired and tested approach so favoured by the media and tele-warriors. Zulfikar Ali Bhutto's declaration of a 1,000-year war with India over Kashmir or Muhammed Sayeed's dream of 'Gazawa-e-Hind' (conquest of India) as well as global issues like the rise of Islamic State, all impact the Kashmir issue. It seems that Pakistan is determined to fight India to the last Kashmiri. The Kashmir issue has been a personal involvement for me for over two decades. The following observations are written so as to differentiate my views from the parachuted experts who pontificate on Kashmir from time to time. In my army career, I spent over eight years on the Kashmir Line of Control. Post early retirement, I have been visiting Kashmir at regular intervals since 1991. It was in 1993, a year before the latest Kashmiri separatist 'hero' Burhan Wani was born, that I had approached the Pune-based Cummins India for donation of their old computers to be inducted in Kashmir. The company agreed and I recall having personally loaded four Intel 286 computers in my ancient Fiat. I got in touch with the army formation in Kupwara and they sent a team to collect them and be delivered to schools in Kashmir. Around the same time, at my suggestion, the brigade at Tregham in North Kashmir, (the birth place of Maqbool Bhatt, founder of the Jammu Kashmir Liberation Front) started the first English medium school there. I continued to visit J&K to act as the eyes and ears of the army for another five years right till 1998. I, mistakenly as it turns out now, felt that Kargil was a watershed and the Kashmir issue will simmer down. In 1991, my understanding was that Kashmir violence was essentially a socio-economic issue. I cannot forget an incident at Kamalkor village (near Uri) when a soldier detected the new slippers worn by a poor village lady to accuse her of getting money from her fugitive militant husband! In 1991, poverty was a major issue especially in rural Kashmir and many Kashmiris acted as couriers of arms and ammunition for as little as Rs 200 per trip. Cut to 2010. An extensive tour of J&K as part of the Chhatrapati Shivaji Fellowship, I saw a transformed Kashmir. In place of barely a secondary school or two, places like Rajouri had a full-fledged university. One saw world class residential schools established by the army (as part of Operation Sadbhavana) in Rajouri and Pehalgam. Every major unit of the army in J&K was helping in running 'goodwill' schools in even remote parts of the state. The recent successes of Kashmir youth in all India examinations is a testimony to the success of this effort. During my two-month journey through the state I saw signs of prosperity everywhere. The governments of Mufti Mohammed Sayeed and Ghulam Nabi Azad had indeed spurred economic development. The most visible symbol of this is, of course, the railway line in the Kashmir Valley. The national statistical bureau had put the people below poverty line at a mere 6 per cent in J&K, one of the lowest in the country. The question that come up logically is then why all this violence and disaffection in the Kashmir Valley? There are two aspects to this answer. One is demographic and other political. While it is true that economic conditions in Kashmir have certainly improved, the gain at the individual level has been neutralised by the huge population growth. On an average, the Kashmiri fertility rate is 5. The population of the four districts in the Kashmir Valley has seen a 300 per cent jump over the ten year period, 2001 to 2011. With unsettled conditions, job creation has not kept pace with the population growth. An unemployed youth is an easy target for Pakistani machinations and the separatists who can easily rent a crowd for stone pelting. Politically, the mainstream parties have failed to get across to the population that one can be an Indian and yet retain her/his Kashmiri as well as Muslim identity. In a memorable intervention in a Lok Sabha debate, Omar Abdullah had asserted that he is proud to be a Muslim, a Kashmiri and an Indian. Unfortunately, the Kashmiri mainstream leadership has failed to speak up this language aggressively in the Kashmir Valley. Without this political support, the Indian Army's gains through goodwill schools and other civic actions are being frittered away. To be fair to the Kashmiris, this inability to accept multiple identities is a problem plaguing the Islamic world at large. The extreme example of this is seen in the behaviour of Islamic State supporters for whom their Islamic identity supersedes even humanism, reducing them to behaving like animals towards non-Muslims. Muslim populations in Europe and America are all wrestling with this dilemma. The root of the problem in the Kashmir Valley is the refusal to accept their Indian identity. Several opinion surveys by neutral international organisations have found that there is very little support for merger with Pakistan. However, it is true that in the Kashmir Valley at least (not in Jammu or Ladakh divisions) there is widespread support for the independence option. The point to ponder is: Can an independent Kashmir survive without succumbing to international predators like Al Qaeda or IS? What happens then to the minority within minority Shias? (Nearly 20 per cent of the Kashmir Valley population). Kashmir for Pakistan is a means to take control of its rivers and insurgency is a tool to achieve that strategic aim. I recall the late Laldenga of Mizoram telling me in 1988 that the north-east insurgencies for the Chinese were like a controlled flame, to be increased or decreased as it suited Chinese national interests. Kashmiris ought to have learnt this bitter truth by now! The hard fact is that the Kashmiri identity and its unique blend of Sufi Islam, its culture and language can best survive in a plural and secular India. Neither independence nor merger with Pakistan can achieve that objective. Peace will return to Kashmir only when Kashmiris realise this, else they will be part of the 1,000-year war that Bhutto had promised and wait for those many years for the chimera of independence. In the meanwhile bloodletting in Kashmir will continue unabated. Kashmiri intellectuals ought to give it a thought. Colonel Anil A Athale (retd) is a military historian and coordinator of the Pune-based think-tank INPAD and author of a book on Kashmir, Let the Jhelum Smile Again! published in 1997. 'He selects certain portfolios that he has personal interest in, and he does not give them to a senior leader.' 'The responsibility is given to a junior minister who then directly reports to Modi or to the small team of bureaucrats working with Modi.' 'I noticed this first in Gujarat where Modi worked mainly with two ministers, Saurabh Patel and Amit Shah,' says Aakar Patel. News channels often ask me to grade ministers on their performance. They send a paragraph or so on the achievements of the various ministries and one has to give the minister a score. When the show is aired I can observe what rankings others have also given. Four ministers who consistently get ranked highly are Piyush Goel (power, coal, new and renewable energy) Nirmala Sitharaman (commerce and industry), Dharmendra Pradhan (petroleum and natural gas) and Prakash Javdekar (environment). The unusual thing about them is that they are all ministers of state. Meaning that they are junior and do not have Cabinet rank. This fact did not change when Prime Minister Narendra Modi expanded his Cabinet a few days ago. Many people were brought in and the Cabinet has become a cupboard. But only one minister was given a promotion from minister of state to Cabinet minister. That was Prakash Javdekar. He was promoted, but his portfolio was changed. He has been made minister for education (also called human resource development). The new minister for environment, forests and climate change is Anil Madhav Dave. He is, like Javdekar was, not of Cabinet rank, but a minister of state. My observation is that this is a continuation of something Modi has practiced for the last decade-and-a-half since he became chief minister of Gujarat. He selects certain portfolios that he has personal interest in, and he does not give them to a senior leader. The responsibility is given to a junior minister who then directly reports to Modi or to the small team of bureaucrats working with Modi. I noticed this first in Gujarat where Modi worked mainly with two ministers, Saurabh Patel and Amit Shah. For the dozen years that Modi was chief minister, Patel was minister for industries, mines and minerals, petrochemicals, ports and energy. These are vital ministries, especially in Gujarat which is one of India's most industrialised states. Patel's portfolios addressed the business interests of five groups of companies, Tatas, Essar, Adani, Ambani and Torrent. The Gujarati media laughingly referred to Modi's task as being similar to that of the Mahabharat's Draupadi who had five husbands to keep happy. If the portfolios he was handling were important, and clearly they were, why was Patel not given Cabinet rank? Because, in my opinion, Modi wanted to retain ultimate control over the decision making process in these ministries. Of course, it is true that all ministers reported to Modi ultimately in Gujarat just as all of them report to him in Delhi today. However, the fact that the Cabinet rank is withheld means that the minister must check with Modi's office first before Cabinet approval is given to major decisions. Similarly, though he served Modi for many years devotedly as home minister, Amit Shah was never given Cabinet rank. From the time that he was appointed till the time he was forced to step down because of an alleged scandal, Shah was minister of state. He was in charge of the police and it is not difficult to imagine why Modi wanted to keep a close eye on the work happening there. When Modi became prime minister, I had predicted that he would keep the same control over all these ministries by only appointing ministers of state to look over them. This has proved to be the case. I was wrong in assuming even the ministry of home would remain under Modi. The minister there, Rajnath Singh, has Cabinet rank. However, a senior bureaucrat pointed out to me when I spoke about this, that the nature of the home ministry is different in the Centre. It does not have control over the police because that is a state subject and therefore it is far less important a ministry in Delhi, though it is seen as one of the top three portfolios. So far as the other departments go, especially energy, mining, petroleum, coal and industries these are vitally linked to Modi's mantra of 'development'. The environment ministry is important because an activist minister can block projects. Modi believes that he must retain operational control over these areas and all of the changes in policy that he seeks must be effected without resistance. This is why though the ministers in these vital areas are extremely talented, they are not given Cabinet rank. Interestingly Saurabh Patel was finally made a Cabinet minister in Gujarat after Modi left. It may be the case that the holders of the portfolios I have named may ultimately be given Cabinet rank. But for now it seems clear to me that Modi is following the Gujarat model of governance at least in part, in Delhi. Aakar Patel is Executive Director, Amnesty International India. The views expressed here are his own. You can read Aakar's earlier columns here. IMAGE: Prime Minister Narendra Modi and Bharatiya Janata Party President Amit Shah at the BJP's national executive meeting in Allahabad in June. Photograph: PTI Photo 'The situation was virtually gifted to Burhan Wani and if for some reason he were not to be around to step into the frame, the compulsion of circumstances would necessarily have found a "Burhan".' 'New Delhi's total disengagement virtually scripted the course of events, entailing unpredictable long range consequences,' says Mohammad Sayeed Malik. The inevitable long range consequences of Hizbul Mujahideen 'commander' Burhan Muzaffar Wani's killing, in a security forces operation in South Kashmir on July 8, are unpredictable, even as its immediate bloody aftermath continues to reverberate across the length and breadth of the valley, taking a heavy toll of human lives. As of now, the lengthening shadow of 'Shaheed' Burhan over the Kashmir landscape largely resembles both, in scale and intensity though not in context, the momentous fallout of events way back on July 13, 1931 when 21 Kashmiri protesters, demonstrating against autocracy, were gunned down by the Maharaja's forces outside Srinagar Central Jail. Whether and how, eventually, this analogy plays itself out in the days and months to come is hazardous to predict now. But play it will. For sure, things on the ground are not going to be the same here on. For one, Burhan's immensely popular public image lends him a larger-than-life profile across the board. Its political implications will undoubtedly crystallise. Its shadow overhangs both, mainstream and separatist political spectrum. It also cuts across generations, though manifestly spearheaded by 22-year-old Burhan's own youthful generation. His antecedents add to his appeal: Burhan stood out as a charming, daring homegrown 'freedom fighter' in an arena, otherwise overshadowed and dominated by Pakistani-origin militants. Although there are virtually no known or reported 'striking' terrorist actions in his name, his rebellious self-projection on social network succeeded in elevating him to a cult figure. Now with his death 'in action' Burhan is a legend, like no one before him. His instant mass appeal cuts across ideological, political lines and, at the moment, virtually dwarfs the image of even the tallest of local heroes in the arena. In death Burhan is now a phenomenon to reckon with. And it is a million dollar question to predict how and to what extent and exactly in which shape this phenomenon is going to act upon in the coming months and years. For one, it has the potential to infuse new life into fading insurgency, considering the motivational appeal of his persona. Two, there is a strong probability that the so-called grey area that was slowly but certainly growing between the two extremes of complicated Kashmir politics might either shrink beyond recognition or evaporate in the heat of the changing landscape. It is this latter prospect that ought to cause concern within not only the mainstream in J&K, but equally, if not more, over there in New Delhi. Retrieving the middle space and re-raising the political superstructure of some credibility has been the main achievement in the painful post-1990 period. Its possible loss, or even a setback, can unravel many more things in the troubled border state. And it is here that New Delhi (the UPA as well as the NDA) must take the blame. All important political engagement with the estranged/alienated segments of Kashmiri population seemingly never occurred to anyone occupying Raisina Hill. Except for some initial half-hearted moves, UPA1 went to sleep on honouring its own commitments and UPA2 never showed any appetite for revisiting the case. The NDA under Narendra Modi made history by carving a path where there was none to share power in J&K, with the PDP. The BJP's built-in bias against anything even smelling of 'concession' to (Muslim) Kashmir precludes it from following up the logic of its power sharing arrangement. Any engagement with the alienated population of the state now virtually dominated by the youth of the Burhan-generation continues to be anathema to the ruling partner of the PDP whose own lifeline hangs by a slender thread. The resultant vacuum on the ground made the situation ideal for penetration by the Burhan phenomenon. Two generations of youth lured by the romantic appeal of a defiant homegrown hero's larger-than-life image found an anchor to engage. The situation was virtually gifted to Burhan and if for some reason he were not to be around to step into the frame the compulsion of circumstances would necessarily have found a 'Burhan'. New Delhi's total disengagement virtually scripted the course of events, entailing unpredictable long range consequences. Almost everything on the ground has been thrown into disarray. Politics has come to a grinding halt with the alarmingly high casualty toll in the aftermath of Burhan's killing. The credibility of the Establishment is mud. The entire political class stands isolated and rendered clueless but for self-serving taunts traded across the board. History is a witness that the nation is made to pay a heavy price by ruling Kashmir in isolation of its population. The political configuration on the ground has gone haywire with this just one incident. Overlooking the high cost of disengagement in Kashmir would be a unforgivable folly; if it is not yet unaffordable. IMAGE: Protesters throw stones amid tear gas smoke fired by the police during a protest against Burhan Wani's killing in Srinagar. Photograph: Umar Ganie 'We never looked at the Common Civil Code or the Ram Mandir from a narrow electoral outlook or treated them as electoral planks.' Uttar Pradesh appears to top the agenda of Rashtriya Swayamsevak Sangh-Bharatiya Janata Party discussions these days. The RSS top brass -- including Sarsanghchalak Mohan Bhagwat, Sarkaryavah Suresh 'Bhaiyyaji' Joshi and 41 regional pracharaks -- will meet in Kanpur from Tuesday, July 12, for discussions on the coming elections in four states. According to sources, a strategy will be chalked out to ensure the BJP's victory in the elections at the RSS meeting. BJP Vice-President Vinay Sahasrabuddhe, below, left, who was recently elected a member of the Rajya Sabha from Maharashtra, spoke with Rediff.com's Prasanna D Zore about next year's assembly election in Uttar Pradesh and the BJP's testy relationship with its ally, the Shiv Sena, in Maharashtra. Image: A temple wall, where devotees have written the name of Lord Ram, in Ayodhya. Photograph: Roy Madhur/Reuters Will the Ram Mandir as well as the Uniform Civil Code, considered 'core issues' for the BJP be part of the party's Uttar Pradesh election campaign? We have made it amply clear, not once, but several times, that these particular issues and certain other issues as well, have been a part of our basic philosophical approach. We never looked at them as election issues. We never looked at the Common Civil Code or the Ram Mandir from a narrow electoral outlook and treated them as electoral planks. They definitely become electoral issues when many of our opponents continue to rake them up to embarrass us and to strengthen their own vote-bank. But for us these issues are basic tenets of our world-view and therefore they are a part of our commitment. Whether they will become election issues or not is too early to tell because it is majorly our Opposition parties who are trying to cash in on these issues. People have now seen through their design and therefore voters are no more going to be hoodwinked by their vote bank politics. People these days are keener about development and development is going to be a major plank in the forthcoming elections in Uttar Pradesh. As per my understanding development also involves social and cultural justice and security as well. But there is a charge against the BJP that the party rakes up these issues only before the elections. How are you preparing to blunt this criticism? These are frivolous criticisms and as a party I don't think they merit any serious consideration. These have no substance in them. People know what the BJP today stands for and are convinced about our commitment to development and welfare of every Indian. They do not see any contradiction in issues of these kinds as our basic ideological position encompasses both, social and cultural identity as well as developmental aspirations. Development is about better living, which means 'how to live?' On the other hand, socio-cultural aspirations are about 'why to live?' Are not both these issues important? While the BJP came to power chanting slogans like 'Congress-mukt Bharat', now even the BJP's regional allies, particularly the Shiv Sena in Maharashtra, have started fearing the BJP, and the distance between the two allies is only increasing as we head towards the BMC (BrihanMumbai Municipal Corporation) elections next year? I don't think our alliance partners have any apprehensions about the BJP and its politics. They are our partners for decades. Our slogan for a 'Congress-mukt Bharat' should in fact embolden our allies as they also are going to benefit from it. Our slogan is an example of using minimum words to convey maximum substance. We want this land to be free from nepotism, corruption and ill governance. Ever since Independence these three words best describe the functioning and character of the Congress party. Instead of using these three terms we are using one term: Congress-mukt Bharat. That is the sum and substance of it. In any alliance, partners have to realise the changes on the ground and prepare for some give and take. In 1996, we sacrificed our Thane Lok Sabha seat as we had realised that the Shiv Sena had increased popular support. Twenty years thereafter, if the situation has changed and the BJP has increased its popular support. Now it is for our partners to realise this and be prepared for give and take as per the changed situation. Since 2014, the political map of Maharashtra has undergone changes. Therefore, if alliance partners don't revisit their plans as per the changed political geography, then some kind of confrontation is only natural. If they appreciate this ground reality (that the BJP won more seats in the Maharashtra assembly) then I don't think there should be any problem. Editorials in the Shiv Sena newspaper Saamna label the BJP an opportunistic party, 'big brother'... Our main alliance partner in Maharashtra (the Shiv Sena) was our big brother earlier. Even now they continue to not only criticise, but also ridicule the BJP leadership every now and then. I really fail to understand as to how the BJP could be blamed for the so-called big bBrother approach. For decades their mouthpiece has criticised the BJP using abusive terms. Some leaders (from the Shiv Sena) also ridiculed calling us 'Kamalabai' and this not in the too distant a past. We decided to ignore it or take it in a sporting spirit. Remember, the BJP has always respected independent political space of our partners. After all, every alliance continues only because the partners in the alliance believe -- equally seriously -- that they need each other. If you stop believing in this, then naturally the alliance will go haywire. Do you think the BJP-Shiv Sena alliance can be saved for the BMC elections or is a split a strong possibility now? The BJP has made its position very clear. We have left the decision to our local units. It is for them to take a call. If an alliance partner behaves in a particular manner and goes along with the 'alliance dharma', as such I think the alliance could certainly happen. But if they don't, then perhaps the alliance may not happen. Saving the alliance is a shared responsibility. Amidst reports that a group of Keralites had joined terror outfit Islamic State, Kerala Chief Minister Pinarayi Vijayan on Monday informed the state assembly that a total of 21 persons have been missing from the state. Among them, 17 were from Kasaragod and four from Palakkad as per the preliminary information available, Vijayan told the assembly while replying to a submission raised by opposition leader Ramesh Chennithala. The chief minister made it clear that extremism and terrorism has no religion and the government would not allow any move to trigger anti-Muslim sentiments in society over the issue. "The missing persons from Kasaragod included four women and three children. Two women were among those missing from Palakkad," he said. These people had left their homes stating different reasons, he said. As per the media reports, these people had gone to Syria and Afghanistan and they were in IS camps, he said. Quoting media reports, Vijayan said one Firoz from Kasaragod has been taken into custody from Mumbai airport on Sunday in connection with the development. The government was viewing the matter very seriously, he said, adding it would take necessary steps with the support of the central agencies, to tackle the issue. "The state government is committed to take stern action against any kind of terror activities," he said, adding the government, at the same time, would not allow any vested interest to exploit the situation. There was a move to trigger anti-Muslim sentiments in society using the present situation and this would not be allowed. "There is also an attempt to put the entire Muslim community under the shadow of doubt. But it is well-known that majority of people in Kerala are against any kind of terrorism and extremism. Only a very few people are showing tendencies to become part of this," he said, adding, that this was also a serious matter. Noting that only the government steps were not enough to overcome the problem, Vijayan said a joint effort was necessary to bring all sections of people together and line up to isolate these elements. Taking up the issue, Chennithala said Kerala is in the grip of fear in the wake of the media reports about the IS link with some state youths. However, he said no official confirmation had been received so far in this regard. So, it is the responsibility of the state government to make clarifications on the reality and alley the apprehensions of the people. The opposition also wanted the chief minister to share with the people whatever information he could on the development. BJP MLA O Rajagopal brought to the notice of the House that a final year woman dental student from his constituency in the city was missing. There were reports that she had converted to Islam and moved to Palakkad after her marriage. She was one among the women missing from Palakkad. However, every citizen has the right to choose any religion he or she likes or marry anybody but stern steps should be taken to prevent the people joining outfits like IS, he siad. CPI-M MLA M Rajagopal, who along with Kasaragod MP P Karunakaran brought the matter to the notice of the chief minister two days ago, said majority of the persons missing from his constituency were well-educated and technocrats. He said their family members were ready to pass on any information they have to the state and central investigation agencies. The MLA also wanted a comprehensive probe into the matter and said any move to create communal tension over the issue should be checked by the state government effectively. Unemployed youths preferably from Muslim community are being roped in by handlers of terror groups like the Islamic State and Jamaat-ul-Mujahideen Bangladesh to expand their networks in border districts of West Bengal. The JMB has been targeting unemployed youths and ISIS too could be following its tactics. The recent arrest of 25-year-old Mohammed Musiruddin has exposed the presence of the terror group in the state, particularly in the districts bordering Bangladesh including Burdwan, Murshidabad and Birbhum, a top CID official of West Bengal said on the condition of anonymity. The group has also spread its tentacles in different pockets of the city, the official said describing the Khagragarh blast in 2014 as a clinching proof of the existence of its bases in the state. Burdwan district entered the terror map in October 2014 when two suspected JMB terrorists were killed while making improvised explosive devices at a rented house at Khagragarh. In fact, NIA in its supplementary chargesheet in connection with the Khagragarh blast had claimed that JMB had been recruiting youths from the border districts of West Bengal. "Unemployed youths are the main targets of terror groups. JMB had been doing that and the ISIS has started the same process," the official said adding that this fact has again got a confirmation during the grilling of Musiruddin, who was part of the of recruitment network. "They have handlers who supervise one to two districts and keep a tab on educated but unemployed youths including girls in the age group of 16-30 years preferably from the Muslim community," he said. "The brainwashing starts from the first meeting which helps them convince the youth to join the group. And from there they are moved to the training camps in the bordering districts," the officer said referring to the arrest of a 19-year-old polytechnic student at Durgapur by NIA this March. The name of Ashique Ahmed, alias Raja, a resident of Hooghly's Dhaniakhali, had surfaced during an interrogation of one Abdus Sami Qasmi, who was arrested by the agency from Uttar Pradesh in February. The NIA sleuths, who had seized some documents from Ashique's ancestral home, were initially hesitant to arrest him as he was a teenager and had no criminal record. "This is another tactic to choose someone with no criminal records but has some education. Youths are told that they do not need to come to Syria to join the war actively but can initiate their own jihad from their native places," the officer said. "It is nothing but asking them to carry out 'lone-wolf' attacks which are very hard to stop until they are executed," he said, adding that these camps also worked as a fund-raising machinery too. The handlers, he said, communicate with their leaders mostly based either in Syria, Iraq or Bangladesh through the social media like Facebook and also via Whatsapp and other messengers. Musiruddin's mobile phone showed calls received and made to numbers in countries like Syria, Iraq and Bangladesh, apart from the App he was using to communicate to the leaders, the officer, who is part of the probe team, said. Pointing to a 2015 report of the Intelligence Bureau, the officer said the posters were put up in several districts of the state asking the youths to join the JMB. In West Bengal, districts like Burdwan, Nadia, Murshidabad, North 24 Parganas, Malda and Birbhum have been witnessing increasing fascination for the ISIS. "The state's secular and cosmopolitan nature has made it quite easy for the terror groups from across the border to use it as a corridor for smuggling of arms, explosives and fake currency notes and the city as a transit route," he said. Procuring ration card, passport, voter ID cards and all sorts of documents to establish their Indian citizenship are easy and sleeper cells help illegal immigrants in getting them. "Marrying local women is also another process of establishing yourself as an Indian citizen. But that is actually a curtain to cover the actual activity," he said. As Kashmir valley remains on the edge following the killing of militant commander Burhan Wani, separatists on Monday extended the shutdown call till July 13. The separatists termed the state government's appeal for help to restore normalcy as "childish and illogical". Hardline Hurriyat Conference led by Syed Ali Shah Geelani in a statement issued here on behalf of the separatist groups said the strike has been extended by two more days. "July 13 would be observed as the Reaffirmation Day and all three separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umar Farooq and Yasin Malik, would march from Hyderpora, Jamia Masjid and Maisuma towards Mazar-e-Shuhda (martyrs graveyard) in Naqshband Sahab, respectively," the statement said. Every year, separatists, including mainstream parties, observe July 13 as the death anniversary of 21 protestors killed by Dogra army outside Srinagar's Central Jail in 1931. The separatists had earlier called a three-day strike following the killing of the militant commander. The separatists asked the people to "raise and repeat the slogan of right to self-determination". They asked the government to put a stop on the killing spree of "innocent and unarmed people of Kashmir during peaceful protests." "The situation, otherwise, would take a serious turn. New Delhi, along with the state government, would be held responsible for it," they warned. Violent protests erupted in Kashmir in the wake of the killing of Hizbul Mujahideen poster boy Wani on Friday in a joint operation by the army and Jammu and the Kashmir police. So far, 23 civilians have been killed and more than 250 injured in the firing by security forces. IMAGE: Police said over 30 other youth were injured in action by the security forces against the violent mobs at several places across the valley. Pakistan has expressed shock over the killing of Hizbul Mujahideen commander Burhan Wani in an encounter in Kashmir and deplored oppressive measures and excessive force used against civilians in the latest wave of violence in the Valley. Pakistan Prime Minister Nawaz Sharif, who has been under attack from the opposition for remaining silent over the killing of protesters in Kashmir, came out with a Sunday night statement condemning the action of Indian security forces. The prime minister of Pakistan has expressed his deep shock at the killing of Kashmiri leader Burhan Wani and many other civilians by the Indian military and paramilitary forces, Sharifs office said in the statement. The prime minister said it was deplorable that excessive and unlawful force was used against the civilians who were protesting against the killing of Wani. Oppressive measures such as these cannot deter the valiant people of Jammu and Kashmir from their demand of exercising their right to self-determination in accordance with the UN Security Council resolutions, he said. Expressing concern over the detention of Kashmiri separatist leaders, Sharif said India should fulfil its human rights obligations as well as its commitments under the United Nations Security Council resolutions. The death toll in the clashes between protesters and security forces in Kashmir mounted to 23, as normal life remained paralysed for the third day on Monday in the Valley due to curfew-like restrictions and separatists-sponsored strike in the wake of the killing of Wani in an encounter on Friday. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Pakistan Peoples Party chairman Bilawal Bhutto Zardari has alleged that Sharif-Modi friendship was causing irreparable damage to the Kashmir issue. While Muslims celebrated Eid-ul Fitr across the world, our Kashmiri brethren marked the day of festivities amid violence carried out by the Indian army, Bilawal said in a statement on Sunday. He accused Sharif of damaging the foreign policy by giving his Indian counterpart Narendra Modi a certificate of friendship. Sharif is jeopardising the Kashmir issue by building his association with the Indian prime minister, he said. Image: Hizbul Mujahideen militant commander Burhan Wani (right), who was killed during an encounter at Kokarnag area of Anantnag of south Kashmir on Friday. Photograph: PTI Flash floods triggered by torrential rain have killed at least 22 people in India and forced more than 1,70,000 from their homes, officials said on Monday, as forecasters predicted more downpours in coming days. Twenty people were killed in Madhya Pradesh where 70,000 people were left homeless as water rose to dangerous levels along parts of the Narmada river. Stormy weather also ravaged parts of the remote northeast. Heavy rain pounded the tea-growing, oil-rich state of Assam killing at least two people. About 100,000 people were forced to take shelter on higher ground, officials there said. An auto driver pulls his rickshaw through the water-logged streets in Guwahati, Assam. Assam Chief Minister Sarbanand Sonowal has instructed all concerned departments to gear up relief measures in flood hit areas, as over 1 lakh people are still reeling under the fury of flood. Photograph: Rediff.com A rickshaw puller wading through a waterlogged road after heavy rains in Bhatinda. Photograph: PTI A man rows a boat as they pull out a horse from the flooded river Ganga in Allahabad. Photograph: Jitendra Prakash/Reuters Traffic comes to a halt at a waterlogged road near ISBT after heavy rains in New Delhi. Photograph: Atul Yadav/PTI A man walks at submerged Sangamnagar-Dhaka bridge over Koyna river which has delinked 36 villages after heavy rains at Koyna in Satara, Maharashtra. Photograph: PTI In Assam, the army has been roped into the rescue and relief operation in Jorhat district and the troopers, along with local teams of rescuers are evacuating people from the flooded areas to safer shelters. Photograph: Rediff.com People dismantle huts belong to Hindu priests, who normally set up stalls along the banks, after the rise in the water level of river Ganga in Allahabad. Photograph: Jitendra Prakash/Reuters Mobs on Monday torched a police station in Sopore and targeted the air force airport in Pulwama along with other security installations in Kashmir as ongoing clashes between protesters and security personnel following the killing of Hizbul commander Burhan Wani on Friday left 23 dead and over 250 injured. IMAGE: : Security personnel guard a deserted street as curfew is imposed in different parts of the city . Photograph: PTI Violence and arson continued unabated in KashmirValley for the third day today even as National Security Adviser Ajit Doval rushed home from Kenya to join in efforts to defuse the turmoil that has claimed the lives of 23 people. As Kashmir remained on the boil and normal life paralysed due to curfew-like restrictions and separatists-sponsored strike over the killing of young Hizbul commander Burhan Wani on Friday, mobs torched a police station in Sopore and targeted the air force airport in Pulwama along with other security installations in Kashmir. There was also no let up in stone pelting incidents. A total of 800 additional personnel from the central paramilitary forces are also being rushed to Jammu and Kashmir. The reinforcements will be in addition to 1,200 personnel sent to assist the state police on Saturday. "Two persons have died in an incident of violence in Kulgam district yesterday and have been identified as Feroze Ahmad Mir (22) and Khursheed Ahmad Mir (38)," a police official said. With this, the death toll in the violence has gone up to 23, including a policeman. Around 250 people were also injured. A group of protesters pelted stones towards the air force airport at Koil in Pulawama this morning, a police official said. They also set afire the dry grass inside the airport complex. Security forces tried to chase away the mob but the attackers were regrouping and intermittently hurling stones, he said. Stone pelting incidents were reported from Sopore, Handwara, Bandipora and Baramulla in north Kashmir, the official said. Protesters torched a police station at fruit market in Sopore, police said, adding the men, arms and ammunitions and records were safe. IMAGE: Protesters throw stones on a police vehicle during a protest following the killing of Hizbul Mujahideen commander Burhan Muzaffar Wani. Photograph: S Irfan/PTI A police spokesman said the situation remained "under control" though incidents of arson and mob attacks were reported at over two dozen places in the Valley. "The situation in Valley remained under control today though incidents of arson and mob attacks were reported," the spokesman said. He said unruly mobs set on fire police posts at Fruit mandi in Sopore in Baramulla district and Police Post Litter in Pulwama district. "A number of police establishments were also attacked by hooligans besides incidents of stone pelting reported from Trehgam, Kralpora, Villgam, Warpora, Langate and Kralgund in Kupwara district, Sheeri, Cement Bridge and Khanpora in Baramulla, Preng in Ganderbal, Hyderpora, Baghat Barzulla, Tengpora and Rambagh in Srinagar, Tahab and Prichoo in Pulwama, Yaripora in Kulgam, Sangam and Bijbehara in Anantnag, Shopian, Imamsahib and Gagran in Shopian, Popchan, Koil, Hajin and Kaloosa in Bandipora," the spokesman said. "The police and paramilitary personnel deployed exhibited maximum restraint while dealing with the situation," the spokesman said. At Zirpora in Bijbehara, the spokesman said militants fired upon police and CRPF personnel deployed for law and order duties which resulted in injuries to three persons who have been hospitalized. Mobile telephony has been suspended in four districts of south Kashmir since Friday evening as violent protests erupted following killing of Wani in an encounter with security forces. Mobile internet services continued to remain suspended. Strict restrictions on movement of people continued to be in place in many parts of the Valley including parts of Srinagar city, where the first death in the clashes occurred last evening. Authorities have strengthened the presence of security forces in vulnerable areas of the city and elsewhere in the Valley to contain the protests, police said. They said the measures have been taken to avoid any further loss of life or damage to property. Shops, private offices, business establishments and petrol pumps were shut, while government offices and banks witnessed thin attendance, officials said. Public transport was completely off the roads while private cars and auto-rickshaws were seen plying at few places in the areas where there were no restrictions, they said. Educational institutions in the Valley were closed on account of the ongoing summer vacations, while Central University of Kashmir, Islamic University of Science and Technology and Jammu and Kashmir Board of School Education have postponed the examinations due to the prevailing situation. Most of the separatist leaders, including Syed Ali Shah Geelani, Mirwaiz Umer Farooq and Mohammad Yasin Malik, are either in custody or under house arrest. Rajnath speaks to Sonia, Omar Abdullah on Kashmir situation With Kashmir in turmoil, Home Minister Rajnath Singh on Monday reached out to opposition leaders including Congress chief Sonia Gandhi and former Chief Minister Omar Abdullah, and discussed the prevailing situation there. During the telephonic conversation with Gandhi and National Conference leader Omar, the home minister discussed with them efforts to bring back peace and normalcy in Kashmir Valley, which is witnessing violent protests after killing of militant leader Burhan Wani on Friday, official sources said. The home ministers discussions with Gandhi and Omar, who ruled Jammu and Kashmir between 2009 and 2015, are believed to be an attempt by the central government to take opposition leaders into confidence. In a statement, Gandhi on Monday said there can be no compromise on matters relating to national security even as she voiced anguish over the loss of lives in the clashes. Singh is also speaking to other opposition leaders on the Kashmir situation, sources said. Singh has already spoken at least twice to Jammu and Kashmir Chief Minister Mehbooba Mufti and assured her all central assistance to tackle the violent protests, which so far claimed 23 lives. IMAGE: A demonstrator protesting the death of Alton Sterling is detained by law enforcement near the headquarters of the Baton Rouge Police Department, US. Photograph: Jonathan Bachman/Reuters As the lone woman stood in front of a row of riot police in the United States, her flowing dress and bare arms in sharp contrast to the officers armour, she gave off an air of peaceful determination and defiance. This image, shot by Reuters photographer Jonathan Bachman, is being defined as iconic and a powerful image of the ongoing struggle between law enforcement and black Americans. The photograph was taken on Saturday when a group of protesters blocked the Airline Highway, outside Baton Rouges Police Department headquarters in Louisiana. A large number of police officers descended on the highway in their riot gear as a response and arrested over 100 people, who were protesting against the shooting of two black Americans last week. Emblematic of Tiananmen Squares infamous and unknown Tank Man, Reuters was unable to identify the woman, who was later detained. Speaking about the photograph, New Orleans freelance photographer Bachman said, I photographed someone arguing with an officer and then I looked over my shoulder and saw her there and she had every intention of not moving, he said. She just stood there and made her stand. I was just happy to be able to capture something like that. It wasnt very violent. She didnt say anything. She didnt resist, and the police didnt drag her off. That was the first image I transferred [to Reuters] because I knew it was going to be an important photo, he was quoted as saying. You can take images of plenty of people getting arrested, but I think this one speaks more to the movement and what the demonstrators are trying to accomplish here in Baton Rouge. The woman was released from police custody late Sunday evening, according to New York Daily News reporter Shaun King. United Kingdoms Home Secretary Theresa May will become Britains second woman prime minister on Wednesday to replace David Cameron after her only rival in a surprise move announced on Monday that she was withdrawing from the race. Andrea Leadsom, who was energy minister in the Cameron-led Cabinet, pulled out from the two-way contest for the Conservative party leadership in a dramatic move on Monday. She threw her support behind 59-year-old May as ideally placed to enforce the vote for Brexit in last months referendum on Britains membership of the European Union. The interests of our country are best served from the immediate appointment of a strong and well-supported prime minister. I am therefore withdrawing from the leadership election and I wish Theresa May the very greatest success. I assure her of my full support, Leadsom said. The best interests of our country inspired me to stand for our leadership. I believe in leaving the EU a bright future awaits. The referendum result represented a clear desire for change, the 53-year-old senior Tory MP said. It then fell to the 1922 committee of Conservative MPs to decide the revised timetable for the leadership race. Conservative MP Graham Brady, the chairman of the committee, told reporters soon after Leadsoms announcement that May is now the only candidate for the party leadership, which only leaves the formal announcement of her as party leader and PM. An exact time-frame for that will become clear in the coming days. Leadsoms withdrawal nullified the need for a postal ballot by the UK-wide Conservative party membership and it is now certain that the new leader will be officially declared much sooner than the September 9 timeline initially announced. Leadsoms announcement follows days of controversy around her candidature, which included allegations of exaggerating her curriculum vitae and most recently having to apologise to her rival over an interview to The Times which suggested that being a mother made her a better candidate for the job. May had recently publicly revealed her own sadness at not being able to have children. She now looks all set to take over from Cameron, who had announced he would be stepping down for a new PM to take the Brexit negotiations forward. Image: Britain's Home Secretary Theresa May speaks during her Conservative party leadership campaign at the Institute of Engineering and Technology in Birmingham, England, Britain July 11, 2016. Photograph: Andrew Yates/Reuters Exiled Kashmiri leader and spokesperson of the United Kashmir People's National Party Nasir Aziz Khan has alleged that Pakistan has been waging a proxy war in Kashmir since 1998 and had been using the religious sentiment of the Kashmiri people, adding that slain Hizbul Mujahideen militant Burhan Wani was also part of that war. "Kashmiris are pro-peace and peace loving people and they believe in peace and harmony. If we look into history, 4000-6000 years ago, Kashmiris whether they were Hindus and Muslims, they were living with peace and harmony. But unfortunately since 1998, Pakistan has started a proxy war in Kashmir, are using the religious sentiment of the Kashmiri people and Burhan Wani was also part of that movement -- I mean the proxy war of Pakistan," Khan said. Stating that terrorist have similar fate worldwide as that of Wani, he said, "If we see in the whole world, terrorists are treated and eliminated by the same way, whether they are in Syria, the US, the UK, and Spain or in Pakistan. If a terrorist attacks any government building, civilians or law enforcement agencies, they are killed by the same way." Pointing out that in state relations there is no elder or younger brother and every state has its own interest whether it is Pakistan or any other country, Khan said, "We should keep in mind that Pakistan is using religion as a weapon and they are exploiting the religious sentiment of the Kashmiri youth. And, Burhan was also issuing statements that he is going to attack law enforcement agencies -- army and police -- in Kashmir." Khan also warned the Kashmiri people, "And one thing we should keep in mind that Pakistan has no love with Kashmiris. It is exploiting religious sentiments of the Kashmiris and is plundering their natural resources." He called upon them to join hands with the secular democratic forces of Kashmir because, according to him, that is the only way through which they can achieve freedom and their rights. "Those people who take guns, their families are suffering. Burhan Wani is eliminated, but his family and all Kashmiri society are suffering due to their wrong doings. Their struggle has nothing to do with Islam, as Islam doesn't allow attacking civilians, killing children and women. Our youth should understand that we can only-only achieve our goal through dialogue and peaceful means and the Kashmir issue should be resolved through peaceful means as per wishes and aspiration of the Kashmiri people," Khan told ANI. Alleging that there are few people who are trying to communalise society, he warned, "Kashmiri should understand that the Kashmir issue can be resolved through peaceful means and dialogue. Pakistan will not give us freedom or any country will not give us freedom. The only way that should we keep in our minds that we can achieve our freedom and our course through peaceful means through dialogues." Citing examples of Pakistan-occupied Kashmir and Gilgit-Baltistan, the UKPNP spokesperson said, "When we see in PoK and Gilgit-Baltistan, Arif Shahid, who was the chairman of the All Party's National Alliance of different nationalist parties and groups, he was eliminated in Islamabad -- a targeted killing, but the media were silent on the both side, whether Indian or Pakistani media, they did not highlight that issue." Mir Murtza Ali in Kotli, he alleged, was kidnapped and killed by army personnel because he walked nearby the check post of the army. An innocent Kashmiri, Sarfaraz Shah, who was killed by Pakistani rangers in a Karachi park, was begging for his life but security forces did not forgive him, they kill him, he alleged, adding that he was without arms, he was innocent, but he was killed and our media remained silent. Burhan Wani, the poster boy of Hizbul Mujahideen, who was killed by security forces in an ecounter on Friday night, was buried in his native place Tral on Saturday, while violent mobs attacked installations of police and paramilitary forces at various places in the Valley and set ablaze several buildings including three police installations. 'He was an amazing combination of a fantastic artist and a great scholar and teacher.' 'A good way of paying homage to this thinker, and for us and the future generations to benefit from his ideas, would be to keep his writings available and alive.' Rediff.com's Uttam Ghosh attends a meeting to pay homage to K G Subramanyam, one of India's most interesting painters and thinkers. As an art student in the early eighties, my only exposure to K G Subramanyan, or KG as he was popularly known, was through text books and the little bits of information our teachers shared with us. That he was an artist who had a tremendous impact in giving Indian art a new direction was something I came to know much later. KG succumbed to that final master, death, on June 29 at the ripe old age of 92. Mumbai honoured this celebrated artist -- he was a painter, sculptor, muralist, print maker, writer and academic (lecturer at the faculty of fine arts, M S University, Baroda and, later, Kala Bhavan, Visva Bharti University, Santiniketan) though a memorial meeting, where artists from the city shared their memories. KG's influence was such that even the vagaries of a rainy day did not stop an eminent gathering of over 60 people from making it to the venue, the National Gallery of Modern Art in southern Mumbai. A notice board announces the memorial meeting at the National Gallery of Modern Art. Despite the gloominess of a wet monsoon day, over 60 people made it to the venue In his tribute, contemporary painter Sudhir Patwardhan (above) said, "Manida to most and KG to some, he was one who inspired at least three generations of artists in Baroda, Santiniketan and the rest of the country. He will be dearly missed as a human being, as an artist, as a teacher and as a thinker who gave us the conceptual frame work for an alternative modernism." "I was not a student, but I always saw him as someone to learn from. I found his work and writings stimulating and challenging... challenging because he proposed a model of modernity that was essentially different from the western European style modern that was my context in Bombay in the seventies." "And so, in 1987, I spent around three weeks in Santiniketan talking to Manida about his ideas and also learning terracotta and clay modelling art under his guidance." "Manidas views were developed in his years in Santiniketan as a student with teachers like Nandalal Bose, Benode Behari Mukherjee and Ramkinkar Baij and also from his exposure to and thinking about modernism in Europe and America. He created a conceptual framework for an alternative modernism rooted in local conditions, in classical and folk traditions, in crafts that were still alive and at the same time was responsive to change and development and to individual creativity." "His contribution to the idea of art as language is very important." "A good way of paying homage to this thinker, and for us and the future generations to benefit from his ideas, would be to keep his writings available and alive." Atul Dodiya (above), who spoke later, said, "I was not privileged; I was never his student, but a generation of artists and those who studied art under him still talk about him. If you go today to Santiniketan, you will find young students who will say that was Manida here and he said this and he did that..." "He belonged to the generation of artists like M F Husain, (Francis Newton) Souza, (Syed Haider) Raza, (Vasudeo S) Gaitonde, Akbar Padamsee, Ram Kumar, Tyeb Mehta... this group of artists where I could see some sort of a bond in terms of their style and in terms of what they were doing. And, of course, then there was Subramanyan who was slightly different towards his approach." "I felt KG was influenced by Henri Matisse (in terms of the) colors, forms and the playfulness. But then, when I started looking at more of his works, they were terracotta, there were murals, paintings, drawings, reverse paintings on glass all kinds of experiments in terms of materials." "He was an amazing combination of a fantastic artist and a great scholar and teacher." "KG's role is amazing in the development of the narrative school of Baroda. He advised Bhupen Khakhar also." "KG could speak on any subject -- be it politics or social, you name it and he would get involved in it and talk about it." "When we met him recently he said, 'I read the papers and Modisaab says, Acche din ayege lekin do saal ho gaye, pata nahin kab ayenge (Mr Modi says good days will arrive but it has been two years (since he came to power) but I still don't know when the good days will come)." "He had a great sense of humour. It was fun talking to him. At some points, he would be very sarcastic and blunt about what he felt." Sudhir Patwardhan (in black), Shakuntala Kulkarni (in blue) and Anju (second from right) and Atul Dodiya (right) catch up after the memorial meeting. Sabyasachi Mukherjee (in grey), director general, the Chhatrapati Shivaji Maharaj Vastu Sangrahalaya (formerly known as the Prince of Wales museum), was there as well. Pheroza Godrej, who later caught up with Atul and Anju Dodiya, added, "I am happy that KG's daughter Uma's husband, Mr Padmanabhan, is here. It is a sad occasion, but we must also celebrate Subramanyan's life; he has left us a lot to celebrate with." "K G Subramanyan stands apart from artists who either latched on to the bandwagon of post modernism or succumbed to the creation of theoretical works of overwhelming socio-political cultural cross currents." "He stands apart by having contributed to work serenely in the manner of the great masters of the early part of this century, experimenting and exploring various media and evolving a highly personalised vocabulary of images unmoved by the dictates of fashionable art theory." "It is from his paintings that we realise that Subramanyan's is a universal pictorial language originating out of shared science and beliefs. They could be intensely private on the one hand, while being easily accessible to his artistic sensibility of the informed viewer on the other hand." Gallery owner Mortimer Chatterjee added, "The influence that KGS has had on successive generations of artists, both in Baroda and Santiniketan, is undeniable. In my opinion, he is on the cusp of major international appreciation. It is a great shame that he did not live long enough to witness this come to fruition." Lalita Lajmi, in a rare appearance, and K G Subramanyan's son-in-law Padmanabhan were there as well. Bangladeshis say it is easier in Portugal to get Residency papers, which give them access to all EU countries, notes Sunanda K Datta-Ray. They call Portugal one of Europe's PIGS -- Portugal, Italy, Greece and Spain -- countries that are supposed to be in perennial economic difficulties. But the worst in the West being often better than the best in the East, this small country washed by the Atlantic, with the Mediterranean lapping its toes, overwhelmed in history by Spain and France, is where Bangladeshis come to be reinvented. "The pay is poor," said Amjad Ali, "only 530 taka (he meant euros) out of which I have to pay for room and food. Of course, prices are also lower in Portugal. But the important point is that it's easier to get 'document' here." Residency papers will give him instant access to all 28 (29 if the latest applicant, Montenegro, is admitted) European Union countries. Amjad served us the deliciously sweet pasties de nata (custard tarts) that are a Portuguese speciality and a jug of refreshing sangria in a restaurant in pedestrianised Rua Augusta beyond a magnificent triumphal arch. I thought he was Goan. But, no, he was from Sylhet in Bangladesh. During our few days in the Portuguese capital, my wife and I met several young waiters like Amjad, serving everything (except, mercifully, pasties de nata) with mountains of French fries and a small pile of rice which is the Portuguese way. Their native culinary skills these Bangladeshis keep for domestic use. Amjad is only 24. He spent nearly two years in London before coming to Lisbon four years ago, and hopes to return there once he has his 'document' as the pay is much better. "There were a thousand Bangladeshis in Portugal when I came," he said. "Now there must be nine thousand." There is a Bangladesh embassy in Rua Antonio de Saldanha, and also a Bangladesh-Portugal Friendship Society. Hussain is older than Amjad, a hoary 33. We first glimpsed him holding a menu outside a pastelaria off Rossio Square. He worked in a restaurant in Brussels and lost money in a shop in Madrid before landing in Portugal more than six years ago. He is a philosopher. "Business means risks," he says. "You have to trust your partner, but partners are not always honest. I lost 15,000 takas," he says, using taka for euros like Amjad. Switching to the present, he explains, "This place wouldn't employ me to begin with because I spoke Spanish and not Portuguese. But they had staff problems and sent for me on their own. That's destiny!" He earns 600 euros (Rs 44,000) a month and spends nearly 300 on living. He has a wife in Sylhet. He wants her to join him but she is studying and reluctant to come. I realise he isn't too lonely in his enforced bachelorhood. "Many of us have girlfriends," he confesses matter-of-factly. Like Amjad, Hussain too is waiting for the 'document' as they call residency rights. Quite by chance we emerged one morning from the Martim Moniz underground station and, looking for a travel agent, found ourselves in a run-down cobbled lane that wound shabbily between tall houses whose once grand facades were dripping chipped and cracked ornamental tiles. Suddenly a sign -- 'New Bangla Bazaar' in Bengali script -- above a doorway caught my eye. I looked for and found the street name, Rua do Benformoso, running parallel to the wide Rua da Palma, and remembered Amjad had told us he lived here. The lane bustled with stores, cafes and halal meat shops. There was the 'Ghoroa Restaurant' and the 'Taaz Mahal.' I didn't see a mosque but small groups of Bangladeshi men in long shirts and with long beards chatted in doorways. Strolling blacks (probably from the former Portuguese colonies of Angola or Mozambique) confirmed the view that a town's underworld is seldom mono-ethnic. The ubiquitous Chinese store stocked everything from ready-made garments to Lisbon souvenirs. And near Intendente underground station, the owner of the pawnbroking and jewellery shop which could be opened only from inside -- another telltale sign of a seedy district -- was Indian. Not surprisingly, all the travel agencies specialised in freight and flights between Europe and South Asia. They were manned by Sylhetis, as were most of the shops and restaurants. Experts talk of Sylhet's distinctive language and the people's ethnic origin. I wonder if anyone has researched the roots of their adventurousness. Sylhetis were among the first lascars on British merchant navy ships. They ran the earliest popular Indian restaurants in Britain. Now, Sylhetis have discovered in Portugal a backdoor into the United Kingdom and EU. IMAGE: A stranded Bangladeshi migrant looks through a police fence during a protest at the Greek-Macedonian border. Photograph: Yannis Behrakis/Reuters Security Council condemns fighting in Juba, demands South Sudan's leaders prevent spread of violence Publisher UN News Service Publication Date 10 July 2016 Cite as UN News Service, Security Council condemns fighting in Juba, demands South Sudan's leaders prevent spread of violence, 10 July 2016, available at: https://www.refworld.org/docid/578345ec40b.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. 10 July 2016 - Strongly condemning the escalating violence in and around the South Sudanese capital of Juba that began on 7 July, the United Nations Security Council today joined Secretary-General Ban Ki-moon in calling for an end to the fighting, while separately demanding that President Salva Kiir and First Vice-President Reik Machar do their utmost to control their respective forces. Reading out portions of a statement to the press following a three-hour closed-door emergency session, Ambassador Koro Bessho of Japan, which holds the Council's presidency for the month, said the body's 15 members had expressed particular shock and outrage at the attacks on UN compounds and protection of civilians sites in Juba. The Council's statement followed similar expressions of outrage by Secretary-General Ban Ki-moon and the UN Mission in South Sudan (UNMISS), which both earlier today condemned the violence and urged calm in the wake fresh clashes in Juba between soldiers of the Sudan People's Liberation Army (SPLA) and the SPLA in Opposition. They also deplored that separately, UN and diplomatic officials have been targeted, as the world's youngest country on Saturday marked the fifth anniversary of its independence. The members of the Council condemned in the strongest terms all attacks and provocations against civilians and the United Nations. They emphasized the need for United Nations [civilian protection] sites and United Nations personnel to remain secure, said the Council President, expressing sympathies and condolences to the families of Chinese and Rwandan peacekeepers who were killed or injured in the attacks. Urging an immediate end to the fighting by all concerned and demanding that President Kiir and First Vice-President Machar do their utmost to control their respective forces, urgently end the fighting and prevent the spread of violence, the Council in its statement also urged the two leaders to genuinely commit themselves to the full and immediate implementation of the peace agreement, including the permanent ceasefire and redeployment of military forces from Juba. Further to the statement, the Security Council reminded all parties, including Government security forces, of the civilian character of the protection of civilian sites in South Sudan. As such, Council members stressed that attacks against civilians and UN premises and personnel may constitute war crimes. They also emphasized the importance of transparent investigations into these crimes and that those involved must be held accountable and could be potentially subject to sanctions as authorized under Council resolution 2206 (2015) for actions that threaten the peace, security or stability of South Sudan. The Council expressed its support for UNMISS and its readiness to consider enhancing the Mission to better ensure that the UNMISS and the international community can prevent and respond to violence in South Sudan, the President said. The members of the Security Council encouraged States in the region to prepare to provide additional troops in the event the Council so decides. In the interim, [the Council] stressed the need for UNMISS to make full use of its authority to use all necessary means to protect civilians, he concluded. For his part, the Secretary-General strongly urged the country's leaders to do everything in their power to de-escalate the hostilities immediately and to order their respective forces to disengage and withdraw to their bases, saying: This senseless violence is unacceptable and has the potential of reversing the progress made so far in the peace process. According to the Secretary-General, UN compounds and civilian protection sites in Juba have been caught in the cross-fire. Expressing deep frustration that despite commitments by South Sudan's leaders, fighting has resumed, Mr. Ban said they must take decisive action to regain control of the security situation in Juba. [They must also] prevent the spread of violence to other parts of the country; guarantee the safety and security of civilians, United Nations and other personnel; and genuinely commit themselves to the full implementation of the peace agreement, he stated. Earlier this morning, UNMISS expressed outrage at the resumption of violence in Juba, which it said was severely impacting the civilian population. In a press statement, the Mission reported that heavy fighting in Juba town, including in close proximity to the UNMISS compounds at UN House Jebel and Tomping, had prompted approximately 1,000 internally displaced people to flee from the UNMISS protection of civilians site (PoC) 1 into the UNMISS compound at UN House Jebel. [Civilian protection sites have been up and running in various parts of South Sudan for the past two years and according to its most most recent update, UNMISS estimates that the number of civilians seeking safety in the six sites located on UNMISS bases is 169,418.] The heavy fighting downtown Juba had forced hundreds of civilians to seek protection at UNMISS' Tomping base, the statement went on to say and added: The United Nations is gravely concerned about reports that armed forces have prevented civilians from seeking protection. Both UNMISS compounds in Juba sustained impacts from small arms and heavy weapons fire, the Mission reported. The United Nations urges all parties to respect the sanctity of the United Nations and condemns any deliberate targeting of United Nations premises and its personnel, it added. Meanwhile, UN peacekeepers continued to protect displaced civilians at PoC sites 1 and 3. For the safety and security of those displaced civilians, the United Nations urged all parties to respect the civilian nature of the PoC sites. UN peacekeepers also mobilized to protect UNMISS bases in UN House and Tomping. The Special Representative of the Secretary-General has directly called on the leadership at the highest level in South Sudan to immediately restrain their forces, to engage in dialogue to find a political solution to this crisis and to allow access to the United Nations to be able to patrol in order to reassure the civilian population, the statement concluded. Just two days ago, the Office of the UN High Commissioner for Refugees (UNHCR) noted that South Sudan has spent much of its short life at war with itself, riven by a political face-off between President Kiir and his then former Vice-President Mr. Machar that erupted into full-blown conflict late in 2013. Some 2.4 million people fled their homes in fear, before an August 2015 peace deal ended the major offensives. The country marked the fifth anniversary of its independence on 9 July. Despite the August 2015 peace agreement that formally ended the war, conflict and instability has spread to previously unaffected areas in the Greater Equatoria and Greater Bahr-El-Ghazal regions. This past month, deadly clashes in Wau resulted in the deaths of more than 40 people, while up to 35,000 fled their homes. Such fighting is characteristic of the trend that produced fresh refugee outflows this year, a UNHCR spokesperson said Friday. The UN Children's Fund (UNICEF) yesterday expressed its deep alarm at the renewed violence and called on all parties to respect humanitarian principles and provide unrestricted access to civilians in need. After nearly three years of war, civilians are bearing the brunt of the conflict, with women and children facing the greatest dangers and hardships, the agency added. Children and their families in South Sudan have suffered enough from violence, displacement and killings in this brutal conflict. It is time that peace becomes more than agreements on paper, but a reality for those who are most vulnerable, said UNICEF Regional Director for Eastern and Southern Africa, Leila Pakkala. The events in Juba come shortly after escalation of conflict in other parts of the country in recent weeks, disrupting lives and livelihoods. In Wau, where fighting has displaced at least 70,000 people since the end of June, UNICEF said that the agency and its partners are working around the clock to provide assistance to children and their families. 'Prevailing calm should not be confused with stability,' warns UN envoy for Lebanon Publisher UN News Service Publication Date 8 July 2016 Cite as UN News Service, 'Prevailing calm should not be confused with stability,' warns UN envoy for Lebanon, 8 July 2016, available at: https://www.refworld.org/docid/5783463e40d.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. 8 July 2016 - The United Nations envoy for Lebanon has asked the UN Security Council to devote greater attention to situation there, including the deteriorating security conditions, weakening State institutions and the need for continued dispersal of funding for the refugees hosted by the country. Speaking to reporters today at UN Headquarters in New York, the Special Coordinator for Lebanon, Sigrid Kaag, said she had had a good discussion with the Council in a closed-door session yesterday on issues relating to conflict prevention and stabilization. Explaining the main points of her remarks to the Council, she said that a terrorist attack in the town of El-Qaa less than a week ago points to the deteriorating security conditions. This is an indicator of trend of war-weary country, attempts, aborted attempts, infiltration by individuals who seek to do serious harms and conduct the act of terror, she said. Over the past six months, there were more than 270 arrests on terror-related charges, compared with more than 70 in the same period of last year, despite greater vigilance by the country's security apparatus. The Lebanese Armed Forces (LAF) are doing a phenomenal job but on a shoestring, Ms. Kaag said, calling for tangible support so that LAF can better respond to the deterioration of security. She also impressed upon the Council the importance of implementing the UN Secretary-General's Plan of Action to Prevent Violent Extremism at the national level and asked the Council to help the country build capacities to do so. We had worked with the UN family and partners to have a draft ready; to look at Lebanon and the challenges it faces, in a holistic manner. But I think the timing and the importance of such a strategy is of course even more critical, she said. Turning to the erosion of State institutions, she pointed out that the Cabinet was hardly able to meet, and therefore decisions were not being made. There was an opportunity cost for such inaction. She said she asked the Council to continue working with Lebanon, along with such regional partners as Iran and Saudi Arabia, to see where political compromises can be made to end the political crisis, and resolve the presidential vacuum. She also expressed the expectation that the Parliamentary election would be held on time, as planned. On the impact of the Syria crisis on Lebanon, she said there was a continued need for timely assistance to Lebanon's hosting communities, as well as vulnerable refugees, including Syrians and Palestinians. Ms. Kaag stressed the need for donor countries to accelerate fulfillment of their commitments made at the London pledging conference on the Syrian refugees. For its part, Lebanon must take a number of procedural steps to access up to $800 million in concessional financing made available to the country. As for a lack of progress on implementation of Council Resolution 1701 (2006), which calls for a permanent ceasefire, she said that the prevailing calm should not be confused with stability. The tenth anniversary of the text presents an opportunity to revisit the issue and seek ways to reengage the parties on the tangible way forward. Central African Republic's leaders must commit to inclusive, transparent governance, Security Council told Publisher UN News Service Publication Date 8 July 2016 Cite as UN News Service, Central African Republic's leaders must commit to inclusive, transparent governance, Security Council told, 8 July 2016, available at: https://www.refworld.org/docid/5783465240b.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. 8 July 2016 - The Central African Republic's (CAR) considerable progress over the past two years risks being reversed unless national authorities demonstrated commitment to inclusive and transparent governance, and to addressing the root causes of the crisis afflicting the country, the United Nations peacekeeping chief warned today. Briefing the Security Council, Under-Secretary-General for Peacekeeping Operations Herve Ladsous said CAR had made considerable progress since April 2014, adding that after two years of political transition, voters had cast their ballots peacefully and democratically. "They have offered a positive example in a region where electoral outcomes are often either known in advance or contested," he said, noting that the successful formation of a new Government has raised expectations for lasting and positive change. At the same time, he continued, CAR faces a host of challenges, including "a security climate that remains fragile and reversible," and sometimes non-existent State capacities in various parts of the country. Division, exclusion and marginalization persist alongside low economic development and lack of access to basic services, especially security. Furthermore, armed groups control vast parts of the national territory, presenting a "huge threat" to civilians. Presenting the findings of the Secretary-General' special report on the strategic review of the UN Multidimensional Integrated Stabilization Mission in the Central African Republic (MINUSCA), carried out from 7 to 17 May, Mr. Ladsous explained that the goal was to ensure that the Mission's future mandate is adapted to a post-transition stabilization environment that would facilitate peacebuilding. Recalling the 19 June detention of six members of the national police by a local self-defence group in the PK5 area of the capital, Bangui, he said that incident - as well as reported renewed efforts to unite the ex-Seleka armed group under the leadership of Nouredine Adam - occurred alongside an alarming humanitarian situation that has rendered 2.3 million people - half the population - food-insecure, with some 20 per cent of the population displaced. "This is a stark reminder of the fragility of the situation," he emphasized, and as such, the strategic review recommended that MINUSCA prioritize the protection of civilians, the creation of conditions to facilitate assistance and the return of refugees and displaced persons. Ambassador Omar Hilale of Morocco and Chair of the Central African Republic (CAR) Configuration of the Peacebuilding Commission, briefs the Security Council at its meeting on the situation in CAR. UN Photo/Manuel Elias The most important strategic objective over the next two or three years should be to support the reduction of armed groups. In that way, he continued, MINUSCA could assist in creating a secure environment for civilians, help to foster Government services, establish an inclusive political climate favouring the protection of human rights, combat impunity and expand the rule of law. The special report recommended renewal of MINUSCA's mandate for 18 months at the current authorized military and police strength, said Mr. Ladsous, outlining a "sequenced and flexible" three-pronged approach to achieving the proposed strategic objective. The Mission would also prioritize the development of a comprehensive approach to addressing the presence of armed groups, including in support of continued dialogue initiated by the country's President. Other recommended priority activities would include providing support for implementation of a national disarmament, demobilization and reintegration programme and a community violence-reduction programme, and the coordination of international support for security sector reform, he said. That would entail working with the European Union Training Mission and taking a lead role in reform of the police and gendarmerie. Among other proposals, he continued, the strategic review recommended continuation of MINUSCA's urgent temporary measures mandate, at the Government's request, as well as efforts to assist in the formation of the Special Criminal Court to tackle impunity. "MINUSCA cannot act alone in support of the Government's efforts," he stressed. Partnerships with Bangui, regional stakeholders and other international actors must underpin the strategy under the principles of complementarity and comparative advantages. He welcomed the Government's efforts to develop a mutual accountability framework, saying it should be endorsed by national and international partners, and go hand in hand with the identification of humanitarian, recovery and peacebuilding needs in advance of the donors' and investors' conference planned for Brussels in November. He pointed out that President Faustin Archange Touadera had put together a Cabinet that included representatives from each of the country's prefectures and had extended a hand to the armed groups. "The President is clearly trying to break with the past," he said. "We need to understand that this is a long-haul effort." The sense of responsibility demonstrated by the new authorities would be essential to mobilizing collective efforts to defend the gains already made. "MINUSCA has to be the last United Nations peacekeeping operation in the country," Mr. Ladsous emphasized. Also briefing the Council were Omar Hilale , Chair of the Central African Republic configuration of the Peacebuilding Commission, and Volodymyr Yelchenko , Chair of the Security Council Committee established pursuant to resolution 2127 (2013) concerning the CAR, who both provided updates on recent developments. Mr. Hilale said that implementation of the Secretary-General's recommendations would help the authorities move towards stability. The President is benefitting from strong popular support that must be maintained with international support. A transparent and inclusive political process would be the key to ensuring the entire population's engagement and to facilitating rebuilding of the social contract. "Although the new political reality in the country is full of daunting challenges, it also brings opportunities never seen before," he said. Syria: UN relief wing sounds alarm on behalf of some 300,000 people trapped in war-torn Aleppo Publisher UN News Service Publication Date 8 July 2016 Cite as UN News Service, Syria: UN relief wing sounds alarm on behalf of some 300,000 people trapped in war-torn Aleppo, 8 July 2016, available at: https://www.refworld.org/docid/5783468c40d.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. 8 July 2016 - The United Nations Office for the Coordination of Humanitarian Affairs (OCHA) has expressed serious concern for the estimated 300,000 people trapped in eastern Aleppo City, due to heavy clashes along the Castello road, the only road in and out of that part of the city. "Heavy fighting over the past few days has continued to put civilians at risk of death and injury while effectively cutting off humanitarian's access to people in need of assistance," a UN spokesperson told reporters today in New York. This follows intensified fighting by all parties to the conflict in Aleppo City and surrounding areas in recent weeks, including reports of airstrikes, shelling, and heavy clashes, causing many civilian deaths and injuries. It has also caused damage to schools, hospitals, and other civilian infrastructure, and hindered humanitarian aid operations. The spokesperson reiterated the UN's call on all parties to the conflict to take measures to protect civilians, as required under international humanitarian law, in order to safeguard the lives of all civilians living in Aleppo city and added: "We also call on all parties to the conflict to take all measures to facilitate humanitarian access, particularly to eastern Aleppo city, as required under international humanitarian law." Ahead of South Sudan's fifth anniversary of independence, renewed violence draws UN concern Publisher UN News Service Publication Date 8 July 2016 Cite as UN News Service, Ahead of South Sudan's fifth anniversary of independence, renewed violence draws UN concern, 8 July 2016, available at: https://www.refworld.org/docid/578346d040c.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. 8 July 2016 - As South Sudan prepares to make its fifth anniversary of independence, United Nations officials today expressed concern over the continuing violence in parts of the country and the resulting forced displacement of people, both internally and throughout the neighbouring region. I am deeply alarmed by the ongoing fighting in Juba between soldiers of the Sudan People's Liberation Army (SPLA) and the SPLA in Opposition, Secretary-General Ban Ki-moon said in a statement. This outbreak of hostilities in the capital, on the eve of the country's fifth anniversary of independence, is yet another illustration of the parties' lack of serious commitment to the peace process and represents a new betrayal of the people of South Sudan, who have suffered from unfathomable atrocities since December 2013, he added. The UN chief underscored that he is also gravely concerned by the resurgence of violence in Wau and Bentiu, which he said could lead to a dramatic deterioration of the security situation across the country. Demanding that international humanitarian law be respected and that unfettered access to those in need by UN and humanitarian partners be ensured, Mr. Ban also strongly condemned attacks on UN and humanitarian operations, the latest of which was on a senior UN agency official in the capital last night. I urge President Kiir and First Vice-President Riek Machar to put an immediate end to the ongoing fighting, discipline the military leaders responsible for the violence and finally work together as partners to implement the Agreement on the Resolution of the Conflict in South Sudan, the Secretary-General said, adding that the UN remains committed to working with all South Sudanese, the Intergovernmental Authority on Development, the African Union and international and regional partners, to support the return of the country to peace and stability. At the biweekly press briefing in Geneva this morning, Melissa Fleming, spokesperson for the Office of the UN High Commissioner for Refugees (UNHCR) said the agency remains gravely concerned over the situation in South Sudan, noting that nearly one in four of the country's citizens is displaced within its borders or in neighbouring countries, which is affecting some 2.6 million people a large majority of them children against a population that stood at 11.3 million in 2013. Civilians in South Sudan continue to bear the brunt of armed conflict. Sporadic clashes are commonplace, while growing food insecurity and deteriorating economic conditions foretell a grim outlook for the country at large, Ms. Fleming said. UNHCR noted that South Sudan has spent much of its short life at war with itself, riven by a political face-off between President Salva Kiir and his then former Vice-President Riek Machar that erupted into full-blown conflict late in 2013. Some 2.4 million people fled their homes in fear, before an August 2015 peace deal ended the major offensives. The country is preparing to mark the fifth anniversary of its independence on 9 July. Despite the August 2015 peace agreement that formally ended the war, conflict and instability has spread to previously unaffected areas in the Greater Equatoria and Greater Bahr-El-Ghazal regions. This past month, deadly clashes in Wau resulted in the deaths of more than 40 people, while up to 35,000 fled their homes. Such fighting is characteristic of the trend that produced fresh refugee outflows this year, the UNHCR spokesperson said. In the nine months that the ceasefire has been observed, there has been no major return movement from countries of asylum. In that period, the number of internally displaced people rose by 100,000, while UNHCR registered nearly 140,000 new refugees. There are now more than 860,000 South Sudanese refugees in neighbouring countries, making it the world's fourth-largest refugee producing country, and second largest in sub-Saharan Africa after Somalia. Notwithstanding these challenges, South Sudan is host to more than 272,000 refugees from mainly Sudan (251,000), with smaller numbers from the Democratic Republic of the Congo (14,799), Ethiopia (4,400) and the Central African Republic (1,878). This year the country has experienced the arrival of some 9,000 new refugees as a result of conflict in the Southern Kordofan and Blue Nile states of Sudan, Ms. Fleming said. Neighbouring countries continue to maintain open borders for South Sudanese refugees, and there is ongoing engagement with host communities. Since 2015, some 22,000 individuals have fled fighting in Western Equatoria into remote parts of the Central African Republic and the Democratic Republic of the Congo. In both countries, insecurity and logistical difficulties are affecting humanitarian access. Meanwhile, Sudan has received the highest number of new South Sudanese refugees this year, according to UNHCR. With 231,581 individuals, it is now the second-highest refugee hosting country after Ethiopia (285,356). They are followed by Uganda (229,006) and Kenya (103,173). Humanitarian response is 'sorely lacking' The spokesperson also noted that the number of food insecure people in South Sudan is expected to increase from 4.3 million to 4.8 million in line with seasonal vulnerabilities and the economic crisis. At the same time, she underscored that the overall humanitarian response in South Sudan is sorely lacking due to severe underfunding. UNHCR and 42 non-governmental partners are requesting $573 million for refugee protection and assistance programmes. With the inter-agency appeal funded at 17 per cent ($85.4 million), agencies are constrained to prioritize emergency response and life-saving assistance activities, Ms. Fleming said. The United Nations Mission in South Sudan (UNMISS) provides protection to civilians fleeing violence in Wau. Photo: UNMISS As a result, water, sanitation, hygiene, health and shelter interventions in most of the countries of asylum are below the global standard, with the risk of outbreak of diseases. Access to alternative and sustainable household energy is a challenge and one of the main causes of conflict with host communities because refugees are forced to cut firewood for domestic use, a resource that is extremely scarce in some locations, the spokesperson noted. Children, who constitute 70 per cent of the refugee population, bear the brunt of inadequate resources. More than 16 per cent of them have special needs, including being unaccompanied or separated from family. Children are the worst affected by unpredictable population movements and the upheaval of constant displacements, Ms. Fleming stressed. In Kakuma, Kenya, for example, UNHCR has noted a significant increase in the prevalence of global acute malnutrition among new arrivals in recent months. Lack of funding is hampering case management efforts, including the reunification of unaccompanied and separated children with their families. The average regional ratio of case workers to children with specific needs is one case worker per 90 children, while the global standard is one case worker per 25 children, Ms. Fleming said. Ethiopia has just 20 per cent of the child protection staff needed. Gaps remain in meeting minimum education standards with lack of qualified teachers, insufficient classrooms and scholastic materials. Secondary/tertiary education, vocational training and empowerment programmes for adolescents and youth need to be strengthened as a way to reduce the risk of child recruitment. In addition, the spokesperson highlighted that the majority of host countries are affected by food ration cuts by the World Food Programme (WFP), compounded by the discontinuation of milling vouchers and the challenge of incomplete food baskets. Livelihoods activities were limited in scope and number, and they do not match up to the significant needs of enhancing refugees' self-reliance, Ms. Fleming said. UN Mission in South Sudan condemns violence In related news today, the UN Mission in South Sudan (UNMISS) condemned in the strongest terms the resurgence of violence in the country. Reiterating its calls on all parties to put an end to the ongoing fighting and refrain from inflicting further violence against innocent civilians, UNMISS also deplored the shooting attack on a senior UN agency official that took place yesterday evening in the Tomping area of Juba. Such an act constitutes a grave violation of the Status of Forces Agreement between the Government of the Republic of South Sudan and the United Nations. UNMISS calls on the authorities to investigate this incident and bring the perpetrators to account, the Mission said in a press release. The UN and the international community are here to assist the people of South Sudan on their road to peace, and under no uncertain terms should its installation/premises or personnel be subjected to targeting nor violence. In addition, the Special Representative of the Secretary-General and head of UNMISS, Ellen Margrethe Lj, urged all parties on the eve of South Sudan's fifth anniversary of independence to cease from engaging in violence and to focus on the implementation of the peace agreement for the benefit of all the people of [the country]. The UN remains resolved in fulfilling its mission in South Sudan and supporting the implementation of the peace agreement for a peaceful and prosperous country, the statement also said. Thousands fleeing violent clashes in Central African Republic UN refugee agency Publisher UN News Service Publication Date 8 July 2016 Cite as UN News Service, Thousands fleeing violent clashes in Central African Republic UN refugee agency, 8 July 2016, available at: https://www.refworld.org/docid/57834705411.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. 8 July 2016 - The United Nations refugee agency today said that more than six thousand people from the Central African Republic (CAR) have fled into Chad and Cameroon to escape renewed tension and violent clashes since mid-June. "Refugees arriving in the two neighbouring countries, which already host tens of thousands of refugees from CAR, said they had seen killings, kidnappings, looting and the torching of their homes," Melissa Fleming, spokesperson for the Office of the UN High Commissioner for Refugees (UNHCR) told the regular press briefing in Geneva. "Most fled direct attacks on their villages while some said they had run away fearing the fighting would come to their homes. People reported the presence of heavily armed fighters." The exodus from CAR began on 12 June when clashes erupted between livestock herders and local arable farmers in and around the north-west town of Ngaoundaye, Ouham Pende region. Thus far, more than 5,643 refugees have been registered in the villages of Sourouh and Mini in southern Chad. Screening and registration of new arrivals is continuing. A further 555 refugees have crossed to Yamba village in eastern Cameroon. The fighting this year has also affected a further 25,000 to 30,000 people within CAR, including many internally displaced in addition to those fleeing. "New arrivals said many people had fled to the bush and might try to cross borders if the situation does not improve," said Ms. Fleming. "UNHCR shares growing international concern about the displacement and the rising tension and clashes, including in Bangui." She further said that while most of those seeking refuge are women, children and the elderly, more men are fleeing and leaving behind their livelihoods. In Chad, where people have been crossing despite the border being officially closed, about two thirds of arrivals were under 18 years of age and 450 of them unaccompanied or separated from their families. A refugee child writes on a small chalkboard in a Temporary Learning and Child Protection Space (ETAPE) in Gado refugees' site where around 23,000 Central African Republic refugees live in the eastern region of Cameroon. Photo: UNICEF/Aziz Froutan UNHCR protection staff identified more than 20 per cent of those arriving as vulnerable with specific needs. Some had health problems, including malaria, respiratory infections and malnutrition. "They are arriving in a poor state; exhausted, weak and hungry with many traumatized. These people, crammed into structures provided by the village host community, need urgent aid, including shelter, food and medical care," added the UNHCR spokesperson. The latest violence comes barely six months after the election of President Faustin Archange Touadera ushered in hopes of lasting peace after three years of escalated conflict that left thousands dead and almost one million displaced. Assistance to the refugees The spokesperson said that UNHCR and the governments are working with partners to provide emergency assistance to the new arrivals while preparing to relocate the new arrivals to host villages some distance from the border, rather than create new camps. "When these host villages are ready and people relocated, starting next week, UNHCR and its partners will provide basic social services to the refugees and host communities and encourage integration," said Ms. Fleming. The arrival, since mid-June, of refugees in Cameroon followed an influx in late April of 716 people. About 70 per cent of the CAR refugees in Cameroon live in host communities and 30 per cent live at refugee sites. On the agency's assistance programmes, Ms. Fleming said that prior the new influx, UNHCR and its partners were providing protection and assistance to over 67,000 CAR refugees in southern Chad and some 260,000 in Cameroon. She further said that there are about 415,000 internally displaced people within CAR. UNHCR has appealed for $225.5 million for its CAR operations but has only received $24.7 million - about 11 per cent - to date. More than three years of civil war and sectarian violence have displaced thousands of people in the CAR amid continuing clashes between the mainly Muslim Seleka rebel coalition and anti-Balaka militia, which are mostly Christian. UN-backed meeting on forced displacement crisis in Central America urges regional responsibility-sharing Publisher UN News Service Publication Date 8 July 2016 Cite as UN News Service, UN-backed meeting on forced displacement crisis in Central America urges regional responsibility-sharing, 8 July 2016, available at: https://www.refworld.org/docid/57834734411.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. 8 July 2016 - With people fleeing violence in Central America in numbers not seen in more than 30 years, representatives from Governments, international organizations and civil society gathered for a United Nations-backed event on the issue in San Jose, Costa Rica, and agreed to enhance access to asylum and increase regional responsibility-sharing. "We are very encouraged by the open and frank spirit in which the discussions took place and hope that the various actions announced will have a direct positive impact on the people who are affected by displacement," said Volker Turk, Assistant High Commissioner for Protection for the Office of the UN High Commissioner for Refugees (UNHCR), in his closing remarks to the high-level event, which wrapped up yesterday. Co-chaired by UNHCR and the Organization of American States (OAS), the two-day gathering was the first international event to focus on current forced displacement in the region and one of several key events leading up to the UN General Assembly's summit on addressing large movements of refugees and migrants in September. The number of people fleeing violence in El Salvador, Guatemala and Honduras, a region known as the Northern Triangle of Central America, has surged to levels not seen since armed conflicts wracked the region in the 1980s, according to UNHCR. In 2015, asylum-seekers from this region topped nearly 110,000 - a more than five-fold increase over three years - with most of them seeking safety in Mexico and the United States, as well as Belize, Costa Rica, Nicaragua and Panama. A set of actions agreed on at the event included: ensuring access to territory and asylum and enhancing arrangements for the reception of asylum-seekers and refugees; strengthening opportunities for self-reliance and local integration of refugees, including through investments of development funding; and enhancing regional cooperation and partnership through increased responsibility-sharing, including increased engagement by civil society organizations. Among the displaced population from the region, the protection needs of unaccompanied or separated children, women, lesbian, gay, bisexual, transgender, and intersex communities, indigenous people and Afro-descendants, as well as individuals with disabilities, are most acute. Opening the event on Wednesday, the UN High Commissioner for Refugees, Mr. Filippo Grandi, stressed the importance of embracing "regional responsibility-sharing mechanisms, with concrete actions in countries of origin, transit and asylum to resolve compelling protection and solutions needs." RSF urges NATO to condition dialogue with Taliban Publisher Reporters Without Borders Publication Date 7 July 2016 Cite as Reporters Without Borders, RSF urges NATO to condition dialogue with Taliban, 7 July 2016, available at: https://www.refworld.org/docid/578347e54.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. On the eve of a two-day NATO summit starting in Warsaw tomorrow, Reporters Without Borders (RSF) urges NATO's members to do everything possible to protect journalists and media freedom in Afghanistan and to refuse to negotiate with the Taliban as long as they do not undertake to respect humanitarian law as defined in basic international treaties. As the enemies of Afghan democracy and freedom of information intensify their war and their threats against media and journalists, RSF urges the Afghan government and the Atlantic Alliance to defend Afghanistan's media and journalists in a clear and concrete manner. RSF issues the same appeal to the European Union, which will be represented at the Warsaw summit by the president of the Council, the president of the Commission and the high representative for foreign affairs. "At a time when the international community is wondering what strategy to adopt in Afghanistan, we urge NATO member countries to prioritize respect for media freedom," RSF secretary-general Christophe Deloire said. "We believe - and the experience of the past 15 years only confirms this - that peace, security and basic freedoms cannot be assured without independent media and guarantees for journalists' safety. All negotiations and international aid must be conditioned on a policy favouring freedom of information and an undertaking to combat the impunity enjoyed by those responsible for abuses against journalists." Thanks to international aid and the efforts of Afghan journalists, the media have been able to develop in Afghanistan and freedom of information enjoys a degree of protection. Afghanistan now has eight news agencies, 48 TV channels, 160 radio stations and 190 publications (including dailies, weeklies, monthlies and those appearing at irregular intervals). Nonetheless, the media have to confront the same problems that threaten the rest of Afghan society. The security, political, economic and (of late) constitutional crises have had serious consequences for the work of the media and journalists, whose role is crucial in defending peace and basic freedoms. Journalists have paid a high price. At least 35 journalists have been killed in connection with their work in Afghanistan since 2001. They include 16 foreign journalists (four Germans, two Americans, two French, two Italians, two Swedes, one Australian, one Canadian, one Norwegian and one Briton). Most of these journalists were killed by the Taliban and most of these deaths have gone unpunished. RSF has registered more than ten cases of violence against media and journalists in Afghanistan since the start of 2016.. The single deadliest attack on the media since the fall of the Taliban government in 2001 - the suicide bombing of a Kabura production company bus in Kabul on 20 January 2016 that killed seven Moby Group media workers - was typical of the Taliban policy of undermining peace and democracy. The attack was clearly premeditated because Tolo TV and 1TV - Afghanistan's two leading privately-owned TV channels - were named as "military targets" in a Taliban communique on 12 October 2015. Signed by the "Islamic Emirate of Afghanistan's Military Commission," it said: "We henceforth regard Tolo TV and 1TV as military targets, not as news media (...) Nothing is safe from our attacks, neither personnel (presenter, reporters or crews) nor the building themselves." By leading the International Security Assistance Force (ISAF) in Afghanistan, NATO made a long-term commitment to the country's stability. The goals of the successor mission, called "Resolute Support," include securing the rule of law and combatting extremism. However, civil society, human rights defenders and above all journalists have been undermined for the past three years by contradictory positions on negotiating with the Taliban. The Taliban openly flout international law and humanitarian law by committing war crimes attested to by the UN and by attacking media outlets as military targets. Yet NATO countries accept the presence of a Taliban diplomatic office in Doha, the capital of Qatar, and in practice protect it. Most of its members are accused of crimes while they were officials in the 1996-2001 Taliban government. RSF finds it unacceptable that NATO's democratic members and the Afghan government do not condition all negotiations with the Taliban on an explicit prior commitment to respect to respect the basic international treaties of humanitarian law, starting with the Geneva Conventions. RSF is also concerned that Afghan President Ashraf Ghani set no prior condition such as respect for international law and the Afghan constitution when he reiterated an appeal to the Taliban to negotiate yesterday. Afghanistan's recent history shows that it is impossible to build peace without justice. The violence against journalists is encouraged by the systematic impunity for those responsible for the violence. RSF points out that murders of journalists are not only crimes against freedom of expression but must also be treated as war crimes and, as such, must be punished severely. L'Afghanistan est classe 120eme sur 180 dans le Classement mondial de la liberte de la presse 2016 etabli par Reporters sans frontieres. Search Refworld and / or country All countries Afghanistan Albania Algeria American Samoa Andorra Angola Anguilla Antigua and Barbuda Argentina Armenia Aruba Australia Austria Azerbaijan Bahamas Bahrain Bangladesh Barbados Belarus Belgium Belize Benin Bermuda Bhutan Bolivia Bosnia and Herzegovina Botswana Brazil British Virgin Islands Brunei Darussalam Bulgaria Burkina Faso Burundi Cambodia Cameroon Canada Cape Verde Cayman Islands Central African Republic Chad Chile China Cocos (Keeling) Islands Colombia Comoros Congo, Democratic Republic of the Congo, Republic of the Cook Islands Costa Rica Cote d'Ivoire Croatia Cuba Cyprus Czech Republic Denmark Djibouti Dominica Dominican Republic Ecuador Egypt El Salvador Equatorial Guinea Eritrea Estonia Eswatini Ethiopia Falkland Islands Fiji Finland France French Guiana French Polynesia Gabon Gambia Georgia Germany Ghana Gibraltar Greece Greenland Grenada Guadeloupe Guam Guatemala Guinea Guinea-Bissau Guyana Haiti Holy See (Vatican City State) Honduras Hong Kong (Special Administrative Region of China) Hungary Iceland India Indonesia Iran, Islamic Republic of Iraq Ireland Israel Italy Jamaica Japan Jordan Kazakhstan Kenya Kiribati Korea, Democratic People's Republic of Korea, Republic of Kuwait Kyrgyzstan Lao People's Democratic Republic Latvia Lebanon Lesotho Liberia Libya Liechtenstein Lithuania Luxembourg Macau (Special Administrative Region of China) Madagascar Malawi Malaysia Maldives Mali Malta Marshall Islands Martinique Mauritania Mauritius Mexico Micronesia, Federated States of Moldova, Republic of Monaco Mongolia Montenegro Montserrat Morocco Mozambique Myanmar Namibia Nauru Nepal Netherlands Netherlands Antilles New Caledonia New Zealand Nicaragua Niger Nigeria Niue Norfolk Island North Macedonia Northern Mariana Islands Norway Oman Pakistan Palau Palestine, State of Panama Papua New Guinea Paraguay Peru Philippines Pitcairn Poland Portugal Puerto Rico Qatar Romania Russian Federation Rwanda Saint Helena Saint Kitts and Nevis Saint Lucia Saint Vincent and the Grenadines Samoa San Marino Sao Tome and Principe Saudi Arabia Senegal Serbia Seychelles Sierra Leone Singapore Slovakia Slovenia Solomon Islands Somalia South Africa South Sudan Spain Sri Lanka Sudan Suriname Sweden Switzerland Syrian Arab Republic Tajikistan Tanzania, United Republic of Thailand Timor-Leste (East Timor) Togo Tokelau Tonga Trinidad and Tobago Tunisia Turkiye Turkmenistan Turks and Caicos Islands Tuvalu U.S. Virgin Islands Uganda Ukraine United Arab Emirates United Kingdom of Great Britain and Northern Ireland United States of America Uruguay Uzbekistan Vanuatu Venezuela Viet Nam Wallis and Futuna Western Sahara Territory Yemen Zambia Zimbabwe RSF calls for release of two Chinese citizen journalists Publisher Reporters Without Borders Publication Date 7 July 2016 Cite as Reporters Without Borders, RSF calls for release of two Chinese citizen journalists, 7 July 2016, available at: https://www.refworld.org/docid/5783497d4.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. Reporters Without Borders (RSF) condemns a growing crackdown on citizen journalism and calls for the release of two citizen journalists, Lu Yuyu and Li Tingyu, who were arrested on 15 June while investigating labor protests. They are accused of "picking quarrels and provoking trouble." Lu and Li were finally able to see lawyers yesterday at the detention centre in Dali (in the southwestern province of Yunnan) where they have been held for more than three weeks. Colleagues and relatives were alarmed when they suddenly stopped receiving news from the two researchers on 15 June, after their arrival in Dali. "Such abduction-style arrests and the charges apparently brought against these two reporters are typical of the government's crackdown on journalists who produce reports that the Chinese Communist Party regards as harmful," said Benjamin Ismail, the head of RSF's Asia-Pacific desk. "Instead of hounding all those who provide information of general interest, the authorities should thank these two citizen journalists and use their work to help improve the disastrous human rights situation in China." Lu and Li have been researching social unrest and work protests throughout China since 2012, constantly monitoring social networks such as Weibo and QQ and online forums for reports, photos and accounts by citizens who are on strike or who are demonstrating for their rights. The information they compile is posted on social networks (Weibo and Twitter) and on a news website, Wickedonna.blogspot.com, that has not been updated since 15 June. Veiled threat to reporting by bloggers The detention of Lu and Li comes amid an increase in government hostility towards social networks, whose growing impact is feared, and towards the ever more numerous citizen journalists and bloggers. The Cyberspace Administration of China (CAC) issued a directive to all media on 3 July prohibiting them from publishing information provided by social networks without first verifying its accuracy. Although it appeared to be no more than a reminder about professional journalistic behaviour, the directive was designed to deter media from using information provided by citizen journalists, bloggers and other activists, information that is often critical of the authorities. Wang Jing, a citizen journalist working for well-known dissident Huang Qi's website, 64Tianwang, was sentenced to nearly five years in prison in April for ccovering a 2014 incident in Beijing's Tiananmen Square in which a woman set fire to herself. A court in the northeastern province of Jilin accused Wang of "causing trouble in cyberspace." Meanwhile, a new NGO law that parliament adopted in April gives the authorities extensive powers over civil society organizations and could make it easier for them to justify arrests or NGO closures. China is ranked 176th out of 180 countries in RSF's 2016 World Press Freedom Index. Peru: journalists face possible jail terms for reporting military corruption Publisher Reporters Without Borders Publication Date 7 July 2016 Cite as Reporters Without Borders, Peru: journalists face possible jail terms for reporting military corruption, 7 July 2016, available at: https://www.refworld.org/docid/57834a424.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. Reporters Without Borders (RSF) calls on Peruvian defence minister Jakke Valakivi to withdraw complaints against journalists responsible for a TV broadcast about alleged corruption in the military intelligence service. They are charged with divulging classified documents, which is punishable by up to 15 years in prison. Accused under legislation regulating "national security and treason," the journalists named in the complaint are presenter Rosana Cueva, reporter Karina Novoa and all the other members of the team that helped produce the Panorama programme broadcast on 17 April. The programme alleged that unjustified expenses were claimed by intelligence officers operating in the Valley of the Rivers Apurimac, Ene and Mantaro. Located in in south-central Peru and often referred to by the acronym VRAEM, it is the country's biggest coca-growing region. The report showed apparently falsified receipts and interviewed people who denied having received payments claimed in some of the receipts. The Panorama journalists insist that the documents shown in the programme contained no sensitive information about army operations. "We call on the defence minister to withdraw the complaints accusing the Panorama programme's journalists of divulging classified documents," said Emmanuel Colombie, the head of RSF's Latin America desk. "This prosecution has all the hallmarks of a reprisal against these journalists. Such disproportionate legal proceedings against the media have become more frequent in Peru in recent years, fostering a climate of self-censorship that is endangering freedom of expression." On 18 April, the journalist Fernando Valencia Osorio was given a 20-month suspended jail sentence and was ordered to pay the equivalent of 27,000 euros in damages to former President Alan Garcia. A few weeks later, TV reporter and presenter Rafo Leon was also given a suspended jail sentence in a defamation case. Peru is ranked 84th out of 180 countries in RSF's 2016 World Press Freedom Index Index. Press freedom violations recounted in real time January 2016 Publisher Reporters Without Borders Publication Date 8 July 2016 Cite as Reporters Without Borders, Press freedom violations recounted in real time January 2016, 8 July 2016, available at: https://www.refworld.org/docid/57834aee4.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. 08.07.2016 Narges Mohammadi on 12th day of hunger strike Reporters Without Borders (RSF) is concerned about the conditions in which journalist and human rights activist Narges Mohammadi is being detained. She has been on hunger strike since 27 June in protest against her treatment and, in particular, a ban on contacting her husband and children, who live in exile in France. Her state of health is very worrying. "She is continuing her hunger strike although she has to take several medicines every day and has been the victim of convulsions in her cell twice," her husband, Taghi Rahmani, said. A spokesperson of the Centre for Human Rights Defenders (which has been arbitrarily banned in Iran since 2006) and a close aide of Nobel Peace Laureate Shirin Ebadi, Mohammadi has had many spells in prison in connection with her human rights activism. Her current period in detention began when she was arrested on 5 May 2015. She was given a 10-year jail sentence on 18 May 2016. At an event that RSF organized at the Theatre du Rond Point in Paris on 2 May 2016, Paris Mayor Anne Hidalgo awarded the City of Paris medal to four journalists proposed by RSF. One of them was Mohammadi, who sent a poignant message to the event from her Tehran cell. Her health is in danger but she is being denied the medical treatment she needs. In October 2015, she was taken from prison to a Tehran hospital, where she spent ten days handcuffed to a bed before being returned to prison against medical advice. -------- 30.06.2016 - Iranian blogger sentenced to 444 lashes Reporters Without Borders (RSF) is outraged by the sentence of 444 lashes that a court in the city of Saveh, in central Iran, imposed on Mohammad Reza Fathi, a journalist and blogger, on 9 June. The sentence is to be implemented in six sessions of 74 lashes. Fathi has filed an appeal. RSF urges the judicial authorities to overturn the sentence, which is inhumane and medieval, and contrary to international law. The sentence is the outcome of three complaints filed by local officials, including a legislator, a deputy mayor and 11 members of the Saveh municipal council, about articles critical of the municipal government and local officials that Fathi posted anonymously on his blog, called Radio Saveh (http://www.pooria6.blogfa.com/). Arrested by members of the FTA (Iran's cyber-police) on 5 August 2012, he was released on bail 13 days later pending trial on a charge of "publishing false information with the aim of upsetting public opinion." The trial finally began on 13 April 2015 and concluded with the announcement of the sentence three weeks ago. A number of media outlets and prominent figures have protested against the sentence, some of them in a letter to President Hassan Rouhani. Defending the sentence at a news conference, judicial authority provincial director Ghasem Abdolalhi said flogging was sometimes preferable to imprisonment "because only the culprit feels the consequences, while a jail term also affects the family" and because this form of punishment also satisfied the culprit's victims more. He also pointed out that the sentence could be reduced to a fine on appeal. Fathi was previously arrested in 2005 in connection with his posts in an earlier blog, Savehjam (http://www.savehjam.blogspot.com), criticizing the provincial governor and Saveh's mayor, and a letter he wrote to then President Mohammad Khatami lamenting "the limited ability of officials to accept criticism." After the release of the letter, he was questioned by members of the Edareh Amaken, Iran's vice squad, on 26 March 2005. Nine days later, members of the local police arrested him on the street and held him for three days. Under pressure from the authorities, he finally closed his blog, which had been very popular in his region. ------------- 22.06.2016 - Jail terms for former Iran CEO and three journalists Reporters Without Borders (RSF) condemns the 91-day jail sentences passed on 18 June on Ali Akbar Javanfekr, former CEO of the Iran media group and onetime adviser to controversial former President Mahmoud Ahmadinejad, and three former journalists with the group's pro-government daily Iran, Abdolreza Soltani, Said Yousefipour and Hassan Ghassemi. The pro-Ahmadinejad website Dolat Bahar said a special court for government employees convicted them on 19 May of an "act of rebellion against government agents." Their lawyers have appealed. They were convicted in connection with the events that took place on 21 November 2011, as Javanfekr was holding a news conference at Iran's headquarters to protest against the one-year jail sentence and three-year ban on working as a journalist that a court had given him the day before. Tehran prosecutor's office representatives and police carried a particularly violent raid on the newspaper during the press conference, arresting Javanfekr and using teargas on the Iran journalists who were there to support him. ------ 21.06.2016 Reformist daily Ghanoon suspended Reporters Without Borders (RSF) condemns Tehran prosecutor Abbas Jafari Dolatabadi's decision yesterday to suspend the reformist daily Ghanoon with immediate effect in response to a complaint by the Revolutionary Guards accusing it of defamation and "publishing false information with the aim of upsetting public opinion." The newspaper's name means "The Law" in Persian. Dolatabadi said the decision was taken under article 114 of the code of criminal procedure, which provides for immediate measures for the "prevention of crime." He did not say what prompted the complaint but Ghanoon often publishes articles critical of the conservative factions that support the Supreme Leader and have the judicial system's support. A Ghanoon article dated 25 May and headlined "The latest change: ministry of intelligence 2" criticized a bill that was approved on the last day of the latest session of parliament, on 25 May. It granted full powers to the Revolutionary Guard intelligence agency, which operates independently of the ministry of intelligence. --------------- 16 June 2016 - Conservative website closed, reformist editor prosecuted Reporters Without Borders (RSF) reiterates its condemnation of government harassment of print and online media outlets following the closure of a conservative news website and the start of judicial proceedings against the editor of a reformist newspaper. The Committee for Press Authorization and Surveillance, the censorship wing of the Ministry of Culture and Islamic Guidance, closed the conservative Jahannews website on 15 June under paragraph 11 of article 6 of the press code, which bans "spreading rumours and false information and misusing content written by others." The committee did not say which content had caused offence. The site often posts stories critical of the government. In recent months, it posted information about the visits to Iran by Afghan Taliban chief Mullah Akhtar Mansour, who was killed in a US drone strike in May, and revealed the content of a letter from European Union foreign affairs representative Federica Mogherini to the Iranian foreign minister about problems implementing the international agreement on Iran's nuclear programme. It also revealed information about the dismissal of a member of the Rouhani administration. According to Iranian media reports, both the foreign ministry and the president's office have filed complaints against Jahannews. The reformist daily Ghanon was the victim of a complaint by the prison administration accusing it of publishing false information. On 14 June, the Tehran public prosecutor confirmed that Ghanon editor Mahnaz Mazaheri would be prosecuted over an 11 June article headlined "24 cursed hours" about the mistreatment and injuries that a detainee received in the course of a 24-hour period in a prison in the southern part of the capital. Hossein Shariatmadari, the editor of the ultra-conservative daily Kayhan, was meanwhile questioned by the prosecutor's office for culture and media on 15 June about complaints filed by various government bodies accusing it of publishing false and libellous information. A former interrogator at Tehran's Evin prison, Shariatmadari was appointed as Kayhan's editor by Supreme Guide Ali Khamenei in the early 1990s. ------------- 15.06.2016 Five Internet activists freed conditionally Reporters Without Borders is pleased to learn that five Internet activists who had been held for the past three years Amir Gholestani, Fariborz Kardarfar, Masoud Ghasemkhani, Seyyed Masoud Seyyed Talebi and Amin (Faride) Akramipour were granted conditional releases on 13 June. Gholestani had been due to serve another 12 years in prison, Kardarfar another 10, Ghasemkhani another eight, and Talebi and Akramipour another four. All of them also have suspended sentence of five years prison. This means they will be under judicial control for the next five years and will have to report to a police station every month. Revolutionary Guards arrested them and three others in September 2013 because of their activities on social networks, above all their Facebook posts about human rights abuses. After being placed in solitary confinement in Section 2A of Tehran's Evin prison and subjected to a great deal of pressure, the eight defendants were sentenced to a combined total of 123 years in prison on 27 May 2014 on charges of anti-government propaganda, "insulting what is sacred" and "insulting the Supreme Leader of the Revolution." An appeal court reduced the sentences significantly in April 2015. Two of the other three activists, Naghmeh Shahi Savandi Shirazi and Mehdi Reyshahri, were released in 2014 and 2015 respectively. The third, Roya Saberi Negad Nobakht, is still held. ---------------- 14 June 2016 Kabodvand paroled but Mazndarani back in his cell Reporters Without Borders (RSF) is relieved to learn that imprisoned journalist Mohammad Sedegh Kabodvand ended his hunger strike on 9 June after being acquitted of the new charges brought against him and welcomes the decision by the judicial authorities to grant him four days of medical parole, which began on 12 June. RSF nonetheless points out that Kabodvand should have already been released under article 134 of the new Islamic penal code (as amended in 2013), which recommends that a defendant convicted on more than one criminal charge should serve only the longest sentence. RSF continues to be concerned about the health of Ehssan Mazndarani, an imprisoned journalist who has been on hunger strike since 17 May. According to his family, he was taken back to Tehran's Evin prison on 11 June although he is still refusing to eat and is suffering from pulmonary problems and anxiety attacks. In a joint statement published on 11 June, 76 Iranian journalists called for the immediate release of these two journalists. "We are concerned about the crucial consequences that the hunger strike could have on the lives of these detained journalists and we condemn the violation of their legal and fundamental rights," the statement said. ---------- 07.06.2016 - Concern about two journalists on hunger strike in prison Reporters Without Borders (RSF) continues to be concerned about the way the authorities in Tehran's Evin prison are treating Mohammad Sedegh Kabodvand and Ehssan Mazndarani, two journalists who are on hunger strike in protest against the conditions in which they are being held and the injustices to which they have been subjected. Mazndarani has refused to eat since 17 May, according to his family, who were unable to locate him in the prison for several days after he had a heart attack on 21 May. It later turned out that, without telling the family, the prison authorities transferred him to a hospital, where doctors voiced concern about his condition. His family says he was also the victim of violence by a prison guard on the day he was hospitalized. Kabodvand was hospitalized after he, too, had a heart attack on 25 May but was sent back to Evin prison a week later. Doctors say that his condition is critical and that the hunger strike could cost him his life. As there no longer seems to be any limit to the persecution of media personnel in Iran, RSF calls on the authorities to give an immediate undertaking to guarantee the health of these two journalists. RSF also reminds Ahmed Shaheed, the UN special rapporteur on human rights in Iran, that he has a duty to intercede without delay and to demand official explanations from the authorities. --------- 23.05.2016 Alarm over fate of two journalists in Evin prison Reporters Without Borders (RSF) is outraged by the lack of transparency and denial of justice surrounding the hospitalization of Mohammad Sedegh Kabodvand, a journalist on hunger strike since 8 May in protest against his continuing detention and the judicial harassment to which he has been subjected in recent months Kabodvand was taken to hospital on 21 May after collapsing in his cell in Tehran's Evin prison but, after 24 hours of observation, he was returned to his cell. His family was able to visit him today but he was very weak and was unable to remain standing. He is continuing his hunger strike and his condition is very worrying. Ehssan Mazndarani, another journalist held in Evin prison, has meanwhile disappeared within the prison, according to his wife, Malieh Hossieni. She was told on 17 May that, on the orders of Revolutionary Court Judge Mohammad Moghiseh, he had been transferred back to Section 2A of Evin, a section controlled by the Revolutionary Guards. But Evin prison officials denied this on 20 May without saying where he was. Arrested on 2 November 2015 along with three other journalists, Mazndarani was sentenced to seven years in prison on 26 April . RSF urges Ahmed Shaheed, the UN special rapporteur on human rights in Iran, to intercede quickly and demand official explanations from the Iranian authorities. Under the International Covenant on Civil and Political Rights, to which Iran is party, denying medical care constitutes a violation of the ban on torture and cruel, inhuman and degrading treatment. ---------- 12.05.2016 - Shargh's former political editor released Reporters Without Borders has learned that Keyvan Mehregan, a journalist who writes for reformist newspapers and used to be the daily Shargh's political editor, was released yesterday after serving nine months of a one-year jail term. His wife said a judge granted his conditional release at a Tehran prosecutor's request. Mehregan was arrested when he went to the Tehran passport office to renew his passport on 26 August 2015. From there he was taken to Evin prison's sentence enforcement office, where he was told that he had been sentenced to a year in prison and that he had to begin serving the sentence at once. He had been detained on several previous occasions since 2009. ---------- 09.05.2016 - Two citizen-journalists freed conditionally Reporters Without Borders (RSF) welcomes the decision taken on 4 May to grant a medical parole to citizen-journalist Hossien Ronaghi Malki in return for bail of 300 million toman (220,000 euros). Malki, who was arrested in December 2010 and is serving a 15-year jail sentence, has undergone several kidney operations and is in very poor health. He began a hunger strike more than a month ago. According to his family, a forensic doctor said his medical problems were incompatible with further detention. The Tehran prosecutor's office therefore obtained for him a suspension of sentence for one month on medical grounds. RSF reminds the judicial authorities that Hossien should already have been released for good under article 134 of the new Islamic criminal code (as amended in 2013), according to which someone convicted of more than one crime serves only the main sentence. RSF has also learned that citizen-journalist Atena Ferghdani was freed conditionally on 3 May following last month's announcement by her lawyer, Mohammad Moghimi, that a Tehran appeal court had reduced her jail sentence from 12 years and nine months to 18 months. She continues to be sentenced to three years in prison on a separate charge of insulting the Supreme Leader but this sentence is now suspended and will expire in four years' time . ---------- 29.04.2016 - Well-known cartoonist freed conditionally Reporters Without Borders (RSF) welcomes the release of Hadi Heidari, a well-known cartoonist who worked for the daily Shahrvand and ran the Persian Cartoon website. He was freed conditionally in Tehran on 27 April after completing half of a one-year jail sentence. Plainclothesmen from the Tehran prosecutor's office arrested him at Shahrvand on 16 November 2015 and took him to Evin prison, where he managed to inform his family that evening that he had been arrested to serve a prison sentence. ---------- 26.04.2016 Long jail terms for journalists arrested in November Reporters Without Borders (RSF) condemns the heavy jail sentences that have been passed on three journalists who were the victims of a wave of arrests in November. Afarine Chitsaz of the daily Iran, Ehssan Mazndarani, the editor of the daily Farhikhteghan, and Saman Safarzai of the monthly Andisher Poya were sentenced to ten, seven and five years in prison respectively, according to their lawyers, Mahmoud Alizadeh-Tabatabaie and Iman Mirzazadeh. A revolutionary court tried them on 7 March on charges of anti-government propaganda, conspiring against government officials and insulting government officials. They and Issa Saharkhiz, a well-known independent journalist who edited several reformist newspapers in the past, were arrested at their homes by Revolutionary Guard intelligence officers on 2 November. No sentence has been announced for Saharkhiz, who has been in a Tehran hospital since 10 March after going on hunger strike and suffering a heart attack. Iran is still one of the world's five biggest prisons for media personnel and is ranked 169rd out of 180 countriesin the 2016 Reporters Without Borders press freedom index. ---------- 25.04.2016 Court reduces citizen-journalist's 12-year sentence Reporters Without Borders (RSF) is relieved to learn that an appeal court has reduced the sentence imposed on citizen-journalist and human rights defender Atena Ferghdani, and that she is now due to be freed next month. RSF nonetheless calls for her immediate release because she is the innocent victim of judicial persecution. Her lawyer, Mohammad Moghimi, told journalists today that a Tehran appeal court had reduced her jail sentence from 12 years and nine months to 18 months, and that she should therefore be able to leave prison on 11 May. "The appeal court acquitted her on the charge of plotting against the regime and ordered a four-year suspension of her sentence for insulting the Supreme Leader, while her sentence for insulting Iran's President and the guards of Section A2 of Evin prison was commuted to a fine," he said. The only sentence upheld by the court was the 18-month jail term for anti-government propaganda, he added. Ferghdani was arrested on 11 January 2015 when she responded to a summons from a Tehran revolutionary court for posting a video on Facebook and YouTube the previous month. In the video she had described her experiences in Section 2A of Evin prison a section controlled by Revolutionary Guards after a previous arrest in August 2014. ---------- 07.04.2016 - Two journalists freed Reporters Without Borders (RSF) is relieved by this week's release of two journalists citizen-journalist Vahid Asghari on 4 April and professional journalist Said Razavi Faghih on 6 April. A young information technology student arrested on 11 May 2008 at Tehran's main international airport,Asghari was sentenced to death twice by a revolutionary court on charges of "publishing false information with the aim of stirring up public opinion," "activities threatening national security" and "hosting anti-Islamic and counter-revolutionary websites and collaborating with foreign media." After an international outcry, the death sentence was quashed and, on 6 December 2012, another revolutionary court gave him an 18-year jail sentence, which a Tehran appeal court reduced to 15 years two months later. Asghari has various ailments including Carpal tunnel syndrome (compression of the median nerve in the hand and forearm). He spent seven months in solitary confinement and was mistreated and tortured. In a letter to the presiding judge of one of the revolutionary courts on 17 October 2009, he gave a detailed description of the horrific interrogation sessions to which he was subjected. Faghih used to work for various newspapers including Yass-e No, which the authorities closed in 2009. Arrested on 24 February 2014, he should have been released on completing a one-year sentence in March 2015 but he was given a new sentence of three and a half years in prison on charges of anti-government publicity and insulting Supreme Leader Ali Khamenei and the Assembly of Experts. He suffers from various renal and cardio-vascular ailments and underwent a heart operation in January 2015, following which he was returned to Rajaishah prison. He began a hunger strike on 27 March in protest against the way he was being treated and was released ten days later. ---------- 29.03.2016 Two journalists freed pending trial Reporters Without Borders (RSF) has learned that the journalist Hassan Shikhaghai was released on bail on 15 December, pending trial. The editor of Ruwange, a news website based in Mahabad, in the northwestern province of Kurdistan, he was arrested on 7 October 2015 by plainclothesmen at the Mahabad revolutionary court's behest. RSF has also been told that Farzad Pourmoradi, a journalist based in the western province of Kermanshah who works for the Kermanshah Post, has also been released pending trial. He had to pay 90 million toman (70,000 euros) in bail. Revolutionary Guard plainclothesmen raided his home and arrested him on 2 November after he created a Kalaghnews page on the Telegram social networking service. He is charged with "activities against national security," "anti-government publicity" and insulting government officials. He suffered acute cardiac and pancreatic problems while in prison. ---------- 17.03.2016 - Sent into exile after four years in prison Reporters Without Borders (RSF) condemns the ruthless manner in which the judicial authorities are enforcing the sentence imposed in 2013 on journalist and researcher Said Madani. Released on 15 March, after four years in prison,Madani has been ordered to travel at once to the southern city of Bandar Abbas to begin a period of two years in exile there. A sociologist and writer (who haswritten many articles for independent media outlets), Madani was freed under article 134 of the new Islamic criminal code (as amended in 2013), under which someone convicted of more than one crime serves only the main sentence. After being arrested by plainclothesmen at his Tehran home on 7 January 2012, Madani spent a year in isolation in Section 209 of Tehran's Evin prison. The decision to sentence him to a jail term followed by exile was taken by a Tehran revolutionary court on 18 June 2013. ---------- 18.02.2016 Four Narenji website employees returned to prison Reporters Without Borders (RSF) condemns yesterday's rearrest of four senior members of the Narenji (Orange) tech news website to begin serving long jail sentences that were confirmed on appeal. The four Ali Asghar Honarmand, Hossien Nozari, Ehsan Paknejad and Abass Vahedi are sentenced to eleven, seven, five and two and a half years in prison respectively. Originally arrested along with seven colleagues in the southeastern city of Kerman on 3 December 2013, they were convicted by a Kerman court on 24 May 2014 on charges of "anti-government publicity," "actions threatening national security" and "collaborating with TV stations based abroad." Their seven colleagues received suspended sentences. All were freed in return for payment of large bail amounts. A court upheld the sentences on 30 November 2015 but the authorities did not notify them prior to the time of their rearrest. --------- 12.02.2016 - Former BBC journalist detained in Tehran Reporters Without Borders condemns the detention of Bahman Darolshafai, a 34-year-old former BBC journalist with British and Iranian dual nationality. Arrested at his Tehran home by plainclothesmen on 3 February, he managed to make a short phone call to his mother five days later, telling her he was "in a cell in Evin prison and under interrogation." After several years in London, Darolshafa left the BBC's Persian Service n 2014 and returned to Iran. Thereafter he was repeatedly interrogated by intelligence ministry officials and was forbidden to do any kind of journalistic activity. He had been translating literary and philosophical works. ---------- 2.02.2016 - Four journalists held provisionally for past two months Reporters Without Borders (RSF) condemns the continuing provisional detention of four journalists who were the victims of a wave of arrests exactly two months ago, on 2 November 2015 . Mahmoud Alizadeh-Tabatabaie, a lawyer representing two of the four, said: "There are differences between the investigation judge and the prosecutor as regards the charges against my clients." He is defending Ehssan Mazndarani, the editor of the daily Farhikhteghan, and Issa Saharkhiz, a well-known independent journalist. As well as being accused of "propaganda activities against the government," they are also charged with "acting against national security by means of meetings" and "conspiring against and insulting government officials." The case has been sent to the Tehran revolutionary court, whose president, Aboughasem Salevati, has been persecuting journalists and online information providers for years. He staged the "Stalin-style" mass trials in August 2009 and alone is responsible for convicting more than 100 journalists. The other two journalists arrested on 2 November are Afarine Chitsaz of the daily Iran and Saman Safarzai of the monthly Andisher Poya. All four continue to be denied all their rights. ------------ 14.01.2016 Woman journalist detained for fourth time since 2009 Reporters Without Borders (RSF) condemns journalist Rihaneh Tabtabai's detention for the fourth time since 2009. Tabtabai, who has worked for Shargh,Etemad, Bahar and other reformist newspapers, was jailed on 12 January to serve a one-year sentence on charges of endangering national security and anti-government publicity. Originally imposed by a revolutionary court in November 2014, the sentence was upheld by a Tehran appeal court two months ago. She is also sentenced to a two-year ban on political and journalistic activity in the media and online after she completes the jail term. After being arrested on 12 December 2010, she was released on bail of 10 million toman (7,500 euros) on 16 January 2011. On 2 April 2012, she received a two-year jail sentence from a Tehran revolutionary court that was reduced to six months on appeal. She served the sentence from 21 June to 11 November 2014. She was also detained from 31 January to 26 February 2013, when she was freed on bail. --------------- 12.01.2016 Journalist sent back to prison Reporters Without Borders (RSF) condemns Meisam Mohammadi's reimprisonment on 8 January. A onetime political editor of Kalameh Sabaz (a daily closed by the authorities in June 2009) and contributor to the Beheshti Foundation website, Mohammadi was arrested at his home by intelligence ministry officials on 10 February 2010 and was freed on bail two months later, pending trial. He was sentenced in May 2012 to four years in prison and a five-year ban on journalistic and political activities on charges of anti-government publicity and "meeting to conspire against national security." Kalameh Sabaz's owner, former Prime Minister Mir Hossein Mousavi, the writer Zahra Rahnavard (who is Mousavi wife) and Mehdi Karoubi, a former parliamentary speaker and owner of the closed newspaper Etemad Melli, are still illegally held under house arrest and have been detained since 24 February 2011. Mousavi and Karoubi, who were both presidential candidates in 2009, have also been stripped of all of their rights. Their state of health is very worrying. -------------------- Press freedom violations recounted in real time (January -December 2015) ------------------- Press freedom violations recounted in real time ( January-December 2014) ---------------------- Press freedom violations recounted in real time ( January-December 2013) ---------------- Press freedom violations recounted in real time (January-December 2012) ---------- Press freedom violations recounted in real time (January-December 2011) ----- Press freedom violations recounted in real time (July-December 2010) -------- Press freedom violations recounted in real time (January-July 2010) --------- Press freedom violations recounted in real time (June-December 2009) RSF supports lawsuit accusing Assad regime of murdering US journalist Marie Colvin Publisher Reporters Without Borders Publication Date 10 July 2016 Cite as Reporters Without Borders, RSF supports lawsuit accusing Assad regime of murdering US journalist Marie Colvin, 10 July 2016, available at: https://www.refworld.org/docid/57834c784.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. Reporters Without Borders (RSF) supports the lawsuit that the Center for Justice and Accountability (CJA) has filed in a federal court in Washington DC accusing President Bashar al-Assad's government of deliberately murdering US reporter Marie Colvin in Syria in 2012. The lawsuit provides evidence of the direct responsibility of Syrian government officials for Colvin's death in a Syrian army bombardment in the city of Homs on 22 February 2012. Marie Colvin, who was reporting for the London Sunday Times, was killed along with French photographer Remi Ochlik. French reporter Edith Bouvier, Syrian media defender Wael al-Omar and British photographer Paul Conroy were wounded in the same bombardment. "The filing of this lawsuit shows that it is possible to take action to end impunity for those responsible for crimes against journalists, RSF secretary-general Christophe Deloire said. RSF is proud to have introduced the Colvin family to the CJA and to have supported the CJA constantly in its investigation during all these years through RSF's various bureaux and correspondents in the United States, Europe and the Middle East. RSF hopes these effort will help to expose the truth, namely that these journalists were deliberately targeted and killed because they were providing information about the Syrian army's crimes against civilians." Since 2013, RSF has been registered as an interested party in the French judicial investigation into the "second-degree murder" of Ochlik and the "attempted murder" of Bouvier. Conducted by a member of the French unit for war crimes and crimes against humanity, the French investigation has however made little progress. Having worked on this case since the outset, RSF will submit CJA's complaint to the judge in charge of the French investigation on Monday (11 July). "I welcome the progress that has been made in establishing the truth and I hope it will help to advance the French investigation," RSF lawyer Guillaume Prigent said. Ever since the start of the conflict in Syria in March 2011, hundreds of Syrian and foreign journalists have been risking their lives every day to cover the civil war's horrors. According to RSF's tally, around 200 journalists and citizen journalists have been killed, making it the world's deadliest country for media personnel. At least 29 are currently in prison and another 29 (including seven foreigners) are missing or are being held hostage. Why Nigeria's military make bad aid workers Publisher IRIN Author Hilary Matfess Publication Date 5 July 2016 Cite as IRIN, Why Nigeria's military make bad aid workers, 5 July 2016, available at: https://www.refworld.org/docid/57834deb4.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. Something odd was happening outside the Dalori camp for displaced people on the outskirts of Nigeria's northeastern city of Maiduguri. In front of the gates, a group of men in a mixture of civilian and military outfits were shovelling bags of blankets and plastic goods into an auto rickshaw, known in Nigeria as a 'keke'. The cherry on top of this illicit sundae was a printer, still in its box, shoved with such force into the overloaded three-wheeler that it nearly toppled over. Kick-started into life by a nearly invisible driver, the keke struggled up a small embankment onto the pot-holed road and was gone: brazenly carting away donations made to some of the world's most vulnerable people. The theft of relief materials for the 20,000 displaced people in Dalori is criminal and reprehensible. One aid worker at the camp, who has witnessed such behaviour repeatedly, remarked that it was like "stealing from a corpse", in light of how little assistance the IDPs receive. It also points to a larger problem over the management of the 244,000 displaced people who have fled Boko Haram violence and settled in 75 government-run sites. According to the UN's Guiding Principles on Internal Displacement, the management of IDP camps is usually vested in "existing government agencies with relevant mandates". In Nigeria's case, that would be the Emergency Management Agency at the individual state level. But, in reality, IDP sites are effectively under military control. Armed soldiers, police, and a paramilitary vigilante group known as the Civilian Joint Task Force (CJTF) protect the camps, and the military provides the final authorisation on who is allowed to enter. A 'faceless insurgency' While officially justified on the grounds of the Boko Haram security threat, the military's role poses problems for the displaced, state authorities, and humanitarian agencies alike. It goes further than merely securing the camp from external threats; soldiers are also frequently tasked with the distribution of relief, particularly in remote areas that are more vulnerable to attack. There is an obvious practical reason, according to Hamsatu Allamin, coordinator in the northeast of the UK-funded Nigeria Stability and Reconciliation Programme, a conflict reduction initiative. "In communities like Bama and Dikwa [previously Boko Haram strongholds], there is no civilian government presence, no INGO presence," Allamin explained. "There's only the military to care for the IDPs, deliver food, fight the war, and protect the people." The UN refugee agency, UNHCR, argues that the security threat in the northeast means the humanitarian effort cannot be independent of the military. "You are dealing with an insurgency, a faceless insurgency," a UNHCR official, who asked not to be named, told IRIN. "In other situations you have people with an objective, who you can negotiate with. People here are killing for the sake of killing." A tempting target In February, a Boko Haram double suicide bombing took the lives of at least 56 people in an IDP camp in Dikwa, 80 kilometres northeast of Maiduguri. This week, the army thwarted an attempted attack against IDPs in Monguno, close to the Chadian border, shooting dead two female suicide bombers. A third suspect's explosive vest detonated prematurely. The militarisation of IDP camps presents Boko Haram with an even more tempting target. But the blurring of the lines between civilian and insurgent, between humanitarian intervention and security surveillance, also invites abuse within the camps. Immanuel Afolabi/Flickr IDP children at Malkohi camp in Adamawa Organised theft, like the scene at Dalori, is not uncommon under conditions of weak accountability. A UN official, who like all others interviewed for this story requested anonymity, recounted a case in which ambulances filled with goods for IDPs were allegedly "packed up in the night and taken away" by soldiers and vigilantes. Impunity Even more serious is the impunity the security forces appear to enjoy when it comes to sexual abuse and harassment. "The soldiers and vigilantes harass the women in places that you cannot see it, but we have heard so many reports," another UNHCR official said. "When they want to go out, access food, or get help, they are forced to give sex." There is no effective system to address abuse by the military. "Reports would have to go to the security officials, but they are the ones most often committing the abuses," one UN official explained. Despite the fact that living in a formal camp comes with healthcare, some psychosocial support, and food assistance, conditions are such that out of the 1.8 million officially displaced in the northeast, more than 87 percent elect to live outside the camps, among relatives and friends in the community. The brutality and lack of professionalism of the Nigerian military are long-standing problems it's a history punctuated by excesses against civilians, for which the armed forces have widespread impunity. Danger recognised And Boko Haram capitalises on that bitter narrative. One teenage girl living in a government-run camp in Maiduguri was initially terrified of being settled there because of the soldiers and police she saw manning the gate. She told IRIN that Boko Haram had told everyone in her village: "Beware of the Nigerian military, because if they find you they will kill you!" Borno State Govenor Keshim Shettima recognises that the militarisation of the relief effort poses a threat to its humanitarian character, and the quality of the care provided. "We have done as much as possible to secure the camps, but also to demilitarise them," he said. "That is why we have increased the presence of the police, the Nigerian Civil Defense Corps, the CJTF." But as the insurgency winds down, the best hope is that civilians will be able to soon leave the camps and return to their homes in safety. One more sign of Zimbabwe's decline Publisher IRIN Publication Date 11 July 2016 Cite as IRIN, One more sign of Zimbabwe's decline, 11 July 2016, available at: https://www.refworld.org/docid/578350034.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. The advert in the jobs section of a Zimbabwean newspaper read: "Vacancies in the Middle East. For those interested please contact " When Soria Hove*, 34, spotted the ad earlier this year she immediately called the number provided and was told to visit the agency's office and bring along her qualifications. A few days later, anxious but hopeful, she walked into a well-appointed office with neatly-dressed, professional staff. The job on offer was as a maid in Kuwait. The salary would be US$750 with $150 deducted each month as reimbursement for her air ticket. Hove was over the moon. The average wage in Zimbabwe is $253 a monthand that's for just five percent of the population who actually have a formal job. "It was an opportunity of a lifetime," she told IRIN. "Finally I could earn an income for the first time and support my family." If it seemed almost too good to be true, that's because it was. Hove wasn't getting a real job, instead she was about to be trafficked and exploited. Not what it seemed The realisation that something was wrong began to dawn on Hove at the airport in Kuwait. She and several other African women were told to line up in a separate queue, and were then marched single file by a police officer, who had confiscated their passports, through the airport to a basement room. The policeman then handed over their passports to officials sitting behind computers, and in return received a payment. "The officials harassed us and held us hostage for more than 10 hours in the basement waiting for the [employment] agents," said Hove. Her "agent" finally arrived, and took Hove and three other women to her home. A day later, a couple came to the house and again money changed hands and Hove went to work for them, ostensibly as a maid. Abuse But what followed was months of abuse. The hours were extremely long, sometimes she was not fed, surviving only on tea, and she was also raped repeatedly. "The males in the home would take turns to sleep with me," she told IRIN. On one occasion, the head of the household approached Hove while she was ironing and started to fondle her. When his wife suddenly appeared, he accused Hove of making advances on him. They took turns beating her up. Hove finally managed to escape when she found a phone SIM card while she was cleaning. She hid it, but didn't touch it for five days for fear of being accused of theft. She'd been told punishment was the cutting off of a hand. When she finally plucked up the courage, she discovered the phone could not make calls but she could access the internet and the instant messaging service, WhatsApp. She searched for human trafficking organisations that could help, and eventually located one in Kuwait. They got her out of the house. Trafficking ring It was only then that Hove discovered her story was far from unique. She joined 32 other Zimbabwean women who had also been trafficked, and were being sheltered by the Zimbabwean embassy while they awaited repatriation. Shuvai Badza* was yet another victim of the scam. She remembered how excited she felt at Harare airport waving goodbye. "I knew this journey meant positive change for me my family," Badza told IRIN. In Kuwait she and other African women were handed over to an agent named Lailla. As they left the airport she asked Laila if she was dressed well enough for the hotel job she thought she had been recruited for. "Is that what they lied to you about?" Laila replied. "You are going to work as a slave." Badza remembers going numb with shock. She worked for a couple for 40 days, surviving on tea and the chocolates she managed to steal. "I was told to bathe six times a day as they said Zimbabweans smell," she said. Fortunately, she had hidden her cell phone, on the advice of one of the women she had met at the agent's house, and like Hove used it to finally escape. Economic woes Zimbabwe has one of the best-educated workforces in Africa. But its economy is crippled. A severe cash crisis this year has led to a raft of retrenchments. Public sector pay was delayed in June, triggering protests, and this week strike action has spread. Activists have been using the hashtag #ShutDownZimbabwe2016. Zimbabwe is an agro-based economy but drought has slashed production. An estimated 4.5 million people half of the rural population will need food aid by March next year. According to the International Labour Organisation, forced labour is a global business worth $150 billion a year. Trafficked women see none of that money. The definition of their exploitation involves deception and coercion, with power wielded over vulnerable and desperate women like Hove and Badza. In May, as many as 200 Zimbabwean women were believed to be stranded in Kuwait. According to press reports, local recruiters were earning $500 for each woman they signed up, and the agents then sold them on to Gulf families for $2,500. Kuwait is a known trafficking hub. According to the US State Department's 2015 Trafficking in Persons Report, "Kuwait does not fully comply with the minimum standards for the elimination of trafficking and is not making sufficient efforts to do so." Kuwait's sponsorship law, which ties a migrant worker to an employer, restricts workers' movements and is a license for exploitation. Domestic workers are particularly vulnerable to forced labour inside private homes, and there are regular, grim, media reports of the rape, murder and torture of maids. An outpouring of civic action in Zimbabwe has raised money to help with the repatriation of the stranded women. A petition was also launched by the Standard newspaper, condemning "sex slavery" and calling on Kuwait to "set free human trafficked Zimbabwean women & punish perpetrators". A former Kuwaiti ambassador to Zimbabwe, Ahmed Al-Jeeran, has been charged for allegedly being the mastermind of the trafficking ring, and embassy staff have been arrested. But while the Zimbabwe operation may have been shut down, Kuwait remains a lure for other poor and susceptible women. sn/oa/ks * Not their real names Will Myanmar's Rohingya finally become citizens in their own country? Publisher IRIN Author Yola Verbruggen Publication Date 7 July 2016 Cite as IRIN, Will Myanmar's Rohingya finally become citizens in their own country?, 7 July 2016, available at: https://www.refworld.org/docid/578350af4.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. Under intense pressure from the United States, Myanmar's new government is struggling to resolve one of its most pressing problems: it's launched a programme aimed at providing citizenship to the Rohingya, an ethnic and religious minority who live under apartheid-like conditions. Although statelessness is at the root of many of the Rohingya's problems, many of them are refusing to participate in the programme. This is likely due to decades of distrust of successive governments, which extends even to the latest one led by Nobel Laureate Aung San Suu Kyi's National League for Democracy party. About 120,000 ethnic Rohingya Muslims still live in squalid camps where they were moved four years ago after being driven from their homes by mobs of ethnic Rakhine Buddhists. The rest of the approximately 800,000 Rohingya who remain in their villages are subject to stringent movement restrictions and have little access to employment, healthcare or education. In desperation, more than 140,000 Rohingya have fled by boat since 2012 according to the UN refugee agency, UNHCR. Many of those have ended up in the hands of human traffickers. That often-deadly exodus is one main reason the US downgraded Myanmar to tier three on its annual Trafficking in Persons Report, putting the fledgling democracy in the worst category. SEE: What lies behind Southeast Asia's migration crisis? Providing citizenship to the Rohingya would at least in theory give them freedom of movement and access to services like education and healthcare and discourage them from putting their lives in the hands of smugglers. It would also go a long way to resolving one of the issues that got Myanmar downgraded in the TIP report; and that could give the US reasons to upgrade the country next year. Rohingya resistance Unfortunately, it's not that simple. The citizenship verification programme is facing protests from Buddhist nationalists who claim that many if not most Rohingya are from neighbouring Bangladesh, despite the community's presence over generations in what is now western Myanmar. They reject the term "Rohingya" and instead refer to them as "Bengali", implying that they are from Bangladesh. Successive military governments gradually stripped almost all Rohingya of their citizenship. Many lost it following the implementation of the controversial 1982 Citizenship Law, which excluded groups not deemed by the military government to be indigenous to Myanmar. In 2011, after half a century of military rule, high-ranking officers traded their uniforms for civilian attire and formed a new nominally-civilian party, which initiated sweeping economic and political reforms. The military-backed ruling party piloted a citizenship verification programme in 2014, but that largely failed due to the refusal of many Rohingya to list their ethnicity as Bengali. Aung San Suu Kyi's government, which swept to power following elections in November 2015, restarted the programme last month and has attempted to avoid the semantic minefield by allowing people to register without noting their ethnicity. Yet, the programme is meeting with very limited success. Dozens of Rohingya in camps and villages near the Rakhine state capital, Sittwe, told IRIN that they did not trust the government and that most people in their communities were refusing to take part. "Some educated people told us that if you accept, after two years we will be driven away," said Mohammed Elliot in Thet Kel Pyin village. Communication breakdown A spokesman at the president's office directed questions to the Ministry of Immigration and Population, which is in charge of the citizenship verification programme. Two ministry officials declined to answer questions, while a third did not pick up the phone. Confusion about the programme resulting from a lack of public information has only made the Rohingya more suspicious. Kyaw Hla Aung, a prominent Rohingya lawyer living in a camp near Sittwe said many in his community still possess identification documents issued by various previous governments. "I already have papers, but they neglect those," he said. "How can we believe them?" Kyaw Hla Aung said that immigration officials have not explained whether the Rohingya would be able to apply for full citizenship, which would recognise them as citizens by birth an important distinction as it cannot be taken away. If they are not provided with full citizenship, those who participate in the programme may receive associate citizenship, which could potentially be revoked. Many Rohingya leaders argue that members of their community should be provided with full citizenship, and they should be allowed to list their ethnicity by the name they choose. But some Rohingya say they are willing to accept associate citizenship if it's the only option. "I really want to be called Rohingya and get citizenship, but that may take five, 10 years or longer," said Tin Hlaing, a father of three in the Baw Du Pha camp for displaced people. "I need to think about the children." Future upgrade? The citizenship verification programme began on 7 June in two camps for the displaced and one village. It has since been expanded to another camp, the Rohingya quarter in Sittwe that was gutted during the 2012 violence, and four villages in northern Rakhine where Rohingya make up the majority of the population. Sources knowledgeable about the situation in northern Rakhine, who spoke on condition of anonymity due to the sensitivity of the subject, told IRIN that hardly anyone in that area is taking part. Myanmar's NLD-led government will need to be more transparent about its plans in order to gain support from the Rohingya. But if it can convince them to participate, and take more action against human traffickers, Myanmar could be upgraded in next year's TIP report by the US. A continued tier three ranking could result in an end to some American assistance. The US could also pressure financial institutions like the International Monetary Fund and the World Bank to limit assistance penalties that Myanmar would do well to avoid, especially during its fragile period of transition away from military rule. Comments This report has been produced with the financial assistance of the European Union and the Department of Foreign Affairs, Trade and Development Canada (DFATD). The contents of this report are the responsibility of Minority Rights Group International, the Institute for International Law and Human Rights, No Peace Without Justice, the Unrepresented Nations and Peoples Organization and the Ceasefire Centre for Civilian Rights, and can under no circumstances be regarded as reflecting the position of the European Union. Mali refugees cautious about return, despite peace deal Publisher UN High Commissioner for Refugees (UNHCR) Author Sebastien Laroze-Barrit Publication Date 7 July 2016 Cite as UN High Commissioner for Refugees (UNHCR), Mali refugees cautious about return, despite peace deal, 7 July 2016, available at: https://www.refworld.org/docid/5783605e4.html [accessed 27 October 2022] "I heard that the Peuhl community was targeted in the village next to ours and I decided to flee," says Sow, who sought safety at this camp in neighbouring Mauritania and expects others to follow. One year after the UN-brokered Algiers Peace and Reconciliation Agreement in Mali was reached, some 130,000 Malians who had run from the conflict in their country continue live in exile, mainly in Burkina Faso, Mauritania and Niger. In the far south-east of Mauritania, a few kilometres from the border with Mali, Mbera camp hosts 42,000 Malian refugees. Many are from the northern cities of Timbuktu and Lere, where the situation remains volatile, marked by frequent clashes between armed groups, banditry and militant attacks. Despite the 2015 peace agreement, which ended decades of uprisings in Mali's desert north, tensions in the area continue to trigger new influxes of refugees to Mauritania. More than 500 new arrivals have been registered since spring 2015 by UNHCR, the UN Refugee Agency. Sow was among more than 70 people who recently arrived from the Peuhl areas of Nampala and Diaoura. He is not alone in his concerns. Like others at the camp, religious leader and teacher Mamadou uses the word "fear" to explain why he left Mali. "The insecurity that made me flee in the first place is still there," says the "marabout," who instructs 10 students between the ages of six and 11 in the Koran. "If the security situation in northern Mali goes back to how it was before the war, I will return," he adds. He cites the lack of income-generating opportunities in war-torn northern Mali as another important factor for not returning yet. "People who went back did not find a job," he says. Refugee protection is the priority for UNHCR. On June 16, the Governments of Mali and Mauritania signed a Tripartite Agreement together with UNHCR. It provides a legal framework to protect refugees deciding to return to Mali as well as those willing to stay in Mauritania. A Koranic scholar and teacher, Mamadou fled insecurity in Mali four years ago and sought refuge at Mbera camp in Mauritania. UNHCR/Sebastien Laroze-Barrit While many are cautious about going back, a growing number have decided it is time to go home. Between December and June 2016, UNHCR helped some 2,000 refugees return to Mali, compared to fewer than five returns in the same time period the year before. Lamine is among those planning to return. Although he says his family lives "very well and in safety" at Mbera, after three years in the camp, he believes that it is time to go home. During "go-and-see" visits to Mali, he found his houses destroyed, with doors, windows and other items stolen and the rest damaged by the harsh climate. "We are going back to rebuild it," he says. Should the security conditions in return areas deteriorate, he would also consider returning to Mbera camp as an option, he says. Among those who have already returned to Mali after a long exile at Mbera camp is 18-year-old Ahmed, who went back to the Timbuktu area in March 2016 and found his house destroyed. The young man sees banditry and the lack of basic infrastructure in small villages as the main difficulties. "There are roadblocks and people with weapons who come to rob you," he said. "There is no education in small villages, as teachers are scared of travelling there every morning," he added. Sow, Mamadou and other refugees in Mauritania are concerned that it could take several years for northern Mali to stabilize, and for basic infrastructure like wells and hospitals to be rebuilt. *Names changed for protection reasons. Schools enrol more Syrian refugee children in Lebanon Publisher UN High Commissioner for Refugees (UNHCR) Author Matthew Saltmarsh Publication Date 7 July 2016 Cite as UN High Commissioner for Refugees (UNHCR), Schools enrol more Syrian refugee children in Lebanon, 7 July 2016, available at: https://www.refworld.org/docid/578361a84.html [accessed 27 October 2022] A father of eight, Mahmoud fled the war in Syria four years ago, shepherding most of his family to safety in Lebanon. Since then, his children's education has been patchy. Some have missed years of schooling. "My dream is that they have a brilliant future, better than now," he says, on the sidelines of an outreach meeting for the parents of refugee children facilitated by UNHCR in this town in eastern Lebanon. "Maybe one can still become an architect, another a doctor," he adds. "I want the best for them in society and in life. To have a position in life, education is very important." The UN Refugee Agency, the Government of Lebanon and other partners like UNICEF are working with refugees like Mahmoud to make that happen by improving educational opportunities for children among the more than 1 million Syrian refugees in Lebanon. In 2012, the Ministry of Education and Higher Education, or MEHE, opened the doors of public schools to refugee students, giving them a chance at an education. It subsequently added a second shift to enable more children to attend. There have been positives. A recent report, "UNHCR Lebanon: Back to School," shows that 157,984 refugee children from Kindergarten to grade nine were enrolled in formal public education in January, up from 106,735 a year earlier and 62,664 in 20132014. More ambitious targets are being set for the next academic year through the national education strategy currently being developed, which aims to enrol all children in Lebanon. The number of schools offering the second shift grew to 238 in 20152016, up from 144 a year earlier and 90 in 20132014. Another UNHCR contribution to education here is its outreach capacity and ability to mobilize communities around enrolment and retention. UNHCR assists learning through more than 100 refugees trained to act as "education mobilizers" in their own communities. They help establish parents' committees to galvanize the enrolment of refugee children in public schools and support students with their homework from informal settlements or shared apartments. It also supports group sessions that have a more social or psychological aim, like the one in Baalbek. Still, the sector faces challenges. Many schools report that attendance often falls short of enrolment figures due to the movement of refuges families, the high cost of transportation or stationery, distances involved and students' difficulties adapting to a new system. Some families also need their children to work to make ends meet, as the vulnerability and indebtedness of refugees rises across the country. And some Syrian kids have struggled with the linguistic demands of the Lebanese curriculum, where some classes are taught in English or French. To counter this, the government and partners have introduced so-called accelerated learning programmes to help children who have missed months or years of learning make up lost time. A separate UN interagency report conducted with MEHE estimated that 255,400 children of all nationalities were out of school in Lebanon at the end of last year. It recommended further outreach efforts and more funding for MEHE to expand classes. At a recent meeting in Qsarnaba, also in the Bekaa Valley, refugees gathered in a tent under a baking sun for a community meeting to discuss ways to stop children from dropping out of school and working. Volunteer counsellors repeatedly stressed the importance of gaining a certificate from a Lebanese school that is transferrable in future. Ahmed*, a refugee from Raqqa, recounted the difficulties mainly financial that he had encountered keeping his two children, 9 and 12, in school for a long period. He said he would like to register them in public school but had missed enrolment for the current year. "For now, I help them with what I can," he said. "What I know, I teach." "I've seen my neighbour's children are improving in public school," he added. "I'll be happy if they learn in the same place and then, one day, I'd like the kids to finish their education in Syria." Back in Baalbek, Amira*, a volunteer in her 40s, told the group how her son had seen "something horrible" amid the fighting in Syria, when he was just three years old. "He speaks," she told the meeting, "but not like a normal child." She is awaiting resettlement abroad, hoping for a new start and the possibility of more specialised help for her son, to bring him out of his shell. *Names changed to protect identities Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. Iraq: ISIS Rule Marked by Executions, Cruelty Publisher Human Rights Watch Publication Date 10 July 2016 Cite as Human Rights Watch, Iraq: ISIS Rule Marked by Executions, Cruelty, 10 July 2016, available at: https://www.refworld.org/docid/57836a324.html [accessed 27 October 2022] Disclaimer This is not a UNHCR publication. UNHCR is not responsible for, nor does it necessarily endorse, its content. Any views expressed are solely those of the author or publisher and do not necessarily reflect those of UNHCR, the United Nations or its Member States. The Islamic State ruled Iraq's Makhmur district for 21 months with summary executions, torture, and collective punishment of villagers. The extremist armed group, also known as ISIS, prevented civilians from fleeing and placed them at unnecessary risk of attack. "Out of the headlines, ISIS routinely destroys lives and families in the Iraqi towns and villages it occupies," said Joe Stork, deputy Middle East director. "Simply trying to escape ISIS's cruel rule can be a death sentence." In May 2016, Human Rights Watch interviewed 20 residents from villages in Makhmur district, in northwest Iraq, who had fled to a displaced persons camp in the Kurdistan Region of Iraq (KRI). ISIS forces captured Qayyara, Khabata, and Makuk, among other towns and villages in mid-2014. The villagers told Human Rights Watch that before Iraqi government forces retook the area in March, 2016, ISIS executed or "disappeared" government security personnel, civilians attempting to flee, and suspected government informants. Villagers described ISIS mistreatment of those suspected of violating the group's strict version of Islam. One man said ISIS fighters beat him in custody every day for 18 days to force him to confess to selling cigarettes, which ISIS bans. He said he also witnessed 15 female ISIS guards biting a woman in public as punishment for not covering her face. The villagers also said that ISIS put them at grave risk of attack by firing artillery next to homes without moving civilians away, subjecting them to return fire. Villagers said that ISIS deployed weapons and fighters in or near schools, leading in one instance to an airstrike that damaged the building. ISIS frequently enforced its rules through collective punishment, which is prohibited by international law, Human Rights Watch said. Villagers said that ISIS blew up at least six homes of families as punishment after their relatives fled. ISIS also mined areas to deter people from fleeing. When a police officer died in May 2015 after stepping on an IED while trying to flee, ISIS sent the man's family a photo of his remains and ordered them to leave. "Executions, collective punishment, and a disregard for civilian life are part and parcel of ISIS rule," Stork said. Summary Executions Villagers reported a number of cases in which people trying to flee ISIS-controlled villages were captured and executed. In May 2015, ISIS captured Muhammad Husain Ghadhban, a 20-year-old security officer who was trying to escape from Khabata. ISIS shot him and sent his family a photo of his body, two villagers said. ISIS captured Abd al-Aziz Muhammad Gharib, 20, a police officer who had also attempted to escape from Khabata, and executed him in Makuk on July 17, 2015. In Makuk's main square, Gharib was made to kneel blindfolded with his hands tied behind his back and an ISIS fighter shot him in the head, denouncing him as a traitor, a villager said. ISIS did not return the body to the family and did not allow them to hold mourning ceremonies, villagers from Khabata said. In August 2015, ISIS fighters gathered the men from the village of al-'Itha, near Shirqat, and accused four men between the ages of 19 and 31 of transmitting information by mobile phone to the Popular Mobilization Forces, the official body set up to bring mainly Shia militias under government control. ISIS fighters lined up the four men and executed them, three with shots to the head and one by beheading, said a villager who was there. In January 2016, ISIS summarily executed Saif al-Din Ahmad Muhammad, 32, a shepherd who was tending his sheep in Makuk. A teacher from Khabata, the shepherd's home town, said that ISIS alleged that Muhammad had passed information to opposition forces. The shepherd's family received a photo of Muhammad's body from ISIS. ISIS then returned Muhammad's body, allowing the family to bury him but barring mourning ceremonies, the teacher said. In February, ISIS apprehended Husam Jasim, 20, from the village of Tell al-Shawk, and another young man from the village of Safiya, to its north, a young villager said. The ISIS fighters read an execution order that accused Jasim by name of using his mobile phone to send information to opposition forces. An ISIS fighter then shot Jasim in the head in front of Tell al-Shawk's primary school. The witness said they put Jasim's body in a car with the man from Safiya. Residents of Safiya said ISIS executed the second man later that day in Safiya, also for using his mobile phone. ISIS executed three women after catching them trying to escape on April 22, two relatives said. The women's husbands had fled after ISIS occupied their area in about June 2014. The women paid a smuggler 100,000 Iraqi dinars (US$83) each, but ISIS found them in a hut outside the village. The 10-year-old daughter of one of the women called her father from the hut when she saw ISIS fighters approaching, the father later told one of the relatives. A relative said that children of one of the women told him they saw ISIS fighters hit the women with sticks and rifle butts. The fighters then called the women's fathers and told them to come fetch the children. The fighters then took the women to the village of Hajj Ali and called their husbands that night, demanding a ransom of US$2,000 each. The husbands did not pay because they believed their wives were no longer alive. The next day ISIS brought the bodies of the three women to Makuk and returned them to the families. A relative of one of the women said that her body was covered in burns and knife cuts and bore the marks of several gunshot wounds. "Disappearances" ISIS forces have abducted and are feared to have executed several people without providing information to their families about their fate, effectively committing "disappearances." On July 3, 2014, ISIS allegedly took a military intelligence officer from his home in Dur al-Qaeda, near Qayyara bridge. The officer has not been seen or heard from since. A relative said he asked many times about the officer but that ISIS did not respond. He said ISIS fighters forced the officer's wife and mother to leave their home and seized their car. On October 8, 2014, ISIS fighters in Kharabardan demanded that 10 military officers "repent" for their work for the state, pay US$2,000 each, and surrender their weapons, a relative of one of the men said. ISIS arrested the officer two days later, before he could pay, and he has not been seen or heard from since, the relative said. Other Punishment ISIS punished people in Makhmur district for acts it had forbidden, including smoking and selling cigarettes. ISIS detained one resident of Khabata three times for selling cigarettes, another resident said. The first two times he avoided punishment, but the third time ISIS fined him 250,000 dinars (US$211). In March 2015, shortly after ISIS took control of Kharabardan, three ISIS fighters went to the home of a military officer at about 6 p.m., blindfolded him and tied his hands behind his back, and loaded him into a Toyota pickup truck. "I was lucky that they didn't know I was in the military," he said. They drove for about one hour without telling him why or where they were taking him: They held me at Qayyara jail for 18 days, in a series of army officer's homes that they were using as jails but they had installed proper prison doors in the rooms to make them into cells. There were many prisoners there, most of us charged with selling cigarettes and shisha [water pipe], or for owing money. The officer said one room where he was held was so packed that no one had room to lie down to sleep. Prisoners would knock on the door to ask a guard to take them to the toilet they might have to wait for hours for a response because ISIS guards frequently fled the building, fearing attack from opposition planes flying overhead. The guards used one room for torture, he said. Every day guards took him into the room and put him on a couch. One man would sit on his chest, another on his legs, and a third would beat the bottoms of his feet with metal cables for at least 30 minutes. He said they wanted him to confess that he sold cigarettes and give up the name of his supplier. He finally paid a fine of 15,000 dinars (US$13) to al-Hisba, the ISIS morality police, and guards released him. The day he was released, he said he was walking through the main square in Qayyara and saw a crowd of at least 15 female guards and a woman in the middle. The guards started biting her arms and her neck. One onlooker told him the woman was being punished because she had not covered her face. "I just stayed for 10 minutes but then left, it made me feel sick," he said. "So I don't know what happened afterward." A husband and wife from Mahana said that they had seen at least five lashings of people for smoking or for dress infractions when ISIS controlled the village, from June 2014 until May 2016. ISIS blew up the homes of two security officers who fled Kabaruk when they heard ISIS was coming, said a relative of both men. They also blew up the relative's home because his family refused to hand over his father, a military officer. ISIS blew up the homes of at least two families in Kudila as punishment after they fled the territory in April 2016, villagers said. They had blown up a few homes in Kharabardan for the same reason, a resident said. Endangering Civilians The laws of war apply to areas under ISIS control. They require all parties to the conflict to take all feasible precautions to protect civilians under their control from the effects of attacks, and to avoid placing military forces in densely populated areas. Several civilians said that ISIS unnecessarily endangered civilians during fighting in Makhmur by deploying their forces among the civilian population. ISIS fighters carried out rocket attacks from between shops on the main road running into the village of Kharbata, one resident said: Three times ISIS launched rockets from in between houses. They would pull up their launchers, fire two rockets or mortars, and then withdraw. The return fire then hit the house. If the family had been there, they would have been hit. Other families were close by, in their houses. The last time was in March 2016. Fighting to retake Mahana began in February 2016. ISIS stationed weapons between houses without warning residents, then fired and retreated, residents said. The return fire damaged many houses. A military officer from Kudila and his wife said they did not let their children go to school in Kharabardan because ISIS had set up headquarters in the building opposite the school, so they worried about airstrikes on the school. ISIS took over the school in Mahana, using it to store weapons, residents said. They positioned explosive-rigged cars at either end of the school. In February 2016, an airstrike hit one of the cars, which exploded, damaging the school. Human Rights Watch has withheld the names of those interviewed at their request, to protect their identity. Copyright notice: Copyright, Human Rights Watch VANCOUVER, BC--(Marketwired - July 11, 2016) - - Baja Mining Corp. ("Baja" or the "Company") (TSX VENTURE: BAJ) (BAJFF) reports that Tom Ogryzlo - Interim CEO and Director, and Nigel Kirkwood - CFO, visited the Boleo Mine on June 17, 2016, to attend a board meeting of Minera y Metalurgica del Boleo, S.A. P.I. de C.V. ("MMB") and tour the Boleo process plant, underground and open pit mining. At the MMB board meeting, MMB management provided an update on recent activities at Boleo and for the year to date through May 2016. Key highlights communicated to Baja were as follows: The Boleo process plant is performing well and achieved 86% availability in March 2016 and over 81% copper recovery in May 2016. Average feed material grade processed was 1.04%; Boleo produced 5,000 MT of copper cathode and shipped 6,309 MT; The cobalt-zinc circuit is ramping up production. A design error in the zinc circuit was corrected in early April and cobalt production is being optimized with target levels exceeding budget. The plant has produced 35 MT of cobalt and 153 MT of zinc sulphate; Plant and mining operations were severely disrupted for 15 days in late April and early May by a group of approximately 100 MMB union workers who created an illegal blockade at the main gate, demanding a change of union. These demands were provoked by a Federal Union and did not originate internally within MMB; Plant throughput was also impacted by two weeks of unscheduled maintenance in January due to repairs to the leaching tank agitators. Additionally, in mid-June there was a one-week shut due to the rupture of the return water line to the ocean, which caused the acid plant to be shut down and restarted; Cu production for the year is now forecast at 20,000 MT in line with the budget (21,806 MT) having been able to move forward a scheduled plant shut-down for maintenance later in the year, which was undertaken during the recent plant shut downs; Underground test mining in good ground conditions reached a maximum daily rate of 1,000 tonnes per day ("tpd") but average production remains more in the order of 400tpd. Geological ground conditions remain more severe than ever anticipated and these conditions together with delays in the delivery of customized mining equipment are limiting underground production. The illegal blockade also resulted in the loss of a substantial portion of the experienced underground miners effectively stalling progress underground; Surface mining is delivering an average grade of 1.14% and operating with a strip ratio approximating 10:1, with an average haul of 4 kilometers; The mine plan for the current year has been revised to decrease underground production by 50% of budget and increase surface production by the shortfall to maintain a stable source of feed to the plant. To date surface and underground mining achieved production of 154,000 DMT at an average grade of 1.25%; In the second half of the year, retreat mining and semi-shield mining operations underground are scheduled to resume, supported by the delivery of new equipment and the recruitment of experienced underground miners with daily production targeted to reach about 2,000 tpd. The addition of new trucks to the haul fleet in June and July will support increased surface production under the revised mine plan; and Changes to the management structure at MMB were introduced to reflect the shift from the development to the operations stage, including the appointment of Mr. Sang Bum Lee to the position of CEO. Story continues As a minority shareholder of MMB holding a 10% interest in MMB, Baja is not the operator of the Boleo Project, and is dependent on MMB's management for information regarding MMB's operations and the status of the Boleo Project. Boleo Project Funding On May 27, 2016, MMB issued a cash call for US$40.0 million for funding planned operations through Q3 2016. The Company has informed MMB that it would not contribute its 10% share of the cash call. This may result in a further decrease in Baja's ownership of the Boleo Project, in addition to that which may arise in respect of the May 2015, February 2016 and March 2016 MMB cash calls (total advance of US$166.0 million). The extent of any dilution to Baja's current 10% shareholding in MMB is pending finalization of the revised Shareholders Agreement and the ultimate form of the cash call participation (i.e. shareholder loan or equity). Cinto Colorado In early April 2016, the executive management of the Company, together with a representative of SNC-Lavalin, met with senior executives of KORES in Wonju, South Korea to discuss the proposed installation of an autoclave. KORES subsequently informed the Company that though the autoclave installation has attractive commercial merit to add copper cathode production from the SX/EW circuit, which KORES has also been evaluating, KORES prefers to wait for stable production to be achieved and to have MMB undertake this project internally sometime in the future. This position was reaffirmed by KORES to Baja at meetings at Boleo in June 2016. In addition, KORES also indicated that MMB will not utilize the Cinto Colorado tailings as a potential feed source for the Boleo plant, preferring to mine and process low grade Boleo ore. Baja is currently exploring alternative projects. Cinto Colorado continue to await for a meeting of the Cabildo (elected municipal governing body of the Municipio of Mulege, the regional municipality) to be held to ratify the Cinto Colorado lease but have been recently advised that the necessary documentation has been readied for ratification by the Cabildo at its next scheduled meeting. Revised MMB Shareholders Agreement update Baja management met recently in Vancouver with representatives of KORES to discuss the few outstanding issues remaining in respect of the revised MMB shareholders' agreement (the "Shareholders' Agreement"), including dilution and the manganese production decision and related payments (see Baja news release dated April 2, 2014), as well as settlement of the Management Services Agreement ("MSA") margin amount owing to Baja. Baja believes that an agreement in principle has been reached, but the specifics remain subject to final documentation and the approval of the Shareholders' Agreement by the KORES and Baja Boards and by the remaining members of the Korean Consortium. Change to Board of Directors Ross Glanville who joined the Baja board in June 2012 to support the stabilization and restructuring of the Company following the disclosure of the Boleo cost over-runs and the change in control of the Boleo Project, has elected to retire as a director of the Company at the end of June 2016. Mr. Glanville has been a tireless and valued contributor to the Company and management's efforts to address the many challenges facing the business during its restructuring over the last four years. The remaining board members and management of the Company wish to express their appreciation to Mr. Glanville for his contribution and wish him well in his future endeavors. At this time the Company has no plans to name a replacement for Mr. Glanville on the board of directors. Neither TSX Venture Exchange nor its Regulation Services Provider (as that term is defined in policies of the TSX Venture Exchange) accepts responsibility for the adequacy or accuracy of this release. CAUTIONARY NOTE REGARDING FORWARD-LOOKING STATEMENTS: This press release contains forward-looking statements or forward-looking information (forward-looking statements). These statements can be identified by expressions of belief, expectation or intention, as well as those statements that are not historical fact. Forward-looking statements involve a number of risks, uncertainties and assumptions that could cause actual results or events to differ materially from those expressed or implied by the forward-looking statements. Forward-looking statements contained in this press release are based on our current estimates, expectations and projections, which the Company believes are reasonable as of the current date. Actual results could differ materially from those anticipated or implied in the forward-looking statements and as a result undue reliance should not be placed on forward-looking statements. Additional risks and uncertainties can be found in the Company's reporting documents filed on SEDAR (www.sedar.com), including its Management Discussion and Analysis. Forward-looking statements are given only as at the date of this press release and the Company disclaims any obligation to update or revise the forward-looking statements, whether as a result of new information, future events or otherwise, except as required by law. Reading, math scores down in 1st test since COVID. How Indiana did. The Abilene City Council meets twice this week, once on Tuesday afternoon with the Development Corporation of Abilene, and again on Thursday for a regular meeting. The council and the DCOA meet Tuesday at 2 p.m. at City Hall to discuss how to implement the economic development organization's strategic plan (http://bit.ly/29E2ikM). The plan, developed by Austin-based consulting firm TIP Strategies, for $125,000 laid out 10 strategies for the city to use to spur economic growth. Thursday's regular meeting will begin at 8:30 a.m. at City Hall, 555 Walnut St. The council will consider adopting the 2016 Capital Improvements Programs, which is a series of projects that would augment some of the bond projects, after holding a public hearing. Robert Hanna, city manager, said the one-year program includes five projects in the general fund and seven projects in the water utilities fund. The cost of the program is about $12.4 million. Some of the proposed projects included renovations to the Lake Fort Phantom Hill and Kirby Lake dams, as recommended by the Texas Commission on Environmental Quality, said Howdy Wayne Lisenbee, CIP director, at a planning and zoning meeting. Other projects would enhance the city's bond projects, such as improvements to the parking lots at three parks: Rose, Scarborough and Stevenson parks. The city is constructing splash pads at Scarborough and Stevenson parks, in addition to an aquatic center at Rose Park. Hanna said he expected some 'lively discussion' during the public hearing of a rezoning request item. A developer requested rezoning roughly 26 acres in the 4500 block of Antilley Road that were zoned single-family residential. He wants to split the property into three zoning regions: neighborhood office along Antilley, and single-family residential and multifamily residential split on the remaining land. 'I would encourage the citizens of this neighborhood to come forward and make sure their voices are heard,' Hanna said. Additionally, the council will consider bids to replace the roofs on Fire Station No. 7 and the Fire Academy, both of which were damaged by hail. Hanna said the city would not demolish Fire Station No. 7, despite the fact that the city will build a new one as part of its bond program. 'We're going to use the building for other things,' he said. 'Those things may not have been identified yet, but our intent isn't to demo the structure.' Two companies submitted bids for the roof work: Guardian Roofing & Sheet Metal of Oklahoma City for $197,219 and Lydick Hooks Roofing Company of Abilene for $261,700. Twitter: BrookeCrum_ARN Today in history: On July 11, 1804, Alexander Hamilton is shot by his political rival Aaron Burr in a duel at Weehawken, New Jersey. Hamilton, the first secretary of the treasury and had developed a stable monetary strategy for the new country, dies the next day. Burr is the vice president and had defeated Hamilton's father-in-law for a Senate seat. The duel was at 7 a.m. at the site at which Hamilton's son had died defending his father's honor in 1801. Burr was not prosecuted at VP and later fled to Europe, escaping murder charges. Advertisement - Continue Reading Below This just in... By Robin Emmott BRUSSELS (Reuters) - China is set to make good on a promise to invest two billion euros (1.7 billion) in the European Union's new infrastructure fund at a summit in Beijing on Wednesday, officials say, a gesture aimed partly at easing tensions over other issues. From massive Chinese steel exports to Beijing's militarization of islands in the South China Sea, the EU is nervous about the activities of its second-largest trading partner. But Brussels can claim one small victory in persuading China to sink money into an EU-controlled fund over which Beijing has no direct say. "China has a lot of liquidity and needs to invest it somewhere," one senior EU official said, asking not to be named. "We've made it quite clear this is a European fund over which China has no sway, but Chinese banks can expect to see returns on their loans." At the EU's annual summit with China, Chinese Premier Li Keqiang will make an initial investment of about two billion euros in a financing vehicle linked to the European Union's 315-billion-euro European Fund for Strategic Investments, officials familiar with the talks told Reuters. The deal that was first discussed a year ago should be a success for European Commission President Jean-Claude Juncker, who will travel to Beijing with European Council President Donald Tusk. Juncker faced scepticism in 2014 when he proposed the fund because EU governments are putting in only seed money. While China already invests billions of euros in Europe, Beijing hopes that by putting money into a European Union-controlled infrastructure fund, it can avoid past pitfalls of operating alone in Europe and still generate strong returns as China seeks to reduce its reliance on massive exports. The investment will also mark a deepening of Sino-EU economic ties, after European governments signed up to the Chinese-led Asian Infrastructure Investment Bank (AIIB), despite Washington's displeasure, part of China's so-called chequebook diplomacy to win greater influence. Story continues EU officials hope the sum will quickly grow towards the 10 billion-euro mark, a prospect that relies on European support for China's westward infrastructure drive - the "One Belt, One Road" initiative that involves building major energy and communications links across Central, West and South Asia to as far as Greece. Two other EU officials said they expect the investment pledge to go ahead because Europe's fund is backed by the EU budget and the European Investment Bank (EIB). The fund has promised to pick up the bill of any projects that go bust in the early stages, acting as a so-called first-loss guarantor. With that backing, the bloc is relying mainly on private investors and development banks to fund selected projects that might otherwise be considered too risky for funding by commercial lenders. "DATING AGENCY" China will have no say over how the money is invested, a difficult issue that took months of negotiations to resolve following China's decision to invest at a summit in July 2015. Chinese officials told Reuters they initially offered money on the basis that it would be spent on technology infrastructure projects involving Chinese companies in Europe such as telecoms equipment company Huawei. However, Brussels resisted because its infrastructure fund is fully controlled by the European Commission and the EIB and is obliged to choose projects on merit. In November 2014, an EU task force came up with a preliminary list of some 2,000 projects worth 1.3 trillion euros, although many did not meet funding criteria. Europe is struggling with a 15 percent fall in infrastructure spending since 2007, before the global financial crisis left governments with scant funds and under greater pressure to comply with rules to keep their budgets in check. Projects from airports to broadband networks have difficulty obtaining funding, putting Europe's investment needs at much more than the money available in Europe's infrastructure fund. EU officials hope China's interest will be followed by other emerging market countries eager to invest in Europe. EU officials refer to the fund as a "dating agency" that matches up investors with projects while also offering good returns. On Monday, European Trade Commissioner Cecilia Malmstrom said in Beijing the EU still harbours many concerns about the Chinese market that could obstruct closer business ties. "A more market-oriented economy is essential," she said of China. "What we have not seen yet is much focus towards putting those ideas into practise." "The free-trade zones have made relatively limited progress, or have been completely abandoned, and there are still concerns about the enforcement of intellectual property rights, discrimination against EU businesses remains a fact - one that we are worried about," she added. Malmstrom also said Britain's decision to exit the EU would not impact Brussels' commitment to the Chinese market. (Additional reporting by Megha Rajagopalan in BEIJING; Editing by Helen Popper) Cambodians lay flowers on the car carrying the body of independent political and social analyst Kem Ley outside the store where he was shot dead earlier in the day in Phnom Penh, July 10, 2016 Family members and local villagers are raising questions about the investigation into Sundays slaying of outspoken government critic Kem Ley as they cast doubt about the suspected killers identity and the motive alleged for the killing. According to authorities, Kem Ley was shot while sitting alone inside the Caltex gas station at the intersection of Monivong and Mao Zedong boulevards in Phnom Penh, where he liked to have coffee and talk with friends. The 46-year-old was attacked execution-style, shot once behind his left ear and once under his left arm. While Cambodian police have identified the suspected killer as Chuop Samlap, the alleged culprits family and Buddhist monks told RFAs Khmer Service that the man is actually a former soldier and an ex-monk named Oeuth Ang. Hoeum Horth, 45, who is married to the suspect, said she recognized him when she saw a Facebook post with his picture. They had been married for only about two months when Oeuth Ang, 43, left the Norkor Pheas 2 village, in Siem Reap provinces Angkor Chum district on a trip after they had a falling out because he gambled away a new motorbike. He told me that he was going to Phnom Penh, she told RFA. I didnt ask him much about his trip because I was angry with him. After we got married, I bought a new motorbike for him that he lost gambling. He had no money when he went to Phnom Penh. The suspects 64-year-old mother, Ek Tap, who lives in Tunle Sar village, which is about three miles from the village where Oeuth Ang lived, said she recognized a photo of the suspect she saw on TV as her eldest son. Ek Tap told RFA that Chuop Samlap, which means Meet to Kill in Khmer, was likely an alias he gave police. Ek Tap said her son had a job as an environmental conservation worker for the government, but that he had been a soldier in his youth. He used to be a soldier in Angkor Chum district when he was very young, she said. I was shocked to see him like that as he was never involved in such bad activity. Yesterday I saw him on TV. I recognized him as Oeuth Ang. Ek Tap told RFA that her son had been a soldier from his early teens until 1998, but had done a lot of jobs after that, including working in Thailand. Failed monk Villagers and monastic leaders say Oeuth Ang tried to become a Buddhist monk, but he wasnt cut out for the monastic life. Soeum Suon, the head monk at Prasath Thnung pagoda in Saom commune, told RFA he ordained Oeuth Ang as a Buddhist monk in 2012, but kicked him out after a year for his bad behavior. When I reprimanded him for his poor discipline, he threatened to shoot me, the monk said. When he was a monk he bragged about his work as a soldier. He is illiterate. I decided to kick him out of the pagoda in 2013. Saom Samorn of Angkor Chum district told RFA hed also ordained Oeuth Ang, but that Oeuth Ang didnt clean up his act. Oeuth Ang used to threaten that anyone who caused him trouble would be killed with a gun that he had purchased, the monk said. I assume that he has had that gun since back when he was a monk. Motive questioned While villagers described Oeuth Ang as a cruel man who liked to drink heavily and chase women and was capable of carrying out the killing, they questioned his alleged motive. Police have said that the murderer killed Kem Ley over a $3,000 debt, but that makes little sense, say the villagers and his wife. Kem Leys wife Pou Rachana challenged the suspects confession, calling it an attempt to make the popular researcher and leader of the advocacy group Khmer for Khmer look bad. He never borrowed from anyone, not even 100 riels (U.S. $ 0.03), she told RFA. He wouldnt dare to ask people to lend him money. He even helped provide free consultation to some poor NGOs. He was very gentle, polite and kind person. He liked helping people. Oeuth Ang was brought to the Phnom Penh Municipal Court on Monday under heavy guard and was questioned for more than an hour. Reporters were kept away, but Phnom Penh Municipal Court spokesperson Ly Sophanna said he would be questioned again on July 12. A call for transparency Distrust with the police runs deep in Cambodia where they often are seen as adding and abetting the brutality that has marked Prime Minister Hun Sens more than 30 years heading the country. Relatives and local people arent the only ones with questions. Eng Chhai Eang, a Cambodia National Rescue Party (CNRP) senior official, said the authorities must show the public security footage in and around the Star Mart, where Kem Ley was killed. If the Cambodian authorities want to resolve public doubt and suspicion, they need to show the captured video footage to the public so that we are satisfied, Eng Chhai Eang said. Please try not to point your fingers at others. You have to be accountable and show your competency in prosecuting criminals. Prime Minister Hun Sen on Monday ordered a thorough investigation into the killing and announced a large-scale campaign to strengthen security and social order in the aftermath of Kem Leys murder. This is a loss. It badly affects the reputation of the government, he said. Who will benefit from such a thing when the government is talking about peace and security? Kem Leys death comes at a time of political uncertainty for Cambodia with opposition CNRP leader Sam Rainsy in self-imposed exile and facing defamation charges. Other opposition leaders have been tossed in jail and the acting head of the CNRP has been holed up in party headquarters since heavily armed police attempted to arrest him in connection with cases related to an alleged affair. Political tension Public killings of Hun Sens critics have regularly occurred during the first 15 years of his rule but the killings have diminished over the years. Political tension between Hun Sens Cambodian Peoples Party and the CNRP has been intensifying this year, however, as the parties prepare to contest local elections in 2017 and a general election in 2018. Just days before he was killed, Kem Ley had appeared on an RFA Khmer Service call-in show to discuss a report by the London-based NGO Global Witness documenting how Hun Sen and his family have amassed a $200 million fortune. The Hun family has dismissed the report. The U.S. State Department expressed concern over the killing. RFA is funded by the U.S. government We are deeply saddened and concerned by reports of the tragic killing of prominent Cambodian political commentator Dr. Kem Ley. We offer our sincere and profound condolences to his family, friends, and colleagues, State Department spokesman John Kirby said in a statement. We are following developments in this case closely, noting the Cambodian government's call for an investigation, and urge that authorities ensure this process be thorough and impartial. Reported by Savyouth Hang for RFA's Khmer Service. Translated by Nareth Muong. Written in English by Brooks Boliek. The lawyer for a Chinese legal assistant who was reported released last week has been detained for spreading rumors after he looked into reports his client was sexually assaulted during her year in detention. Zhao Wei, 24, was working as an assistant to a top Beijing rights lawyer when she was swept up in a crackdown on human rights lawyers and defenders on July 9, 2015. Zhao, who is also known by her online nickname Koala, was released on bail, according to a July 7 posting on the social media account of police in the northern city of Tianjin. However, Zhao, who was held for nearly a year in the police-run Tianjin No. 1 Detention Center on suspicion of "incitement to subvert state power," has not returned to her husband or her family since her reported release and her whereabouts are unknown. A tweet from her account thanking her supporters and the countless helpful and sincere uniformed police officers who worked on my case" aroused suspicions that the message was dictated, or even directly sent, by police. Zhao's defense lawyer Ren Quanping, who wasnt allowed to meet with her since her detention, was detained last week after he went to Tianjin police to investigate reports that she was sexually abused in detention. "Ren Quanniu said his client Koala was sexually harassed on his Weibo account on May 27, and then the authorities charged him with spreading rumors, lawyer Wu Kuiming told RFAs Mandarin Service. Ren is Koala's attorney, he heard the internet rumors and he also received a lot of phone calls asking him about this matter. After he took Koalas case, he had never seen his client, so he couldnt verify the rumors, he said. So Ren quickly went to Tianjin authorities, asking them to explain what happened. He also filed a complaint with the Bureau of Supervision in Tianjin. All he has done is within the scope of a lawyer, and this is not spreading rumors. No illegal behavior While in custody, Ren was allowed to see his two lawyers, Chang Boyang and Zhang Junjie. Chang told RFAs Cantonese Service he held a one-hour meeting with Ren on Monday and found him in good spirits and firm on his innocence. He said he committed no crimes and did not behave in an illegal manner, said Chang. What he did is within the scope of his duties as a lawyer and did not cross any legal lines, added Chang. He thinks this is revenge (from the authorities). Chang said he and other lawyers would investigate rumors that Zhao was sexually harassed. Zhao's husband You Minglei has said he believes she has been sexually abused or mistreated to some degree while in detention, but said he doesn't know the exact circumstances. The year-old crackdown on China's embattled legal profession comes amid a broader clampdown on rights activists and non-government organizations (NGOs) campaigning for social justice. Raids that began on July 9 and 10, 2015 of Beijings Fengrui law firm, where Zhao worked as an assistant, widened to include the detention and interrogation of some 319 lawyers, paralegals, law firm employees and rights activists. Reporting by RFAs Cantonese Service and Xin Lin for RFAs Mandarin Service. Translated by Chen Ping and Wong Lok-to. Written in English by Paul Eckert. Myanmar nationals and migrant workers work on a fishing boat in waters off Ban Nam Khaem village in southern Thailand's Phang-nga province, Dec. 3, 2014. Thai police and a Myanmar nongovernmental organization that helps migrant workers have rescued 19 trafficked Myanmar fishermen forced to work aboard a fishing boat in slave-like conditions, the director of the NGO said. The Myanmar Association in Thailand (MAT) and the Anti-Human Trafficking Division (AHTD) of the Royal Thai Police found the men on Sunday in a boat moored off the southern Thai city of Pattani, said MAT director Kyaw Thaung. They range in age from 13 to 34 and are from southern Myanmars Mon and Tanintharyi region and from western Rakhine state, he told RFAs Myanmar Service. We found and saved the 19 Myanmar fishermen in the Pattani region near the Thailand-Malaysia border, he said. They were locked inside an Indonesian fishing boat and couldnt go anywhere, Kyaw Thaung said. A police officer from Dawei [in southern Myanmars Tanintharyi region] called me and gave me this information, he said. We asked the AHTD for help, and together we found the victims. The men will be sent to immigration camps in Thailand and return home after six months or a year, he said. MAT has collaborated with the AHTD for several years to crack down on human trafficking. Officials make arrests Thai police have now arrested a Thai businessman and a Myanmar woman from Mon State who were accused of being directly involved in the trafficking, the online journal The Irrawaddy reported. The woman had promised six of the men jobs that paid about U.S. $260 a month at a factory in Pattaya, a resort city on the Gulf of Thailand, but instead transported them to Pattani, the report said, citing MAT communications officer Sai Aye as the source. The rescued fishermen testified at the nearby police station that about 80 other trafficked fishermen from Myanmar were also being held against their will at an unknown location in southern Thailand, the report said. Thailands fishing industry relies heavily on trafficked and forced labor, especially from Myanmar, Cambodia, and Laos, according to a 2014 report issued by the Environmental Justice Foundation (EJF), a U.K.-based nonprofit organization that focuses on protecting the environment and defending human rights. Many of the estimated 200,000 migrants from Thailands neighboring countries have been trafficked and forced to work in appalling conditions with no pay and subjected to brutal subjugation, the report said. The EJF called on the Thai government to identify and prosecute criminals, corrupt officials and unscrupulous businesspeople and enforce measures to regulate the countrys fishing industry and recruitment practices. Reported by Zarni Htun for RFAs Myanmar Service. Translated by Khet Mar. Written in English by Roseanne Gerin. NYPD police body camera The deaths of Alton Sterling and Philando Castileat the hands of police are the most recent in a string of shootings involving black citizens that have shaken the country and renewed debates over racism and police brutality. Many organizations hail body cameras, which can record these charged encounters and provide evidence in later prosecutions, as a solution. But whether requiring police to wear them would reduce violence remains unclear. The St. Anthony Police Department in Minnesota, which was involved in Castile's death, does not require body cameras. Police in Baton Rouge, Louisiana, wear body cameras but the camera belonging to the officer who shot Sterling was dislodged during the incident and yielded poor footage of the interaction. Former police officers and criminology experts, however, disagree over whether the benefits offered by body cameras outweigh the concerns. Eugene O'Donnell is a law professor at the John Jay College of Criminal Justice and a former police officer and prosecutor. In his mind, body cameras have forced police to be overly cautious, a dangerous trend that leads to crime going unpunished. "I think it's really changed the nature of policing, and not in a good way," he told Business Insider. "And it's malpractice for them to do the kind of policing now that they could have done years ago, knowing that any moment they could be held criminally accountable." "It's a monumental thing now to engage people, because if anything goes wrong, you are exposed," he added. baltimore police Tod Burke, a former police officer who's now a professor of criminal justice at Radford University, acknowledges that the use of body cameras raises a host of questions from the possibility of videos spreading on social media to uncertainty over where the cameras should be placed on the officer's body. But overall, he thinks that they're a good idea. Story continues "Police tend to behave better when they have the body cameras," he told Business Insider. "I think they're better for police-community relations they hold the officer and the agency accountable." Body cameras can also protect the officer wearing one. "My thought is still that 99% of police officers do the right thing, and video can only help police officers more than it hurts them," Chuck Drago, a former police chief in Florida with over 30 years of experience, told Business Insider. Drago, who now acts as a law-enforcement consultant, compared the situation in the US to the initial backlash that occurred when many police departments began filming interrogations although police aren't required to do so. "In the early days of dash cams and even before that, when we started using video in interrogation rooms, police officers were reluctant to do that because they were worried they'd get into trouble," he said. Burke agreed. "From my perspective, if what I'm doing is right, I don't mind being recorded," he said. "The officers that don't want to be recorded are probably on shaky ground to begin with." police Just as opinions are mixed, research on the subject doesn't provide a clear answer about whether body cameras are achieving their goal, either. In general, data supports the use of body cameras, but recommends intense deliberation before implementing them. A 2014 study from the Department of Justice's Office of Justice Programs Diagnostic Center suggests that if police departments want to adopt body cameras, then they should proceed cautiously, with rigorous guidelines and a plan for evaluating the outcome. According to the study, body cameras are tied to a substantial decrease in civilian complaints to police as well as a decline in the use of force by officers though the study acknowledges other factors could be at play. Body cameras also provide helpful evidence for arrests and prosecutions. Then again, the study reported, they might also compromise the privacy of citizens and police, not to mention require a significant commitment of resources and training to be effective. That's not to mention the difficulty of implementing national, or at least uniform, policing policies. Another 2014 study from the Department of Justice's Community Oriented Policing Services found that using body cameras might improve police transparency and accountability, but also warns police departments not to make the decision lightly. Because the technology is so new, there isn't yet a reliable body of research on its long-term consequences. With an even stronger caveat, O'Donnell thinks that if body cameras continue to proliferate in police departments, then the profession will be irreparably damaged. "If a young person told me they were going to be a cop today, I would tell them not to do it," he said. Christina Sterbenz contributed to this report. Editors note: A previous version of this article incorrectly stated that Tod Burke served as a prosecutor. He did not. NOW WATCH: 'Vicious, calculated, and despicable': Obama comments on the attack in Dallas More From Business Insider Fresh fighting that broke out late last week between two armed ethnic groups in Kyaukme district of northern Myanmars Shan state has forced nearly 350 villagers from Hsipaw township, including 100 children, to flee to safety in a Buddhist monastery, said a member of Myanmars parliament who visited the refugees on Monday. The residents of Man Lwe village are seeking shelter inside the Yadana Shwegu monastery following an armed clash that broke out late last week between the Taang National Liberation Army (TNLA) and the Restoration Council of Shan State/Shan State Army (RCSS/SSA), said lawmaker Nang Moe. There are about 340 refugees, including 100 children and 18 people over 80 years old, she told RFAs Myanmar Service. They are from more than 100 households. All people from Man Lwe village have fled to Thibaw, and the school is closed, she said. The villagers told Nang Moe that they fled because they were forced to provide food to both TNL soldiers and SSA soldiers. If either side discovered that the villagers were supporting their enemy, they beat the residents, they said. It will be difficult for them to survive because this is the farming and tea-leaf picking season, and they cant do this work due to the fighting, she said. RCSS/SSA troops were not letting residents from the township, especially ethnic Palaung (Ta'ang) people, enter the area around the village to take food to the villagers. Sometimes Shan ethnics and Burmese can go in, but its very difficult, Nang Moe said. Thats why people from this village dont have any food. We heard that they are eating only leaves around their village. The same villagers fled to the same town in May due to fighting between the two rebel armies, but returned to their homes a month later. The Shan state government has donated 10 million kyats (U.S. $8,500) to assist the refugees, but residents of affected areas say township administrators have not used all the funds to help the refugees. The hostilities and a landmine explosion have also forced locals from various villagers in Mine Ton township to seek shelter inside Shwemyintha monastery in Namtu township, according to a report by the Myanmar Times. Fighting since November The TNLA officially announced on Monday that it is engaged in new hostilities with the RCSS/SSA. Fighting between the two groups began last November when the RCSS, the political organization that oversees the SSA, signed a nationwide ceasefire agreement between the government and eight of the countrys armed ethnic groups last October. The TNLA, however, was excluded because it was engaged in fighting against the Myanmar army. A month later, Myanmars army teamed up with the RCSS, and launched an offensive against the holdout TNLA army in Shan states Namhkam township. The hostilities have now displaced thousands of people. The fighting comes as the government prepares to hold a national-level peace conference with armed ethnic groups in late August. Reported by Zin Mar Win for RFAs Myanmar Service. Translated by Khet Mar. Written in English by Roseanne Gerin. Srebrenica was never meant to generate world news. A quiet provincial town nestled in a valley among mountains that rise from the banks of the river Drina, it was once famous for its ancient silver mines (srebro means silver). By July 1995, however, Srebrenica had been a living hell for three years, besieged by Serb forces. When it fell, in the course of only four days, over 8,000 Muslim males, including boys as young as 13, were killed. July 11 is the official memorial day of the massacre -- the worst in Europe since World War II. Funerals are still taking place in Srebrenica as more of the victims are identified. In the last 12 months, 126 new names -- and new graves have been added. RFE/RL's ongoing Faces of Srebrenica project aims to find a photo of each victim. So far, there is an image for nearly half of them. Srebrenica native Nezira Sulejmanovic, 60, is burying her nephew, her brother's son. She says that his body was almost intact -- "only the head was missing." Her own two sons have already been buried at the same memorial cemetery, a few of their bones identified. "If I could only find a single one of my brothers bones, I would find my peace," she told RFE/RLs correspondent in Srebrenica. WATCH: Bosnian Man Digs For Bones Of Srebrenica Victims In an attempt to cover up the crime, Serb forces removed the bodies from the Srebrenica killing fields and scattered them at different locations in the vicinity. International and local forensic experts are working together in using DNA evidence to identify the victims. One person from Srebrenica, Ramiz Nukic, has made it his life mission to search for the bones. He has found the remains of 200 people so far. Sulejmanovic welcomes all, Serbs and Muslims, to attend the memorial: "Leave the war behind. Let it be so that my two grandchildren, my two girls from my only surviving son, are able to come here -- do not allow anyone to sow conflict." Vucic Controversy Not listening to the voices of people like Sulejmanovic, who lived the full circle of the Srebrenica tragedy, Srebrenica Mayor Camil Durakovic said that the Serbian leadership is not welcome at this years commemoration, insisting that "whoever denies the genocide should not come to our memorial service." These were the words of a man who last year invited the Serbian Prime Minister Aleksandar Vucic to visit Srebrenica. At the time he extolled his friendship with Vucic and was angry when a group of hooligans threw stones, targeting the Serbian leader -- who escaped with his glasses broken, but without injury. Durakovic has since tried to temper his words, albeit unconvincingly, by giving different explanations for his unwelcoming stance toward Vucic. On one occasion he said that he was concerned that all the attention would be on Vucic, and that his presence would overshadow the entire ceremony. The fact that Durakovic is facing elections in October may suggest that his real concern is with how his friendship with Vucic might resonate among the local Muslim community. In Belgrade, although Vucic has been unperturbed by the snub, his party has called for him to "stop talking to Bosniaks." Serb-Muslim relations are in the spotlight again for all the wrong reasons. On the other hand, the Bosnian foreign minister has ordered his ministry not to cooperate with the organizers of this year's Srebrenica memorial because of Durakovic's unwelcoming message to Serb leaders. 'A Warning To Us All' Adding more fuel to the fire is a countercommemoration organized by the Serbs in Bratunac, 12 kilometers north of Srebrenica, for Serb victims of Muslim forces. This is part of the competing narratives of war, and its function is to diminish the importance of crimes committed by Serbs in nearby Srebrenica without denying them outright. The Serb Republic's (Republika Srpska, a constituent entity of Bosnia-Herzegovina) Prime Minister Milorad Dodik used the occasion to criticize the international community for not paying attention to Serb victims. There's something wrong here: One imagines that normal people do not enjoy the role of victims, and yet there is competition over who is a bigger victim. Why is it still so hard to see the pain of others? In his book Postwar, the late historian Tony Judt described Srebrenica as "a war crime on the scale of Oradour, Lidice or Katyn." The difference is that the Srebrenica massacre was carried out in full view of international observers. Last year, attending a service for the victims of Srebrenica at Westminster Abbey, Paddy Ashdown, who served as the European Union's High Representative for Bosnia and Herzegovina in 2002-2006, spoke about the passive complicity of the international community: "Whether through error, misjudgment, an inability to comprehend, or just inattention, we stood aside when we should not have done. We should therefore remember Srebrenica, not just to bear witness to those who suffered, but also as a warning to us all of what happens when we turn our back." In the context of competing memorial services, and the exchange of hostile words between Srebrenica, Sarajevo, and Belgrade, we would do well to heed Ashdown's warning -- it is still dangerous to turn our back on the Balkans. The views expressed in this blog post do not necessarily reflect those of RFE/RL. Canadian Prime Minister Justin Trudeau says Russia has not been a "positive partner" as regards its obligations in international efforts to end a Moscow-backed separatist conflict in eastern Ukraine. Speaking in Kyiv on July 11, Trudeau said "it is obvious that Ukraine has made some extremely important and difficult steps in parliament and in their institutions to live up to their responsibilities on [the] Minsk [cease-fire agreement]." "And it's also clear that [in terms of] the security side of the Minsk implementation, Russia has not been a positive partner, Trudeau said. Trudeau, who is in Kyiv on a two-day official visit, pledged $13 million in new humanitarian assistance to Ukraine.He also announced an increase in the number of Canadian observers for the Organization for Security and Cooperation in Europe (OSCE) in eastern Ukraine. During Trudeaus visit to Kyiv, Ukraine and Canada formally signed a free trade agreement. Negotiations for the deal were concluded last year and the agreement needs to be ratified by both the countries' parliaments before it can come into force. Based on reporting by Reuters, AP, and AFP On Sunday, I woke up and, as usual, skimmed through the news on Twitter over breakfast. I looked at Turkish news sites and the social network accounts of journalist colleagues. As is often the case these days, there was a lot about military and security campaigns against the extremist Islamic State (IS) group as well as the Kurdistan Workers Party (PKK) and its Syrian offshoots. There was also plenty of material on traffic accidents and on people returning from the long Ramadan Bayram vacation to celebrate the end of the fasting month. And there was an awful lot about Turkish President Recep Tayyip Erdogan's recent idea to grant Turkish citizenship to "our Syrian brothers and sisters" who have fled to Turkey. I had been curious about what had been said regarding Erdogan's participation at the NATO summit in Warsaw on July 8-9, but there was virtually nothing to be found. Before leaving for the summit, Erdogan had asked NATO "not to forget Turkey" in its fight against terror, coming both from IS and the PKK. A slim report said that NATO chief Jens Stoltenberg promised to support Turkey in the form of a comprehensive Airborne Warning and Control System (AWACS), which would ensure surveillance planes and early notifications of any potential threats. He also promised to send more military trainers to Iraq to strengthen the campaign against IS. It seems the NATO Summit was so busy with Russia, Ukraine, and European matters, including Brexit, that it didn't have too much time for the Middle East. That region has never been its direct priority anyway. Geographically and politically, Turkey has always looked both East and West. For the last six years or so, however, it has become a more Middle Eastern country and less European. The same could not be said of the period from 1952 (when it joined NATO) to 2010 (eight years after Erdogan started to lead the country). Erdogan's Early Years There are a number of developments that occurred during Erdogan's first years in government which may have persuaded him to move away from the West. He came to power in 2002, a rather unfortunate time since the United States was preparing to invade Turkey's neighbor, Iraq, and effect a change of regime there. The Turkish parliament rejected the U.S. Army's request to use Turkish territory for the Iraq invasion. This started a period of distrust between the West and Turkey, which was exacerbated by Erdogans pro-Islamic background and rhetoric. Later, the Erdogan government opposed both the occupation of Iraq and the bombing of Libya, which helped precipitate another regime change with the subsequent fall of Muammar Qaddafi. Both operations resulted in hundreds of thousands of deaths, millions of displaced people, and the mass destruction of infrastructure in the two countries. Later revelations, including the recent Chilcot report on British participation in the Iraq invasion, indicate that Erdogan was right not to get involved. Erdogan's pivot eastwards could also have been reinforced by the Arab Spring, which saw masses of people come out onto the streets of Arab countries in the Middle East, protesting their corrupt and authoritarian governments. Iraq's Saddam Hussein had already been overthrown, and his country had since fallen into chaos. Now, Bashar al-Assad of Syria and Hosni Mubarak of Egypt were teetering and Erdogan perhaps saw an opportunity to ensure that Turkey was well placed to capitalize on the situation should their regimes collapse. You could also factor in Erdogans personal, national and religious romanticism, which has been colored by a nostalgic view of the Ottoman Empire. Another thing that could have been influencing Erdogan's thinking was the internal uproar that had been caused in Turkey by supporters of his former ally turned enemy, Fethullah Gulen, an exiled cleric who had been granted asylum in the United States in the late 1990s. He and his supporters had quietly infiltrated the Turkish army, police, education system, judiciary, and media even before Erdogan came to power. And maybe the Kurdish insurgency also played a role in shaping Erdogan's attitude. To be fair, Erdogan started his tenure with the intention of reconciling Turks and ethnic Kurds and he took the first steps toward achieving this. However, even many ethnic Kurdish intellectuals would admit that the PKK, and its political arm, the Democratic Union of Peoples (HDP), which is represented in the parliament, have been reluctant to clearly denounce the terror that has been unleashed by the PKK's 32-year war on the Turkish state and army, which has resulted in the death of tens of thousands of Turkish and Kurdish citizens. Derailed Policies At some stage though, Erdogan very clearly changed tack. In his dealings with opponents, his language and tone became aggressive, even unusually rude. He became intolerant of criticism, including dissent from within his own party. This happened around 2010-2011. Erdogan may have thought he was following the international trend, actively backed by the West. It was very clear from his fiery speeches at the time that he felt he would need to support a number groups and movements, most of whom turned out to be violent Islamic extremists. He volunteered to give all possible, occasionally uncontrolled, support to the enemies of his old friends, such as Assad and Mubarak. His foreign policy took a disastrous turn -- and clearly moved away from the traditionally good relations Turkey had enjoyed with its neighbors and other countries in the region. In 2010 he attacked Israeli President Shimon Peres over the Palestinian issue. He accused Israel of "wildly killing Palestinian youths without mercy" and said the Jewish state was "no better than Hitler." Later, in 2011, he tolerated and indirectly supported a group of Turkish Islamic NGOs sending a flotilla of humanitarian aid to Gaza via the Mediterranean in order to break Israel's blockade of the Palestinian territory. Israeli troops attacked the ships at sea and killed nine Turkish citizens, blocking the way to Gaza. Turkish-Israeli relations deteriorated hugely and this was accompanied by hostile rhetoric on both sides. From 2011 onward, the Erdogan government increased its all-round support for rebel groups in Syria with the clear objective of overthrowing Assad. Turkey became a safe backyard for all sorts of armed Syrian and non-Syrian groups fighting against Assad and against each other. The Damascus regime managed to survive, however, mainly thanks to support from Russia and Iran. Meanwhile, extremist groups kept using Turkey as source of recruitment, arms, and money. They came to Turkey for the purposes of smuggling, receiving hospital treatment, and taking a rest. There are now many rebels fighting in Syria with families living in Turkey -- some of them Syrians, others from other Arab countries as well as Central Asia and the North Caucasus. These groups of rebels include the Al-Nusra Front, which has ties with Al-Qaeda, and even IS, which has recently turned against its Turkish host, Initially hoping that these rebels would also fight with Kurdish insurgents across the border, Ankara finally came to realize that the PKK and IS now pose a major threat to its stability and existence. The situation deteriorated even further after Russia actively entered the war in Syria to support Assad. Turkey, still buoyed by a mood of "imminent victory," downed a Russian Su-24 fighter jet last November, right on the Syrian-Turkish border. The plane's pilot was shot dead by pro-Turkish militants as he descended by parachute after ejecting from the aircraft. After that, Turkey's relations with its "old neighbor and friend" Russia and its president, Vladimir Putin, turned positively glacial. The Russian leader froze almost all relations, took punitive measures, such as a boycott of tourism and imports from Turkey. He also demanded an apology from Erdogan -- a gesture that nobody in Turkey could imagine him making. Something Clicks Eventually, however, something "clicked" on June 27 and almost simultaneously triggered a fast and effective U-turn with both Israel and Russia. Relations with Israel started to normalize. Prime Minister Benjamin Netanyahu had already sent a letter of apology to Erdogan two years after the flotilla incident and talks were going on for some time, it was reported. On the Russian front, Erdogan sent an apology to Putin "expressing his wish to restore Turkish-Russian relations as swiftly as possible." Turkish Foreign Ministry officials have been hinting that efforts are underway to address relations with Egypt, too. This has not proven easy given the harsh tone Erdogan had taken against the military government, which overthrew the elected administration of the Turkish leader's ally, the Muslim Brotherhood. Even more unexpectedly, leaked reports from Ankara suggest that Erdogan is even ready to accept a solution in Syria without the precondition of Assads removal from power, something Turkey and the West have been insisting on so far. What triggered this click? One can only guess, but various reasons have been suggested. These include the need to find a quick fix for Turkey's vital tourism industry, whose rapid decline could negatively affect Erdogan's perennially high approval ratings. Ankara may now also find it expedient to unite with as many countries in the region as possible in order to tackle the country's number-one problem: the Kurdish insurgency and, more recently, the expansion of IS terror to Turkish soil. Is Erdogan now seriously returning to the "good old days" of Turkish foreign policy and refraining from interfering in the internal affairs of its neighbors? After six years of consistently making enemies in the region and now trying to become friends with them again, one would hope that he can also start to make peace with his opponents and with the some 50 percent of the electorate who did not vote for him in the last 14 years. "Getting along with people cannot harm anybody," noted Ertugrul Ozkok, a prominent columnist with the Hurriyet daily. At least three protesters and a police officer were killed in India-controlled Kashmir on July 10, raising the death toll in violence sparked by the death of a separatist leader to 19. Protesters in Kashmir clashed with Indian security forces after defying a curfew order in the troubled Himalayan region. The curfew was imposed in an effort to hold down protests after Indian security officials said they killed Burhan Muzaffar Wani, a commander of the rebel group Hizbul Mujahideen, along with two other militants, on July 8. Local authorities told reporters that 100 members of the security forces had been wounded and that three were missing. Three protesters were killed on July 10 in clashes with security forces. Indian police described Wani's killing as a "major success against militants in Kashmir," where the majority of people are Muslim and sympathetic to the rebels. Based on reporting by Reuters and dpa Ukrainians have increasingly woken up to the sound of suicide drones as Russia turns to Iranian-made imports to destroy civilian infrastructure in Ukraine. Now they may have another deadly Iranian weapon to worry about -- ballistic missiles. Cheap but effective, Shahed-136 and Shahed-131 "kamikaze" drones have already made a deadly impact in Ukraine. If U.S. intelligence assessments pan out, Russia will soon be able to supplement its use of Iranian suicide drones and its own cruise and ballistic missiles with powerful short-range Iranian Fateh-110 and Zolfaghar ballistic missiles. Coming as the Kremlin is reportedly struggling to maintain its depleted stockpile of aerial weapons as it ramps up strikes, the missiles would potentially boost Russia's ability to continue its costly air campaign. Jeremy Binnie, a Middle East defense specialist at the global intelligence company Janes, said having more missiles gives Russia the ability to sustain the bombardment against Ukraine." Going Ballistic The Fateh-110, which was unveiled in 2001 and has a stated range of 300 to 500 kilometers, was developed from a heavy artillery rocket dating from the 1980s. To increase the weapon's accuracy, the Fateh-110 was given a guidance system and movable fins that allow it to be steered as it approaches its target. The Zolfaghar, which debuted in 2016 and also has guidance capabilities, comes from the same family as the Fateh-110 but boasts a much longer range due to its use of a lighter carbon-fiber airframe and a smaller warhead. Binnie said the Zolfaghar's use against the Islamic State (IS) extremist group in eastern Syria confirmed that the missile was capable of reaching at least 650 kilometers, which he said is "a statement of how much the Iranian tactical missile program has really advanced over the years." Iran's claim that the Zolfaghar can travel even farther -- up to 700 kilometers -- would put the western Ukrainian city of Lviv within range of strikes launched from Russian territory, while the more powerful Fateh-110 could potentially hit the city from Belarus, which has served as a staging ground for Russian attacks. While there has been no indication that Russia plans to purchase launching systems from Iran, Binnie suggests that the Russian military could pair the missiles with existing equipment because the Iranian launchers were adapted from a Soviet-era system. "It might be possible for the Russians to quickly adapt some old equipment they have lying around into launch systems," Binnie said. The Iranian military, he added, fitted the Soviet system to trucks, allowing for mobility and concealment. "Those civilian trucks can be covered over to make it hard to spot that they're actually missile launchers," Binnie said. 'Lawnmowers' And 'Mopeds' Iranian military drones, or unmanned aerial vehicles (UAVs), have been homing in on targets across Ukraine since late August, according to the United States. The buzzing sound of the Iranian Shahed-136 and Shahed-131 drones, built with off-the-shelf components, have earned them derisive monikers such as "lawnmowers" and "mopeds." But the slow-moving, low-flying drones, which are maneuvered to crash into their target, have proven themselves capable of hitting their mark both in terms of military effectiveness and cost. It is capable of extracting or delivering attrition and damage when launched, but it costs little compared to other UAVs that Russia has in its own arsenal," said Samuel Bendett of the Virginia-based Center for Naval Analyses (CNA). Ukraine alleges Russia has ordered 2,400 of the Iranian suicide drones, and its military has claimed to have shot them down in great numbers, often using conventional anti-aircraft guns or even small-arms fire. But their ability to be launched in bunches of five -- often from the cover of civilian trucks -- improves their chances of reaching their target. "The Ukrainians are stopping most of these, but the whole point of these drones is that they fly in a large mass," Bendett said. "The air defense does not always catch all of them. All it takes is for several or even one to make it through." The estimated range of the Shahed-136 varies, but Iran says it is capable of traveling 2,500 kilometers. The slightly smaller and older Shahed-131, which has been used by Huthi rebels in Yemen to attack Saudi targets in the Arabian Peninsula, has been estimated to have a range of 900 kilometers, according to tests conducted by the Ukrainian military. Ukraine's Defense Ministry has published multiple images of downed Shahed-136 drones in recent weeks, and the Ukrainian National Guard on October 19 claimed to have shot down a Shahed-131. Ukraine has also claimed to have shot down a more advanced Iranian combat UAV, the Mojer-6 drone capable of carrying out both reconnaissance missions and aerial strikes within a range of 200 kilometers. There have also been reports of Russian interest in obtaining Irans Shahed-129 and Shahed-191 combat drones. "When launched from any territory that Russia controls or is allied with -- anywhere from the south, from the Donbas, from Belarus -- they're able to strike a lot of Ukrainian targets," Bendett said. In addition to the U.S. intelligence assessment that Russia will soon boost its arsenal with Iranian ballistic missiles, as first reported by The Washington Post on October 16, the White House on October 20 said that Iranians are now "directly engaged on the ground" in Moscows war against Ukraine after sending "a relatively small number" of personnel from the Islamic Revolutionary Guards Corps to assist Russian forces in using the Iranian drones. Iran has denied sending combat drones to Russia, and Moscow has rejected claims that it is using Iranian UAVs. Images of downed Iranian drones appear to show that they have been rebranded to look Russian-made, experts say, with the markings in Cyrillic naming them as the Geran-1 (the Shahed-131) and Geran-2 (the Shahed-136). Observers are widely skeptical of Russia's denials, noting that the drones are essentially identical right down to the font of the serial numbers. Even Russian Defense Ministry experts have unwittingly admitted that the suicide drones are Iranian. But the rebranding of the drones to make them appear to be Russian has opened the possibility that Moscow could, if it is not already doing so, seek to manufacture or assemble the Iranian drones on its own territory. Sustaining A Campaign The new aerial weaponry fits well with the Russian military's renewed focus on striking military and civilian targets far from the front lines in southern and eastern Ukraine. The air assault has ratcheted up following the October 8 appointment of Colonel General Sergei Surovikin, a former Aerospace Forces commander, to lead the Russian war effort. Just days after Surovikin's appointment, Russia launched the biggest air strikes since the beginning of its invasion of Ukraine in February. Moscow said the drone and missile strikes, which targeted civilian areas and infrastructure in cities throughout Ukraine, were in response to a bomb blast that damaged a key bridge linking Russia to the occupied Crimean Peninsula. While the Kremlin has accused Ukraine's intelligence services of carrying out the "terrorist" attack on the Crimea Bridge, Ukraine has denied responsibility. Since the initial air assault in response to the bridge blast, Russia has continued to pound Ukrainian infrastructure, often targeting power plants in what Ukrainian President Volodymyr Zelenskiy has said is a deliberate effort to wear down the Ukrainian people by denying them heat and electricity as winter approaches. "Civilian infrastructure is obviously the new layer in this war. The Ukrainian economy is now the target, the Ukrainian population is now the target," Bendett said. Hard To Stop The hypersonic speed and high trajectory of Iran's Fateh-110s and Zolfaghars, should they arrive, would be extremely difficult for Kyiv to counter without a network of high-tech and costly antimissile batteries it currently does not possess. Ukraine has repeatedly requested more advanced missile-defense systems from the West, and in the face of the threat of the delivery of Iranian ballistic missiles reportedly sent an official request to Israel this week for components of its "Iron Dome" system. While the United States has said that it is seeking to expedite the process of sending two U.S. air defense systems known as NASAMS, Washington has appeared reluctant to provide more advanced Patriot missile systems. Janes' defense expert Binnie is skeptical that the delivery of the Patriot system, which has proven to be successful in shooting down ballistic missiles, is realistic for Ukraine. "It's eye wateringly expensive and it's probably not really practical because each [missile] battery only covers one city," he said. "You would never get enough batteries to get the coverage you would want. You just wouldn't be able to find them, produce them, and train enough Ukrainians." U.S. Defense Secretary Ash Carter says the United States will send an additional 560 soldiers to northern Iraq to take part in the fight against so-called Islamic State (IS) militants. Speaking during a visit to Baghdad on July 11, Carter said the fresh forces would help to establish a combat staging hub for the long-awaited battle to recapture the northern city of Mosul from IS militants. He said the staging hub is being set up at the Qayara Air Base -- a military installation near Mosul that had been captured by IS militants in 2014 but was retaken on July 9 by Iraqi government forces. The additional U.S. troop deployment will raise the number of U.S. soldiers in Iraq to about 4,650. IS overran large areas of northern and western Iraq in 2014. Since then, Iraqi forces have regained significant swaths of territory with the backing of U.S.-led air strikes, training, and other assistance. Based on reporting by Reuters, AP, and AFP If there was any illusion that the fight against the extremist group Islamic State (IS) was nearing its final chapters, that naive notion should have been shattered in the last few weeks. In the past month alone, IS has suffered major defeats, won major victories, and conducted some of its most impactful and successful terrorist attacks yet, proving that the fight against this group is at least as complicated as the battle to subdue its predecessors in Iraq. When Al-Qaeda In Iraq (AQI) was formed following the U.S. invasion of Iraq in 2003, it had several advantages over the United States. For starters, the wars in Afghanistan and Iraq started as fairly traditional combat missions against fighting forces (the Taliban and the Iraqi Army, respectively) that were concerned with holding territory, and quickly scattered once this territory was captured by the overwhelming firepower of the U.S.-led coalition. Both wars soon devolved into asymmetrical counterinsurgencies which are much harder to fight. AQI, however, had another trick up its sleeve -- the blending of asymmetrical and more traditional warfare. Coalition military commanders quickly learned that it was not enough to capture territory, but that territory had to be held, preferably by local forces which had to be convinced of the worthiness of the cause. But whereas AQI was successful in briefly holding neighborhoods and even cities like Fallujah while conducting terrorist attacks in other regions of Iraq, IS has taken this blending of war plans to the next level, simultaneously occupying vast areas of land while conducting attacks across the entire Middle East and far beyond while inspiring attacks across the planet. Islamic State is at once a military, a terrorist group, a guerrilla warfare organization, and an idea, and all four of those aspects are proving difficult to fight. In recent months there has been a lot of speculation that IS the military organization would be the easiest part of the terrorist group to beat. To be sure, IS has lost a series of battles in both Iraq and Syria. According to a newly-published report by experts at IHS, a data analysis company, Islamic State lost 14 percent of its territory in 2015 and another 12 percent in the first six months of this year. As a result of those defeats, the extremist group is already changing its narrative by focusing more on conducting terrorist attacks abroad than in using propaganda to praise its more traditional military victories. This is undoubtedly a sign of weakness, but a wounded animal is clearly a very dangerous one. Furthermore, IS still has the advantage of being able to use the power it derives from holding territory to project terrorism and fear beyond its borders. As a consequence of that dynamic, the faster it is defeated militarily, the better. The recapturing of more than a quarter of IS's physical strongholds in 18 months is certainly an important first step in ultimately defeating the terrorist group. But does this mean that it will take six years to militarily defeat IS? Lagging Momentum There are also indications that the battle is not going as well as many expected. Fallujah has been recaptured from IS after many months of siege and more than a month of nearly-constant combat. But Fallujah was one of IS's most vulnerable positions, originally captured by the militants primarily for its symbolic power. The Atlantic Council's Faysal Itani told RFE/RL that the city likely fell so quickly because Islamic State is overstretched in the region. Battles for places like Mosul and, ultimately, Raqqa, IS's "capital" in Syria, could take much longer. Elsewhere, in Syria, there have been setbacks for the anti-IS coalition. IS forces launched attacks earlier in the week against the Syrian Defense Force (SDF), a primarily Kurdish group that is key to U.S. efforts to defeat the terrorists. Those efforts may have been reversed. An Operation Inherent Resolve spokesman, U.S. Army Colonel Christopher Garver, was optimistic in his assessment on July 6, in which he stated that the SDF was resisting IS in Manbij and that other vetted opposition forces were making gains further west, near the hotly contested "Mara Line." The SDF now claims that Islamic State is fleeing Manbij. Still, especially near Mara, Western-backed rebel forces are striking back against an IS offensive launched this spring rather than advancing deeper into the heart of IS's territory. Momentum is clearly lagging. Worse yet, another key part of the U.S. strategy to defeat IS in Syria has suffered a major setback. In the last week of June, we reported on Russian air strikes against the New Syrian Army (NSA), a group dedicated to fighting IS which is backed by the United States and Britain. Consisting largely of former Syrian special forces units that deserted the Assad regime, the NSA is important on several levels. First, the NSA is made up of predominantly Sunni fighters -- an important symbolic balance to predominantly Kurdish and Shi'ite forces backed by the United States elsewhere. Second, NSA's position south of IS's strongholds opens a new front against the terror group. Within a week of the Russian airstrikes, the NSA launched their own offensive against Islamic State in Al-Bukamal, the back door between IS's territory in Syria and Iraq. Despite initial success, the NSA was routed and retreated across 150 miles of open desert. Sectarian Dynamic It's unclear whether the Russian air strikes weakened the group enough to enable its defeat, but the United States also decided to reroute air support for the NSA to Iraq, to target IS in Fallujah. On July 7, Defense Secretary Ashton Carter said that the United States had "missed an opportunity" in not providing air support for the NSA offensive. Even in military victory there are setbacks, however. As the Associated Press points out, the mission to retake Fallujah was led by powerful Iraqi Shi'ite militias, and their victory has already taken on a troublesome sectarian dynamic. Unfortunately, this is exactly what experts predicted would happen. Islamic State will be defeated militarily. It has to be. The world does not have a choice. Even though progress is being made, the slow pace of victory and concerns about worsening regional sectarian tensions are indications that much work remains to be done. Kyrgyzstans Supreme Court began its review of the conviction of Azimjan Askarov, a prominent ethnic Uzbek activist serving a life sentence for stirring up ethnic hatred during deadly clashes six years ago, but quickly postponed the process for a day. The fresh hearing began on July 11 amid tight security in a courtroom packed with hundreds of journalists and representatives of local and international rights groups -- and reportedly the U.S. and German embassies in Bishkek -- before it was adjourned until early on July 12, according to attendees. Askarov, who has consistently said his prosecution is politically motivated, was not present in court. Amid international calls on Bishkek to release Askarov, the Supreme Court said last month that its December 2011 decision was being reconsidered because of "new circumstances that appeared in the case." A Kyrgyz national of Uzbek origin, Askarov was convicted of helping organize violence between ethnic Uzbeks and Kyrgyz in southern Kyrgyzstan in 2010, when more than 450 people were killed -- most of them ethnic Uzbeks -- and many thousands of people fled their homes. Askarov also was convicted of involvement in the killing of a police officer during the violence. His lawyer said the proceedings against his client were based on a confession obtained under duress and ethnic prejudice. Azimjan Askarov has repeatedly said that he was tortured to confess and that he was jailed in violation of all procedural norms and only because he is a right activist and an Uzbek, Askarovs lawyer, Nurbek Toktakunov, told the court on July 11. Anna Neistat, senior director for research at Amnesty International, wrote ahead of the hearing that the ruling will have a significant impact on the international reputation of a country that claims to be a bastion of democracy in Central Asia. Governments, diplomats, and Amnesty International supporters around the world will be watching, Neistat wrote on July 8. The United Nations has urged Kyrgyzstan to release Askarov, who says the case against him was politically motivated. Amnesty International considers him a prisoner of conscience. Kyrgyz authorities recently told RFE/RL's Kyrgyz Service that the government was preparing to confiscate Askarov's home, in the village of Bazar-Korgon, in accordance with his sentence. His wife, Khadicha Askarova, said around 20 officials turned up to evaluate the property on May 25, about a month after the Office of the UN High Commissioner for Human Rights (OHCHR) urged the Kyrgyz government to release Askarov. Local rights defenders say the home of a convicted individual may not be confiscated if it is occupied by relatives. Some of the rights groups who sent representatives to the July 11 hearing include the Dublin-based Frontline Defenders, Amnesty International, and the International Federation For Human Rights. With reporting by AP and RFE/RL BISHKEK -- The Kyrgyz government has suspended access to the website of Radio Free Europe/Radio Liberty's Kyrgyz Service, known locally as Radio Azattyk, after RFE/RL refused to take down a video of one of its news programs that reported on clashes at the border with Tajikistan. The Kyrgyz Ministry of Information said in a statement on October 26 that Radio Azattyk's website is being blocked for two months. "The measure was undertaken as a response to unreliable materials that appeared in the nation's information space which contradict the national interests of the Kyrgyz Republic (inaccurate information about the events of September 14-17, 2022)," the ministry said. The ministry earlier this week said in a letter to RFE/RL that government monitoring of articles by RFE/RL's Kyrgyz-language service "has revealed that it [the video] has been biased in its coverage of the events on the Kyrgyz-Tajik border, predominantly taking the position of the Tajik side." The video in question was produced by Current Time, a Russian-language network run by RFE/RL in cooperation with VOA. While Kyrgyzstan is known for having a vibrant and pluralistic media environment compared to its Central Asian neighbors, human rights groups have warned that the climate for free expression has deteriorated since President Sadyr Japarov first came to power in October 2020. In response, RFE/RL President Jamie Fly said that the broadcaster "takes our commitment to balanced reporting seriously" and that after a review of the content in question, "no violation of our standards" was found. "We will not succumb to pressure to remove balanced reporting from our sites, be it from the Kremlin or the Kyrgyz government, Fly said in a statement. Threatening journalists and trying to silence independent media are authoritarian tactics that only serve to undermine Kyrgyz democracy," he added. "We will be appealing this decision." A protest outside RFE/RLs Bishkek bureau earlier this month called on authorities to close the service and other independent local outlets. "These actions are the latest in a series emblematic of a deteriorating media climate in the country," the RFE/RL statement said. Dozens died on both sides in the latest clashes at a disputed segment of the Kyrgyz-Tajik border in mid-September. The two former Soviet republics have blamed each other for the escalation of tension that led to the loss of life. Border issues in Central Asia stem to a large extent from the Soviet era, when Moscow tried to divide the region between ethnic groups whose settlements were often located amid those of other ethnicities. For the last decade or more, violent outbursts between ethnic Kyrgyz and Tajik communities in the area close to Tajikistan's Vorukh exclave have become common, with interventions by gun-wielding border troops a notable trend in the last few years. Other areas of the border have also raised concerns, with a recent backlash erupting in the country over a demarcation deal with Uzbekistan. According to the deal, Kyrgyzstan will hand over the territory of the Kempir-Abad water reservoir, covering 4,485 hectares, to Uzbekistan in exchange for over 19,000 hectares elsewhere. Some 20 politicians and activists were detained on October 23 over their opposition to the draft agreement and subsequently sent by a court to pretrial detention for two months for allegedly planning riots over the deal. On October 24, hundreds rallied in Bishkek demanding the detained activists and politicians be released and urging the government to revise the border demarcation deal. Similar protests were held in the countrys second-largest city, Osh. Boeing CEO: If we can't sell to Iran, no US aircraft maker should either Boeing (NYSE: BA) CEO Dennis Muilenburg told CNBC Monday he sees "significant opportunity" in selling aircraft to Iran, but noted that the company must follow the U.S. government's lead. Speaking at the Farnborough Air Show in London, Muilenburg said Boeing is simply asking for "equal treatment" if Boeing is denied a license to do business with Iran, no U.S. company should be allowed to sell planes to the country, he said. Ahead of this Thursday's one-year anniversary of the Iran nuclear deal, which ended international sanctions, the Republican-led House last week approved measures aimed at blocking U.S. companies from selling commercial passenger aircraft to Tehran. Last month, Boeing announced a deal to sell about 80 planes to Iran Air at list prices of $17.6 billion. In January, Iran Air agreed in to buy 118 jets worth $27 billion at list prices from the European consortium Airbus. The Airbus deal was also conditional on American export licenses because of the quantity of U.S.-built parts. Associated Press and Reuters contributed to this report. More From CNBC The legislation, signed into law earlier this month by Russian President Vladimir Putin, had already drawn scorn from critics in and outside of Russia. Known as the "Yarovaya Law," the measure includes new police and counterterrorism measures that directly echo the sweeping powers wielded by the KGB to stifle dissent and repress opposition activists throughout the Soviet era. But one largely overlooked aspect of the law is garnering new scrutiny and worry: tight restrictions on the activities of religious groups, particularly smaller denominations. The new restrictions "will make it easier for Russian authorities to repress religious communities, stifle peaceful dissent, and detain and imprison people," said Thomas J. Reese, who heads the U.S. Commission on International Religious Freedom, a federal government agency that monitors religious expression around the world. "Neither these measures nor the currently existing antiextremism law meet international human rights and religious freedom standards," he said in statement released last week. Since the breakup of the communist Soviet Union 25 years ago, Russia's main religious faiths have flourished, with the largest denomination, the Russian Orthodox Church, now awash in money and believers. A law passed in 1997 officially named Orthodox Christianity, along with Islam, Judaism, and Buddhism, as the country's four "traditional" faiths. After Orthodoxy, Muslims make up the second-largest religious group in Russia, and state funds have been used to help build mosques from Chechnya to Tatarstan. Other major Christian denominations like the Roman Catholic Church have also been allowed to operate openly and largely without restrictions, though the Vatican and Russian Orthodox leaders have clashed in the past over ownership of church property dating back to the Bolshevik Revolution. But denominations with a smaller presence in Russia -- Protestants or Jehovah's Witnesses, for example -- have long been viewed with hostility from state officials and religious authorities, and many have long complained the 1997 law set up registration and administrative procedures that were onerous and expensive to comply with. The law signed by Putin, which takes effect on July 20, is ostensibly aimed at tightening measures in the fight against terrorism. Among its most controversial provisions, the law increases security agencies' access to private communications, requiring telecom companies to store all telephone conversations, text messages, videos, and picture messages for six months and make this data available to authorities. But the law also puts more restrictions on religious groups' activities in the name of fighting "extremism," a term that rights activists have long complained is so broad and ill-defined that any manner of dissent or unsanctioned protest could be criminalized. For religious groups, the new law requires people to get official permits through a registered religious group and bars things like prayer meetings from taking place anywhere except for officially recognized religious buildings. That would potentially forbid house churches. Members of a religious group would also potentially be barred from e-mailing invitations to people interested in services, according to Christianity Today, a web-based news service focused on religious issues. Violators could be fined, or potentially expelled from Russia. The Church of Jesus Christ of Latter-Day Saints, the Utah-headquartered denomination known widely as the Mormons, issued a statement on July 8 suggesting concern with the law, saying it "will have an impact on missionary work." Mission work, which involves members, typically young people, spreading information about the church, is a central precept for the denomination. "The church will honor, sustain, and obey the law," said the organization, which has around 23,000 members in Russia. "The church will further study and analyze the law and its impact as it goes into effect." Sergei Ryakhovsky, a Pentecostal church leader and co-head of an organization of Protestant churches in Russia, said in an open letter co-signed by him that the law contradicted the Russian Constitution. "The obligation on every believer to have a special permit to spread his or her beliefs, as well as hand out religious literature and material outside of places of worship and used structures, is not only absurd and offensive, but also creates the basis for mass persecution of believers for violating these provisions," said the letter, which was posted on the Russian-language religious website Portal-Credo. "This law brings us back to a shameful past," it said. ZURICH, SWITZERLAND / ACCESSWIRE / July 11, 2016 / Today, Rockstone Research published an update on Cardiff Energy Corp. (CRS.V) as the company today announced the acquisition of more lithium prospective properties around the James Bay Deposit from Galaxy Resources Ltd. in the Eastmain River area of Quebec. This is a world-class lithium district, where the "Lithium Triad" (Galaxy, Nemaska and Critical Elements) control some of the world's most valuable real estate. Most recently, the past producing Quebec Lithium Mine, which contained a resource of 33 million t @ 1.18% Li2O, sold to Jilin Jien Nickel for an unreported amount (estimated at $350 million). In contrast to lithium brine deposits, hard-rock deposits offer certainty of simplicity and size. On top of that, pegmatites can be moved from an exploration to development project extremely quickly, given the simplicity of a spodumene pegmatite and the volumes of historic information on the methods to process these ore bodies. Cardiff managed to acquire prospective ground in one of the world's best (undeveloped) lithium districts, including outcropping pegmatites never sampled or drilled before. The upcoming exploration program may verify these outcrops as lithium-bearing spodumene-rich pegmatite dikes. The full report can be accessed with the following links: English (PDF): http://rockstone-research.com/images/PDF/Cardiff10en.pdf English (web version): http://rockstone-research.com/index.php/en/research-reports/1232-Cardiff-Energy-acquires-more-ground-with-unsampled-pegmatite-outcrops-in-the-James-Bay-Lithium-District German (PDF): http://rockstone-research.com/images/PDF/Cardiff10de.pdf Disclaimer: Please read the full disclaimer within the full PDF report as fundamental risks and conflicts of interest exist. SOURCE: Rockstone Research A person suffering from gunshot wounds was discovered after Richmond Police issued an alert for a vehicle involved in a shooting near Maury Street and Interstate 95, Virginia State Police said late Sunday. State police located the vehicle after receiving Richmonds alert at 8:16 p.m., state police said in a news release. FREDERICKSBURG A former University of Mary Washington police officer already ruined his career and jeopardized his marriage over a stupid decision, but he wont be going to jail for a sexual encounter while on duty. The case was challenging, attorneys said during the two-day trial involving a 36-year-old veteran police officer and a local transgender woman. One hurdle for both sides was the defendant and the accuser either lied repeatedly about what happened or made unclear statements. In the end, the jury of seven women and five men found William Robert Somers, 36, not guilty of all charges: sexual battery, two counts of forcible sodomy, and wounding in the commission of a felony. On Dec. 12, Somers, a Mary Washington sergeant on duty at the time, had sex with a woman in a presidential suite at the universitys Anderson Center. The Bowling Green man later resigned. Not long after, the 24-year-old woman told friends and family about the encounter and then went to police to say she was sexually assaulted. According to testimony, the two knew each other casually through their jobs. The accuser works at a convenience store near the university, a place officers frequent. On the morning of Dec. 12, the accuser texted images to Somers of her buttocks, one with jeans on and another while wearing only underwear. She told police he asked for the images, but Somers said she sent them to him unsolicited. The two met that afternoon at the universitys power plant to talk and went to the Anderson Center, where they engaged in sexual activity. Somers said the two went to the Anderson Center so he could check on open doors, something contradicted by evidence. During an interview the following morning with Virginia State Police investigators, he denied that the two had sex. In a second interview a day later, he admitted the two had sex and said he lied because he was worried about his career and marriage. In both interviews, he denied the sex assault allegations. Prosecutor Kevin Gross told the jury that the woman was intimidated by Somers, who is bigger than her and was in uniform and armed with his service weapon. He added they also were isolated, with the door closed to the suite and the building seemingly vacant. It looks like nothing was found at this location. Maybe try a search? Search for: Search A Place for All Conservatives to Speak Their Mind. A federal judge struck down an obscure element of Virginia's presidential primary laws Monday, handing a symbolic victory to Republican National Convention delegate who has refused to support Donald Trump. U.S. District Judge Robert E. Payne permanently barred Virginia from enforcing a law that requires a winner-take-all system for Virginia's delegate vote, a statute that conflicts with the Republican Party's primary rules. As in previous years, Virginia's 49 GOP delegates are allocated proportionally to the primary results. Carroll "Beau" Correll, a Winchester attorney who supported Sen. Ted Cruz, R-Texas, argued that, as written, the state law violates his constitutional rights by requiring him and all other delegates to vote for Trump on the convention's first ballot. Before the ruling, 17 Virginia delegates were bound to Trump on the first ballot in Cleveland. That is still the case. Though the state has never prosecuted anyone under the law and said it did not intend to start with Correll, Payne found the possibility of prosecution credible enough to grant Correll's request for declaratory injunctive relief. The practical impact of the ruling is unclear. Though some Trump opponents have argued that delegates are not bound to vote based on primary results, Payne concluded that delegates are indeed bound under existing Republican National Committee rules. After oral arguments last week, Correll said he hoped a favorable ruling would inspire other rogue delegates to vote their conscience without fear of prosecution in the 20 states with similar laws. ALROSA President took part in press conference highlighting the results of the company's SPO 11 july 2016 News Andrey Zharkov, President of ALROSA, PJSC, the worlds largest diamond miner by volume, took part in a press conference on the results of floating 10.9% of the state-owned stake in ALROSA, which was held at the Moscow Exchange. This is said in a press release received by Rough&Polished on Monday. The press conference was also attended by Igor Shuvalov, First Deputy Chairman of the Russian Federation Government; Dmitry Pristanskov, Head of the Federal Property Management Agency; Sergey Shvetsov, First Deputy Chairman of the Central Bank of the Russian Federation; Alexander Afanasiev, Chairman of the Moscow Exchange; and representatives of Sberbank CIB and VTB Capital. The conference participants noted that the current placement was fast and very successful, demonstrating that a high-quality Russian company, in spite of market turbulence, can successfully be placed at any time. According to the speakers, the first transaction of the so-called large-scale privatization has shown that Russian companies assets are in high demand not only from Russian stakeholders, but from foreign stakeholders as well. "The company follows the best international practices of transparency and investor relations. I can assure you that we will continue to follow this practice further on," Andrey Zharkov, President of ALROSA said. The offering price for ALROSA shares has been set at the level of 65 rubles per share. The deal involving the SPO of ALROSA was carried out with a minimum discount to the market. As a result, ALROSAs free float will reach 34%. It is expected that settlements with investors regarding the companys shares under its SPO will take place on July 14, 2016. Based on the Russian Governments decree 1479-p dated 11 July 2016, it was decided to alienate 802,781,254 federally owned shares in ALROSA constituting approximately 10.9% of the companys share capital, having determined the procedure and conditions of such alienation. The process of accelerated book-building for participation in the Offer was successfully completed on July 8, 2016. Sberbank CIB is the agent of the Russian Federation in connection with the Offer. VTB Capital and Sberbank CIB are joint global coordinators and joint bookrunners to the Offer. RIO DE JANEIRO, July 11 (Reuters) - Brazil's Embraer SA said on Monday it has signed an agreement with Boeing to jointly market and support its military cargo KC-390 aircraft. Under the agreement, Embraer said in a statement that it will provide the aircraft, and Boeing will be responsible for in-service support. The deal expands a 2012 joint marketing agreement for the aircraft. "The expansion of our relationship makes the best medium-sized airlift product available to customers, bolstered by the best support available," said Jackson Schneider, Embraer president for defense and security. The KC-390 is a project of the Brazilian Air Force, which contracted Embraer to develop the aircraft. The first prototype completed its first flight in February 2015. Embraer expects deliveries to begin during the first half of 2018. (Reporting by Stephen Eisenhammer Editing by W Simon) Sound Transit has released an updated and more precise calculation of the taxes residents would pay if the Sound Transit 3 ballot measure is approved in November. In its June 20, 2016 letter, the ST3 Expert Review Panel (ERP) appointed by the state of Washington suggested that the typical cost per household be updated to reflect the most current data. The more accurate new calculation indicates ST3 would cost the typical adult in the Sound Transit District an additional $169 annually, $34 or 17 percent less than the $203 previously estimated. Under the updated and more precise methodology, the calculations for all three of the new taxes that regional voters will consider now use median values, or the amounts at which 50 percent of people would pay more and 50 percent would pay less. Previously, the calculation for the motor vehicle excise tax (MVET) used average vehicle values based on the information that was readily available in early 2015. Using a median value cancels the disproportionate impact of the relatively small number of people that own very expensive vehicles. For example, the one percent of vehicles in the district with values more than $52,000 and 10 percent with values over $27,000 are dramatically higher than the median value of $5,333. For both the MVET and property taxes, the new calculation is now a reflection of the typical adult who lives within the precise boundaries of the Sound Transit District as opposed to the three-county area. The ST3 Plan would build 62 additional miles of light rail and 37 new stations to form a 116-mile regional system, as well as bus rapid transit, commuter rail and other regional transit investments to get people out of traffic as the regions population keeps growing. The plans $53.8-billion in investments would be enabled by new taxes including: (1) a sales tax of 0.5 percent ($.50 on a $100 purchase); (2) a MVET of 0.8 percent ($80 annually per $10,000 of vehicle value) and (3) a property tax of 25 cents for each $1,000 of assessed valuation ($100 annually for a $400,000 home). Sound Transit will develop an online calculator through which residents can input information to calculate individualized annual cost estimates. For an example of how much a typical adult would pay if ST3 is approved, please click here. The ST3 Plan would construct light rail further north to Everett, further south to Federal Way and Tacoma and further east to downtown Redmond. Extensions would connect West Seattle and Ballard to the system, in addition to a new line in East King County linking South Kirkland, Bellevue and Issaquah. The plan would expand the capacity of fast-growing Sounder south commuter rail service linking Seattle, Tukwila, Kent, Auburn, Sumner, Puyallup, Tacoma and Lakewood, with extension of the line to reach Joint Base Lewis-McChord and DuPont. The Tacoma Link light-rail line would extend from Hilltop to Tacoma Community College. Japan will on Tuesday release June figures for producer prices, highlighting a modest day for Asia-Pacific economic activity. In May, producer prices were up 0.2 percent on month and down 4.2 percent on year. Japan also will see May numbers for its tertiary industry index, with forecasts suggesting a fall of 0.7 percent on month following the 1.4 percent gain in April. Australia will provide May figures for credit card purchases and balances, and also see June results for the confidence and conditions indexes from NAB. In April, card purchases were worth A$23.5 billion and balances were at A$51.8 billion. The conditions index had a score of +10 in May, while confidence was at +3. Malaysia will see May numbers for industrial and manufacturing production. In April, industrial output fell 5.0 percent on month and gained 3.0 percent on year, while manufacturing output gained 3.3 percent on year. For comments and feedback contact: editorial@rttnews.com Economic News What parts of the world are seeing the best (and worst) economic performances lately? Click here to check out our Econ Scorecard and find out! See up-to-the-moment rankings for the best and worst performers in GDP, unemployment rate, inflation and much more. Kroger Co. (KR) has recalled Deluxe S'mores Ice Cream, sized 48 oz, citing that the product may contain peanuts residue, a known allergen, which is not listed on the label. The recall was initiated after a Kroger supplier, Grain Craft, indicated a raw ingredient may have become contaminated with low levels of peanut residue. A variety of foods made with Grain Craft flour are under recall for containing peanut residue. Grain Craft, which sells only to other companies and not directly to consumers, first notified FDA on April 26 that the source of the peanut residue to be its soft red winter wheat flour. Grain Craft has recalled its affected flour. Kroger's recalled ice cream has the UPC number 0001111001399 and "Sell By May 20, 2017," or "Sell By May 23, 2017," date codes. In a statement, the company warned that people allergic to peanuts could have a severe reaction if they consume this product. While, there is no safety issue for non-allergic consumers with the product. The company said no customer illnesses have been reported at this time. Kroger has removed this item from store shelves, and urged the allergic customers to return the products to a store for a full refund or replacement. According to the recall notice on the Food and Drug Administration's website, consumers with severe peanut allergies are asked to avoid the products being recalled. The ingestion of a food allergen by an allergic person can experience a severe, life-threatening allergic reaction called anaphylaxis. FDA said it is working with other companies that received the affected flour product from Grain Craft to determine if further recalls are needed. On June 3, Hostess recalled products with Grain Craft flour, after reporting two adverse events involving children with peanut allergies. Grain Craft flour also resulted in many other recalls, including the products by Frito-Lay, Kellogg and Mars Chocolate North America, among others. For comments and feedback contact: editorial@rttnews.com Health News Latvia's foreign trade deficit decreased in May from a year ago, as exports grew faster than imports, figures from the Central Statistical Bureau showed Monday. The trade deficit narrowed To EUR 160.5 million in May from EUR 167.9 million in the corresponding month last year. In April, the shortfall was EUR 164.0 million. Exports grew 4.4 percent year-over-year in May and imports climbed by 2.9 percent. On a monthly basis, both exports and imports rose by 0.9 percent and 0.4 percent, respectively in May. The country's main export partners during May were Lithuania, Estonia, Germany and the United Kingdom. Imports also largely came from Lithuania, Germany, Poland and Estonia. For comments and feedback contact: editorial@rttnews.com Economic News What parts of the world are seeing the best (and worst) economic performances lately? Click here to check out our Econ Scorecard and find out! See up-to-the-moment rankings for the best and worst performers in GDP, unemployment rate, inflation and much more. Avnet, Inc. (AVT) Monday announced the appointment of William Amelio as interim chief executive officer, replacing Rick Hamada, effective immediately. Amelio has been working as a director of Avnet and brings more than 35 years of management and industry experience. Amelio has served as the president, chief executive officer and a director of CHC Group Ltd. and regional senior vice president and president of Asia-Pacific and Japan for Dell Inc. Further, the company updated its outlook for the fourth fiscal quarter ended July 2, 2016. Adjusted earnings per share is reduced to the range of $0.80 to $0.86, while the previous guidance was $0.95 to $1.05. On average, 10 analysts polled by Thomson Reuters expected the company to earn $1 per share. Analysts estimates usually exclude special items. Sales are currently expected to be in the range of $6.15 billion to $6.25 billion, which is near the midpoint of the company's previous sales guidance of $6.25 billion. Wall Street expects sales of $6.27 billion for the quarter. The company noted that the shortfall was impacted primarily by an ERP implementation in the Americas region of the Electronics Marketing operating group. Sales for the region are expected to be approximately $100 million less than the prior outlook and the region also incurred higher costs in order to maintain customer deliveries. Avnet said the impact to sales was minimal by the end of the June quarter, some of the higher costs incurred are expected to continue and will likely impact the first fiscal quarter ended October 1, 2016. For comments and feedback contact: editorial@rttnews.com Business News Britain is set to have a new female Prime Minister as Home Secretary Theresa May is the only contender left in the Tory Leadership race, after Energy Minister Andrea Leadsom withdrew on Monday. Graham Brady, the chair of the 1922 Committee that oversees the election, said May was the only remaining candidate to become Tory leader. She will be confirmed as the new Conservative Party leader after consulting the board, Brady said. The contest will not re-open, he added. In a speech earlier on Monday, May, 59, a remain-campaigner, reiterated that there will not be a second referendum on EU membership. "Brexit means Brexit and we are going to make a success of it", she said. In the June 23 referendum, 52 percent Britons voted to leave the European Union in a surprise and historic move. It remains unclear whether the confirmation will come by the end of Monday or this week. After party confirmation, May must meet the Queen, who will return to London only tomorrow or Wednesday. May will likely meet the Queen during teatime. The final result of the Tory leadership election was set to be announced on September 9. Brady suggested that now it will not take that long for the announcement. While announcing his resignation in the aftermath of the "Brexit" vote, Prime Minister David Cameron had said he will continue until October and that the exit talks with the EU should continue under the new PM. Reports suggest that Cameron will take his last Prime Minister's Questions on Wednesday, and hand over the role to May afterwards. May is a known face for the European Union. In her campaign manifesto, she said the Article 50, which governs the EU exit process, will not be triggered this year. She has also ruled out a general election before 2020 and abandoned the target of achieving a budget surplus by that year. Her stance on the status of EU nationals living in the U.K. has attracted criticism. She has only said that they will be part of the "Brexit" negotiations, refusing to guarantee that they can remain in the country. Earlier on Monday, Leadsom bowed out from the race, saying she lacked "sufficient support to lead a strong and stable government". She had less than 25 percent backing of MPs. She also said that it was in the interests of the country that a new strong PM is appointed immediately. "A nine-week leadership campaign at such a critical moment for our country is highly undesirable," she said. With over 60 percent of Tory MPs' backing, May is "ideally placed to implement "Brexit" on the best possible terms for the British people and she has promised that she will do so", the energy minister said. Leadsom's withdrawal came after she faced severe criticism for her comments in an interview in the Times last week, which implied that being a mother gave her a "real stake" in Britain's future. May is childless and Leadsom texted an apology to her on Monday, reports said. Responding to Leadsom's withdrawal, May's campaign manager Chris Gayling said her statement showed she is a "true public servant" and a decent person. May is set to make a statement later on Monday. Prominent "Leave" campaigner Boris Johnson called for quick transition of the PM role to May. The former London mayor had quit the Tory leadership bid after his close ally Michael Gove launched a surprise bid. Gove, who was eliminated from the race last week, also sought a quick handover of the leadership to May. Following the Tory news, Labour called for a general election. "It is crucial, given the instability caused by the Brexit vote, that the country has a democratically elected prime minister," Labour's Election Coordinator Jon Trickett said. "It is time for the Labour party to unite and ensure the millions of people in the country left behind by the Tories' failed economic policies, have the opportunity to elect a Labour government." However, unity in the Labour party seems a distant prospect for now. Leadsom's announcement coincided with Labour MP Angela Eagle's launch of her bid for the Labour Party leadership. "These are dark times for Labour," Eagle said in a statement announcing her formal challenge to Jeremy Corbyn leadership. "And they are dangerous times for our country." Corbyn had publicly declared that he will remain as the Labour Party leader "come what may", allowing crucial talks with unions to find a solution to the crisis fall apart. "Jeremy Corbyn is unable to provide the leadership this huge task needs," Eagle said. "I believe I can." Labour Party General Secretary Iain McNicol confirmed that there will be a contest for the leadership position. Like the Conservatives, the main U.K. opposition party has also witnessed chaos after the surprise "Brexit" vote. Several members of the shadow cabinet, including Eagle, quit in a revolt against Corbyn leadership. The crisis also led to a no-confidence move against Corbyn that he lost. Eagle, 55, has been the MP for Wallasey. She is openly gay and has championed social causes such as smoking bans, hunting ban and same sex marriages. She had campaigned for the U.K. to remain in the EU. For comments and feedback contact: editorial@rttnews.com Business News While Tata Tiago ranked highest in compact segment, it was the Hyundai Elite i20 that scored highest points in the premium compact segment. In-Car technology has been improving by leaps and bounds but the demands from car buyers should be growing at an even faster pace. However, a recent study conducted by JD Power shows that new car buyers are on the lookout for easier to use simplified in-car technologies. 2019 India Automotive Performance, Execution and Layout (APEAL) Study was based on responses from 6,051 new vehicle owners having made their purchases during the period October 2018 to October 2019. It included a total of 66 car models from 13 automakers and assessed the usefulness of in-car applications. It was noted that customers rated their vehicles in terms of in-car applications lower during this period and especially the navigation system. It was also seen that in terms of audio system, satisfaction was on the ebb. Katsav Roy, Director and Country Head, India at JD Power stated that car buyers prefer easy to use car infotainment system much like their smartphones. The study assessed owners satisfaction in the first 6 months of ownership over 79 attributes of 10 vehicle categories of exterior; interior; storage and space; audio/ communication/ entertainment/ navigation; seats; heating, ventilation and air conditioning; driving dynamics; engine/ transmission; visibility and driving safety; and fuel economy. The most declines were seen in key areas of audio, communication, entertainment and navigations / fuel efficiency and space and storage wherein it was seen that consumer satisfaction index dipped from 850 in 2018 to 841 in 2019. Customer satisfaction was lower in terms of audio systems. It was noted that branded audio systems scored higher where customer satisfaction was concerned with a total of 69 percent of car buyers recalling their audio system to be branded. When taking into account automakers, it was seen that Hyundai and Mahindra received two segment level awards while Tata, Toyota and Honda received one each. In the mid size segment satisfaction index dipped 33 points year on year from 863 in 2018 to 830 in 2019 while branded audio systems scored higher with a total of 69 percent car buyers recalling their audio system to be branded. In 2019 it was also found that 49 percent of new buyers upgraded their vehicles in terms of size and segment as against 45 percent in 2018. The study revealed that Tata Tiago ranked highest in the compact segment at 849 while the Hyundai Elite i20/Active ranked highest in the premium compact segment with a score of 857. The Honda Amaze also ranked highest in the entry mid size segment with score of 847 while the Hyundai Verna was highest in the mid size sedan segment at a score of 848. Among the SUVs, it was the Toyota Innova Crysta that ranked highest in the MPV segment with scores of 867 and with a score of 886, Mahindra XUV300 scored highest in the compact SUV segment along with the top ranking Mahindra Scorpio in the SUV segment with a score of 890. Surprisingly there was no model from Maruti Suzuki on this list. The Bajaj V is an executive commuter series with a retro classic design appeal. The Bajaj V range commands a premium in India for its patriotic element the inclusion of metal salvaged from INS Vikrant naval aircraft carrier. The battleship played a crucial role in the Indo-Pak war of 1971. With Bajaj V12 and V15 already launched in India, unconfirmed reports claim that Bajaj is now workingon launching more powerful motorcycles in the V series. These would probably include one in the 200 cc segment and one in the 400 cc segment. Based on this, a rendering artist Oberdan Bezzi has created three exciting new renderings of what could be termed as the Bajaj V22. The renderings reveal that it could be a modern day cafe racer while other drawings showcase it with scrambler looks. It is seen with upside down front forks though the production model could receive telescopic forks in front and monoshock at the rear. Brembo brakes, off-road tyres and ABS could also be a part of the Bajaj V22. However, these features are just renderings of the artist and could or could not make their way into the final model. Bajaj V22 will be powered by a 220cc oil cooled engine offering 21.05 PS power at 8,500 rpm and 19.12 Nm torque at 7,000 rpm mated to a 5 speed gearbox sending power to rear wheels. This engine could deliver mileage to the extent of around 30-40 kmpl. At the time of the launch of V Series, Bajaj had stated that this a limited edition motorcycle, whose production is expected to last for about 2 years. The reason, the V series comes with a insignia which is made from the scrap metal of INS Vikrant. Post that, the V bikes will not get any metal insignia from INS Vikrant. Photos Harley-Davidson India is not the only premium motorcycle brand that is offering compelling discounts for BS4 models As the BS6 deadline draws closer, Harley-Davidson India is offering hefty discounts on its leftover BS4 models. The discounts range up to Rs 2 lakh on models such as the Harley-Davidson Fat Boy, Fat Bob, Sportster and Street. However, individual dealers are offering even more lucrative discounts on a case-to-case basis. Depending on the dealership and product availability, the figures can extend to up to Rs 4 lakh. The much-desired Harley-Davidson Fat Bobs BS4 model is offered at a discount of Rs 1.5 lakh. In the Harley-Davidson Sportster range, models such as the Iron 883, 1200 Custom, Roadster and Forty-Eight, gets discounts up to Rs 75,000 while the figures lie between Rs 25,000-35,000 on the Harley-Davidson Street 750 and Street Rod. Harley-Davidson dealerships are not the only ones who are offering compelling discounts for their remaining BS4 stock. Recently, a Mumbai-based Ducati dealership announced BS4 discounts. It is a known fact that no BS4 models will be allowed for sale or registration after 31 March 2020. Dealerships of various makes and vehicle categories, if left with BS4 products post the deadline, have no other choice than to scrap them, sell to the manufacturer or use internally. Now, dealers are facing a new problem in the form of the ongoing coronavirus pandemic know more details. In related news, the Harley-Davidson Street 750 BS6 and Street Rod BS6 can be bought via Canteen Stores Departments (CSD) at special pricing for the Indian Armed Forces personnel and ex-servicemen. Compared to the standard retail pricing, the CSD prices will be much lower at Rs 4.60 lakh for the Harley-Davidson Street 750 and Rs 5.65 lakh for the Street Rod. On the other hand, the regular ex-showroom prices for the Harley Davidson Street 750 BS6 and Street Rod BS6 for civilians, stand at Rs 5.34 lakh and Rs 6.55 lakh, respectively. The Harley-Davidson Street 750 is one of the most popular motorcycles from the American company in India. The Street 750 and the higher Street Rod are powered by the same Revolution X 749cc V-Twin FI engine that offers roughly 53bhp and 60Nm of torque. The power plant comes mated to a 6-speed transmission. The Street 750 gets telescopic forks at the front and twin shocks at the rear. Braking duties are done via disc brakes at both ends with dual-channel ABS as standard. The Harley-Davidson Street Rod receives USD forks at the front and a gas-charged suspension unit at the rear. Maruti Suzuki has been granted permission to open its Manesar manufacturing facility to work on single shifts Maruti Suzuki India Limited (MSIL), Indias largest car manufacturer, will resume operations at its production facility in Manesar (Haryana) amidst COVID-19. The district administration has granted permission to the automaker to work in single shifts. Proper health, safety and social distancing guidelines will be undertaken by the company once the plant is opened. Reports state that the facility can operate with 4,696 people and 50 vehicles. Indias automotive industry has been badly hit by the spread of COVID-19 and many brands have shut down their plants or are working with extremely limited resources. Several dealerships are also on the verge of going out of business completely if the lockdown is extended any further. On the other hand, R.C. Bhargava, Chairman of MSIL believes that car sales will be boosted after the lockdowns withdrawal read more details. Maruti Suzuki India Limited has another manufacturing facility in Gurugram which is yet to receive approval for commencing operations. However, the company is hopeful that it would be opened in about two weeks time. The development comes as a result of a new set of guidelines issued by the Ministry of Home Affairs. Under this, private industries and other establishments operating in rural areas (or far outside the limits of municipal corporations) can restart their facilities from April 20. The State Government of Haryana has also released a Standard Operating Procedure (SOP) for reopening industrial facilities amidst the ongoing lockdown protocol. As per VS Kundu, CEO of Gurugram Metropolitan Development Authority, Maruti Suzuki will be able to continue its Manesar operations from this week itself. As mentioned before, certain automotive brands are already working in controlled environments. Besides announcing various support initiatives for the fight against COVID-19, several automotive companies have come forward to lend a helping hand to its struggling dealerships. COVID-19 outbreak occurred in India at the same time when automotive dealers were trying to finish off their leftover BS4 stock before the then deadline of 1 April 2020. This date was recently extended by the Supreme Court of India but on a conditional basis. With the help of online platforms such as company website and social media, automakers have also created a hassle-free buying experience during the lockdown. Vehicles booked online will be delivered only after the lockdown taking into consideration all the necessary health guidelines. To get more potential buyers online, certain brands are also offering introductory discounts and related benefits. Source Have you seen the chariot Bhallaladeva (Rana Daggubatis character), the evil brother of Bahubali senior, and uncle of Bahubali Junior? That ferocious chariot has been designed all in front of it. In the movie, thanks to digital rendering, it is shown to be powered by animals. But in reality, it is powered by a Royal Enfield 350 cc engine. Yes, the same engine which powers Bullet 350, is powering this huge chariot. This piece of information was revealed by none other than Sabu Cyril, the production designer of the movie. Watch the chariot powered by a Royal Enfield in action in the video below. This is not the first time a Royal Enfield engine has been used to power vehicles other than two wheels. Back in 2012, we had reported the story about how a farmer in Gujarat had converted his old Royal Enfield into a tractor because he did not have money to buy a real tractor to plough his land. The same innovation is now winning hearts in Africa. A revolutionary piece of farming equipment built by Amreli-based farmer Mansukh Jagani has been in active use for farming operations in India since 1994. This make-shift Royal Enfield tractor modification became very famous in India in 2002 when it won the prestigious award from National Innovation Foundation. This spread the news about this innovation not only across India but around the globe. Bullet Saanti or Suja as it is better known today, caught the attention of many NGOs and Universities from around the world. One such university was Nairobi-based Jomo Kenyatta University of Agriculture and Technology (JKAUT). They invited Mr Jagani to Kenya, to explore the possibility of launching such a motorcycle in Kenya. After four years, that dream is going to come alive as Suja will be launched in Kenya later this month. Suja is built using a chassis of an Royal Enfield Bullet motorcycle in the front and a retrofitted tractor like attachment at the rear. It rides on three wheels, one in the front and two at the rear while it gets a tool bar for carrying farming equipment. Designed to replace animal driven farming equipment, the Suja also reduces cost of agricultural operations and improves productivity. It is an ideal piece of equipment for those farmers who currently rely on bullock driven ploughs and cannot afford costly tractors and power tilling implements. PM Modis recent visit to Africa draws special attention to the Suja as he and Mansukh Jagani share the same home state. This piece of farming equipment has come to the aid of many poor farmers in Kenya as it is not only cost effective (priced at INR 40,000 in India) but also comes with four varying types of farming equipment for Shallow ploughing, harrowing, sowing and inter culture operations. In Kenya, it has already received over 75 bookings, and over 2,000 farmers are in queue. Suja will next be launched in many more countries across the world. HRW calls for probe into Saudi-led airstrikes on Yemen NEW YORK, July 11 (Saba) The Human Rights Watch (HRW) called for an independent probe into air raids carried out by Saudi-led coalition on Yemen, especially on economic establishments. "The raids of Saudi Arabia and its allies on Yemen violate the international humanitarian law and are considered war crimes," the organization said in a report released on Monday. It called for suspending the Saudi regime membership in the United Nations Council for Human Rights until it stops raids or agrees on conducting investigations that meet international standards and opening an independent international probe in this regard. In its report, HRW pointed to the absence of credible and impartial investigations in Yemen. "It seems that all these attacks violated the international humanitarian law or the laws of war and some of them may amount to war crimes." The report pointed out "that the attacks on factories and other civil economic facilities raises serious concerns that the Saudi regime is deliberately inflicting great damage to the productive capabilities of Yemen." The HRWs report counted 17 air raids carried out by the Saudi-led coalition war jets on 13 civil economic locations, including factories, trade warehouses, a farm and two power plants, and resulted in the killing of 130 Yemenis and injuring 171 others. The UN High Commissioner for Human Rights at the United Nations Zeid bin Ra'ad Al-Hussein has already said that the Saudi regime and its allies are responsible for most civilian victims in Yemen. BA Saba Facebook Facebook Twitter Twitter Whatsapp Whatsapp Telegram Telegram Email Email Print Print [12/July/2016] Millennial Moms Review: 2022 Acura MDX is pretty close to the perfect family car I dont know if perfect is attainable, especially considering weve got the world of options when it comes to modern vehicles. Were spoiled and, as such, we have very specific needs and wants. Driving-wise, the 2022 Acura MDX is one of my favourite ... ExxonMobil Corporation XOM and Qatar Petroleum have joined forces to search for energy assets in Mozambique, per sources familiar with the matter. Mozambique houses some of the biggest natural-gas discoveries in a generation. The area includes Area 1 discovery made by Anadarko Petroleum Corporation APC and Eni SpAs E discovery in Area 4, both in the Rovuma Basin. Both these companies intend to export the gas as LNG but have not reached the final investment decision. Per the sources, both ExxonMobil and Qatar Petroleum are contemplating farming in to gas fields owned by Anadarko and Eni. However, no final decision has been taken yet. The discoveries made offshore the northern coast of Rovuma Basin have drawn interest from oil companies in Europe, the U.S. and China. This is mainly because the Mozambique is planning one of the worlds largest liquefied natural gas projects. STATOIL ASA-ADR Price STATOIL ASA-ADR Price | STATOIL ASA-ADR Quote ExxonMobil and Qatar Petroleum have enjoyed a long-standing relationship with several collaborations over the last 15 years. Hence, the latest investment jointly made by the two companies is likely to infuse the much needed funds for development along with a tax windfall to a nation struggling with a intensifying debt crisis. None of the companies disclosed any detail with respect to these developments. ExxonMobils partnership with Qatar also includes the RasGas, which produces and liquefies gas from Qatars North field, and the Golden Pass LNG terminal in Texas. ExxonMobil won three offshore exploration licenses in Oct 2015 for blocks lying south of the Anadarko and Eni discoveries and thus, already has a presence in Mozambique. The U.S. oil giant also has a working interest in Statoil ASAs STO Block 2 in Tanzania, north of the Rovuma Basin. ExxonMobils acquisition of an equity interest in Anadarkos Area 1 is likely to generate capital gains tax of about $1.3 billion for the Mozambique government. This will lend a huge support to the country that is struggling to balance its books after $1.4 billion of hidden debt was disclosed in April, which led to the World Bank and other donors to suspend aid. ExxonMobil holds a Zacks Rank #2 (Buy). Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report >> Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report STATOIL ASA-ADR (STO): Free Stock Analysis Report ENI SPA-ADR (E): Free Stock Analysis Report EXXON MOBIL CRP (XOM): Free Stock Analysis Report ANADARKO PETROL (APC): Free Stock Analysis Report To read this article on Zacks.com click here. Zacks Investment Research By SA Commercial Prop News SAPOA CEO Neil Gopal Looking beyond South Africas skyline to future horizons, the prospects for the countrys property industry depends on navigating a minefield of challenges to access opportunities in South Africa and Africa. Many of these challenges, like the global economy and local political trends, are not within the sectors control. Reacting to changes and benefiting from opportunities arising from market shifts, means keeping track of trends, says SAPOA CEO Neil Gopal. Today, property opportunities in Africa are hot topics for the local industry. Its one of the issues to be tackled at the 44th annual SAPOA International Property Convention & Exhibition. Nedbank Corporate Property Finance sponsors this top property event which takes place on 30 and 31 May 2012 at the Durban International Convention Centre. Its focus on Africa is perfectly timed. Property development and investment on the continent is growing, led by the SA property industry. In fact, several major African property transactions made SA news headlines in May alone. Earlier this month, SA listed property company Resilient Income Fund and pioneering retailer Shoprite, with construction heavyweight Group 5, announced they are developing 10 shopping centres in Nigeria for over R1 billion. Eris Property Group in joint venture with Botswana Insurance Fund Management as Khumo Property Asset Management, developed and opened the 50,000sqm Airport Junction in Gaborone, Botswana. The Public Investment Corporation (PIC) announced it is exploring investment partnerships with SA retailers looking at broadening their footprint in Africa. This follows its authority to invest 5% of its assets under management in Africa. Then theres Atterbury which, with local partners, recently developed and opened Bagatelle - Mall of Mauritius. There are many other SA property ventures presently forging into Africa. But not everyone is so positive about the potential Africa holds. Respected property heavyweights will step into the firing line and take this debate to delegates at the convention. Sharing their views is Executive Director of Growthpoint Properties Limited Estienne de Klerk, Managing Director of Atterbury Property Developments James Ehlers, Director of Real Estate Actis Kevin Teeroovengadum, CEO of Renaissance Capital Africa Clifford Sacks and Partner of Cross Border Retail, Cushman & Wakefield LLP Marc Burlton. The panel discussion on opportunities in Africa promises to be a heated highlight of the gathering, with each panellist bringing a different perspective. How the SA property industry can best take advantage of opportunities in Africa is likely to be a question of contrasting views. Frank Berkeley, Managing Executive of Nedbank Corporate Property Finance believes that highlighting opportunities in Africa and the merits of following them, will provide valuable insight on an issue that is fundamental to the industry. Besides prospects in Africa, several of the industrys most crucial themes will take centre stage at the convention. SAs Public Protector, Advocate Thuli Madonsela will present a keynote address. Former SA president FW de Klerk is also a keynote speaker and will examine the importance of Property Rights in South Africa. From the UK, Jim Shankland will comment on misunderstanding risk in The UK & European Property Horror Show. An economic session by political and trend analyst JP Landman will pave the way for a high level panel discussion which includes Frank Berkeley, Nicola Weimar, Senior Economist from the Nedbank Group Economic Unit and Managing Director of Old Mutual Properties, Peter Levett. A session on the listed property sector What does tomorrow hold? will include speakers Mariette Warner, Listed Property Fund Manager ABSA Asset Management, Keillen Ndlovu, Head of Listed Property Funds, STANLIB and Leon Allison, Research Analyst, Macquarie First South Securities. Leading political commentator and futurist Daniel Silkes keynote address will touch on post 2012 ANC elections with the theme From Malema to Manuel and onto Mangaung. For more information on the programme and speakers, as well as registration details, please visit www.sapoaconvention.co.za 50% of Indian mobile users wish to upgrade to new device in 5G era About 50 per cent of smartphone users in India plan to buy a new device within the first year as 5G ... Autonomous cars will appear on worldwide roads in big numbers between 2025 and 2035, according to a recent report by IHS Automotive. The report forecasts global sales of autonomous vehicles will rise from 600,000 in 2025 to 21 million in 2035. China will have the most, with 5.7 million; the U.S. and Europe will be right behind. IHS analyst Jeremy Carlson says the consulting firm's forecast reflects the substantial amount of money being invested in autonomous technology. General Motors Co. recently bought a self-driving software startup in San Francisco, for example, while Uber and Google are testing self-driving cars on public roads. Here, Carlson answers some questions about autonomous cars from The Associated Press. His comments have been edited for length. Q. Will traditional automakers be making autonomous vehicles in 2035? Or technology companies? A. Within the 21 million autonomous vehicles sold worldwide in 2035, we expect a healthy mix of 'traditional vehicles' with an autonomous mode and new and purpose-built designs that forego driver controls and therefore represent a very different use case what is often called on-demand mobility-as-a-service. The former will likely remain the stronghold of automakers; the latte will be a mix of manufacturers, owners and operators of the mobility-as-a-service business model. Q. A recent study from the University of Michigan suggested that almost half of drivers don't want any autonomous capability in their next car. How will the public come around to this idea? A. Consumer acceptance is one of the stronger headwinds, but drivers today are already getting experience with similar functionality. Electronic stability control already includes semi-autonomous functionality. Automatic braking probably the most clear and acute form of autonomy in cars today will be standard equipment in the U.S. by 2022 and is common in luxury vehicles already. Other systems like adaptive cruise control and automatic parking tend to garner positive feedback. As these technologies that enable greater safety and convenience become more common across the industry, even more drivers will grow to understand the value they provide. Q. You see the earliest deployment in the U.S. But most states still haven't passed legislation regulating autonomous cars. Is technology coming too fast for government to keep up? A. Regulation is the other major headwind next to consumer acceptance, but IHS expects regulators to recognize the benefits that autonomous mobility can bring their citizens and find the means to work through these complex issues. That said, regulators certainly face big challenges today they are being asked to regulate very technical topics without being provided any technical education themselves, and they must do so with an eye toward future-proofing rapidly evolving technology and consumer markets. Federal regulation will be necessary for long-term mass deployment, but it will be states that pioneer autonomous vehicle regulation in the U.S in the short term. Q. Are consumers in some markets more accepting of autonomy than others? China's growing middle class, urban population density, environmental challenges and centralized authority will all play a part, and these factors also tend to be favorable to the mobility-as-a-service model. In the U.S. and Europe, vehicle ownership is more established and will remain strong, but there are still areas where mobility-as-a-service can be attractive. The future of autonomous mobility will be unique in each market. Homegrown success stories are always sweet to read. Its because they are the sort of stuff that motivates, inspires and makes one believe that nothing is impossible. The meaning of success by the way differs from person to person. And most times, its something that takes an entire lifetime to achieve. It is why it is usually associated with grown ups and adults. But from what weve seen about Samoans lately, you dont necessarily have to be a grown up to be successful. A classic example is the story of Lupeoaunuu Vaai who featured on the front page of your newspaper last Friday. She is without a doubt an inspiration. At the tender age of 12, this young girl is destined for great things to come. Its the sort of success story our young people should be moved and motivated by. Lupe is a simple, young girl who attends St. Marys Primary School. Her favourite subjects are Maths, English, Social Studies and Basic Science. From what weve been told, she loves learning about the environment and has established an environmental group in her school. This is amazing stuff. At a time when our community is struggling with so many challenges involving young people, Lupe is a ray of hope. She is the embodiment of a much-needed breed of young Samoans growing up with a purpose and a destiny. Which means that Samoan children dont have to look far for role models. There are many of them here; homegrown talent who have the potential to do great things to influence global developments. In the not too distant past, weve seen similar success stories such as Briana Fruean, Dr. Erna Takazawa and a few other females. Perhaps the only question is, where are the boys? Now for the uninitiated, Lupe won a Gold Medal award for her story The Voice of an Island in the Voices of Future Generations Childrens Story Writing Competition last year. She is in London for the launch of her book. Yes, imagine that, a young author from Samoa launching a book in London. Wow. That is the stuff of dreams, isnt it? But thats not all. Lupe has apparently committed to make the book into a series so that she will be writing a story every year until 2020. And how does she feel about it? I am so happy, she said. I never ever thought that something like this would happen to me. Lupe by the way was 11 when she entered in the 2015 competition. That makes her success even more inspiring. I was so surprised to know that I had won a gold medal for my book, she said. I wrote this story on what I have felt, thought and heard from the stories from my great-grandmother, it was easy for me to write as it came from my heart. I hope people like it, and that we can learn from it and help save our Samoa and our planet. As for that London trip, she is elated. Im so excited and nervous about this, its my first time to travel for such a long time on a plane, and to go to London, she said. I hope to make friends with children from different places and countries and learn about the different problems that other children my age experience and the ways they think we can fix them, it may be something that we can do also. As icing on the cake, because the Voice of an Island books touches on climate change, biodiversity protection and education, Lupe is also addressing an international audience during a session on achieving the Sustainable Development Goals on Climate Change, Biodiversity, Energy and Innovation in London. Ladies and gentlemen, if that doesnt motivate our young people to aim for the sky with their dreams, I dont know what will. Lupe is an exceptional young lady. But her story is a uniquely Samoan story. She grew up on these shores, studied in our schools and she is now influencing global decisions by having her voice out there. At such a young age. This is inspirational. It is such a heartwarming story of success that should be shared. Furthermore, she is just getting started. There is a lot more to come so watch this space. In the meantime, we say well done young Lupe. You have made us all proud. We also congratulate her parents, families, school, supporters and everyone else who played a role in her realizing her dream. Have a great Tuesday and God bless! Dear Editor, I read the story about the tourists who were robbed in Samoa recently with interest. The story clearly sounds like people were doing their jobs and helping the tourists. The Polices immediate advice to the couple was to file for a new passport - a necessary precaution in case the passport cant be found. Following that the Police went to the radio station with the couple to air the plea and also drove the couple around to the location mentioned by the caller and asked people if they knew the guy. The Radio station didnt sound like they charged anything to air the couples plea. The couple did not go to the ends of the earth to fend for themselves to get the items back. They just had to go pick it up from the radio station where someone dropped it off. Aside from that, what exactly sort of protection do you expect the police can provide inside a bar to prevent theft? Would you like to have a drink while the police are parading inside the whole night? And since youre disappointed with the people of Samoa, youre clearly disappointed with yourself too. Read properly before you call people ignorant and laziness...lazy butts. It seems youre the ignorant one. Zee Sam A good look into the spice cabinet confirms it: vanilla is one of the most expensive and valuable spices one can buy for money. At the moment, the market for the inconspicuous vanilla pod is dominated by Indonesia and Madagascar, with both countries together having exported more than 6000 tons of the spice in 2013. But a closer inspection of the list of countries involved in the export of the spice also reveals that South Pacific countries like Tonga, Vanuatu and especially Papua New Guinea are gaining more and more ground in the vanilla business. For Ross Appleton from New Zealand and his company Equagold, Samoa is one of the countries that might show great potential when it comes to the process of growing and selling vanilla. Together with his wife, the businessman is in Samoa and recently presented a concept for the growing process of the valuable spice at Apias Travellers Point Hotel to interested farmers and members of the countrys agricultural sector. I think that the Pacific islands, including Samoa, have the ideal climate and soil to grow vanilla. This can already be seen in the amount of vanilla that is produced in Tahiti or Tonga, Mr. Appleton told the Samoa Observer. To arouse the local farmers interest in growing vanilla, Mr. Appleton did not spare the details of the international demand and the financial benefits of the spice. Just last year, we sold 95.000 packets of vanilla pods into Australia. We are in contact with clients from the United States and Europe trying to find vanilla sellers. We are talking about a demand for approximately 15 tons for the next six months. Market prices for vanilla at the moment are estimated at about 200 New Zealand dollars per kilo. Mr. Appleton also spoke about the market situation. The market is dominated by Madagascar, since they are the largest growers of vanilla on the planet. They usually determine the prices. The United States of America, which consume an enormous amount of vanilla, have predominately bought [their vanilla] in Madagascar. But now theyre looking into new markets. The opportunity for Pacific islands at the moment is to start growing vanilla and take a piece of that market. What sounds easy at first glance is indeed a protracted process for the farmers. The process of growing vanilla can take up to three years to come into production. Growers need to be committed for the long term and must be realistic about the current market prices, Mr. Appleton said. He also introduced several concepts of selling vanilla extract directly in the countries were the spice is grown, which promises a highly profitable business for local farmers. You have around 120.000 tourists visiting Samoa each year. If ten percent of those tourists bought a single bottle of vanilla extract with your brand on it, that represents a 150.000 Dollars revenue every year. As for the actual growing process of the plant, Mr. Appleton pointed out that one big advantage of vanilla is its slight demand for growing space. The average villager in Papua New Guinea [] might have 300 plants in a little patch of the forest. There can be persons growing just a small amount of vanilla, or others who run a whole plantation. Even though some farmers in Samoa already have experience in growing the spice, the knowledge about a lucrative cultivation of the plant is still a mystery to most of them. To change that, Mr. Appleton brought something along that in the past has helped others to cultivate vanilla: a manual. This book was produced in Vanuatu and it is a very easy comprehensible manual. It is written for the common grower. But besides from that, what we can tell from our experience is, that for instance in PNG, each village teaches the other village how to grow [vanilla], so for them its more like a community thing. But the manual really is the result of an actual process of development for the growing process of the vanilla plant. This knowledge certainly is necessary for potential farmers of vanilla, as the growing can be accompanied with quite some risks for the growers: There are bugs which might harm the plants and there are diseases which can occur along the planting process. Nonetheless, the interest in growing vanilla in Samoa is drawn. At the moment, Mr. Appleton and his company are visiting different places of farmers on Savaii which are already growing vanilla, to collect ideas on how to improve the growing process in the country and to find out, which particular types of the plant can already be found in Samoa. The type of vanilla is important for the production, since there are only two specific kinds of the vanilla plant which boast the right quality to be actually used as a spice in the end. Regardless of the type, the pollination is always done in the same, quaint way when it comes to vanilla: It has to be done by the grower, because there is no native insect which is capable of doing it. Its a specialists technique that in Madagascar, is typically performed by the women. There should be no doubt that Samoan farmers will succeed in this technique, no matter whether the vanillas pollination is done by man or woman in the future. The Associate Minister of the Ministry of the Prime Minister, Peseta Vaifou Tevaga, is searching for answers from the Police. He wants to know what they have done with a complaint he lodged against them last year. The M.P. for Faasaleleaga No. 4 is awaiting the hearing of a criminal matter where he faces one count of forgery and another charge of fraud in relation to a document he is alleged to have forged. The hearing of the matter has been adjourned until September this year. Peseta denies the charges. In the interim, he had a filed a complaint against the Ministry of Police about the handling of the matter which led to the charges against him. Yesterday, he told the Samoa Observer he still hasnt heard from them. I did not withdraw my complaint, he said. I have already spent a substantial amount of money on my lawyer and I will not withdraw it. I still havent heard from the Police since then (when filed complaint). They keep saying to me they are investigating it but there hasnt been any development to it. According to Peseta, it appears that the Police are not making any progress. Its the same answer every time you ask, he said. They are investigatingI havent heard from the Police and the investigation and complaint is to do with a lot of the mess dealing with land, money and other things. You will find out when its all done. As for a civil claim where the M.P. is involved in with the former Speaker of the House, Laaulialemalietoa Leuatea Polataivao and others, he said this has been referred to mediation. Peseta said the matter would be called in August while the hearing of criminal charges against him has been scheduled for the end of the year. It was not possible to get an official comment from Police yesterday. The Police Spokesperson, Maotaoalii Kaioneta was not at his office. The Ministry of Health is investigating claims about unusual meat products found in a brand of corned beef recently. This was confirmed by the Director General of the Ministry of Health, Leausa Dr. Take Naseri, in an email to the Samoa Observer yesterday. The brand in question is Farmers Corned Beef. The process resulting in the recall of Farmers Corned Beef was initiated after public complaints of contamination of the corned beef with flesh or tissues other than beef, he said. Physical verification and examination of the corned beef product carried out by our Food Safety Officers found unusual meat products. There was also inconsistency of the labelling information with the food content. The Ministry of Health immediately issued a recall notice. The recall process is enforced while samples of the mentioned corned beef have been sent for tissue analysis at S.R.O.S and National Health Services Diagnostic laboratories. S.R.O.S is the Scientific Research Organisation of Samoa. Leausa said the company and the distributor of the corn beef have been advised about the decision and will be informed about the outcome of the tests. The Food Product Recall notice is empowered through section 21 Food Act 2015. On 1 July 2016, a public notice was issued to inform local suppliers, all the supermarkets and retail outlets not to sell the Farmer Corned Beef brand. The Ministry of Health requests the co-operation of the business community and the public in this important matter, the notice reads. The local suppliers, all supermarkets and retail outlets are advised not to sell the FARMER style CORNED BEEF to the public until further notice. The Public is hereby advised that FARMERS style CORNED BEEF with the above mention information should not be consumed. On Saturday July 9, Florida East Coast Railway celebrated with its partners, the arrival of MOL Majesty, the first neo-panamax vessel to transit the expanded Panama Canal bound for PortMiami. The MOL Majesty is part of the G6 Alliance Transpacific PA2 service, originating in Asia.Click here for high-resolution version MIAMI, FL--(Marketwired - July 11, 2016) - On Saturday July 9, Florida East Coast Railway (FECR) celebrated with its partners, the arrival of MOL Majesty, the first neo-panamax vessel to transit the expanded Panama Canal bound for PortMiami. The MOL Majesty is part of the G6 Alliance Transpacific PA2 service, originating in Asia. Carlos A. Gimenez, Miami-Dade County Mayor, and Panama Canal Authority Administrator, Jorge Quijano, were among the distinguished guests who joined PortMiami, FECR and other partners to welcome the MOL Majesty. "We were glad to be at the celebration in support of our partner, PortMiami. FECR has invested in infrastructure and equipment to support multi-modal shipping and global trade into and out of South Florida, and can support neo-panamax vessels such as the MOL Majesty," said James R. Hertwig, President and CEO of Florida East Coast Railway. "We offer customers a seamless transfer of goods from ship to our on-dock rail facility that allows fast access to 70 percent of the U.S. population, reaching key Southeast markets of Atlanta and Charlotte in two days." FECR re-established on-dock intermodal rail service at PortMiami allowing import and export cargo to be loaded directly onto, or off of, awaiting trains, linking the port to 70% of the U.S population in 4 days or less. FECR can also facilitate the transloading of international freight into fewer domestic containers for inland moves, to markets such as Memphis, Chicago, Cincinnati and Dallas. "A new big ship era is here and PortMiami and its partners are ready," said PortMiami Director and CEO Juan M. Kuryla. "The completion of PortMiami's deep dredge and FECR's on-dock intermodal rail projects cannot be overstated. PortMiami is now positioned as the most reliable, convenient and efficient global hub on the North American East Coast serving the world's leading ocean carriers." Experts expect an increase of 10 percent in shipments to be rerouted through the now expanded Panama Canal to eastern seaboard ports like PortMiami. FECR expects to grow their share of container traffic transported following the expansion and shift in trade routes. About Florida East Coast Railway The Florida East Coast Railway (FECR) is a 351-mile freight rail system located along the east coast of Florida. It is the exclusive rail provider for PortMiami, Port Everglades, and Port of Palm Beach. FECR connects to the national railway system in Jacksonville, Florida, to move cargo originating or terminating there. Based in Jacksonville, Florida, FECR provides end-to-end intermodal and carload solutions to customers who demand cost-effective and premium quality. For more information, visit www.fecrwy.com Image Available: http://www.marketwire.com/library/MwGo/2016/7/11/11G105995/Images/Big_Ships_MOL_Majesty-8939080fb800a13686707141ec81f60f.jpg The Director General of the Ministry of Health, Leausa Dr. Take Naseri, yesterday assuaged fears about the shortage of syringes for insulin injections for diabetic patients. Confirming that there was a shortage, Leausa said there was no need to panic as the hospital has received a new supply. People were calling in our office to see if it's true that the diabetes injections are no longer available at the hospitals, Leausa said in an email. There was a shortage of syringes for insulin administration for diabetic patients requiring insulin but not no longer available. According to the Director General, the shortage was a global issue. This was initially due to a global shortage which affected our suppliers in New Zealand and Australia, he said. The National Health Services as of last Friday 1st July has received new supplies and insulin syringes have been available from the National Health Service Pharmacy since Saturday 2nd July. An insulin regimen is often required in the treatment of gestational diabetes and diabetes associated with certain conditions or syndromes (e.g., pancreatic diseases, drug- or chemical-induced diabetes, endocrinopathies, insulin-receptor disorders, certain genetic syndromes). In all instances of insulin use, the insulin dosage must be individualized and balanced with medical nutrition therapy and exercise. Prior to the assurance from Leausa, members of the public had contacted the Samoa Observer to express their concerns. I went there to the hospital and they told me that they didnt have any injections for my diabetes, said Ioane Patolo Lemusu. Naturally I was worried because I needed this injection. They only told me they had run out and they did not know when they will have another supply. Another patient was more concerned about the number of necessary medical items that are a not available at the hospital. There is always the shortage of basic items from time to time and that worries me, said the patient who only wanted to be identified as Tofu. Were talking about the lives of people and this should never happen. I think they should always place emphasis on making sure all these medical supplies are there so the doctors and nurses can do their jobs. Former Cabinet Minister, Le Tagaloa Pita has denied making a false declaration during the recent General Election. I am being charged for lying in signing and making a false declaration, Le Tagaloa said yesterday. But I can tell you that I did not lie and I signed (the declaration) with the understanding that tautua is the monotaga that I have done. The declaration is in relation to the requirement in the Electoral Act for him to render a monotaga (service) to his village of Sili. Le Tagaloa is accused of making a false declaration in connection to the Election where he was disqualified and not allowed to run. In taking the stand in the District Court yesterday, Le Tagaloa said he serves his village every year in many different ways. He told the Court that his interpretation of monotaga is that it is part of the service to the village. Service (tautua) is bigger than monotaga, he explained. Since I was given the title (Le Tagaloa) I have been rendering services to the tune of no less than $50,000. In this Court, I am being charged for lying in signing and making a false declaration but I can tell you that I did not lie. I signed (the declaration) with the understanding that tautua is the monotaga that I have done. Le Tagaloa added that his village does not require him to provide a monotaga and made it clear that there was not a time that he did not serve his village in accordance with customs and traditions. If service is for candidates in the urban seats that they must serve (the church or village) for three months, what does that mean for the service of matai in the rural village? he asked. The changes (to Electoral Act) would mean that people like the Head of State and me would never be able to run which I dont think that was the intention of the change made by the Members of Parliament. Le Tagaloa, 78, is represented by lawyer Sarona Ponifasio. Acting Electoral Commissioner, Faimalomatumua Mathew Lemisio is the lawyer for the Electoral Office. District Court Judge, Vaepule Vaemoa Vaai is presiding. Another witness questioned yesterday was a matai from Sili, Matautia Iefata. He said the customs of his village is that they do not require Le Tagaloa to render a monotaga. However, he explained that if somehow Le Tagaloa does give money or any form of contribution, they do not call it monotaga. This is referred to as assistance (fesoasoani). Views might vary as to what the monotaga means but (to me) it means contribution to village matters that is not compulsory, he said. If you do not contribute it doesnt mean you will be punished or anything. Our village cannot ask the papa to render a monotaga. We serve him. Still, it does not stop him from giving voluntary assistance. But if he does give a contribution, its seen as a gift (meaalofa) or assistance. Judge Vaepule has adjourned the matter until Friday for a verdict. New York, NY -- (SBWIRE) -- 07/11/2016 -- Beer is one of the alcoholic beverages containing 4% to 6% alcohol by volume. The main ingredients of beer include water, a starch source (such as malted barley, helps in saccharification and fermentation), a brewer's yeast and flavors such as hops. Flavoring such as hops helps in adding bitterness and act as a natural preservative. Beer is the most widely consumed alcoholic beverage in the Asia Pacific region. Growing drinking population in the countries such as India and China is boosting the market for beer in the Asia-Pacific region. By types, Beer market can be segmented into premium, mainstream and economy. Browse Full Report : http://www.persistencemarketresearch.com/market-research/beer-market.asp Countries such as China have the largest consumption of beer in the Asia Pacific region, followed by Japan. Increasing population and more inclined towards western culture are the major factors for the growth of beer market in the Asia Pacific region. Countries such as India, Singapore and South Korea are the fastest growing market for beer in the Asia-Pacific region. Interested in report: Please follow the below the links to meet your requirements; Request for the Report Sample: http://www.persistencemarketresearch.com/samples/3174 Rising disposable income, ever-increasing population, increasing in the number of bars and restaurants, increase in the acceptance of western culture and relaxation in the rules and regulation related to the operation of beer industry are some of the major driving force for beer market. Increasing disposable income among the working class population allows the customer to go out more to restaurants and bars and spend more on beer. In addition, consumers are now willing to pay more for premium segments also. According to the National Bureau of Statistics China, annual per capita disposable income of urban households in China increased from USD 2,271.0 in 2008 to USD 3408.5 in 2012. The overall annual disposable income in India medium household income increased from USD 1,366.2 billion in 2010 to USD 1,587.6 billion in 2013. Quick adoption of western culture has largely influenced the drinking habits in the Asia Pacific region. People living in the west usually have a habit of drinking beer with their meals, at parties and even during meetings. Rising Anti-alcohol campaigns and rising aging population are some of the major restraints for beer market. Request TOC (table of content), Figures and Tables of the Report: http://www.persistencemarketresearch.com/toc/3174 The major companies operating in the beer market include Anheuser-Busch InBev, Tsingtao Brewery, Beijing Yanjing Brewery, San Miguel Brewery, Asahi Breweries and China Resources Enterprise. Key points covered in the report 1) Report segments the market on the basis of types, application, products, technology, etc (as applicable) 2) The report covers geographic segmentation North America Europe Asia RoW 3) The report provides the market size and forecast for the different segments and geographies for the period of 2010 to 2020 4) The report provides company profiles of some of the leading companies operating in the market 5) The report also provides porters five forces analysis of the market. About Persistence Market Research Persistence Market Research (PMR) is a third-platform research firm. Our research model is a unique collaboration of data analytics and market research methodology to help businesses achieve optimal performance. To support companies in overcoming complex business challenges, we follow a multi-disciplinary approach. At PMR, we unite various data streams from multi-dimensional sources. By deploying real-time data collection, big data, and customer experience analytics, we deliver business intelligence for organizations of all sizes. Contact Persistence Market Research 305 Broadway 7th Floor, New York City, NY 10007, United States, USA - Canada Toll Free: 800-961-0353 Email: sales@persistencemarketresearch.com media@persistencemarketresearch.com Web: http://www.persistencemarketresearch.com Valley Cottage, NY -- (SBWIRE) -- 07/11/2016 -- Wind energy is the power extracted from wind using wind turbines. A wind turbine is a device that transforms the kinetic energy of the wind into electrical energy. Wind energy is a renewable form of energy that is available in ample quantity and extensively. It is an alternative to fossil fuels which are depleting in quantity. Wind energy is the cleanest resource; it has neither toxic gas emissions nor greenhouse gas emissions. Wind turbines are connected to the network of electricity transmission. The onshore and offshore wind that is trapped is an inexpensive, competitive and significant source of energy. Wind energy contributed to 4% of the total global electricity usage in 2013. The application of wind turbines is primarily in wind mills that are used to generate electricity. These wind turbines in wind mills can be used to avail off-grid electricity in the remote regions. It has been known to empower rural electrification initiatives. Three fourths of the small wind turbines are present in the remote regions of the world and are the only sources of energy. For instance, wind power systems are fuelling the telecommunication towers in the secluded places between Argentina and Chile. Another application of wind turbines is associated with the hybrids of wind and solar power generation devices. Wind and solar sources complement each other in changing climatic conditions. Wind turbines have vital applications in off-grid, low-power systems in which the storage of batteries is avoided. Wind turbines also have application in cathodic protection pipes in which its electric charge neutralizes the galvanic corrosion of pipes laid in reactive soils. Wind turbines are used to charge electric fences, yacht and boat batteries efficiently. Wind turbines have been used to pump water for decades, and they remain a significant application in both developed and developing economies. The end use industries of wind turbines can be broadly classified into industrial, commercial and residential. The industrial use can be further divided into power generation, agriculture, industrial automation, engineering and telecommunication. Despite being commercially niche market at present, wind turbines are expected to expand due to increasing government subsidies and incentive programmes on the use of wind energy. Request Free Report Sample@ http://www.futuremarketinsights.com/reports/sample/rep-la-141 The global wind industry produced about 37,000 MW in 2013. Latin America, in particular, has provided the industry with an essential substitute growth market for wind power. In 2013, Latin America alone representedapproximately 45% of the installed capacity of North and South America combined. It was largely driven by the wind markets of Brazil and Mexico which can be regarded as the dual pillars of the Latin American market. The average price of wind energy contracts in Brazil is US$ 50/MWh and gives wind energy an edge over conventional fossil fuels there. This is a major driver for the wind turbine market in Brazil. The wind power in Mexico provides power to over 65,000 households and exports it to US. Food and beverage company, Nestle, had invested US$ 60.7 million in wind energy in Mexico, and employed wind energy for its 85% electricity requirement. The cumulative wind capacity in Mexico reached 1988 MW by the end of 2013 indicating a 31.4% growth rate. The installed capacity in 2013 was 76 MW in Argentina, 200 MW in Chile, 30 MW in Peru, 11 MW in Uruguay, and149 MW in Venezuela. Strong wind resources, and rising electricity prices and energy demand are driving the demand for renewable energy higher. The Latin American industrial policies are effective as they have tailored depreciation tax policies which enable industries to actively partner with wind energy generators for their energy usage. Also, wind plants do not need to be in the vicinity of the end user and just need a connection to the Latin American power grids. Feed-in electricity tariffs have been introduced to motivate the use of renewable energy such as wind energy, solar energy, hydropower, thermal energy and biomass energy. This encourages investment in renewable energy as the government makes provisions for higher retail rates for electricity for the producers of new energy technologies. Request For TOC@ http://www.futuremarketinsights.com/toc/rep-la-141 Consistently declining monopoly in the Latin American electricity sector had paved way for wind turbine manufacturers. The current wind turbine market is competitive. Gamesa is the leading turbine supplier in Mexico and holds 73.5% of the market. It is followed by Vestas with 22% of the market contribution. GE is also a major turbine manufacturer with 4.5% market share in Mexico. Besides, the collapse of the Spain-based OEMs (Other Equipment Manufacturers) wind market has compelled companies to expand their business in Latin America. By 2015, Latin America is expected to have 3 GW of installed wind capacity annually, surging up to 4.3 GW by 2022. The manufacturers have to meet certain mandates on wind turbine components and their materials. It is a challenge for most OEMs to deliver high quality wind turbines while still ensuring an economical Latin American wind market. Albany, NY -- (SBWIRE) -- 07/11/2016 -- Q1 2016 Long-term LNG Contracts Review - Qatargas Signs High Volume Supply Contract with Pakistan State Oil Company View Full Report at: http://www.marketresearchreports.biz/analysis/716923 Summary A total of five long-term LNG contracts were signed in Q1 2016. Of these, Qatargas entered the biggest long-term contract of the quarter with the Pakistan State Oil Company Limited. It will allow Pakistan State Oil to import LNG from Qatargas II (Train 5) terminal in Qatar to the Port Qasim Floating I regasification terminal in Pakistan. ENN Group of China signed two LNG import contracts with Chevron and Origin Energy for import of LNG from Australia. Veresen Inc and JERA Co., Inc. also signed a contract to import LNG from the Jordan Cove terminal in the US to Japan. Download Detail Report With Complete TOC at: http://www.marketresearchreports.biz/sample/sample/716923 Scope - Details of long-term LNG contracts signed in Q1 2016 by country and company - LNG contracted capacity and share by importing country for the quarter - LNG contracted capacity and share by key seller and purchaser companies in Q1 2016 - Count of contracts and contracted capacity signed by key purchaser companies for the 2014 to 2016 period Reasons to buy - Obtain information available on long-term LNG contracts across the world for Q1 2016 - Identify countries and companies involved in signing of long-term LNG contracts for the quarter - Facilitate decision making on the basis of long-term LNG contracts data - Keep abreast of recent long-term LNG contracts signed across the world Table Of Content 1 Table of Contents 1 Table of Contents 2 1.1 List of Tables 3 1.2 List of Figures 3 2 Q1 2016 Long-term LNG Contracts Review 4 2.1 Biggest New Long-term LNG Contract in Q1 2016 4 2.2 Key Highlights 4 2.3 Regional Contract Briefs 5 2.4 LNG Contracted Capacity by Importing Countries in Q1 2016 6 2.5 Annual Count of Contracts and Volumes Signed by Purchaser Companies 15 3 Appendix 18 3.1 Abbreviations 18 3.2 Methodology 18 3.2.1 Coverage 18 3.2.2 Secondary Research 18 3.3 Disclaimer 19 Browse all latest Press Releases of Market Research Reports at: http://www.marketresearchreports.biz/pressreleases About MarketResearchReports.biz MarketResearchReports.biz is the most comprehensive collection of market research reports. MarketResearchReports.Biz services are specially designed to save time and money for our clients. We are a one stop solution for all your research needs, our main offerings are syndicated research reports, custom research, subscription access and consulting services. We serve all sizes and types of companies spanning across various industries. Contact Mr. Nachiket 90 Sate Street, Suite 700 Albany, NY 12207 USA Tel: 518-621-2074 Canada Toll Free: 866-997-4948 Website: http://www.marketresearchreports.biz/ E: sales@marketresearchreports.biz Follow us on LinkedIn: https://www.linkedin.com/company/marketresearchreports-biz Bensalem, PA -- (SBWIRE) -- 07/11/2016 -- At Wood River Village, the independent living retirement community in Bucks County, PA, seniors are provided with an abundance of amenities and perks that help to promote a healthy lifestyle. As a strong supporter of Aging In Place, the retirement community gives its residents tons of opportunities to maintain their health, socialize and mingle, learn, have fun, and live independently. On a monthly basis, special events are planned to offer residents an entertaining experience that allows them to enhance their knowledge. This July, Wood River Village will be hosting multiple educational events centered on science and history. On July 1, the Science for Seniors program gave a presentation on The Grand Canyon in the Community Room at 1:30 pm. Residents learned about one of the country's most breathtaking sights - Arizona's vast natural formation of red rock and how it came to be. Another science themed activity is the upcoming scheduled off-site trip to the Academy of Natural Sciences museum in Philadelphia on July 27 for $29. Wood River Village will also be featuring a tribute to the American aviation pioneer, Amelia Earhart, by showcasing an airplane collection owned by one of their residents, Ed Ludwig in the Gallery. Speaker Monica Tufo will discuss the accomplishments of Amelia Earhart in the Community Room on July 22, which will include refreshments for those who sign up for the event in the blue book. Residents at Wood River Village can sharpen their education through the many activities and events organized each month. For more information about Wood River Village, one of the award-winning assisted living facilities in Bucks County, PA call 1-888-757-2685. About Wood River Village Wood River Village is a Continuing Care Retirement Community (CCRC) spread out over 20 beautiful acres. The community is comprised of 279 independent living apartments that range from studios to two bedroom suites. The units are loaded with amenities, including remodeled kitchens and bathrooms, choice of paint and carpet colors, enclosed balconies or patios, and large closets. Residents enjoy fabulous restaurant style dining, 24/7 security, an indoor pool, housekeeping, salon, transportation services, reserved parking, an on campus health center, endless activities, trips and the finest services at the friendliest community around. For more information on this community, please visit http://www.woodrivervillage.com. Albany, NY -- (SBWIRE) -- 07/11/2016 -- 'Smartphone Trends in Latin America: The Impact of Government Policies and Regulatory Intervention,' a Telecom Insider Report by Pyramid Research, examines and sizes the handset and smartphone markets at the global and Latin American levels. The report discusses the major handset-related regulations implemented in the region, and it then presents case studies of Argentina, Brazil, Colombia, Ecuador, Peru and Venezuela, analyzing the impact of imposed regulations. The report concludes with a summary of key findings and a set of recommendations. View Full Report at: http://www.marketresearchreports.biz/analysis/276143 Key Findings Imposing hefty duties on handset imports is a viable option to foster local industry growth. However, the absence of a fully developed production value chain (i.e., infrastructure, transportation, technology, labor, etc.) can translate into domestically-made handsets that are more expensive than similar imported products in a scenario with lower import tariffs, to the detriment of consumers, particularly those in low income segments. The reason the adoption of smartphones, and particularly LTE-enabled smartphones, is important is the positive impact these devices can have on ARPS levels. In Latin America, the higher price of LTE-capable smartphones remains a major inhibitor to mass LTE adoption. The deployment of LTE networks is in full swing in most major Latin American markets, but service adoption remains low. Mobile operators are under a lot of pressure to offer LTE-capable smartphones at affordable prices, to get a critical mass of subscribers and more quickly recoup their LTE investments. It is crucial for mobile operators to be able to expeditiously procure enough smartphones to support the rollout of new technologies (e.g., LTE). In Venezuela, for instance, the adoption of LTE services has been muted, mainly because of the unavailability of LTE-capable smartphones. In Ecuador, if not revised, government-imposed quotas on mobile handsets imports will negatively affect LTE rollout efforts by the country's largest mobile operators Claro and Movistar, which were recently granted additional spectrum for the deployment of their LTE networks. Regulators across the region are increasingly resorting to banning the selling of carrier-locked handsets and forcing carriers to unlock all previously sold, with the goal of fueling competition at the service layer. Banning the selling of locked handsets in itself doesn't change the structure of the market, but it helps level the playing field in the mobile segment. In Peru, the SIM lock removal, coupled with improvements in the mobile number porting process, has helped smaller operators Entel and Bitel to steal subscribers from incumbent operators Movistar and Claro. In Colombia, the removal of minimum contract periods (handset subsidies) has resulted in a shift to lower smartphone price bands, as consumers are unable to pay the full price of high-end and premium devices. This has negatively affected smartphone brands that are more dependent on carrier subsidies (e.g., Apple). Download Detail Report With Complete TOC at: http://www.marketresearchreports.biz/sample/sample/276143 Synopsis "Smartphone Trends in Latin America: The Impact of Government Policies and Regulatory Intervention," a new Latin America Telecom Insider by Pyramid Research examines and sizes the handset and smartphone markets at the global and Latin American levels. The report describes measures undertaken by governments and regulators that directly impact the sale of mobile handsets, particularly smartphones, including implementing import mechanisms and tax policies that favor locally-made handsets and banning the sale of carrier-locked handsets. Further, the report analyses the impact of these measures on different industry players: mobile operators, local and international handset manufacturers, handset distributors and retail outlets, with a focus on the major Latin American markets: Argentina, Brazil, Chile, Colombia, Ecuador, Mexico, Peru and Venezuela. Six case studies are presented, bringing evidence from some of the most prominent handset-related regulations in Latin America. The report concludes with a summary of key findings and a set of recommendations for regulators, governments, handset vendors and distributors, and mobile operators. Reasons To Buy This Telecom Insider helps executives build proactive, profitable growth strategies by offering comprehensive, relevant analysis of the handset sales regulatory environment in Latin America. The report offers a wealth of data on handset and smartphone sell-through in Latin America, with a focus on the region's major markets: Argentina, Brazil, Chile, Colombia, Ecuador, Mexico, Peru and Venezuela. The report is designed for an executive-level audience, boasting presentation quality that allows it to be turned into presentable material immediately. The broad yet detailed perspective will help operators, handset vendors and other telecom industry players to succeed in the challenging mobile telecommunications market in Latin America. Browse all latest Press Releases of Market Research Reports at: http://www.marketresearchreports.biz/pressreleases About MarketResearchReports.biz MarketResearchReports.biz is the most comprehensive collection of market research reports. MarketResearchReports.Biz services are specially designed to save time and money for our clients. We are a one stop solution for all your research needs, our main offerings are syndicated research reports, custom research, subscription access and consulting services. We serve all sizes and types of companies spanning across various industries. Contact Mr. Nachiket 90 Sate Street, Suite 700 Albany, NY 12207 USA Tel: 518-621-2074 Canada Toll Free: 866-997-4948 Website: http://www.marketresearchreports.biz/ E: sales@marketresearchreports.biz Follow us on LinkedIn: https://www.linkedin.com/company/marketresearchreports-biz Los Angeles, CA -- (SBWIRE) -- 07/11/2016 -- Divorce attorneys and founders of Simply Divorced, A Professional Law Corporation, Jamie Kurtz and Courtney Glickman have announced that the firm's website, simplydivorced.com has been redesigned. According to Kurtz, "We know that the divorce process can seem overwhelming and stressful, even when a couple has decided that they want their divorce to be uncontested. Our goal at Simply Divorced has always been to simplify the divorce process, and to alleviate some of the stress our clients are experiencing during divorce. With the new, functional design of our website, we hope that users can easily find answers to their questions relating to divorce, and begin the divorce process efficiently, and without stress." Likewise, Glickman said, "We are here to make things easier for those going through a difficult time. The new features and content available on our user-friendly website are designed to provide all of the information users need, in a very straightforward way." Simply Divorced's new website is another example of how the Los Angeles-based family law firm has distinguished itself. Rather than charging hourly fees for example, Simply Divorced offers three distinct service packages, each with a one-time fee. The packages and prices are all included on the new website, as part of Glickman and Kurtz's commitment to taking the guesswork out of how much a divorce will cost clients. "By being transparent and specific about our services and pricing we aim to further reduce the stress for those going through a divorce. At Simply Divorced, clients know what to expect from the very beginning, and they appreciate not getting the run-around or vague estimates regarding their financial obligation for legal services," Kurtz said. "Ending a marriage is complicated. Divorce shouldn't have to be," added Glickman. "Redesigning our website was just another way we are doing our part to make the divorce process in Southern California as simple, efficient, and cost-effective as possible. About Simply Divorced Helmed by experienced family law attorneys Courtney Glickman and Jamie Kurtz, Simply Divorced is based in Los Angeles and focuses on providing uncontested divorce services to residents throughout California. Visit www.simplydivorced.com Contact: Jamie Kurtz Phone: 424-249-3480 Email: jamie@simplydivorced.com Astronomers have succeeded in obtaining an infrared spectrum of the recently discovered brown dwarf WISE J085510.83-071442.5 (WISE 0855 for short), providing details of the objects composition and chemistry. Among the findings is strong evidence for the existence of clouds of water or water ice. At a distance of about 7.2 light-years away from Earth, WISE 0855 is the fourth closest system to us, after Alpha Centauri AB Proxima Centauri, Barnards Star and WISE 1049-5319. WISE 0855 is the nearest known planetary mass object and the coldest known compact object outside of our Solar System. It was discovered in 2014 by Pennsylvania State University astronomer Kevin Luhman using NASAs Wide-field Infrared Survey Explorer and Spitzer Space Telescope. With about five times the mass of Jupiter, WISE 0855 resembles a gas giant planet in many respects. Its temperature is about minus 10 degrees Fahrenheit (250 degrees Kelvin, minus 23 degrees Celsius), making it nearly as cold as our Solar Systems gas giants. Previous observations of this brown dwarf, published in 2014, provided tentative indications of water clouds based on very limited photometric data. Obtaining a spectrum is the only way to detect an objects molecular composition, said Dr. Andrew Skemer from the University of California, Santa Cruz. WISE 0855 is too faint for conventional spectroscopy at optical or near-infrared wavelengths, but thermal emission from the deep atmosphere at wavelengths in a narrow window around 5 microns offered an opportunity where spectroscopy would be challenging but not impossible. Dr. Skemer and his colleagues used the Gemini-North telescope in Hawaii and the Gemini Near Infrared Spectrograph to observe WISE 0855 over 13 nights for a total of about 14 hours. Its five times fainter than any other object detected with ground-based spectroscopy at this wavelength, Dr. Skemer said. Our spectrum shows that WISE 0855 is dominated by water vapor and clouds, with an overall appearance that is strikingly similar to Jupiter. The team developed atmospheric models of the equilibrium chemistry for a brown dwarf at 250 degrees Kelvin and calculated the resulting spectra under different assumptions, including cloudy and cloud-free models. The models predicted a spectrum dominated by features resulting from water vapor, and the cloudy model yielded the best fit to the features in the spectrum of this object. Comparing WISE 0855 to Jupiter, the astronomers found that their spectra are strikingly similar with respect to water absorption features. One significant difference is the abundance of phosphine in Jupiters atmosphere. According to planetary researchers, phosphine forms in the hot interior of the planet and reacts to form other compounds in the cooler outer atmosphere, so its appearance in the spectrum is evidence of turbulent mixing in Jupiters atmosphere. The absence of a strong phosphine signal in the spectrum of WISE 0855 implies that it has a less turbulent atmosphere. A scientific report of the teams findings has been submitted to the Astrophysical Journal Letters. The article is also publicly available at arXiv.org. _____ Andrew Skemer et al. 2016. The First Spectrum of the Coldest Brown Dwarf. ApJL, submitted for publication; arXiv: 1605.04902 An international team of scientists, led by Dr. Niels Kjrgaard from the University of Otago in Dunedin, New Zealand, has used steerable optical tweezers to split ultracold clouds of potassium-40 (40K) atoms and smash them together to directly observe a key principle of quantum mechanics the Pauli exclusion principle. The Pauli exclusion principle was proposed in 1925 by Austrian physicist Wolfgang Pauli. It states that, in an atom or molecule, no two electrons can have identical quantum numbers. As an orbital can contain a maximum of only two electrons, the two electrons must have opposing spins. The principle underpins the structure and stability of atoms as well as the mechanical, electrical, magnetic and chemical properties of almost all materials. Dr. Kjrgaard and his colleagues from the United States, Denmark and New Zealand used extremely precisely controlled laser beams to confine, accelerate and collide ultracold atomic clouds of fermionic 40K. The atomic clouds had a temperature of a mere millionth of degree Kelvin above absolute zero. The Pauli exclusion principle predicts a forbidden zone along a meridian of the spherical halo of scattered particles, which the teams experiments indeed unveiled. This dark band results from a no side-stepping rule that the principle dictates, which is that indistinguishable fermions cannot scatter out at 90 degrees to the collision axis, Dr. Kjrgaard said. When Dr. Kjrgaard and co-authors looked more closely at the data, they found that under some conditions the images of scattering halos from the particles would actually display side-stepping the dark band would be less dark. This is not because the rule suddenly breaks down, but because there can be situations where a particle scatters multiple times with consecutively new collision axes, Dr. Kjrgaard said. This particular finding has important implications for gaining insights into the particulars of the underlying processes governing multiple particle scattering. The scientists describe their results in the July 11 issue of the journal Nature Communications. _____ R. Thomas et al. 2016. Multiple scattering dynamics of fermions at an isolated p-wave resonance. Nature Communications 7, article number: 12069; doi: 10.1038/ncomms12069 [KASANE, BOTSWANA] A well-coordinated partnership among African universities and research institutions is crucial for building institutional capacities for socio-economic development, a meeting has heard. The 10th general assembly of the African Academy of Sciences (AAS), which was held in Botswana last month (21-22 June) in partnership with the Botswana Institute for Technology Research & Innovation and Botswana International University of Science and Technology, noted that such a partnership could help create research leaders. Botswanas President Seretse Ian Khama told participants said that intra-Africa collaborations should be encouraged, especially given that African countries often face similar problems. Sub-Saharan Africa depends heavily on international collaborations and visiting faculty for research outputs. Esi Awuah, University of Energy and Natural Resources, Ghana International collaboration is also necessary as the continent is part of the global community,Khama added. . Khama explained that while it is important that individual researchers increase their publications and their profiles, universities and institutions should ensure that they achieve the right balance between institutional and individual development so that they are not left in a lurch when individuals leave. According to Khama, science, technology and innovation are important drivers of socio-economic development, and thus relevant research should be conducted and dissemination of the results should be encouraged. Esi Awuah, vice-chancellor of the University of Energy and Natural Resources in Ghana, calls for funding that will provide a degree of independence to set Africa agenda and help build the capacity of African institutions to conduct research that meets local needs. Sub-Saharan Africa depends heavily on international collaborations and visiting faculty for research outputs, she said. Awuah explains that it is time to come up with a strategic partnerships that can deliver results and lasting outcomes, thus making Africa a knowledge-based continent. Dominic Makawiti, a professor at Kenyas University of Nairobi, encourages win-win strategic situation for universities and research institutes by formulating joint research proposals for funding. He called upon Africa policymakers to take action on intellectual property concerns arising from collaboration to ensure African universities and research institutions gain from such partnerships. Rapid expansion of universities with shortage number of staff, lack of equipment for research and little time for research are some of the challenges facing Africa continent which should be addressed in order to easily attract collaborations, Makawiti said.African universities and research institutions should maximise on the opportunities collaborations provide in ensuring access to world-class researchers to create more research leaders, Makawiti explained, indicating that effective alliances could boost development of local technologies to transform Africa.This piece was produced by SciDev.Nets Sub-Saharan Africa English desk. Elon Musk, Tesla CEO, claims that if Tesla's Autopilot was available for everyone, half a million people who died in fatal car accidents in 2015 could have been saved. Musk made the comment during a bizarre email conversation with a retired journalist, who revealed that the Tesla CEO tried to conceal the problem from the public. Fortune Magazine recently published a strange article featuring Tesla's $2.3 billion secondary offer on May 18 following the fatal accident that occurred involving the Tesla Model S Autopilot. Carol J. Loomis, the author of the article and 87-year-old financial journalist retired from Fortune in 2014 after her 60-year tenure at the publication, revealed that Tesla did not let the public know about the crash on May 7; at least not until June 30. The date is also the same day that the NHTSA announced that they will be investigating the crash, Electrek reported. Loomis mentioned that the crash should have been disclosed to public since it is a material fact that is relevant to the company's offers. Tesla Motors claim that their vehicles autopilot is safe and essential to customers. Tesla Motors have repeatedly hidden from the public and the NHTSA the accidents that involve their products. The federal regulators did not initiate an evaluation recently and it is still uncertain when the investigation will commence. Fortune Magazine reached out to Tesla Motors for further comments regarding the issue, especially that it is a stocks' substantial material. Loomis wrote that a spokesperson pointed her to Tesla Motors' stock closing for the day after the announcement of the accident and NHTSA evaluation, but Musk immediately entered the email conversation. Loomis did not reveal her side of the email conversation that occurred, but published an excerpt of Musk's response. According to the Tesla Motors' CEO, the fatal event is not material to the value of Tesla and that worldwide, there are over a million deaths due to car crashes, Fortune reported. Musk also added that if Tesla's Autopilot was available to everyone, the statistics would have been lowered. Tesla Motors Musk sounded irritated by the journalist's response towards the accident, especially after reading several misleading headlines. A Nokia-branded handset presumed as Nokia P1 render leaked revealing that it is being designed by engineers at InFocus and Sharp, a Foxconn subsidiary. Nokia previously announced that it will get back into the mobile business to set focus on Android devices. The Finland-based company will license its brand to its Asian partners, which will then create Nokia handsets. The Nokia P1 handset looked like a Sharp Aquos P1, which saw a limited distribution following release. The Nokia P1 device might be the first handset coming out of Nokia's new partnership, though no official statement has been released about the matter yet, Phone Arena reported. Nokia leased its name to Foxconn, which was announced earlier this year, in its bid to return to smartphone production. Foxconn also purchased Sharp a few months ago, which may give an explanation as to why the image could be the same as the Sharp Aquos 1, BGR reported. Nokia mobile reportedly could share the same set of specs with that of Sharp Aquos 1 such as a full HD display, 3GB of RAM, 32GB of internal storage, and microSD support, should the Nokia P1 proves to be a rebranded Sharp handset. Nokia's deal with Microsoft is said to be the case why the Finnish company could not release a new phone using the Nokia brand; at least not until the third quarter of the present year. iPhones recently became the standard resemblance of smartphones, which made all phones fairly similar. However, this reportedly do not mean that Nokia phones are not as important, especially that experts are branding the device market Justas an industry in the midst of bigger and tighter competition. Nokia's current CEO, during the Mobile World Congress in February, said that they are planning to dramatically increase their investment in 5G this year and unleash the power of their massive innovation engine. The Boreal forest fires in Canada that began in early May are still ravaging the woods. These can affect the global climate, according to NASA study and Forrest Hall. The fires consume millions of acres of trees and burn the soil on the forest floor. Peter Griffith, the founding director of NASA's Carbon Cycle and Ecosystems Office explained that these forests matter to the rest of humans on Earth because of how they help control climate by keeping carbon in the soil and in the trees and out of the atmosphere. He further explained that where the fires are getting bigger and happening more often. These impact the world. He added that it's laying more greenhouse gasses into the atmosphere that would have remained locked up for perhaps hundreds of years. The Boreal fires can affect the climate in two ways, according to Forrest Hall. These include changing the carbon balance and changing the Earth's radiant energy balance. Hall monitored that for the past 7,000 years, the boreal forest floor has been generating carbon at a rate of about 30 grams (or roughly 1 ounce) per square meter per year. They stated that when you walk in the boreal forest, you can accurately go from ankle deep in over your head in carbon litter. The boreal carbon cycle is structured by the rate of plant growth, the rate of decomposition of dead biomass, the rate of formation of frozen soil, which is called permafrost and the frequency and intensity of fires, which release carbon, methane and aerosol particles into the atmosphere. According to a new study, for every degree of global warming, the forest needs a 15 percent increase in precipitation to balance the increased drying caused by warming. On the other hand, forests are getting less rain, not more. National Geographic reports that the forest fires become more extreme and frequent. These create the similar cycle. They are burning the trees and the rich organic soil on the forest floor that serves as a large basin for carbon. "The warmer the Earth gets, the more fire we get, and the more fire we get, the more greenhouse gasses we get," stated Mike Flannigan, the director of the Western Partnership for Wildland Fire Science at the University of Alberta in Edmonton. Space missions have been growing not just in number but also in the time spent in space. Because of this, astronauts started to notice something that troubled them. They found that their time in space may be causing their eyesight to worsen. A report by Popular Mechanics found that John Phillips, an astronaut who has spent over six months on the International Space Station in 2005, claimed he started to have problems with his eyesight while he was in space. However he was hesitant to report it. "I'm not sure if I reported that to the ground," he said. "I think I didn't. I thought it would be something that would just go away, and fix itself when I got to Earth," Phillips said. During the routine post-flight medical exam, doctors discovered that Phillips' once 20/20 eyesight had deteriorated to 20/100. Another surprising thing is that, Phillips was not the only one who experienced deteriorated eyesight. According to Gizmodo, researchers found that about 80 percent of astronauts suffer from a condition known as visual impairment intracranial pressure syndrome (VIIP). Experts believe that this condition, like other conditions suffered by astronauts, may be connected to the lack of gravity. Researchers explained that fluid that normally accumulated in the lower half of the body, because of gravity, has a tendency to flow upward which can cause an increased pressure on the brain. An astronaut named Scott Kelly was found to have about two-liter bottle's worth of liquid that shifted to his brain during his time in space. This would have caused more pressure on the back of the eyeball, which could have caused it to flatten and push the retinas forward, thus distorting the eyesight. In Phillips' case, it was found that his optic nerves were inflamed and had choroidal folds. Meanwhile, NASA thought Phillips' case was an isolated one until researchers found evidence that VIIP is also experienced by other astronauts. The Washington Post reported that VIIP has now been recognized as a widespread problem, and experts have been struggling to understand what's causing it. Researchers said the theory that fluid accumulates in the skull during spaceflight has not been tested yet since the only proven methods to measure intracranial pressure are spinal tap or drilling a hole into the skull, which are both invasive. "There's the risk for infection and just doing the procedure, quite frankly, in space is difficult," said J.D. Polk, a senior flight surgeon at NASA. "Having to anchor somebody and do a spinal tap in space is not something we would relish." Researchers are giving their all to understand the syndrome here on Earth by using different methods such as, tilting bodies downwards to simulate the pressure on the eyes and putting people in parabolic flight, which simulates zero gravity for a few seconds. However, none of these methods generated helpful information. VIIP is considered to be one of the major obstructions in NASA's plans to send humans to Mars by 2030 considering that the trip would las six to nine months, and NASA can't have astronauts with deteriorating eyesight during the trip. Ross Ethier, a biomedical engineer at Georgia Tech, is designing a device that would make bodily fluids stay into the lower extremities during the flight. The size of the device and how long astronauts would have to wear it each day has not been determined yet. However, experts say that there is a potential that technology like ultrasound or cranial implant could help them study the pressure in the brain during space flights without having to perform risky and invasive in-flight surgery. For now, VIIP remains to be the first of many conditions we'll discover as people stay in space for longer periods of time. If new reports are to be believed Google has already begun working on two Android Wear smartwatches, possibly under the Nexus brand. According to Android Police, citing a reliable source, Google is developing two Android Wear devices, codenamed "Angelfish" and "Swordfish," which it intends to release after announcing its upcoming Nexus 2016 range of devices. The report claims that of the two smartwatches in pipeline, one will be larger featuring a 43.5mm diameter, LTE, GPS, and a heart-rate monitor. The smartphone in question is expected to come in a smooth circular shape that curves where the watch band touches the wrist. As per the report, one large circular crown button will be centered along the right side of the body, while, two smaller and shorter circular buttons will be present above and below it. Possible color for the smartwatch include a matte dark grey finish branded 'titanium.' The second smartwatch, codenamed "Swordfish," will be comparatively smaller with a diameter of 42mm and thickness of 10.6-mm. The smaller sibling will reportedly lack LTE and GPS. It's unclear if it will feature a heart rate monitor. The smartwatch is expected to have a single button centered on the right-hand side of the body. The device will allegedly be made available in three color variants namely silver, titanium, and rose gold, reported The Verge. The publication claimed that Swordfish will be compatible with Google's interchangeable MODE watch bands, while, the Angelfish device will not support MODE bands. Both the Android wear smartwatches will offer Google Assistant integration. As far as the release date is concerned, the alleged smartwatches are expected to be announced at Google's September event. We advise our readers to take the information with a big grain of salt as nothing yet has been confirmed by Google. What do you think about Google Android Wear smartwatches? Let us know in comments below. A woman was discovered wandering the backyard of a home in Louisiana, covered in bug bites and appearing malnourished. Authorities said that they investigated the property after receiving an anonymous tip. Officials detail 'very sick individuals' in Amite arrested for keeping caged autistic woman https://t.co/cSbqgjOe84 pic.twitter.com/MBlu5j6a2K The Advocate (@theadvocatebr) July 8, 2016 It was believed that the woman may have been living in a makeshift shelter located in the property's backyard since October 2015, as reported by WAFB. "She was often locked in the cage at night in order to keep her from wandering off," a statement from the Sheriff's Office said. Five men have been identified in connection to the woman: Terry Knope, 43; Raylaine Knope, 40; Taylor Knope, 18; Jody Lambert, 21; and Bridget Lambert, 19, were all arrested for human trafficking and cruelty to the infirm. However, The Advocate said that it isn't known whether or not they hired an attorney. All five are said to have remained in the Tangipahoa Parish Prison in lieu of a $150,000 bail each. The woman was put in the custody of the Louisiana Department of Health, Adult/Elderly Protective Services. However, she was not the only victim. Four minor children aged seven and younger were also removed from the home and placed in the Louisiana Department of Children and Family Services for custody. Authorities also believe that the people involved planned on transporting the victim "to a specific location with the intention of prostituting her out to multiple male subjects for money." "The lesson here, as far as I can tell, is that there are some very sick individuals in this world, and there are some sick individuals within Tangipahoa Parish," Tangipahoa Parish Sheriff Daniel Edwards told The Advocate. "Human trafficking doesn't discriminate. It exists in Shreveport. It exists in New Orleans. It exists in Tangipahoa Parish. It exists in St. Tammany Parish. It exists everywhere there are people." If you haven't already noticed, Facebook has had live streaming for a couple of months now. With the current live-streaming option of many social media sites, and their influence on people's daily lives, it is not surprising that we also get our daily dose of news online - thanks to media sharing. However, when Diamond "Lavish" Reynolds logged on to Facebook after Philando Castile, her boyfriend, was shot by a police, she recorded the murder on live feed - urging him, as many who watched after did, to stay with her. Castile's life was in the hands of the police officer who shot him - the gun pointed into the car with Lavish and her daughter still inside. Rolling Stone noted that instead of screaming or crying, Reynolds took out her phone and streamed the murder live on Facebook as she recounted the events that unfolded. A Georgetown University Law professor and former prosecutor, Paul D. Butler shared with The New York Times his take on the incident, saying that "The videos are smoking-gun evidence. Both literally because they are very graphic, which generates outrage, and figuratively, because people believe their own eyes." These types of posts make Facebook somewhat of a news site: if it weren't for the video, police action may have been left unquestioned - and this shed an evidence saying otherwise. However, the social network still does not seem to see themselves as they are - making them a reluctant purveyor of truth in this internet-centered community. In a recent blog post, Facebook Executive Adam Mosseri shared, "We are not in the business of picking which issues the world should read about. We are in the business of connecting people and ideas - and matching people with the stories they find most meaningful." Crucial decisions are being made by Facebook and other social media sites regarding the content they take down from sites. The Washington Post noted that Twitter has suspended over 125,000 accounts associated with terrorist recruitments. YouTube took down, the put back up, videos of Syrian security forces torturing a teenage boy. In a grisly discovery, scientists were able to piece recently discovered skeletons together to find that they formed five humans: four adults and a child, belonging to Neaderthals living in what is now known as Belgium, between 40,500 and 45,500 years ago. Breaking new evidence of cannibalism among Neanderthals living in northern Europe between 40,500-45,500 years ago!https://t.co/y06bztCBHs Discovery (@Discovery) July 10, 2016 The discovery showed an "unambiguous evidence" of cannibalism between the species, with bones bearing unmistakable signs of butchery - with indentations where they were hammered open, cut marks left by knives, and a jumble nearby of the remains of horses and reindeer with the same cuts and bruises. This, according to The Washington Post, suggests that hominins ate their own kind. Not only that, they also skin one another and slice through their bones - even to the point of extracting their bone marrows. Researchers from the University of Tubingen in Germany said that in their study published in Scientific Reports, their team's complete analyses of the mitochondrial DNA of Neanderthals doubled as an existing genetic data on species that died out around 30,000 years ago. Paleoanthropologists believed for decades that a burial is proof of a person's importance - if he is mourned, he is loved. However it seems that things were not always what they seemed, and scientists today stuck on describing what they knew of these discoveries: the bones and teeth from five Neanderthals lying in a cave in Belgium were found to have cut marks where they'd been hacked apart, or where flesh had been torn away, with their rib cages showing signs of being pried open. Some of the bones were also shown to have marks of "retouching," as if they'd been used to sharpen stone tools. In other words - after these people were eaten - their bodies were also used as whetstone - just like the animals they were found with. After its removal from the State House grounds a year ago, the Confederate flag was back if just for the day. Flag supporters staged a rally on the grounds Sunday the one-year anniversary of the flags removal from a Confederate soldiers memorial where an honor guard of Confederate re-enactors raised the flag once again on a temporary pole in the same spot. But it would fly only until the event permit expired, at 5 p.m. Sunday. The rally drew about 150 people from across South Carolina and beyond, according to an estimate from the S.C. Department of Public Safety, as well as a smaller number of anti-flag protesters. The event was organized by the S.C. Secessionist Party, which plans to make the raising an annual event. Party chairman James Bessenger said the flag is needed on the monument to honor the thousands of South Carolinians who lost their lives fighting for the Confederacy. We memorialize those who died at Pearl Harbor and on 9/11, Bessenger said. We dont defame soldiers today because they fight for oil and global bankers, and we shouldnt defame (the Confederates). After a moment of silence for the victims of the mass shooting in Dallas, organizers quickly raised the banner on a 30-foot temporary pole while supporters many carrying Confederate flags of their own cheered and cried out Amen and Leave it up. The ceremony was followed by the playing of Dixie, what Bessenger called our national anthem. Annie Gaddell traveled from her home in Summerville for the rally. She said shes had the windows of her home shot out because she flies a Confederate flag on her porch, and she wants to see the flag returned to its former place of prominence. Flag supporters need to make their voices heard with state leaders, she said. We cannot sit on our hands. We have to call, write letters, any kind of communication we can have with them, Gaddell said, shading herself with a Confederate flag umbrella. I wish we had a thousand more people here, because this flag belongs to everybody. But others dont share Gaddells passion. About a dozen protesters stood on the other side of a barricade set up by law enforcement on the Gervais Street sidewalk, carrying a red-black-and-green black liberation flag and speaking through a bullhorn, trying to drown out pro-flag speakers by calling the flag a symbol of hate and slavery. Even your governor and your senators say its racist, the protesters shouted, then chanted the names Walter Scott and Charleston Nine referring to a black North Charleston man shot by a white police officer, and the nine victims of Charlestons Emanuel AME Church killings, which precipitated the flags removal last year. Officers from several law enforcement agencies patrolled the State House grounds during Sundays rally, keeping flag supporters separated from opponents. Despite some verbal exchanges between the two sides, flag supporters made a point of turning their backs on the protesters. A Public Safety spokesman said no arrests were made during the rally or its aftermath. Arlene Barnum brought some diversity to the pro-flag side of the rally. A black woman from Oklahoma, Barnum said she can trace her Confederate ancestry to her great-great-great-grandfather, a Confederate veteran from Alabama, and his slave mistress, who family lore says died when the family house was burned by Union forces. If we dont keep fighting, they are going to take the monument down next, Barnum said. I think taking this flag down is an attack on white people, but white people are afraid to say it because they will be called racist... I feel sorry for white people, honestly. Barnum said shes never seen a contradiction between her skin color and her support for the Confederate flag. Referring to the protesters on the other side of the barricade, she said, I feel more comfortable in here than I would out there. But Sean McGuinness, a white man and another Confederate descendent, took the opposite view. He stood nearby with a placard with a quote from Confederate vice president Alexander Stephens cornerstone speech declaring the Confederacy to be based on the great truth that the Negro is not equal to the white man and the hashtag #ItWasAboutSlavery. If the government comes for it on their front lawns or their T-shirts, Ill stand shoulder-to-shoulder with them, McGuinness said. But I dont want it on (the states) front lawn ... Its about heritage and hate. If the Secessionist Party has its way, the flag will return to the State House grounds every year. The party already has submitted an application to hold the rally again next July 10, Bessenger said. He credited the defeat of several prominent state legislators in this years primaries, like longtime Sen. Larry Martin and Rep. Jenny Horne, who was running for Congress, in part to their votes to take down the Confederate flag showing support for the battle flag is still strong, he added. When we have our events on the Battery (in Charleston), people come up to me real quiet and say thank you for what youre doing, but they wont be outwardly proud, Bessenger said. We have to go beyond heritage, not hate, and tell people why their heritage is important. By Huw Jones LONDON (Reuters) - SWIFT, a messaging system used by banks across the world, announced further steps on Monday to bolster its defences against hackers, after criminals sent fraudulent payment instructions across its network. The Society for Worldwide Interbank Financial Telecommunication said it has hired two outside cyber security firms, BAE Systems and Fox-IT2, to reinforce in-house expertise, and has set up a team to share cyber defence "best practice" among its customers. In February, thieves hacked into the Bangladesh central bank's interface with SWIFT's network, which is a pipeline for transferring funds and the backbone of international finance. They sent payment instructions to the Federal Reserve Bank of New York, telling it to transfer $951 million from Bank Bangladesh's account to accounts in the Philippines. Most of the transactions were blocked but four went through, amounting to $81 million that remains missing. SWIFT, a Belgium-based co-operative owned by its users, had already unveiled measures to tighten up security. On Monday it announced it was also setting up a Forensics and Customer Security Intelligence team to investigate security incidents at customers. The team will help in the collection and sharing of anonymised information with customers on how best to deal with hackers. SWIFT Chief Technology Officer Craig Young said information from banks that have been subject to fraud attempts was crucial for identifying new malware. "We therefore continue to remind customers that they are obliged to inform SWIFT of such incidents as soon as possible, and to proactively share all relevant information with us so we can assist all SWIFT users," Young said in a statement. SWIFT said its information-sharing initiative has grown significantly since its launch, and now includes detailed intelligence and analysis on the modus operandi of attackers in recent customer fraud cases. "In addition SWIFT has published an inventory containing some of the specific malware used in reported attacks, as well as indicators of compromise (IoCs) that SWIFT has developed to assist other customers in detecting threats operating in their environments," the company said. (Reporting by Huw Jones; Editing by Susan Fenton) By Rajendra Jadhav MUMBAI, July 11 (Reuters) - Israel Chemicals (ICL) will ship potash to India at the same decade-low price agreed by another seller last month, two sources said, as global supply of the crop nutrient exceeds demand. ICL's decision could pressure other key producers such as Russia's Uralkali and North American trading group Canpotex Ltd, owned by Potash Corp of Saskatchewan , Mosaic Co and Agrium Inc, to consider offering potash at similar prices. Indian Potash Ltd (IPL), one of the country's biggest fertiliser importers, will buy around 600,000 tonnes of potash at $227 per tonne on a cost and freight (CFR) basis with a credit period of 180 days, said the officials, who declined to be named as the contract has not been officially announced. The price is a third lower than last year. In the last week of June, Belarus agreed to supply 700,000 tonnes of potash at the same rate to India, one of the world's top buyers of the material. India's potash contract with Israel Chemicals will likely be announced on Monday, the sources said. Both IPL and ICL declined to comment on any potash contract. Uralkali, the world's biggest potash producer, has said the price agreed by Belarus was too low and it was not yet ready to sign a potash supply contract with India. But Indian officials have said $227 was the new benchmark. Prices were as high as $490 three years ago. "Already two producers have agreed this price. It is not possible for India to offer a higher price," said one of the sources involved in the latest deal. India and China, the world's biggest fertiliser consumers, usually sign contracts earlier in the year. This year, deals were delayed as high stocks held by farmers in the wake of falling prices for agricultural commodities meant there was no rush to agree a deal. India's deal is a rare instance of the country signing a potash supply contract with a major producer before China. (Reporting by Rajendra Jadhav; Additional reporting by Tova Cohen in Tel Aviv; Editing by Joseph Radford) The MoU was signed in Antwerp by Panamas Minister of Maritime Affairs and Administrator of the AMP Jorge Barakat, and the Port of Antwerps c hairman of the board Marc Van Peel and the port of Antwerps ceo Eddy Bruyninckx. The signing followed the visit of Panamas president Juan Carlos Varela in 2015, who was impressed by Antwerps success in attracting the largest European firms to set up logistics facilities in the port area. The MoU will widen and deepen the co-operation between Antwerp and Panama, setting forth a framework of joint collaboration and actions and shall allow the development of specific activities and optimising their management of their ports. This will include training and exchange of information, as well as internships in port administration and port companies. Antwerp handled record tonnage of 208m tonnes in 2015 with 9.7m teu of containers and the port registering a 7.5% growth in 2015. Panama is the largest transhipment hub in the Americas moving 6.7m teu last year. PSA International, which is present at both Antwerp and Panama, is expanding its Antwerp facilities to increase capacity to 9m teu while the Singapore-based port operator is also investing its Panama terminals that will bring capacity to 2m teu by 2017. One of the worlds biggest boxships, Panama-flagged 19,200 teu MSC Zoe, was calling at PSA terminal in Antwerp during the visit of the Panama delegation led by Minister Barakat. Mid-June RBS reportedly received bids from Credit Suisse and China Merchants Bank (CMB) for its Greek shipping finance business. However, Credit Suisse, the current top lender to Greek shipping is said to have lost interest at the due diligence stage. Neither Credit Suisse nor RBS has commented on this report. If confirmed it will likely mean the Chinese conglomerate will have a clear run should it decides to mount a bid, in the ever warming financial climate between Greece and China. The British bank is 73% state-owned following a 46bn ($59.6bn) bailout in 2008 and is known to have been looking for more than a year for a buyer of its once market-leading Piraeus shipping operation as pressure on RBS mounts to concentrate on UK domestic clients. Analysts note RBS has owners like Peter G Livanos and John A Angelicoussis in its stable, attractive clients for private wealth business. Though RBS has always publicly insisted it has not lost money on shipping, and has given repeated reassurances it remains committed to the industry, but it has been reducing its shipping book since the beginning of the global financial crisis. According to the annual ship finance survey by Petrofin Research, five years ago (end 2010) RBS' Greek book stood at $12.34bn, more than double the second biggest lender, Deutche Schiffsbank's $5.566bn. At the dawn of this year, Petrofin put RBS' Greek book at $5.2bn, now second to Credit Suisse's $6.72bn. Total lending to shipping stood at $62.7bn at the end of 2015, down from $64bn at the end of 2014, according to Petrofin. It is known CMB has been looking for shipping and commodities-related assets in Europe, for sometime and this development could cement the growing relationship between Greece and China. The latest Petrofin survey does not include China-state owned CMB among the top 30 lenders to Greek shipping all of which have books of over $200m. However, there are three Chinese lenders in the group, China Exim Bank, about $2.4bn, China Development Bank, $1.85bn, and China Everbright Bank with a $650m loan book to Greeks. Photo: Loimere/Creative Commons Growing up in Northern New York-where the foothills of the Adirondack Mountains roll into the St. Lawrence Seaway-meant that I spent many quiet hours casting a line into ponds, lakes, and rivers. Anyone can fish from the shore, of course, but most anglers know that the real place to go is out into the depths-and there's no better way to get there than a good canoe. Not all canoes are the same, however. Some are designed for speed, others for maneuverability in rapids. A fishing canoe must be, above all else, stable. In addition, it needs to be relatively easy to paddle, designed to track smoothly on flat water, have enough room to hold gear and fish, and-if possible-light enough to haul across the occasional portage. It's a unique set of characteristics, to be sure, but have no fear-here are the five best canoes for fishing on the market now: When it comes to canoes, Old Town is one of the most trusted brands and their classic Guide series is in many ways the pinacle of all-around design. The Guide 147 is a stable boat with enough room for two anglers. Weighing 74 pounds, it is manageable for two-or one motivated-person. It also comes with comfortable seats and backrests. This canoe is ideal for a fisherman or woman who also wants a boat that can be functional for the whole family. The Angler, by Mad River Canoes, is designed with the avid sportsman in mind. In addition to being wide and stable, this boat features a foam-core hull which deadens sound and insulates from cold water. It is also remarkably light for a canoe of its size, perfect for the long portage to those secret and secluded fishing spots. Esquif-a boat company based in Quebec, Canada-has designed what may be the ultimate hunting and fishing boat. The Heron features a foam cored inside its long, wide hull to make a boat that is both light weight and stable. In addition, the boat features a square stern-meaning it can be used with or without an outboard motor. To top it all off, the hull is available in a camouflage pattern. For those long fishing trips-including multi-day expeditions-the Mac Sport 16.5 from Clipper Canoes excels. The hull is made from layered kevlar and fiberglass, allowing this boat with huge capacity and great stability to weigh in between 62 and 84 pounds (depending on desired composition ratio). The boat can be loaded with 1300 pounds and still have a gracious seven inch freeboard. Finally, the Mac Sport features a motor-ready square stern for those really long hauls. For the solo angler, the innovative Wenonah Fusion fusion offers all the benefits of a canoe-stability, storage, and dryness-with the lightness and solo maneuverability of a kayak. The Fusion features a comfortable padded seat with a backrest standard and an option rudder making paddling easy whether it's with a single or double blade. From casual family fishing to multi-day expeditions to solo adventures, these canoes will fit the needs of any angler. Photo credit: Loimere/Creative Commons About 99 million years ago, two bizarre spiders - each sporting hard, armored plates on their bodies and horns on their fangs - became mummified in sticky tree resin that turned into amber. They remained there until recently, when scientists discovered the chunk of amber and analyzed the spiders locked inside. These ancient, extinct spiders are part of a spider family called Tetrablemmidae, a group whose males often have horns on their heads and fangs, said study lead author Paul Selden, a professor of invertebrate paleontology at the University of Kansas. RELATED: Dinosaur-Era Bird Wings Preserved in Amber But the newly identified species has rather "complex" horns that have two prongs at their tips, which is peculiar, even for a Tetrablemmid spider, Selden said. [Weird and Wonderful: 9 Bizarre Spiders] "The new fossil is an adult male and takes these horns to an extreme," Selden told Live Science in an email. "Nevertheless, the new species can be firmly placed within the modern family and is similar to species living in Southeast Asia and China today." Both of the amber fossils came from a mine in northern Burma (also known as Myanmar). Selden met with a dealer who was selling the polished specimens in China, and the scientist and his colleagues purchased those that were of scientific interest, he said. Tetrablemmid spiders usually have six or fewer eyes, but the researchers couldn't find any on the Cretaceous-age specimens, likely because those body parts didn't preserve well, he said. However, the mummies look somewhat similar to the modern Tetrablemmid spiders in the genus Sinamma, which live in southwest China. Sinamma species have eyes on the upper part of the head, so the newfound species likely did too, the researchers said. RELATED: Johnny Cash Tarantula One of 14 New Spiders "What caught my eye about this spider was the enormous projection on its head, most likely bearing eyes, and the bizarre horns on its fangs," Selden said. Selden and his colleagues named the new species Electroblemma bifida. The genus name refers to the Greek "elektron," or amber, and "blemma" or appearance, a common suffix used for tetrablemmid spiders. The species name refers to the two-pronged tip at the end of the horns on this spider's fangs, the researchers said. In general, Tetrablemmid spiders have armor-like hard plates covering their bodies that protect them from predators, such as spider-hunting wasps, Selden said. These spiders are usually tiny, only about a quarter inch (0.6 centimeters) long. The mummified specimens are slightly smaller, with one measuring 0.06 inches (1.58 millimeters) long. WATCH VIDEO: "Is Spider Silk the Next Bulletproof Material?" Adolf Hitler farted uncontrollably, used cocaine to clear his sinuses, ingested some 28 drugs at a time and received injections of bull testicle extracts to bolster his libido. The startling revelations come from Hitler's medical records, now up for auction at Alexander Historical Auctions of Stamford, Conn. (The full catalogues can be found here and here.) Bidding for the documents - which include 10 X-rays of various views of the dictactor's skull, the results of several EEG tests and sketches of the inside of his nose - ends Tuesday and Wednesday. ANALYSIS: Hitler Ate Marmalade at Breakfast The cache consists of a 47-page account compiled by his six chief physicians, each specializing in different areas of treatment, and a 178-page report dated June 12, 1945, which was compiled by Dr. Erwin Giesing, while he was interned by American forces. The U.S. military commissioned the medical reports provided by Hitler's personal doctors, Bill Panagopulos, president of Alexander Autographs, told the New York Daily News. ANALYSIS: Proof That Hitler Had an Illegitimate Son? Though there is no official document regarding Hitler's love of cocaine, Giesing wrote that the dictator inhaled powdered cocaine to "clear his sinuses" and "soothe" his throat. Since he had begun to "crave" the drug, his dosage had to be lowered, Giesing wrote. The documents reveal another unflattering aspect of Hitler's life: der Fuhrer "suffered from uncontrollable flatulence." PHOTOS: Hitler's Wife, Eva Braun, Seen in Rare Photos In an attempt to control it, he regularly ingested up to 28 drugs, including "anti-gas" pills based on strychnine, a poison that caused him liver and stomach ailments. One of the doctors,Theodore Morrell, reported that the Mein Kampf madman received injections of extracts of seminal vesicles, testis and prostata of young bulls. "Morrell believes that Hitler, although not strongly inclined to sexual activity, did have sexual intercourse with Eva Braun, though they were accustomed to sleep in separate beds," said one of the papers. The medical reports are expected to fetch as much as $2,000 each. Photo: Hitler,1932. Credit: Deutsches Bundesarchiv (German Federal Archive)/Wikimedia Commons. ABERDEEN, UNITED KINGDOM--(Marketwired - Jul 11, 2016) - Ithaca Energy Inc (TSX: IAE) (LSE: IAE) TSX: IAE Not for Distribution to U.S. Newswire Services or for Dissemination in the United States This Announcement Contains Inside Information Ithaca Energy Inc. Second Quarter 2016 Operations Update 11 July 2016 Ithaca Energy Inc. (TSX: IAE, LSE AIM: IAE) ("Ithaca" or the "Company") provides an operations update following the end of the second quarter of the year ("Q2-2016" or the "Quarter"), including an update on the status of the "FPF-1" floating production facility modifications programme being undertaken by Petrofac in the Remontowa shipyard in Poland. The Company is scheduled to issue its financial results for the first six months of the year ("H1-2016") on 15 August 2016. Les Thomas, Chief Executive Officer, commented: "The FPF-1 works are now being finalised, with preparation and performance of the inclination test being the only work required prior to the vessel exiting the yard. We are delighted with the quality and completeness of the vessel, having achieved our key objective of completing the onshore scope in the yard and avoided costly carry over of unfinished work offshore. Our existing production business is performing well, with volumes running ahead of guidance and continued deleveraging being delivered ahead of Stella start-up." Greater Stella Area Development The FPF-1 modifications are essentially complete and the vessel will be ready to leave the yard upon completion of approximately two weeks of work that is required to prepare for and perform the planned vessel inclination test and loading of supplies for the transit from the yard. Importantly, the onshore modifications scope has been completed in the yard without the need to carry onshore scope offshore. The FPF-1 is expected to leave the yard later this month and will complete the final marine system trials offshore Gdansk prior to being towed to the field. The anticipated period from sail-away to first hydrocarbons is approximately three months. Story continues Further updates will be provided once the FPF-1 has left the yard and when it commences the tow to the field. Production & Operations The producing asset portfolio has performed well over H1-2016, with production running ahead of guidance. Average production during the Quarter was approximately 9,800 boepd, resulting in average production in H1-2016 of 9,400 boepd. The remedial works on the Pierce field subsea gas injection flowline were completed as planned at the end of the Quarter and unrestricted production rates have been restored. Full year base production guidance, excluding any contribution from start-up of the Stella field during 2016, remains unchanged at 9,000 boepd. The additional production contribution resulting from the start-up of Stella during the year will depend on the exact timing of first hydrocarbons from the field. Prompt ramp up of production is anticipated following first hydrocarbons, leading to an expected initial annualised production rate of approximately 16,000 boepd net to Ithaca. Production in the third quarter of the year is expected to remain broadly in line with full year guidance, with the two week planned Brent System maintenance shutdown that impacts production from the Company's Northern North Sea fields now scheduled to take place in October 2016. Financials Hedging The Company's future commodity hedged position remains unchanged from that announced at the previous quarter's financial results. During Q2-2016 approximately 11,000 boepd (52% oil) of commodity hedges were realised. Approximately 9,400 boepd (48% oil) is hedged in the remaining six months of 2016 at an average price of $58/boe. In the first half of 2017 approximately 7,000 boepd (50% oil) is hedged at an average price of $60/boe. Net Debt Net debt at 30 June 2016 was $606 million, down from $630 million at the end of the first quarter of the year. Following completion of the semi-annual RBL redetermination process in April 2016 the Company has in place total available debt facilities of $730 million, providing in excess of $120 million of funding headroom ahead of planned first hydrocarbons from the Stella field. This funding capacity comprises $300 million unsecured senior notes and $430 million bank debt facilities. H1-2016 Financial Results Conference Call The Company is scheduled to release its H1-2016 financial results on 15 August 2016. A conference call and webcast for investors and analysts will be held on the same day at 12.00 BST (07.00 EDT). Listen to the call live via the Company's website (www.ithacaenergy.com) or alternatively dial-in on one of the following telephone numbers and request access to the Ithaca Energy conference call: UK +44 203 059 8125; Canada +1 855 287 9927; US +1 866 796 1569. Glossary boepd Barrels of oil equivalent per day RBL Reserves Based Lending facility - ENDS - Enquiries: Ithaca Energy Les Thomas lthomas@ithacaenergy.com +44 (0)1224 650 261 Graham Forbes gforbes@ithacaenergy.com +44 (0)1224 652 151 Richard Smith rsmith@ithacaenergy.com +44 (0)1224 652 172 FTI Consulting Edward Westropp edward.westropp@fticonsulting.com +44 (0)203 727 1521 Tom Hufton tom.hufton@fticonsulting.com +44 (0)203 727 1625 Cenkos Securities Neil McDonald nmcdonald@cenkos.com +44 (0)207 397 1953 Nick Tulloch ntulloch@cenkos.com +44 (0)131 220 9772 Beth McKiernan bmckiernan@cenkos.com +44 (0)131 220 9778 RBC Capital Markets Daniel Conti daniel.conti@rbccm.com +44 (0)207 653 4000 Matthew Coakes matthew.coakes@rbccm.com +44 (0)207 653 4000 Notes In accordance with AIM Guidelines, John Horsburgh, BSc (Hons) Geophysics (Edinburgh), MSc Petroleum Geology (Aberdeen) and Subsurface Manager at Ithaca is the qualified person that has reviewed the technical information contained in this press release. Mr Horsburgh has over 15 years operating experience in the upstream oil and gas industry. References herein to barrels of oil equivalent ("boe") are derived by converting gas to oil in the ratio of six thousand cubic feet ("Mcf") of gas to one barrel ("bbl") of oil. Boe may be misleading, particularly if used in isolation. A boe conversion ratio of 6 Mcf: 1 bbl is based on an energy conversion method primarily applicable at the burner tip and does not represent a value equivalency at the wellhead. Given the value ratio based on the current price of crude oil as compared to natural gas is significantly different from the energy equivalency of 6 Mcf: 1 bbl, utilising a conversion ratio at 6 Mcf: 1 bbl may be misleading as an indication of value. About Ithaca Energy Ithaca Energy Inc. (TSX: IAE, LSE AIM: IAE) is a North Sea oil and gas operator focused on the delivery of lower risk growth through the appraisal and development of UK undeveloped discoveries and the exploitation of its existing UK producing asset portfolio. Ithaca's strategy is centred on generating sustainable long term shareholder value by building a highly profitable 25kboe/d North Sea oil and gas company. For further information please consult the Company's website www.ithacaenergy.com. Forward-looking Statements Some of the statements and information in this press release are forward-looking. Forward-looking statements and forward-looking information (collectively, "forward-looking statements") are based on the Company's internal expectations, estimates, projections, assumptions and beliefs as at the date of such statements or information, including, among other things, assumptions with respect to production, drilling, construction and maintenance times, well completion times, risks associated with operations, future capital expenditures, continued availability of financing for future capital expenditures, future acquisitions and dispositions and cash flow. The reader is cautioned that assumptions used in the preparation of such information may prove to be incorrect. When used in this press release, the words and phrases like "anticipate", "continue", "estimate", "expect", "may", "will", "project", "plan", "should", "believe", "could", "target", "in the process of", "on track","set to" and similar expressions, and the negatives thereof, whether used in connection with operational activities, timing of sail-away of the FPF-1 vessel, including remaining FPF-1 work activities prior to sail-away, remaining work activities prior to the FPF-1 being towed to the field, Stella first hydrocarbons, production forecasts, anticipated ramp-up of production following Stella first hydrocarbons, timing of future FPF-1 updates, duration and timing of planned maintenance shutdowns, timing of H1-2016 financial results release, or otherwise, are intended to identify forward-looking statements. Such statements are not promises or guarantees, and are subject to known and unknown risks, uncertainties and other factors that may cause actual results or events to differ materially from those anticipated in such forward-looking statements. The Company believes that the expectations reflected in those forward-looking statements are reasonable but no assurance can be given that these expectations, or the assumptions underlying these expectations, will prove to be correct and such forward-looking statements included in this press release should not be unduly relied upon. These forward-looking statements speak only as of the date of this press release. Ithaca Energy Inc. expressly disclaims any obligation or undertaking to release publicly any updates or revisions to any forward-looking statement contained herein to reflect any change in its expectations with regard thereto or any change in events, conditions or circumstances on which any forward-looking statement is based except as required by applicable securities laws. Additional information on these and other factors that could affect Ithaca's operations and financial results are included in the Company's Management Discussion and Analysis for the quarter ended 31 March 2016 and the Company's Annual Information Form for the year ended 31 December 2015 and in reports which are on file with the Canadian securities regulatory authorities and may be accessed through the SEDAR website (www.sedar.com). This information is provided by RNS The company news service from the London Stock Exchange END A monkey-operated cashew nut processing site, dating to at least 700 years ago, has just been discovered in Brazil. The findings, outlined in the journal Current Biology, document the earliest evidence for tool use by monkeys outside of Africa. Humans might have even first learned about cashew nuts from the industrious little primates. "One of the ways that modern hunter gatherers gain information about the landscape is to observe the behavior of other animals -- alarm calls by monkeys can signal a predator threat that also affects humans, for example," lead author Michael Haslam, a senior research fellow in primate archaeology at the University of Oxford, told Discovery News. RELATED: Remains of North America's First Monkey Found "It's speculative," he added, "but noisy and prominent cashew processing by capuchin monkeys was unlikely to have been missed by ancient Brazilians." The following three videos show the capuchins at work: Haslam and his colleagues observed capuchin monkeys busily using stones as hand-held hammers and anvils to pound open cashew nuts, which are actually seeds within a hard fruit that grows on the brightly colored cashew apple. Pulp inside the apple is edible as well, but is hard to transport, explaining why the apples are not often found outside of Latin America. To establish the antiquity of capuchin cashew processing at the site -- Serra da Capivara National Park in northeast Brazil -- the researchers conducted an excavation that recovered many capuchin stone tools. Small pieces of charcoal found with the large tool cache were radiocarbon dated to at least 700 years ago. This means that the tools predate the arrival of Europeans in the New World. The researchers estimate that around 100 generations of capuchins have processed cashews at the same site over the past seven centuries. The number could even be much higher. The monkeys are thought to have originated in Africa before traveling, perhaps unintentionally on floating vegetation, to the New World some 40 million years ago. The cashew trees, on the other hand, are native to Brazil. At Serra da Capivara, the trees date to about 8,5007200 years ago. Senior author Tiago Falotico, a postdoctoral research fellow at the University of Sao Paulo Institute of Psychology, said, "Cashews nuts are not a primary food source, but capuchins have a great preference for it when it is present. Some of the individuals even discarded apparently good cashew apples just to eat the nut." He continued, "We know that cashew nuts are very energetic and balanced across carbohydrates, fat, and protein, characteristics that makes cashew nuts a good food choice." As for how the monkeys first learned how to process cashews, the researchers suggest that shelled edibles once helped save the capuchins from starvation. Co-author Eduardo Ottoni, a professor in the Institute of Psychology at the University of Sao Paulo, explained that "robust" capuchins split off from slenderer built ones as they left the Amazon forest and reached the Atlantic forest. "That involved crossing much drier areas where hard-shelled fruit was probably a key resource, hence their robust jaws," Ottoni said. "In such a context, stone-aided nut cracking was arguably very useful." Capuchins do not just use tools to process cashews. Ottoni said that some select even heavier stones to bust open hard palm nuts in certain regions. The Serra da Capivara monkeys use different stones to dig plant roots and tubers. RELATED: Florida's Monkey River: Photos They also use sticks as probes to dislodge prey -- mostly lizards -- from rock cracks. They use sticks to pull spiders out of their burrows and to collect honey. Each tool is carefully selected for size, weight and usefulness to the particular task. Other monkeys, such as macaques, are also adept at using tools. Last year, for example, Amanda Tan from Nanyang Technological University and her team documented how macaques use one-handed hammering with the points of small tools to crack open oysters with precision at Piak Nam Yai and Thao Islands in Laem Son National Park, Thailand. On July 19, the virtual doors will officially open to the latest park to grace the nation's most populous city, when The Hills makes its public bow on Governors Island, just off Manhattan. The park has been praised as a "stunning (and fun) transformation of a forgotten New York landmark," but is notable also for the fact that it has been purpose-built to withstand coming decades of sea level rise and possible storm surges. Situated at the confluence of the East and Hudson Rivers in New York Harbor, the island's natural beauty caused it to be set aside by the British for "the benefit and accommodation of his Majestie's Governors;" following independence, it became a military post and then a major command center for the United States Army. In 1966, the Army handed over authority to the United States Coast Guard, which used the island as the base for its Atlantic Area Command. The Coast Guard left in 1996, and in 2003, the island was divided into two parcels: 22 acres, designated as the Governors Island National Monument and administered by the National Park Service; and 150 acres administered by The Trust for Governors Island. Although it has since been open to the public on a seasonal basis, it has in some quarters been "dismissed as a string of dilapidated buildings that blocked views of the water, little more than a curious and quaint chapter in New York history." RELATED: US Cities Under 12 Feet of Sea Level Rise: Photos Determined to breathe life back into the former landmark, the Trust for Governors Island commissioned Dutch architect Adriaan Greuze to reimagine one large area as a recreational park; the result is "The Hills," a 10-acre area that will provide visitors with spectacular views of Manhattan and the harbor, and will be one of the few places where it is possible to see the face of the Statue of Liberty from land. The elevated nature of the park certainly improves the views, but it also is specifically designed in that way to protect the park against the impacts of climate change - and in particular, rising sea levels and storm surges, a need that became clear after the area was hit by superstorm Sandy in 2012. The hills were made from fill created largely from the buildings that were demolished to make way for them, and are secured with jute mesh and an overabundance of plants and shrubs to protect them from erosion. A rocky sea wall will break up the surf, and concrete seat edges at the bottom of some of the hills will act as water barriers, as will the hills themselves. And by planting trees and shrubs at a higher elevation, the park's creators safeguard them against intrusion from saltwater as sea levels rise. "In essence we learned to accept that nature's not changing," outgoing Trust president Leslie Koch told Wired. "It's how are we going to live in it, not how are we going to stop it. This kind of thinking should be happening with every development in New York City." WATCH: Which Countries Already Have Sea Level Rise Refugees? The world faces the nightmare possibility of fishless oceans by 2050 without fundamental restructuring of the fishing industry, UN experts said Monday. "If the various estimates we have received... come true, then we are in the situation where 40 years down the line we, effectively, are out of fish," Pavan Sukhdev, head of the UN Environment Program's green economy initiative, told journalists in New York. A Green Economy report due later this year by UNEP and outside experts argues this disaster can be avoided if subsidies to fishing fleets are slashed and fish are given protected zones -- ultimately resulting in a thriving industry. The report, which was opened to preview Monday, also assesses how surging global demand in other key areas including energy and fresh water can be met while preventing ecological destruction around the planet. UNEP director Achim Steiner said the world was "drawing down to the very capital" on which it relies. However, "our institutions, our governments are perfectly capable of changing course, as we have seen with the extraordinary uptake of interest. Around, I think it is almost 30 countries now have engaged with us directly, and there are many others revising the policies on the green economy," he said. Collapse of fish stocks is not only an environmental matter. One billion people, mostly from poorer countries, rely on fish as their main animal protein source, according to the UN. The Green Economy report estimates there are 35 million people fishing around the world on 20 million boats. About 170 million jobs depend directly or indirectly on the sector, bringing the total web of people financially linked to 520 million. According to the UN, 30 percent of fish stocks have already collapsed, meaning they yield less than 10 percent of their former potential, while virtually all fisheries risk running out of commercially viable catches by 2050. The main scourge, the UNEP report says, are government subsidies encouraging ever bigger fishing fleets chasing ever fewer fish -- with little attempt to allow the fish populations to recover. Fishing fleet capacity is "50 to 60 percent" higher than it should be, Sukhdev said. "What is scarce here is fish," he said, calling for an increase in the stock of fish, not the stock of fishing capacity." Creating marine preservation areas to allow female fish to grow to full size, thereby hugely increasing their fertility, is one vital solution, the report says. Another is restructuring the fishing fleets to favor smaller boats that -- once fish stocks recover -- would be able to land bigger catches. "We believe solutions are on hand, but we believe political will and clear economics are required," Sukhdev said. Just days after Gus Grissom, Ed White, and Roger Chaffee were killed in a pre-launch fire, NASA began the arduous process of figuring out just what had happened. If Apollo was going to land a man on the moon by 1970, the agency had to fix the problem and move forward. But as the investigation unfolded, the accident took on a sinister tone with allegations that the crew were responsible for their own deaths or were victims of murder. While a NASA-appointed committee investigated the physical capsule for evidence of the fire's cause, Congress investigated NASA. Many representatives accused the agency of unnecessarily racing to the moon. A consequence of this race, they said, was an unrealistic schedule that saw money thrown at compounding problems without ever getting to the root of the issue. NASA, then, had deliberately and knowingly put the Apollo 1 astronauts in danger. NASA knew the risks of oxygen fires multiple military experiments in oxygen environments ended with loss of life - but didn't push for a two gas system in the spacecraft. Some accused the agency of criminal negligence. PICTURES: The Gemini Missions: Paving the Path for Apollo Others looked more closely at the cause of the fire (arcing wires) than the pure oxygen environment, and this shifted the blame on to the crew. People recalled seeing Grissom throwing his helmet into the capsule in frustration, a simple action that could have damaged the wires where the fire started. Others suggested that Grissom had kicked these wires by accident. The idea that Grissom was responsible irked a lot of people; many found this finger-pointing to be an outrageous carry-over of the blame he shouldered over the loss of his Liberty Bell 7 capsule. In 1961, after splashing down from a 15 minute suborbital flight, the hatch on his capsule opened prematurely. It promptly flooded and sank. The blame fell on Grissom he must have accidentally detonated the hatch's explosive bolts or else panicked and opened the hatch too early in his haste to get out. Wally Schirra offered the best proof that this wasn't the case. After detonating the explosive bolts on the hatch of his Sigma 7 capsule, his hand was bruised and cut; Grissom's wasn't. Still, the astronaut was never able to shake the "hatch-blower" reputation. As if criminal negligence and astronaut carelessness weren't enough, the Apollo 1 story took on another dimension in 1999. Scott Grissom, Gus' then 48 year old son, came forward saying his father had been murdered. ANALYSIS: NASA's First Disaster Happened on the Launch Pad The younger Grissom had his suspicions in the 1960s but wasn't able to prove foul play until the 1990s when he was granted access to the charred Apollo 1 capsule. Rooting around the instrumentation, he found a "fabricated metal plate" behind a switch on one of the instrument panels that controlled the source of the capsule's electrical power. Its placement behind that switch, he said, was clearly an act of sabotage. It ensured that when any crew member toggled that switch there would be a spark. That spark would have been enough to start the fire that killed the crew. A McDonnell-Douglas engineer, Clark Mac Donald, backed Scott Grissom's story. In his own accident investigation he identified an electrical short brought on my a changeover to battery power as the reason for the fire. But NASA destroyed his report, he said, in an attempt to stem public criticism of the space program. As a motive for murder by sabotage, Scott Grissom said NASA wanted to prevent his father being the first man on the moon. He said the agency never got over the embarrassment of losing the Liberty Bell 7 capsule and didn't want the same astronaut commanding a mission as historic as the first moon landing. It's a story that's been floating around for a while, but it's a hard one to swallow. ANALYSIS: The Soviet Fire That Might Have Saved Apollo 1 Grief over losing the crew coupled with the stress of Apollo's tight schedule led many high ranking officials to leave the space program entirely. Some were too emotional over the loss to return to work. The fire and subsequent investigation nearly destroyed NASA, and Apollo came dangerously close to cancellation. It's unlikely the agency and those charged with fulfilling President Kennedy's dream would have risked so much to prevent one man from walking on the moon. Image: Virgil I Grissom, Edward White and Roger Chaffee inside a practice module for the aborted Apollo 1 mission at Cape Kennedy, Florida. In response to the deadly attack on a gay nightclub in Orlando, the United Nations Security Council issued a statement of support for the victims, including a condemnation of the attack for "targeting persons as a result of their sexual orientation." It was the council's first official statement ever on gay rights or LGBT issues. Surprisingly, Egypt and Russia both signed on to the statement, despite their own ant-gay laws. As Jules Suzdaltsev explains in today's Seeker Daily report, there are many places in the world where it's illegal to be gay. In fact, according to the U.N., homosexuality is a crime in at least 75 countries, 13 of which impose the death penalty for homosexual acts. Anti-gay laws are most pervasive in Africa and the Middle East. Uganda's infamous anti-gay laws include provisions first introduced by British colonial rulers in the 19th century. Those prejudices were further inflamed by American Christian missionaries who taught that homosexuals preyed on and recruited children. As a result, thousands of Ugandans have been sentenced to life in prison for homosexual acts in private. RELATED: How Gay Is America? Iran enforces capital punishment for homosexual intercourse. Gay women are lashed 50 times for their first three offenses, with further convictions punishable by death. Human rights groups estimate that between four thousand and six thousand people have been executed for homosexual acts since Iran's Islamic Revolution in 1979. Afghanistan also strictly forbids homosexuality. While under Taliban rule from 1996 to 2001, the country routinely executed gay men and women, although now lengthy prison sentences are more common. Anti-gay laws aren't exclusive to the Middle East, either. Russia recently pass an infamous anti-gay propaganda law and Belize has outlawed same-sex activity since 1988. The United Nations and several human rights organizations worldwide have launched initiatives to decriminalize homosexuality. While there has been some limited success, the worst offenders have yet to make any movement toward reform. Interestingly, in 2014 the U.N. itself voted to recognize all same-sex marriages among its staff, allowing them to receive U.N. benefits. -- Glenn McDonald Learn More: Reuters: U.N. Security Council condemns Orlando gay nightclub massacre Washington Post: Here are the 10 countries where homosexuality may be punished by death Independant: How Uganda was seduced by anti-gay conservative evangelicals Human Rights Watch: Russia: Anti-LGBT Law a Tool for Discrimination Press Release July 10, 2016 NANCY URGES PRESIDENT DUTERTE, LEDAC TO CERTIFY E-VAW BILL AS PRIORITY LEGISLATION Senator Nancy Binay today expressed hope that the Duterte administration would consider as one of its priority legislation the Electronic Violence Against Women (E-VAW) Act of 2016. "It is my fervent hope that this measure will be able to empower women and their children by providing them with the necessary protection against online bullying, cyberstalking, and other forms of harassment committed using the internet, social media or any other forms of electronic media. I hope President Duterte will consider including this bill as one of the administration's priority measures," Binay said. Senate Bill No. 180 seeks to amend Republic Act No. 9262, or the Anti-Violence Against Women and Their Children Act of 2004 ("VAWC Law") to include violence committed using the internet and communications technology. It adds that social media has been used to disseminate scandals of both known personalities and private individuals. It adds that while Republic Act No. 9995 of the Anti-Photo and Video Voyeurism Act of 2009 sought to put an end to such forms of harassment, "the law fails to address the form of violence brought on victims of such scandals, by reason of their special relationships with their assailants." "Madalas po, kakilala pa o kaya naman ay malapit sa biktima ang siyang may sala, at ang pangha-harass ay ginawa sa pamamagitan ng pagpapakalat ng mga malalaswang litrato o video ng biktima," Binay lamented. "Makakatulong po ang panukalang batas na ito na mabigyan ng lakas ng loob ang biktima na ipagtanggol ang kaniyang sarili. Sa wakas, mareresolba na ng kinauukulan ang mga kaso kung saan isinagawa ang harassment gamit ang internet at social media," the senator said. The measure defines "electronic violence" as any act that involves the exploitation of data that "can cause or is likely to cause mental, emotional and psychological distress or suffering to the victim." This includes but is not limited to the unauthorized recording, reproduction or distribution of videos showing the victim's private areas; uploading or sharing any form of media with sensitive and indecent content without the victim's consent; harassment through text messaging, electronic or any other multimedia means; cyberstalking, including the hacking of personal accounts on social networking sites and the use of location trackers on cellular devices and the unauthorized use of the victim's identity (pictures, video, voice, name) for distribution that can harm the victim's reputation. The bill seeks to punish those who commit electronic violence against a woman and her child with incarceration from six months to six years. Meanwhile, threats causing electronic violence will be punished by incarceration from a month to six months. Offenders will be fined up to P300,000.00 but not more than P500,000.00, depending on the gravity of distress caused to the victim. The bill also provides a protection order to prevent further harm and distress to any woman and her child. "Sana, matulungan po natin ang kababaihan na labanan ang pang-aabuso sa kanila. Huwag nating hayaan na porke't ito ay ginagawa sa pamamagitan ng teknolohiya ay hindi na sila mabibigyan ng hustisya," Binay said. Press Release July 11, 2016 EO SHOULD NOT STOP CONGRESS FROM PASSING FOI LAW -ANGARA Senator Sonny Angara has stressed that the Congress should still prioritize the passage of a Freedom of Information (FOI) law despite the plans of the Duterte administration to issue this week an executive order that would enforce FOI within the executive branch. "President Duterte should be congratulated for implementing these very important rules on transparency. He is walking his talk. This shouldn't, however, stop Congress from passing a comprehensive law that will cover all branches of government, including the legislative and the judiciary," said Angara, who has filed anew an FOI bill among his top priority measures. The FOI bill mandates all government agencies to make available to the public for scrutiny, copying and reproduction all information pertaining to official acts, transactions or decisions, as well as government research data used as basis for policy development. The proposed measure, however, provides for some exemptions including information that: directly relates to national security or defense; pertains to foreign affairs that would seriously jeopardize the diplomatic relations of the Philippines if revealed; were obtained in executive session; pertains to personal information that would clearly constitute an unwarranted invasion of one's privacy if disclosed; pertains to trade secrets; and, were classified as privileged communications in legal proceedings. Angara, who has been pushing for the enactment of FOI since his days in Congress, pointed out that the biggest challenge for FOI champions is convincing the grassroots that it is a much-needed measure. "How do we convince a person living in a far-flung area, which has no Internet access, that a piece of information would make his life better. That's the fundamental challenge we have. "Hindi kasi nakikita at naiintindihan ng pangkaraniwang mamamayan yung importansya, kung paano makatutulong sa kaniya yung impormasyon. Kung maraming perang kinukurakot sa gobyerno, edi mas kaunti ang nagagastos para sa publiko. Yung impormasyon pwede iyon gamiting sandata panlaban sa mga tiwali sa gobyerno," he added. Nevertheless, Angara expressed optimism that Congress will pass the FOI especially with the support of the President. "The Philippines is one of the first democracies and yet, ironically, we're not one of the first to have an FOI. We need an FOI law to deepen our democracy and further promote transparency in the country," he said. Bam: Strengthen juvenile delinquency facilities Instead of lowering age of criminal liability to nine years old, a senator urges the government to focus on strengthening the country's juvenile centers to make it more effective in rehabilitating youth offenders. "Bakit hindi na lang palakasin ang Juvenile Delinquency Facility. Pondohan po natin, siguraduhin na kaya talagang mag-rehabilitate yung mga bata," said Sen. Bam Aquino, chairman of the Committee on Youth, in a radio interview. In fact, Sen. Bam pointed out that one of the best juvenile facilities in the country is in Davao City, where President Duterte was a former mayor. "Kung tutuusin, mayroon namang pong track record ang ibang mga facility na may kakayahan silang mag-rehabilitate. May mga na-meet na po tayong mga batang kalye na na-rehabilitate, lumabas, bumalik sa eskwelahan, nakapag-graduate, nag-aaral at ngayo'y nagtatrabaho," the senator stressed. Sen. Bam issued the pronouncement in response to Cong. Pantaleon Alvarez's position that his bill lowering the age of criminal liability to nine years old is focused on rehabilitation and not punishment. "Masyado po yang marahas. Palagay ko, kapag kapanahunan ng debate, puwede namang maghanap ng compromise o maghanap ng paraan na hindi maperwisyo ang mga bata pero iyong goal na maging mas malakas tayo sa paglaban sa krimen, maachieve pa rin natin," he said. Under the Juvenile Justice and Welfare Act, if a child taken into custody is fifteen (15) years old or below, the child can be either released to its parents or be referred to a youth care facility or "Bahay Pag-asa". A child who is above twelve (12) years of age up to fifteen (15) years of age and who commits specific crimes shall be deemed a neglected child under Presidential Decree No. 603, as amended, and shall be mandatorily placed in a special facility within the youth care facility or 'Bahay Pag-asa' called the Intensive Juvenile Intervention and Support Center (IJISC). These crimes are parricide, murder, infanticide, kidnapping and serious illegal detention where the victim is killed or raped, robbery, with homicide or rape, destructive arson, rape, or carnapping where the driver or occupant is killed or raped or offenses under Republic Act No. 9165 (Comprehensive Dangerous Drugs Act of 2002) punishable by more than twelve (12) years of imprisonment. Press Release July 11, 2016 Drilon to Calida: 'You cannot stop Senate investigation' Solicitor General Jose Calida cannot stop the Senate from investigating allegations of extra-judicial killings in the ongoing aggressive campaign against illegal drugs, Senate President Franklin M. Drilon said today. "While we laud and support the campaign against illegal drugs of the Philippine National Police (PNP), the Senate cannot sit idly on allegations of extra-judicial killings that saw a spike in the recent months," Drilon said. "We will assert our Constitutional duty to investigate illegal, unjust, improper, or inefficient acts committed by any public official in order to strengthen our existing laws on this matter, and to further aid the campaign of the President against illegal drugs," Drilon said. Drilon stressed that the Senate is mandated no less than by the Constitution to conduct inquiries in aid of legislation. He said that the Senate is authorized to conduct investigation of "malfeasance, misfeasance and nonfeasance in office by officers and employees of the government, its branches, agencies, subdivisions and instrumentalities." "We will not hesitate to invoke the power of the Senate to compel the attendance of witnesses and resource persons if such attendance is necessary for the Senate to perform its constitutionally mandated function," Drilon said. He underscored that the Supreme Court, in the case of Senate vs. Executive Secretary, upheld this power when it ruled against Executive Order 464 in 2006, deciding that attendance of witnesses and resource persons "is compulsory in inquiries in aid of legislation." The Senate chief said that Calida's remarks "undermine the independence of the Senate and our very own democracy." "I am alarmed by the remarks made by Calida. His remarks were uncalled for and reek of arrogance, unbecoming of a solicitor general," Drilon said. "What is he afraid of?" he added. Drilon said that the Solicitor General "should not interfere nor impede any legislative inquiry of the Senate." "As a lawyer, he should be aware that the Supreme Court has repeatedly upheld the power of the Senate to conduct investigations as constitutional and legitimate," Drilon said. Drilon also said that Calida's action is not only an affront to the power of the Senate, but also compromises the effort of the President to foster transparency and accountability under his presidency. Press Release July 11, 2016 Legarda Invites Filipino Curators and Artists to Submit Proposals for PHL Pavilion in 2017 Venice Art Biennale Senator Loren Legarda encouraged Filipino curators and artists to submit their curatorial proposals for the Philippine Pavilion in the 57th International Art Exhibition of La Biennale di Venezia. The Philippine Art Venice Biennale (PAVB) Coordinating Committee, composed of the National Commission for Culture and the Arts (NCCA), the Department of Foreign Affairs (DFA), and the Office of Senator Loren Legarda, will be accepting proposals until July 21, 2016. Legarda said that the Philippine Pavilion for the 2017 Venice Art Biennale will be housed at the Arsenale, the largest pre-industrial production center of the world, where national pavilions of countries all over the world are located. "When the Philippines re-entered the Venice Art Biennale in 2015, we were housed at the beautiful Palazzo Mora. Our present pavilion for the Venice Architecture Biennale 2016 is also at the Palazzo Mora. But in 2017, we will be at the Arsenale, a venue where we can directly converse with other pavilions, and where our artists and curators can freely exchange ideas with other countries," said Legarda, the visionary behind the Philippines' return to the Venice Biennale. The Philippine Pavilion in the 2017 Venice Art Biennale will be the third consecutive year that the country participates in the Venice Biennale (which features the Art and Architecture Biennales, held in alternating years). In 2015, the Philippine participation in the 56th Art Biennale featured the exhibition "Tie A String Around The World". This year, "Muhon: Traces of an Adolescent City" is the Philippine exhibition for the 15th Architecture Biennale. But the Philippines first participated in 1964, at the 32nd Venice Art Biennale, which featured the works of National Artists Jose Joya and Napoleon Abueva. Curators, Artists Invited to Represent the Country in 2017 The Call for Curatorial Proposals is open to all Filipino curators and contemporary artists residing in the Philippines and abroad. The curator must have participated in at least one international contemporary art exhibition or related projects/platforms; while the artists must have participated in at least one national exhibition or an equivalent record in related projects/platforms. All curatorial proposals should be emailed on or before 21 July 2016 to [email protected]. Submitted proposals will be thoroughly reviewed and deliberated on by an esteemed panel of judges in the field of contemporary art. The panel of judges will select only ONE curatorial proposal. The selected proposal will be mounted at the Philippine Pavilion in the 2017 Venice Art Biennale. The 57th Biennale Arte of La Biennale di Venezia will open in May 2017 in Venice, Italy under the directorship of Christine Macel. For more information, check the NCCA website www.ncca.gov.ph or PAVB website www.philartvenicebiennale.com. About The Arsenale [1] The Arsenale is "the largest pre-industrial production center of the world." Its surface area is forty-six hectares, and it can accommodate up to 2000 workers a day in full swing. A significant venue in Venice, the Serenissima fleet was built there and acts as a symbol of the military, economical and political power of Venice way back in history. The Arsenale underwent several changes due to the "naval and military needs of the Serenissima." Through time, its military importance wavered, making it a more commerce-related site. (Please find attached the Curatorial Proposal Form) ________________________________________ [1]Source: http://www.labiennale.org/en/venues/arsenale.html Press Release July 11, 2016 Villar refiles bill mandating P2,000 increase in SSS pension Sen. Cynthia Villar refiled the bill that will grant a P2,000 across-the-board increase in the monthly pension of 1.9 million Social Security System (SSS) members. Senate Bill No. 145 amends Section 12 of Republic Act (RA) 1161, as amended, otherwise known as the Social Security Act of 1997. Under the proposal, the minimum monthly pension shall be P3,200 for members with at least ten credited years of service and P4,400 for those with 20 credited years of service. Villar noted that it has been 19 years since the Social Security Law was amended in 1997. RA 8282 provided that the minimum pension shall be P1,200 for members with at least ten credited years of service and P2,400 for those with 20 credited years of service. "There is no doubt that since then the cost of living being faced by pensioners has enormously grown. An increase in the monthly pension is necessary in order to adequately provide for the well-being of the country's retirees," Villar said. She added that "the 5% across-the-board pension increase in 2014 may be a pittance and definitely not sufficient to cover the rising cost of living for the past 19 years." Last Congress, Villar worked for the passage of the bill as principal sponsor and chairperson of the Committee on Government Corporations and Public Enterprises. It hurdled approval of both houses of Congress but President Noynoy Aquino vetoed the bill. "Until now, SSS cannot offer an alternative to the vetoed proposal to increase the pension rate of the retirees. We need this law to help the retirees who depend on their pension to pay for their daily needs and maintenance medicine," she said. SEOUL, July 11 (Reuters) - South Korea's National Pension Service (NPS), the world's third-largest pension fund, said it had chosen a BlackRock Inc unit and Grosvenor Capital Management to manage investments in funds of hedge funds in 2016. NPS, which plans to begin investing in hedge funds this year, plans to entrust BlackRock Financial Management and Grosvenor with up to $500 million each, the pension fund's investment management office said in a statement. "The upcoming hedge fund investment is expected to contribute to the generation of stable profits by diversifying risk for the fund's entire portfolio," NPS Chief Investment Officer Kang Myoun-wook said in the statement. The NPS had 512 trillion won ($415 billion) in assets under management as of December. (Reporting by Joyce Lee; Editing by Edwina Gibbs) This is a carousel. Use Next and Previous buttons to navigate Many San Franciscans were just stepping out of bed Sunday morning as several dozen volunteers gathered on Ocean Beach to pull garbage from the sand. Beneath the rising sun, they grabbed neoprene gloves and bright-orange buckets and set off in search of what others had discarded bottle caps, cigarette butts, a cardboard pizza box, a bra. Its scary what we found, said Lupe Kesel of Burlingame, who brought her daughter. Sofia, 9, said she was surprised by what people had left behind. We found a sock, she said, eyes widening. This is not a Laundromat; this is a beach. Free hot chocolate The Surfrider Foundations San Francisco chapter hosted the cleanup at the popular oceanside hangout, providing supplies and hand sanitizer to anyone who showed up to help. To entice volunteers, the nonprofit environmental organization also teamed up with Ghirardelli, which offered free hot chocolate and vouchers for ice cream sundaes. Before heading out, participants were instructed to leave natural debris, like wood and seaweed, on the beach and to steer clear of anything potentially hazardous, such as dead animals, condoms or hypodermic needles. Some who came were from other countries exchange students from France, Switzerland and Taiwan and new California residents from places like Australia and the Philippines eager to participate in a community activity. Others were locals who have long aided Surfrider, which holds beach cleanups several times a month. Surfrider estimates that its volunteers have cleared 3,000 pounds of garbage and 15,000 cigarette butts from San Francisco beaches this year. Its a nice place to spend a Sunday morning, said Gwen Joe, 66, of San Francisco, holding a bucket in one hand and a hot chocolate in her other. Its kind of like a treasure hunt, even though its bottle caps and cigarette butts. Many were parents hoping to mix a morning by the ocean with a valuable lesson about the environment. We started giving our son responsibilities and letting him know there are things we can do to help the environment, said Tania Castor, who traveled from San Jose with her husband and 9-year-old son. Carrie Hadler and Kevin West, who also brought their children, said they wanted their daughters to understand the importance of keeping such a valuable natural resource clean. This is like a second home to us, said West, surveying the expanse of sand and breaking waves. Sifting the sand Eric Edward, program director of another environmental nonprofit, Waves Not Plastic, sat nearby running sand through a metal sifter. Are you panning for gold? asked Gage Anderson, 5, who was also helping his parents at the cleanup. No, Im panning for garbage, said Edward, pointing to the bits of plastic, glass and clothing fibers left in the catch. All this little stuff ends up in the ocean. We really need people to understand that there is no, away, Edward said later. You are throwing something somewhere and its going somewhere. Cynthia Dizikes is a San Francisco Chronicle staff writer. Email: cdizikes@sfchronicle.com Twitter: @cdizikes The state Supreme Court upheld a Stockton mans conviction for a 1999 murder and robbery Monday but overturned his death sentence because the trial judge removed a prospective juror who said she was morally opposed to the death penalty but believed she could set her views aside. Although all jurors in capital cases must be willing to vote for a death sentence, a prospective jurors conscientious objection to capital punishment is not by itself a sufficient basis for excluding that person from jury service, Justice Mariano-Florentino Cuellar said in the 7-0 ruling. The ruling entitles the defendant, Louis Zaragoza, to a penalty retrial in which a new jury would decide whether he should be sentenced to death or life in prison without parole. The victim, David Gaines, 36, was fatally shot while chasing a man who had grabbed a paper bag from the hands of Gaines father, William, in front of their Stockton home in June 1999. The bag usually contained the days receipts from the Gaines liquor store, but on this day it held only a Pyrex bottle, the court said. / STOCK XCHANGE Witnesses identified the thief as Zaragozas brother, David, who was ruled mentally incompetent to stand trial. A San Joaquin County jury found that Louis Zaragoza was waiting nearby and shot David Gaines as he chased the thief with a canister of Mace. The states high court unanimously rejected defense challenges to Zaragozas convictions but said Superior Court Judge Thomas Teaford had wrongly removed a prospective juror, based solely on her answers to a pretrial questionnaire. Asked whether she had any religious or other personal convictions that would interfere with her ability to take part in a capital case, the woman said she did, and explained, Dont feel I have the right to decide if a person is to die. Asked later whether her beliefs would have a substantial impact on her decision as a juror, she wrote, Somewhat. But later in the questionnaire, she wrote that she would not automatically vote to acquit Zaragoza or sentence him to life in prison to avoid a death sentence. Asked if she could set her feelings aside and follow the law as the judge explained it, she said she could. Over a defense lawyers objections, Teaford granted the prosecutors request to dismiss the juror for cause, saying her written answers showed a substantial impairment in her ability to be neutral. But Cuellar said her answers, considered together, did not clearly show that she was unwilling or unable to follow the law. Under established court precedents, Cuellar said, a trial judge must allow such a juror to remain on the panel and answer questions that might clarify her views. If she showed a willingness to follow the judges instructions, the prosecution could then use one of its limited number of challenges to remove her. The case is People vs. Zaragoza, S097886. Bob Egelko is a San Francisco Chronicle staff writer. Email: begelko@sfchronicle.com Twitter: @egelko Read the ruling: www.courts.ca.gov/opinions/documents/S097886.PDF This is a carousel. Use Next and Previous buttons to navigate A report on California homicides released this month by Attorney General Kamala Harris which concluded that killings around the state jumped 10 percent from 2014 to 2015, but plunged 25 percent in the past decade also offered a grim look at the nature of killings last year. Overall, 1,861 people were slain last year from Chula Vista (San Diego County) to Crescent City (Del Norte County) compared with 1,697 in 2014 and 2,483 in 2006. That translates to 4.8 victims per 100,000 state residents. The report contained some insight into who killed whom, how they accomplished the foul deed and how many times police officers killed people, among other things. The following are some of the highlights: Gender and racial gaps widen: Nearly 83 percent of those killed were male. In cases where a victims race was known, 43 percent were Latino, while 28 percent were black and 21 percent were white. Tracking homicides Killings rise in Bay Area, but number still well below years ago Age varies: Latino and black victims tended to be younger, with nearly half between 18 and 29. White victims were older, on average, with 56 percent over 40. Domestic violence cuts deep along gender lines: Males were more likely than females to be killed by a stranger (38 percent of cases versus 12 percent). But women were far more likely than men to be slain by a spouse (25 percent to less than 1 percent). While 52 percent of female victims were killed in their own home, the biggest proportion of male victims lost their lives on the street. Guns do the job: More than 70 percent of killings (in which the weapon was known) were accomplished with a firearm typically a pistol or other handgun. Knives were used in 14 percent of cases. Gangs take blame: Authorities said 29 percent of killings with a known motive were gang-related, 28 percent traced to an unspecified argument, 10.5 percent were a result of domestic violence and 7 percent stemmed from robberies. Men dominate the booking logs: More than 89 percent of those arrested for murder were male. About 6 percent were under 18 a number that has been falling consistently for the past decade. Death row grows: Executions have been on hold in California since 2006, but people are still being given the death penalty, at least in the southern part of the state. In 2015, there were 14 such sentences 13 men, and a woman who tortured and killed her toddler son. None was in the Bay Area, and eight were in Riverside County. The high year for death sentences was 1999, with 42. Line-of-duty deaths: Two police officers, both men, were shot and killed on the job in 2015 Hayward Sgt. Scott Lunger and San Jose Officer Michael Johnson. Police killings: The Department of Justice reported that cops killed 130 people statewide in 2015 in cases that were ruled justifiable, up from 116 the year before. All but seven of those killed were men. More than 42 percent were Latino, 32 percent were white and 18 percent were black. These state figures, however, do not appear to be comprehensive: A Washington Post project found 188 people had been shot to death by California police in 2015, and a similar Guardian project found 210 people had been killed by California police last year. Demian Bulwa is a San Francisco Chronicle staff writer. Email: dbulwa@sfchronicle.com Twitter: @demianbulwa Do you have a thumb-sucker or nail-biter on your hands? You might be able to stop fretting over all the germs being picked up from those tiny hands. A new study finds those irritating habits could be beneficial to your child's health. Researchers in New Zealand and Canada found that kids who suck their thumbs or bite their nails are less likely to develop allergies to a variety of things, including pets and mites, later in life than those who don't. For this study published in the July 2016 edition of Pediatrics, researchers followed the nail-biting and thumb-sucking habits of more than 1,000 children, with parents reporting on behaviors at ages 5, 7, 9, and 11 years. They followed up at ages 13 and 32, checking for allergies using a skin-prick test. The team found that 38 percent of the children who had sucked their thumbs or bit their nails had at least one allergy, while 49 percent of kids without these habits had at least one allergy. The associations remained when researchers considered confounding factors such as whether the kids lived in a household with pets or parents who smoke. The findings support the hygiene hypothesis stating that children's exposure to a rich array of microbes can strengthen the immune system. The theory was born in 1989 when an epidemiologist in Britain, David Strachan, observed that babies with multiple siblings were less likely than other babies to develop allergies and asthma. Since then, it has been proven and disproven in numerous studies. "Many parents discourage these habits, and we do not have enough evidence to [advise they] change this," Dr. Robert Hancox, an associate professor of respiratory epidemiology at the University of Otago in New Zealand, told the Scientific American. "We certainly don't recommend encouraging nail-biting or thumb-sucking, but perhaps if a child has one of these habits and [it] is difficult [for them] to stop, there is some consolation in the knowledge that it might reduce their risk of allergies." SAN DIEGO A man injured during a series of attacks on homeless men in San Diego died at a hospital Sunday bringing the death toll to three, police said. Dionicio Derek Vahidy, 23, died four days after he became the fourth and final victim in the attacks, police said. Vahidy had been in grave condition since Wednesday. Vahidy was attacked at an apartment complex downtown, police said. A witness pulled away a burning cloth that the attacker put on him before fleeing. In the days before the attack on Vahidy, two other men were killed and a third was severely injured. On Thursday, Anthony Padgett, 36, was arrested on suspicion of murder, attempted murder and arson in the attacks. He has not yet appeared in court. Padgett was arrested about a block from a trolley station in Chula Vista, the San Diego suburb where he was born. The violence began July 3, when police found the badly burned remains of Angelo De Nardo between Interstate 5 and train tracks. The 53-year-old died before his body was set on fire. The next day, officers responding to a 911 call found Manuel Mason, 61, with life-threatening injuries to his upper torso. A few hours later, police discovered the body of Shawn Longley, 41, who bled from the upper torso and died. Then on Wednesday came the attack on Vahidy. Padgett committed a nearly identical crime six years ago, admitting to setting a man on fire and leaving him badly burned in a supermarket parking lot in the San Diego suburb of National City. He said in a note to a judge at the time that he was drunk and high on drugs when he did it and had only meant to scare the victim, who he knew. In the note, Padgett also called himself a homeless citizen, asked Jesus to forgive his sins, and expressed remorse to the victim, saying, Ill never be so stupid again. DALLAS Military service changed the Dallas gunman from an extrovert into a hermit, his parents said in an interview excerpt published Monday. Micah Xavier Johnsons mother, Delphine Johnson, told TheBlaze website in an interview that her son wanted to be a police officer as a child. His six years in the Army Reserve, including a tour in Afghanistan, were not what Micah thought it would be ... what he thought the military represented, it just didnt live up to his expectations. According to the military lawyer who represented him, Johnson was accused of sexually harassing a female soldier while deployed. His father, James Johnson, said haltingly and through tears: I dont know what to say to anybody to make anything better. I didnt see it coming. The 25-year-old fatally shot five officers in Thursdays attack while hundreds of people were gathered in downtown Dallas to protest recent fatal police shootings, and wounded at least nine officers and two civilians. Dallas Police Chief David Brown clarified Monday where Johnson was killed with a bomb delivered by a remote-controlled robot, saying that it happened on the second floor of El Centro College, not a parking garage as authorities previously described. The police chief again defended the decision to use the robot, saying he had already killed us in a grave way. This wasnt an ethical dilemma for me, Brown said. Id do it again ... to save our officers lives. Authorities have said Johnson had plans for a larger assault, possessed enough explosive material to inflict far greater harm and kept a journal of combat tactics. Eleven officers fired at Johnson and two used an explosive device, Brown said, adding that the investigation will involve more than 170 hours of body camera footage and countless hours of dashcam video. Bravery is not a strong enough word to describe what they did that day, Brown said of officers response to Thursdays events. Surgeons at Parkland Memorial Hospital spoke Monday afternoon about treating some of the victims. Dr. Brian Williams, who is black, said: It has to stop. Black men dying and being forgotten. People retaliating against the people sworn to protect us. Johnsons time in the Army was marked by a sexual harassment accusation in May 2014 while in Afghanistan. The Army sent him stateside, recommending an other than honorable discharge which is highly unusual because counseling is usually ordered before more drastic steps are taken, said Bradford Glendening, the military lawyer who represented him. In his case, it was apparently so egregious, it was not just the act itself, Glendening said. Im sure that this guy was the black sheep of his unit. SAN DIEGO A homeless man who police had identified as the sole suspect in a spate of attacks on transients that killed three men was released from jail Monday and not charged with any crimes, keeping alive the search for an attacker who strikes victims when they are sleeping alone and sometimes sets them on fire. Anthony Padgett, 36, was arrested Thursday near a trolley stop in the suburb of Chula Vista on suspicion of murder, attempted murder and arson. He was accused of attacking four homeless men over four days last week, including two who were set on fire. Police said at least three victims were sleeping alone. Police said Monday that there wasnt enough evidence to prosecute. Capt. David Nisleit defended the arrest, saying Padgett strongly resembled a man in convenience store surveillance video who police still believe is responsible for all four attacks. Police also considered Padgetts 2010 conviction for lighting a sleeping homeless man on fire in National City, a San Diego suburb. I could not risk not taking him into custody and leaving him out in the community, Nisleit said Monday. Nisleit said he didnt feel confident after reviewing evidence in the subsequent days and consulted with San Diego County District Attorney Bonnie Dumanis before freeing Padgett, a Chula Vista native. He stopped short of clearing Padgett but said nothing to suggest that he was a suspect and instead renewed pleas for the public to view the surveillance video and report any suspicions. The surprise turn of events spread quickly to the concourse outside City Hall, where transients sit on concrete benches and sleep on dirt patches. Last week many heeded the advice of advocacy groups to sleep closer together in well-lit areas. We kind of sleep with one eye open, said Jesse Brashier, 53. If hes still out there, he can take anybody. In 2010, a jury convicted Padgett of lighting a sleeping homeless man on fire in a supermarket parking lot. The victim suffered burns on about 30 percent of his body. All four victims in last weeks crime spree suffered major trauma to the upper torso, setting the citys homeless population on edge. The violence began July 3, when police found the badly burned remains of Angelo De Nardo. The 53-year-old died before his body was set on fire. The next day, officers responding to a 911 call found Manuel Mason, 61, who remained in critical condition Monday with injuries to his upper torso. A few hours later, police discovered the body of Shawn Longley, 41, who bled from the upper torso and died. Dionicio Derek Vahidy, 23, died Sunday at a hospital, four days after he was set on fire downtown. 1 Wildfire: A brush fire that burned to the edges of homes in the foothills of the Santa Susana Mountains in Los Angeles County was nearly 50 percent contained Sunday and no longer threatening residences. Crews focused on extending a perimeter around the blaze that has consumed about 1.7 square miles of thick chaparral in a rugged canyon. About 2,000 people evacuated from the Stevenson Ranch area on Saturday were allowed to return after nightfall. The cause of the blaze is under investigation. 2 Abortion politics: One of Donald Trumps potential GOP running mates said Sunday that women should be the ones to decide whether or not to have abortions. Women are the ones that have to make the decision because theyre the ... ones that are going to decide to bring up that child or not, Ret. Army Lt. Gen. Michael Flynn said on ABCs This Week. Flynns statement counters Republican policy asserting constitutional rights for the unborn. This is a carousel. Use Next and Previous buttons to navigate 3 1 of 3 Max Becherer/Associated Press Show More Show Less 2 of 3 Scott Clause/Associated Press Show More Show Less 3 of 3 BATON ROUGE, La. Police made nearly 200 arrests in Louisianas capital city during weekend protests around the country in which people angry over police killings of young black men sought to block some major interstates. Riot police in full gear stopped protesters in Baton Rouge from walking onto an interstate late Sunday, police said, thwarting a protest tactic that social justice activists have increasingly tried in several U.S. cities. VIRGINIA BEACH, Va. Touting himself as the law and order candidate, Republican Donald Trump pledged Monday to crack down on Department of Veterans Affairs employees who fail to serve veterans, his latest in a series of prepared remarks aimed at articulating his policy agenda for his general election campaign. We made a promise to these heroes. You defend America, and America will defend you, Trump said, adding that he would fire or discipline VA employees who fail our veterans or breach the public trust. He also called for an expansion of programs that allow veterans to choose their doctor and clinics, regardless of whether theyre VA facilities or private medical centers. This is a carousel. Use Next and Previous buttons to navigate 3 1 of 3 Mark Wilson/Getty Images Show More Show Less 2 of 3 Mark Wilson/Getty Images Show More Show Less 3 of 3 Never again will we allow a veteran to suffer or die waiting for the care they so richly deserve, he said. Trump has been working to repair his relationship with veterans since he suggested early in his campaign that Arizona Sen. John McCain was not a war hero because he was captured during the Vietnam War. Trump also raised eyebrows earlier this year when he failed to immediately disclose which veterans charities hed given money to following a fundraiser hed held in place of a GOP debate. Trump also addressed the killing of five police officers in Dallas during a protest against a pair of recent police shootings of black men. Americas police and law enforcement personnel are what separates civilization from total chaos and the destruction of our country as we know it, he said. It is time for the hostility against our police, and against all members of law enforcement, to end and to end right now. But he also referenced the two black men killed in recent police shootings in Louisiana and Minnesota, saying they make clear that work must be done to ensure every American feels that their safety is protected. The remarks were a sharp contrast to the speech Trump delivered in the wake of the Orlando shooting, when he accused American Muslims of being too reluctant to cooperate with police. The speech is the latest in a series of teleprompter-delivered prepared remarks aimed at convincing weary Republicans that Trump has the discipline and control to mount a credible general election bid against likely rival Hillary Clinton. The presumptive GOP nominee delivered his remarks in Virginia Beach, not far from the battleship Wisconsin in Norfolk, where he first unveiled his plan to reform the Department of Veterans Affairs in October. SINGAPORE, July 11 (Reuters) - Malaysia's ringgit gained more than 1 percent on Monday to near a one-week high as foreign investors bought local bonds ahead of the central bank's monetary policy meeting later this week. The ringgit advanced as much as 1.2 percent to 3.9850 per dollar, its strongest since July 5. Most the government bond prices rose, with the five-year yield at as low as 3.243 percent, the lowest since June 2013. Malaysia's central bank meets on Wednesday to decide its overnight policy rate. (Reporting by Jongwoo Cheon; Editing by Kim Coghill) VANCOUVER, BRITISH COLUMBIA--(Marketwired - Jul 11, 2016) - Medgold Resources Corp. (TSX VENTURE:MED) (the "Company" or "Medgold") is pleased to announce that it has entered into an option agreement to acquire up to a 100% interest in the Marrancos Project (the "Project") located in northern Portugal. As part of its due diligence, Medgold recently completed a reconnaissance-level rock-chip sampling program. Out of 22 rock chip samples collected from across the Project license area, 14 assayed greater than 1 g/t Au. On the primary Marrancos target area, four samples were collected from both float and outcrop, and returned results of 35.90 g/t Au, 8.63 g/t Au, 4.75 g/t Au, and 4.19 g/t Au. Dan James, President of Medgold, said: "We are very excited to have the opportunity to earn an interest in the Marrancos Project. This low-cost agreement allows Medgold to continue building its project pipeline in Portugal and our due diligence work has already identified a priority target for further work. Marrancos boasts several of the key features we look for in a target. Firstly, it's located at the intersection of two major orthogonal structures and is close to a sediment-granite contact. Secondly, our recent sampling and historical trench results show that the local structures host high-grade gold mineralization. Our sampling includes a high of 35 g/t Au. We're planning a program of systematic mapping and sampling over the summer months with the aim to define a drill target by the fall of 2016." The Marrancos Gold Project The Marrancos Gold Project, which covers a total area of 39 sq km, is located in the Braga District in central-northern Portugal, about 20 km north of the city of Braga. The project is centred on the intersection of the northwest-trending Vigo-Regua fault and the northeast-trending Portela das Cabras-Carbalinho fault, which is considered to be the macro-control on the regional mineralization. The Project covers four reasonably well-defined targets, including the priority target, the Marrancos prospect. Mineralization at the prospect is controlled by a 15m wide, northeast-trending structure, which crops out and is mineralized over a strike length of 600m. The prospect is located approximately 1km from the main metasediment-granite contact, which, is an important control on gold mineralization at some of Medgold's other projects (e.g. Castromil, Lagares). Story continues Historical trenching across this structure by the Portuguese Geological Survey (Servico de Fomento Mineiro, SFM), identified narrow zones of very high-grade mineralization; e.g. 1.0m at 97.5 g/t Au. SFM later completed 8 diamond drill holes, for 641.88 metres, which returned a best result of 5.82m @ 5.14 g/t Au (from 70.50m). The reader is cautioned that these are historical results and a Qualified Person has not completed the work necessary to verify these results. Medgold's recent due diligence work has confirmed the presence of the 15m wide shear corridor, but perhaps more importantly, it has also identified the presence of sigmoidal quartz veins, up to 50cm in thickness, cross-cutting the main shear corridor. Their orientation is northwest-trending and perpendicular to the northeast-trending shear. Medgold's sampling has returned four rock-chip results of 35.90 g/t Au, 8.63 g/t Au, 4.75 g/t Au, and 4.19 g/t Au. Preliminary results indicate that the sigmoidal quartz veins can contain significant gold concentrations, as high or higher than those thus far observed in the main shear corridor. Medgold's geologists noted that the sigmoidal veins run parallel to the historical trenches and drilling. This indicates that previous exploration would either have missed or overlooked these sigmoidal veins. Exploration work over the coming months will focus heavily on the detailed analysis of the vein-structure relationship and the principal controls on the distribution of the high-grade sigmoidal veins. If the work identifies suitable drill targets, Medgold aims to drill-test this zone in the Fall, immediately following the completion of the Centerra-funded drilling program at Boticas Gold Project. Option Terms Medgold has the option from Lusorecursos, a private Portuguese company, to earn an initial 70% interest in the Marrancos Project by making a cash payment of EUR25,000 and incurring exploration expenditures of EUR150,000 on or before 12 months following the agreement date. Upon acquiring the 70% interest in the property, Medgold shall elect to either form a 70:30 joint venture with Lusorecursos or be granted a second option to earn an additional 30% interest. Medgold may exercise the second option, to earn a 100% interest in the Project, by making a cash payment of EUR20,000 to Lusorecursos and incurring exploration expenditures of EUR150,000 within the following 12 months. Upon acquiring the 100% interest in the Project, Medgold shall grant to Lusorecursos a 1% NSR royalty on production from the property, and Medgold will have the right at any time to purchase the royalty by making a cash payment to Lusorecursos of US$1.0 million. Shares for Services In order to preserve its cash on hand during 2016, Medgold has agreed, subject to TSX Venture Exchange approval, to issue a total of 300,000 shares of the Company to Dan James in part consideration for his ongoing services as the Company's President. The shares will be issued in installments over a one-year period commencing as of January 1, 2016. Quality Assurance/ Quality Control Samples were prepared by ALS Minerals at the Seville Laboratory, Spain. Rock samples were analyzed for gold using a 50 g fire assay with AAS and/or gravimetric analysis, and for multi elements by Aqua Regia digestion followed by ICP-MS and/or ICP-OES analysis by ALS Minerals at the Omac Laboratory, Ireland. Certified reference materials, blanks, and field and laboratory duplicates were routinely inserted for quality assurance and quality control. Qualified Person David Clark, PGeo, consulting geologist to the Company, is a Qualified Person as defined by National Instrument 43-101 -- Standards of Disclosure for Mineral Projects. Mr. Clark has reviewed and approved the disclosure of technical information contained in this news release. About Medgold Medgold is a European-focused, TSX-V listed exploration and development company targeting gold properties in northwest Iberia and the under-explored gold provinces of southern Europe. Run by a highly experienced management team with a successful track record of building value in resource companies, Medgold is aiming to become a leading European gold company. Additional information on Medgold can be found on the Company's website at www.medgoldresources.com and by reviewing the Company's page on SEDAR at www.sedar.com. ON BEHALF OF THE BOARD Daniel P. James, President & Director Neither the TSX Venture Exchange nor the Investment Industry Regulatory Organization of Canada accepts responsibility for the adequacy or accuracy of this release. Forward-looking statements Certain statements contained in this news release constitute forward-looking statements within the meaning of Canadian securities legislation. All statements included herein, other than statements of historical fact, are forward-looking statements and include, without limitation, statements about the possible acquisition by the Company of an interest in the Marrancos Project and proposed exploration work thereon. Often, but not always, these forward looking statements can be identified by the use of words such as "estimate", "estimates", "estimated", "potential", "open", "future", "assumed", "projected", "used", "detailed", "has been", "gain", "upgraded", "offset", "limited", "contained", "reflecting", "containing", "remaining", "to be", "periodically", or statements that events, "could" or "should" occur or be achieved and similar expressions, including negative variations. Forward-looking statements involve known and unknown risks, uncertainties and other factors which may cause the actual results, performance or achievements of the Company to be materially different from any results, performance or achievements expressed or implied by forward-looking statements. Such uncertainties and factors include, among others, if the Company will acquire an interest in the Marrancos Project and if the proposed exploration work thereon occurs as proposed; changes in general economic conditions and financial markets; the Company or any joint venture partner not having the financial ability to meet its exploration and development goals; risks associated with the results of exploration and development activities, estimation of mineral resources and the geology, grade and continuity of mineral deposits; unanticipated costs and expenses; and such other risks detailed from time to time in the Company's quarterly and annual filings with securities regulators and available under the Company's profile on SEDAR at www.sedar.com. Although the Company has attempted to identify important factors that could cause actual actions, events or results to differ materially from those described in forward-looking statements, there may be other factors that cause actions, events or results to differ from those anticipated, estimated or intended. Forward-looking statements contained herein are based on the assumptions, beliefs, expectations and opinions of management, including but not limited to: the Company will conduct exploration work on the Marrancos Project as proposed; that the Company's stated goals and planned exploration and development activities will be achieved; that there will be no material adverse change affecting the Company or its properties; and such other assumptions as set out herein. Forward-looking statements are made as of the date hereof and the Company disclaims any obligation to update any forward-looking statements, whether as a result of new information, future events or results or otherwise, except as required by law. There can be no assurance that forward-looking statements will prove to be accurate, as actual results and future events could differ materially from those anticipated in such statements. Accordingly, investors should not place undue reliance on forward-looking statements. Eric Risberg/Associated Press A convicted felon has lost his security clearance and his job at Mineta San Jose International Airport after pleading guilty to illegally possessing ammunition, authorities said. Juan Gutierrez, 42, of Santa Clara, a mechanic who worked on aircraft refueling trucks at the airport, had his clearance revoked after agents from the federal Bureau of Alcohol, Tobacco, Firearms, and Explosives found four firearms and 1,000 bullets in his home, according to ATF special agent Helen Dunkel. Cost of living is a major concern when moving to a new city. But military members and their families, who are stationed throughout the country based on where they're needed, are not typically able to weigh the positives and negatives of living in a cheaper or more expensive location. What's more, many relocate often -- some every two to three years -- which means finding affordable housing can be a challenge. A May 2016 study by home listing and information site Trulia determined which cities in the U.S. are the most and least affordable for military service members, based on rental listings compared to military housing allowances in each area. Not surprisingly, Trulia found many of the most affordable locales for military members seeking off-base housing are outside vacation hot spots and away from major coastal cities. Affordable places that top the list for military families include New Haven, Connecticut; Saginaw, Michigan; and Rock Island, Illinois. The most affordable cities for military members without dependents are Fresno, California; Beaumont, Texas; and Albany, Georgia. While military members with a higher paygrade will likely have no trouble finding a home within their budget, the Trulia study found members on the lower end of the pay scale, particularly those with families, struggle with housing options. In Fayetteville, Arkansas, and Florida Keys, Florida, as much as 90 percent of the available home listings would consume three-quarters of the median local monthly housing stipend, leaving the rest to cover utilities. [See: The Best Apps for House Hunting.] But even in the most affordable cities for military members and their families, the process of finding a new home in an unfamiliar place can be intimidating. Here are four things service members should keep an eye out for to find the best affordable housing options when relocating for a new post. All options available to you. Housing allowances vary based on a military member's paygrade, whether he or she has dependents and the base's location. The decision to live on or off base often depends on factors including security, ease of traveling outside the area and the local market's affordability. Story continues Lincoln Military Housing, a subset of the real estate investment and management firm Lincoln Property Company, manages base communities in 11 states. Of the military housing it manages, 42 percent is located outside base gates but with all the same amenities of on-base housing. "You do have some people who want to live behind the gates for security reasons -- it just makes it more comfortable says Ashley Gorski Poole, national marketing manager at Lincoln Military Housing. Families who have frequent guests may want to live off base to make visitation easier, she adds. Examine the expected cost of living both on base and in a private community. In a market where you can easily find a home for less than the monthly stipend, living off base may be more cost-effective, but in pricier areas, remaining in a military community will be the right financial choice. An agent with experience. Limited time and access to information on your next city can make it difficult to decide where to live, whether to buy or rent and if on-base communities will better serve your needs. When it comes to these considerations, a real estate agent familiar with military relocation can make a world of a difference. The National Association of Realtors has a special certification -- Military Relocation Professional -- for Realtors trained to work with military service members and their families. Realtors with this designation are familiar with the limitations military families face when searching for a new home, and they can serve as a resource to fill those gaps, says Jim Lawrence, a Realtor and instructor for the MRP certification in Birmingham, Alabama. "The Realtor's knowledge of the market area is vital, even though the military types know the process because they do it so often. What they don't know are things like local transportation patterns, shopping districts, schools, recreational areas, things like that," Lawrence says. The right agent won't just know the local market -- he or she will also be well-versed in the Veterans Affairs home loan process and how it differs from conventional or Federal Housing Administration mortgages. Veterans United Home Loans specializes as a lender in VA loans and often recommends customers connect with an agent through its Veterans United Realty network, which is comprised of VA loan-savvy agents who are experienced working with military members and veterans. "We can make this an easy process for the buyer and an easy process for the real estate agent so that there's no hesitation in using the VA loan benefit," says Brian Butcher, director of agent marketing at Veterans United Home Loans. "The VA loan benefit is an earned benefit for the service member -- it's something they've sacrificed to qualify for, and we think ... it's important that real estate agents honor that sacrifice and that commitment to our country by serving them through the VA home loan." [See: The Best Affordable Places to Live in the U.S.] A home that meets all needs. Choose a home that both meets your needs while you live there as well as creates less stress when it comes time to relocate. Also consider how much renovation and maintenance a home requires. Lawrence says it's important for real estate agents to understand that excessive renovation and maintenance isn't an option for most military members. "They're not looking for a fixer-upper," he says. "They want a house that will hold its value so that when they have to move in three years, they can at least recover the value." A home close to the base may be important to you. For military members, the golden rule of real estate, "location, location, location," applies to more than getting the greatest return on investment-- it is also key to finding housing close to the military base and other military members and their families. But living outside base grounds doesn't mean you'll miss out on social opportunities. Gorski Poole notes military communities include services to help families quickly develop a sense of support and friendship, which is important since "many of these service members and their families are moving to a new location -- they don't have an established network." [See: 10 Tips to Sell Your Home Fast.] Resources for a smooth transition. The military offers a number of relocation assistance programs for its service members to make the process easier. And an experienced agent will be able to direct military members to the right superiors for information on new housing stipends, budgeting and travel allowances to visit a new post before moving. An agent familiar with military relocation will also be able to accommodate the limited time and availability a military client often has to find his or her next home. "They will typically want to come to the new location where they're being assigned. They will want to see houses, they will want to make a decision on one, write an offer on one and have the offer become a contract before they leave town," Lawrence says. Like civilian homebuyers, service members should seek assistance from professionals that provide the necessary knowledge and assistance to make each decision in the process a more informed one, from choosing the type of housing to selecting an agent and lender. Many real estate and relocation companies have divisions specializing in assistance for military families, and online resources, such as the Veterans United Home Loans website and Military.com, aim to help military members and the their families throughout the process. More From US News & World Report This is a carousel. Use Next and Previous buttons to navigate There are definitely 13 reasons why New York is "better" than San Francisco, but few of them are listed in this recent Business Insider list. Technically, author Eugene Kim lists 13 reasons why New York is better than San Francisco and Silicon Valley, but that's probably just because the piece is listed under the "Tech" heading and Kim had no choice but to include it. Or perhaps the real answer to why Silicon Valley had to be included on this list is because word has spread all the way to New York, aka: the "capital of the world," that San Francisco is entirely populated by app-branded hoodies. Either way, let's do what most San Franciscans do and just ignore the Silicon Valley part. We'll go down the Business Insider list (pulled from answers on the question-based website Quora) one-by-one and detail why it's a dumb argument for New York's superiority over San Francisco. 1. "It's hard to beat New York's urban energy." Well, sure. New York is a city with a population of 8.4 million people and there are 12 of us left in San Francisco. Try Tokyo. 2. "There's more food variety." Again, this is a population issue. There's 8 times more people in New York than San Francisco, so there's probably 8 times more spots to get food. Why did they even pick San Francisco for this list? Why pick on us, Business Insider? That said, we have $47 toast and In and Out Burger. 3. "Everything's more convenient." If we used this sentence, all SFGate commenters would spontaneously combust at once. "Everything" is more convenient. Are beaches more convenient? Is fresh air more convenient? Is the Golden Gate Bridge more convenient? This makes no sense. 4. "It's hard to believe, but rent is actually cheaper." Oh really, rent is ridiculous in San Francisco? You don't say. Low hanging fruit, Business Insider. 5. "Your commute will be better, too." Number 5 goes on to point out that San Francisco tech workers, which according to this list is everyone, pay a fortune to live in an expensive city only to commute to #SiliconValley. 6. "The glamour." Again, this is a very vague statement from the Business Insider in their stunning turn towards fashion commentary. But yes, people do have better style in New York. We will give you clothes, Manhattan. 7. "New York has the most vibrant nightlife and shopping scene in the world." This list item is paired with a picture of three people in a crosswalk holding shopping bags from Macy's. Not Bergdorf-Goodman. Not Century 21. But Macy's. 8. "You'll never have to ask yourself, 'why am I living here?'" What does this mean? Is this New York code for something? How many San Franciscans glance across the Embarcadero at dusk, bite through a hunk of warm sourdough, or bake in the Dolores Park sun and ask, "Why am I living here?" 9. "Public transportation is really good." Agreed. 10. "Great architecture." Business Insider then goes on to tip their hat to Victorian houses before dumping all over the Sunset, conveniently ignoring the entire borough of Queens. But then again, everything is more convenient in New York. 11. "How about all the art and theater?" How about it? Yes, New York has amazing art and theater, for which it is internationally famous. It's also incredibly specific to New York and perhaps, London. 12. "There are more events and meetups to meet new people." Again, maybe this is because there are more people in New York. 13. "But more than anything, it's simply because New York is the capital city of the world." Perhaps this list might be better titled, "13 reasons New York is terrific" as opposed to calling little old us out. Also, Number 13 seems like a pretty stupid reason to argue that New York is better than San Francisco. We were over here minding our own business, but if it makes you feel better New York, you're the capital of Earth. Congrats. baltimore police A new study yielded some unexpected results about racial bias in police shootings. While black people are more likely than white people to be touched, handcuffed, thrown against a wall, pushed to the ground, and have weapons pointed at them by the police, the study found no evidence of racial bias in situations where police fire their guns at civilians. "It is the most surprising result of my career," Roland G. Freyer Jr., the Harvard economist who authored the study, told The New York Times. Surprising new evidence shows racial bias in police use of force--but not in shootings. https://t.co/fF7VZswlBs pic.twitter.com/wex14l3qtb The Upshot (@UpshotNYT) July 11, 2016 Freyer and a group of students spent over 3,000 hours sifting through police data from 10 major police departments in three states: Texas, Florida, and California. They examined 1,332 shootings between 2000 and 2015. In those shootings, the study found that police officers were more likely to fire their weapons without having been attacked when the suspects were white. Black and white civilians involved in police shootings were also equally likely to have been carrying a gun. To answer the more basic question of whether shootings were more likely to occur in the first place if the suspect were black, Freyer and his team turned to Houston. The study examined police reports of not only shootings, but also arrests in which lethal force would have been justified, coded with characteristics like attempting to murder an officer, evading or resisting arrest, or using a Taser. In these charged encounters, police were 20% less likely to shoot if the suspect was black. Even more surprisingly, the recent rise in videos of these kinds of altercations didn't alter the pattern. Story continues These findings starkly contrast much of the conventional wisdom about racial bias in police shootings. The recent deaths of Alton Sterling and Philando Castile at the hands of police officers have renewed calls to address racial discrimination in policing and leaders from across the political spectrum are acknowledge the existence and dangers of bias. "Would this have happened if ... the driver and passenger were white?" Minnesota Gov. Mark Dayton asked at a press conference following the death of Philando Castile. "I don't think it would've. So I'm forced to confront, and I think all of us in Minnesota are forced to confront that this kind of racism exists." Philando Castile Alton Sterling police shooting protests President Barack Obama in a speech outlined several statistics suggesting racial bias, including the fact that last year, African-Americans were shot at by police at twice the rate of whites. "We have seen too many tragedies like this," he said. "These are not isolated incidents." In addition to the political rhetoric, previous analyses have corroborated the theory that police are more likely to use force, including lethal force, if the suspect is black. An investigation by The Washington Post last year found that over a period of about seven months, unarmed black men were seven times more likely to be killed by police than unarmed white men. And according to the most recent census data, black people account for 24% of deaths in police shootings, despite being only 13% of the population. Freyer's research seems to dispute these findings. But that doesn't necessarily mean public condemnation of racial bias in policing is wrong the study still found a much higher rate of overall police force with black suspects than with white suspects. In fact, the reported lack of racial bias in police shootings only accounts for a small slice of interactions with the police in which race usually does play a role. For example, black people are much more likely than white people to be stopped by the police in the first place a variable Freyer's study did not consider, as Vox pointed out. The study also only looked at certain cities the cities with police departments that were willing to share their records. It's possible that cities with more damning data were not represented in the research. The records are also tied to the accuracy of the police reports, which video evidence has directly contrasted in the past, according to The Daily Intelligencer. The study itself acknowledges that black people face discrimination in police interactions on the whole. In New York City police stops, for example, they were 17% more likely to encounter use of any type of police force. Use of lethal force by police shows no racial bias, to researchers' surprise. https://t.co/v1Rl4O2HeK pic.twitter.com/cBaTBptN8h The Upshot (@UpshotNYT) July 11, 2016 Freyer has spent his career studying racial disparities in a number of areas. He was inspired to study police bias after the deaths of Michael Brown and Freddie Gray. Even in the midst of his findings, he acknowledged black men's experience with the police. "Who the hell wants to have a police officer put their hand on them or yell and scream at them? It's an awful experience," he told The Times. "I've had it multiple, multiple times. Every black man I know has had this experience." NOW WATCH: Heres what happened when Trump was asked about replacing Muslim TSA workers with veterans More From Business Insider SAN JOSE, CA--(Marketwired - Jul 11, 2016) - NetEnrich announces a new service for Microsoft partners migrating applications and data to the Microsoft Azure cloud that will demonstrate cloud ROI to their clients and minimize risk at migration. The NetEnrich ROI Assessment Service for Microsoft Azure aims to help CSPs minimize risk and maximize business returns by reviewing a customer's IT infrastructure and determining its "cloud-readiness and cloud ROI." NetEnrich is offering a discount on the service to companies attending the Microsoft Worldwide Partner Conference this week. For more information, visit NetEnrich at booth #1818. CSPs embarking on Azure migrations face various challenges during the process, including: data loss and corruption, configuration errors leading to performance issues, cost overruns, VM sprawl and difficulty porting legacy systems and large databases. Proper planning is imperative, yet CSPs may lack the expertise, time and skills to conduct a thorough assessment and develop the migration strategy. NetEnrich is a Microsoft Technology Partner for Azure services with more than 11 years of experience in IT infrastructure migration and management. Earlier this year, NetEnrich announced that it has been selected by Microsoft to deliver services for setup, migration and management of Azure Backup, Azure Site Recovery, EMS and applications to Microsoft partners and cloud solution providers around the globe. The NetEnrich ROI Assessment Service for Microsoft Azure is a three-step process including the following components: Discovery: An automated process for collecting customer infrastructure data. Assessment: The analysis of that data for cloud readiness including Azure PaaS adoption and gaps in on-premise networks. Advisory: A roadmap for cloud migration to specify which infrastructure components and applications will move to Azure, and when and how to proceed to deliver the highest ROI. "Digital transformation depends upon the public cloud infrastructure which brings flexibility, agility and an economical platform for delivering customer-facing innovation," says Raju Chekuri, President & CEO of NetEnrich. "Yet for managed service providers, moving their clients to the cloud is a major shift in process, culture and technology. NetEnrich's expertise in Azure can help companies thrive in their journey to the cloud." "NetEnrich has built a partner-focused team of Microsoft Azure engineering expertise," says Chad Hiatt, Vice President of Technology at Aldridge, a Microsoft Azure partner and managed IT services provider. "Their team, experience, and approach make their services a significant value-add for assessing existing client infrastructures for Azure readiness, monitoring deployed Azure environments, and performing some of the heaviest Azure-specific lifting in implementations." About NetEnrich NetEnrich combines industrialized services and a proprietary automation platform to deliver white-labeled migration and management services for partners for on-premise to cloud globally. NetEnrich is also a Microsoft technology partner specializing in accelerating deployment, migration and management of application workloads on Azure. Our approach to IT operations reduces our partners' costs, increases margins, mitigates risk, provides control and drives innovation. NetEnrich has five global delivery centers, is headquartered in Silicon Valley, California and is a Gartner 2015 Cool Vendor. To learn more about NetEnrich, visit www.netenrich.com. FARNBOROUGH, England (Reuters) - Norway has expressed interest in buying five to six Boeing Co (BA.N) P-8 maritime surveillance planes, a senior U.S. Navy official said on Monday. Rear Admiral Dean Peters, programme executive officer for anti-submarine warfare, assault and special missions programs, said the Navy had asked potential P-8 buyers to express their interest by next summer. The P-8 is entering its last three years of production, so international partners would need to place orders relatively soon to get in on the programme before production halts. "People are showing their interest in the plane," Peters told Reuters. In addition to a 3 billion pound order announced by Britain on Monday, Boeing is also building P-8 planes for Australia, and will deliver that country's first plane in September. Leanne Caret, president of Boeing Defense, Space & Security, said the order reflected strong and growing interest in the P-8A aircraft. Boeing had lowered the cost of the plane by 30 percent in recent years, and saw a potential market of 100 aircraft that needed to be replaced or modernised in coming years. "We have a unique position to take advantage of the economies of scale that only Boeing can provide," she told Reuters in an interview. Peters said there was also growing interest in countries joining forces to train for, operate and maintain the planes, which could play a role if Norway decided to procure the planes. "The synergies you get from operating the same equipment can't be overestimated," Peters said. He said Norway, which is also buying the F-35 fighter jet, was keen to expand its military cooperation with the United States. (Reporting by Andrea Shalal; Editing by Mark Potter) At the peak of the summer-vacation camping season, restrictions on campfires go into effect Monday across Tahoe National Forest and much of Californias 20 million acres of national forests. Campfires will be restricted to existing campfire rings at approved campgrounds, with a campfire permit from a U.S. Forest Service district office also needed. The new era of camping stoves takes much of the bite out of the issue for campers, as campfires are being used more for their ambience and to roast marshmallows or heat up smores than for cooking meals. This years wildfires in California have been mostly in Southern California. In central and northern California, the Trailhead Fire in Eldorado National Forest burned 5,646 acres near Volcanoville, which shut down a rafting put-in for the week at the Middle Fork of the American River. Firefighters held the fire to a staked-out perimeter, and as of Sunday, had it 90 percent contained. The cause of that fire is under investigation. In neighboring Tahoe National Forest, with warm weather expected to return, forest supervisor Eli Ilano issued the following orders (which he provided in an email), and many national forests are expected to do the same this week: Open fires: No open fires, campfires or charcoal fires are allowed on Tahoe National Forest lands outside of designated areas, even with a valid California Campfire Permit . Lanterns/stoves: Lanterns and portable stoves using gas, jellied petroleum or pressurized liquid fuel are allowed, unless otherwise restricted, with a valid California Campfire Permit available from Forest Service offices. Fireworks: No possessing or using any type of firework or other pyrotechnic device, including explosives. Smoking: No smoking, except within an enclosed vehicle, building, or designated recreation site. ATVs: Internal combustion engines are restricted to National Forest System roads, trails and areas. Motorized cross-country travel between roads, trails, and areas is prohibited. Hot and dry weather has created conditions on the Forest that could support large fire growth, Ilano noted. These fire restrictions are necessary to ensure a fun and safe summer for everyone. Tom Stienstra is The San Francisco Chronicles outdoors writer. Email: tstienstra@sfchronicle.com Twitter: @StienstraTom LONDON A political era ended Monday unexpectedly and without an election as Prime Minister David Cameron said he will step down in two days in favor of Theresa May, a senior member of his Cabinet who will become Britains second female leader. Cameron announced his resignation last month because he backed the losing side in a referendum on Britain leaving the European Union. So did May but infighting, bad timing and cold feet among leaders of the victorious leave campaign means that she will have the task of leading a divided country out of the EU. The latest chapter in the political turmoil spawned by the EU vote moved with breathtaking speed. Early Monday, there were two candidates to lead the governing Conservative Party. At noon, Andrea Leadsom stepped down, making May leader-in-waiting. By late afternoon, Cameron had announced that May would be moving into 10 Downing Street within 48 hours. We will have a new prime minister in that building behind me by Wednesday evening, Cameron said in a brief statement outside the leaders official London residence. Cameron, who has governed since May 2010, said he will offer his resignation to Queen Elizabeth II at Buckingham Palace on Wednesday after attending a final session of Prime Ministers Questions in the House of Commons. The monarch will then invite May as leader of a party with a majority in Parliament to head a new government. Speaking outside Parliament surrounded by Conservative colleagues, May said she was honored and humbled to have been chosen the partys new leader. May campaigned tepidly to remain in the EU, but sought to reassure those who voted leave that she would respect their decision. She said there would be no attempt to avoid a British exit from the bloc. Brexit means Brexit, and were going to make a success of it, she said, promising to deliver a strong, new positive vision for the future of our country. Mays sudden victory came when Leadsom, the energy minister, stepped down from the Conservative leadership race after a weekend furor over comments in which she appeared to say being a mother gave her an advantage over May, who has no children. Only a week after she announced she was running, Leadsom said she had concluded she lacked sufficient support among legislators to be leader. She said the interests of our country are best served by the immediate appointment of a strong and well-supported prime minister. Camerons resignation announcement the day after the June 23 referendum initiated the Tory leadership race. The most prominent contenders to replace him including leave campaign leaders Boris Johnson and Michael Gove withdrew or were eliminated from contention one by one amid allegations of treachery and scheming. May, 59, is one of the most experienced ministers in Camerons Cabinet, serving for six years in the notoriously difficult job of home secretary, akin to the interior ministers post in other countries. She has a reputation for solid, unflashy competence and for prevailing over her rivals. Comparisons of May with Margaret Thatcher, the Iron Lady who governed from 1979 to 1990, appeals to many Tories. BAGHDAD President Obama will deploy 560 more troops to Iraq to help retake Mosul, the largest city controlled by the Islamic State group, Defense Secretary Ash Carter announced Monday. It is the latest escalation of the U.S. role in the Iraq war by Obama, who ran for office on a pledge to end Americas involvement in the conflict. Many of the newly deployed troops will be based at an airfield 40 miles south of Mosul that was reclaimed by Iraqi soldiers Saturday. The additional troops will bring the official number of U.S. service members in Iraq to 4,647 far fewer than the 130,000 the United States had in the country about a decade ago. U.S. commanders plan to use the base, Qayara Airfield West, as a staging area to provide logistical support to Iraqi forces as they try to retake Mosul. The Iraqis have struggled to move troops, resources and equipment tasks that will become even harder as their forces move closer to Mosul, which is 250 miles from their major supply hubs in Baghdad. We need to move to this place to be as close to the fighting as we have been, said Lt. Gen. Sean MacFarland, the head of U.S. forces in Iraq. Some of the U.S. troops who will be stationed at the airfield specialize in infrastructure support, such as building bridges a technical skill the Iraqis will need for the assault on Mosul because the Islamic State has destroyed many around the city since it took control of Mosul in 2014. The new troop deployment comes two years after Obama said that while the United States would help the Iraqi military reclaim territory from the Islamic State, those efforts would not involve American combat troops fighting on foreign soil. Since then, he has steadily increased the number of troops in Iraq and given them more authority. Three service members have been killed. In April, Carter came to Baghdad on a similar trip to announce that Obama had given U.S. military advisers the approval to work closer to the front lines of the conflict with smaller units of Iraqi forces. The increase has raised concerns among many Americans who believe that the United States is on a never-ending mission in Iraq. The announcement occurred as Iraqi soldiers appeared to be gaining momentum on the battlefield. Mosul is now the only major city in the country that the Iraqis do not control, and the Islamic State has not seized any substantial new territory since May 2015. But the Iraqis do not seem to be able to prevent the Islamic State from carrying out devastating suicide attacks in Baghdad, including one earlier this month whose death toll has reached 300 people. JUBA, South Sudan The president of South Sudan and his opposition rival both called Monday for a cease-fire in a conflict that has seen fierce clashes between their forces spread beyond the capital. President Salva Kiir declared a halt in fighting that began Thursday night and has raised fears of a return to civil war that could draw in even more of the East African countrys ethnic groups. On Saturday, the troubled nation marked the fifth anniversary of its independence from Sudan. Former rebel leader Riek Machar also called for a cease-fire. Machar, who is the countrys first vice president under a fragile peace deal, made the call in an interview with South Sudan-based Eye Radio. Kiirs announcement came after his forces overran an opposition base in Juba and killed 35 of Machars bodyguards, according to opposition officials. The government forces also attacked a U.N. peacekeeping base and camp for civilians who fled the violence. U.N. Secretary-General Ban Ki-moon urged the Security Council to place an immediate arms embargo on South Sudan. Speaking to reporters Monday, Ban also called for additional sanctions against South Sudan leaders who have blocked the existing peace deal and the fortifying of the U.N. peacekeeping force there. The renewed fighting is outrageous, Ban said. It makes a mockery of commitments to peace. Many of the thousands displaced by the fighting are seeking shelter at two U.N. bases, a World Food Program compound and other areas, said Matilda Moyo, a spokeswoman at the U.N. Office for the Coordination of Humanitarian Affairs. Huge explosions were heard in Jubas Tomping neighborhood, which houses a U.N. compound where at least 3,000 civilians have sought shelter. The district also has several embassies and the airport. The United States told its citizens it would evacuate all nonessential staff from the country. The Canadian Embassy has closed, according to a message sent to its citizens. India is planning to evacuate its citizens, according to a tweet by its external affairs minister. In addition to the continued battles in Juba, clashes broke out in the town of Torit in the southeast. There also have been sporadic hostilities in Wau in the west. South Sudans civil war broke out in December 2013 after fighting between the Dinka and Nuer ethnic groups spread across the country. The two-year civil war killed tens of thousands and displaced more than 2 million. New Mexican's Steve Terrell Wins Open Government Award Veteran political journalist Steve Terrell (who spent part of his early career at SFR!) from The New Mexico Foundation for Open Government. The Santa Fe New Mexican reporter successfully overturned a Public Regulation Commission order that would have prevented the publication of PNMs new coal contract, which was obtained from a public records request. This year's recipients also include longtime FOG board member and head of the Albuquerque Chamber of Commerce Terri Cole, educator Annette Marie Rodriguez and citizen activist Norm Gaume. Garcia Returns to Santa Fe Public Schools Former New Mexico Public Education Department Secretary after Joel Boyd announced that hes accepted a job in the private sector, according to Robert Nott at the Santa Fe New Mexican . Deadline Missed A lawyer for the state to submit a department report into the allegations that workers falsified applications for emergency food benefits in order to clear a backlog. Late Friday, the lawyer emailed the documents to the plaintiffs and sent some of them to reporters, including the NM Political Report. Griego Ordered to Stand Trial Phil Griegos criminal defense attorney says he's prepared to go the distance now that a state district court judge has determined . Theres for New Mexico Attorney General Hector Balderas, according to Santa Fe New Mexican columnist Milan Simonich. NM's Millennials More than Half Hispanic The population of New Mexicans aged 18-34 contains more Hispanics than any other state. At , it ranks higher than California and Texas, according to numbers from the US Census Bureau compiled by the Metropolitan Policy Program at The Brookings Institution, summarized by Matthew Reichbach at the New Mexico Political Report. Republican Takeover of the State Senate Unlikely Political blogger Joe Monahan says in the November election. Democrats control the Senate 24-18. While the Senate takeover talk may dissipate by the time the October leaves fall, the battle for the House is more competitive, although history will be on the side of the Democrats. The R's took it over for the first time in over 60 years two years ago, but Gov. Martinez's pivot to the jobs issues and her toning down of her "all crime all the time" campaign this summer shows the ground is shifting in favor of the D's. The R's, saddled with Trump and a lousy state economy, seem in a more defensive mood than in recent cycles. Martinez's plunge in the polls doesn't help either. Still, there is a long, long way to go. Clerk Will Process Passports The US Department of Homeland Security has certified the Bernalillo County Clerks office to process passport applications. This should , which have seen a steep increase in applications after the debate over federal Real ID regulations. Feds on Patrol The Bureau of Land Management is after a rare burrowing owl was found shot to death there. Anyone caught killing the protected bird would face six months in prison and a $500 fine. Wolf Recovery Program Mishandled Meanwhile, the US Fish and Wildlife Service has apparently to return Mexican gray wolves to the wild in New Mexico, according to a federal report. The investigation by the Department of Interior Office of the Inspector General, expected to be made public today, substantiates many of the allegations made by Catron County in a 2013 complaint namely that the service protected genetically valuable wolves in the wild, even after they preyed on cattle, did not tell residents when wolves were near and did not fully compensate ranchers for cattle killed by wolves. Cannabis Testing Varies in New Mexico New Cannabis Ventures gave SFRs medical pot financial data project a big national shout-out. Theyre using the data we posted online to themselves and discovered some of the states smaller growers are spending a larger percentage of their sales on testing than the bigger cultivators. Sparking Passion The Balloon Federation of America is sponsoring a summer camp in Albuquerque aimed at to take up the sport. The average hot air balloon pilot today is 50 years old. Santa Fe Reporter Infratil's planned purchase of a 48 percent stake in Canberra Data Centres has been delayed due to the uncertainty generated by the outcome of the Australian federal election. In a statement to the NZX, chief executive Marko Bogoievski said the A$392 million transaction had been due to complete late in July, but that the time taken to form a government had led to longer than expected timeframes for obtaining approval from the country's Foreign Investment Review Board. The infrastructure investor announced the purchase on May 19. It's teaming up with the Australian government pension fund provider Commonwealth Superannuation Corp to buy the data centre business. Commonwealth Superannuation Corp will also hold 48 percent of CDC, with the remaining 4 percent held by the data centre company's executive. Investors have now been told the transaction is due to complete late next month. Infratil shares fell 0.3 percent to $3.26, and have slipped 0.2 percent since the start of the year. BusinessDesk.co.nz Comments from our readers No comments yet Add your comment: Your name: Your email: Not displayed to the public Comment: Comments to Sharechat go through an approval process. Comments which are defamatory, abusive or in some way deemed inappropriate will not be approved. It is allowable to use some form of non-de-plume for your name, however we recommend real email addresses are used. Comments from free email addresses such as Gmail, Yahoo, Hotmail, etc may not be approved. Anti-spam verification: Type the text you see in the image into the field below. You are asked to do this in order to verify that this enquiry is not being performed by an automated process. Related News: October 25th Morning Report Mainfreight Investor Day / Market Update GFI - Greenfern - Offer closes 27th Oct MCY - Quarterly Operational Update VCT - Operational performance for the 3 months ended 30 Sept 2022 NZL - Forestry Estate Acquisition October 21st Morning Report Air New Zealand Limited Retail Bond Offer Books Close Spark welcomes C-band spectrum allocation AIA - 2022 Annual Meeting Chair & Chief Executive Addresses China's Tianjin Airlines is the latest airline to announce plans for a service to New Zealand with flights to start operating in December this year. It's applied for Chinese government approval to use an Airbus A330 to connect the city of Tianjin to Auckland via Chongqing, operating three times a week. The Air Transport department of the Civil Aviation Administration of China is currently seeking public comments on the application. Tianjin Airlines currently flies to Thailand, South Korea, Russia, Japan, Taiwan and the United Kingdom. Hong Kong Airlines has already announced a daily direct service between Hong Kong and Auckland, which is due to start on Nov. 10. Both Hong Kong and Tianjin Airlines are owned by the Hainan Group. In the year to the end of May 2016, the number of Chinese visitors to New Zealand rose 27.4% to 394,528. They are now the second largest visitor group to the country according to Tourism New Zealand, behind Australia. BusinessDesk.co.nz Comments from our readers No comments yet Add your comment: Your name: Your email: Not displayed to the public Comment: Comments to Sharechat go through an approval process. Comments which are defamatory, abusive or in some way deemed inappropriate will not be approved. It is allowable to use some form of non-de-plume for your name, however we recommend real email addresses are used. Comments from free email addresses such as Gmail, Yahoo, Hotmail, etc may not be approved. Anti-spam verification: Type the text you see in the image into the field below. You are asked to do this in order to verify that this enquiry is not being performed by an automated process. Related News: October 25th Morning Report Mainfreight Investor Day / Market Update GFI - Greenfern - Offer closes 27th Oct MCY - Quarterly Operational Update VCT - Operational performance for the 3 months ended 30 Sept 2022 NZL - Forestry Estate Acquisition October 21st Morning Report Air New Zealand Limited Retail Bond Offer Books Close Spark welcomes C-band spectrum allocation AIA - 2022 Annual Meeting Chair & Chief Executive Addresses The Labour Party expects to recycle the funds employed in its mass affordable home-building scheme up to 25 times within a decade to achieve its goal of building 100,000 new homes while committing just $2 billion to achieving that goal. Economic Development Minister Steven Joyce sought today to ridicule the scheme, details of which were announced over the weekend at Labour's centenary celebrations, saying the plan was "simply fanciful" because the $2 billion revolving fund to build the homes would need to be spent 25 times over to achieve its targets. However, Labour's housing spokesman told BusinessDesk that was exactly the intention and that Labour had carefully modelled the way the scheme would work. "The money does get spent 25 times over ten years, and is fiscally neutral by the end of that time," said Phil Twyford. "Weve done all the modelling, and the policy was extensively consulted with the construction and development industries." Labour intends building houses that would then be sold to their owners, targeting a cost for Auckland houses of $500,000 to $600,000 and around $350,000 in other parts of the country, with an Affordable Housing Authority charged with cutting through red tape to get mass construction under way and a skills policy to increase the size of the construction workforce to help meet demand. The funds released by the sales would then be recycled into new affordable houses, with Labour expecting it to take three years to get to the point where it was building 10,000 new homes a year. Labour's package was a major advance on National's "piecemeal and grudging half-measures", said Twyford. It combined the new AHA, infrastructure financing through local government bonds and targeted rates, and replacement of the current Urban Growth Boundary that artificially elevates the value of Auckland residential land with a "smarter" way of managing urban fringe growth, along with the revolving fund. In a statement, Minister Steven Joyce said, "the Labour Party needs to tell New Zealanders how they would build 100,000 houses in 10 years when they have allocated only enough money to build fewer than 4,000. They would have to buy the land, get the consents, build the infrastructure, design 4,000 houses, build 4,000 houses, and sell 4,000 houses all in five months. Not just once but 25 times. Tell em theyre dreaming." Labour's policy also includes a ban on foreign buyers of existing homes and a tax on gains from houses sold within five years of purchase, to crack down on speculators "flicking" houses quickly. The rule will not apply to homes sold by owner-occupiers or inherited properties. BusinessDesk.co.nz Comments from our readers No comments yet Add your comment: Your name: Your email: Not displayed to the public Comment: Comments to Sharechat go through an approval process. Comments which are defamatory, abusive or in some way deemed inappropriate will not be approved. It is allowable to use some form of non-de-plume for your name, however we recommend real email addresses are used. Comments from free email addresses such as Gmail, Yahoo, Hotmail, etc may not be approved. Anti-spam verification: Type the text you see in the image into the field below. You are asked to do this in order to verify that this enquiry is not being performed by an automated process. Related News: October 25th Morning Report Mainfreight Investor Day / Market Update GFI - Greenfern - Offer closes 27th Oct MCY - Quarterly Operational Update VCT - Operational performance for the 3 months ended 30 Sept 2022 NZL - Forestry Estate Acquisition October 21st Morning Report Air New Zealand Limited Retail Bond Offer Books Close Spark welcomes C-band spectrum allocation AIA - 2022 Annual Meeting Chair & Chief Executive Addresses Pump jacks are seen at the Lukoil company owned Imilorskoye oil field, as the sun sets, outside the West Siberian city of Kogalym, Russia, January 25, 2016. REUTERS/Sergei Karpukhin By Barani Krishnan NEW YORK (Reuters) - Oil prices fell more than 1 percent on Monday, hitting two-month lows on extended selling after the market's break below a key technical support level last week due to oversupply fears. The market last week slumped nearly 8 percent in its biggest weekly losses in six months and already hit a two-month low on Thursday after disappointing drawdowns in U.S. crude and gasoline inventories pointed toward weak demand. [EIA/S] The rising U.S. oil drilling rig count and cuts in bullish hedge fund bets on crude to four-month lows also added to the hard fall in prices. [RIG/U] "We have shifted to a bearish trading stance and off a neutral posture that we had maintained for approximately a month following transition from a bullish view in early June," said Jim Ritterbusch of Chicago-based oil markets consultancy Ritterbusch & Associates. Brent crude futures (LCOc1) settled down 51 cents, or 1.1 percent, at $46.25 per barrel. The session low was $45.90, the lowest since May 11. U.S. crude's West Texas Intermediate (WTI) futures (CLc1) slipped 65 cents, or 1.4 percent, to settle at $44.76 a barrel. Both benchmarks fell further in post-settlement trade, with WTI sliding 2 percent to a fresh two-month low of $44.42 on the back of the thinnest trading volume in five sessions. "We have suggested the likelihood of a price downdraft in WTI and Brent to about $37 and $38 areas, respectively," Ritterbusch said, adding that the move lower could be volatile, however, with occasional rallies of $1 to $2 a barrel. Oil prices were down since trading began in Asia on Monday as refiners in that region cut back on crude orders due to worries of an economic slowdown. The market shrugged off data from market intelligence firm Genscape, which according to traders reported a drop of 488,625 barrels at the Cushing, Oklahoma delivery hub for U.S. crude futures during the week to July 8. A Reuters poll, meanwhile, forecast total U.S. crude stocks fell 3.3 million barrels during the week to July 8. "Oil prices could drop more," said Fawad Razaqzada, analyst at forex.com in London. "In the short-term, the bulls will need WTI to climb back above $46 and Brent $47, otherwise prices may head at least towards the support trend." (Additional reporting by Ahmad Ghaddar in LONDON, Henning Gloystein in SINGAPORE and Osamu Tsukimori in Tokyo; Editing by Marguerita Choy) TORONTO, ONTARIO--(Marketwired - Jul 11, 2016) - All amounts in U.S. dollars unless otherwise stated. Onex Corporation ("Onex") (OCX.TO) and Baring Private Equity Asia ("Baring Asia") today announced their affiliated private equity funds have agreed to acquire the Intellectual Property & Science business ("IP&S") from Thomson Reuters, for $3.55 billion. IP&S owns a collection of leading subscription-based businesses that provide a diverse customer base with access to scientific literature, patent, trademark, pharmaceutical and other curated content. The transaction is expected to close later this year subject to customary closing conditions and regulatory approvals. IP&S provides comprehensive intellectual property and scientific information, decision support tools and services that enable academia, corporations, governments and the legal community to discover, protect and commercialize content, ideas and brands that are important to them. Its portfolio includes Web of Science, Thomson CompuMark, Thomson Innovation, MarkMonitor, Cortellis and Thomson IP Manager. Headquartered in Philadelphia, IP&S employs approximately 4,100 people across more than 75 offices in over 40 countries. "IP&S is a diversified portfolio of high-quality, well-positioned businesses providing proprietary, curated content through products and services that are entrenched in their customers' day-to-day activities," said Kosty Gilis, a Managing Director with Onex. "We are delighted to have the opportunity to acquire the company and partner with management and Baring Asia to enhance IP&S' operations and support its growth in the years to come." "We look forward to partnering with IP&S management and Onex to support the development of the company globally, particularly in Asia where we see a differentiated growth opportunity," said Jean Eric Salata, Founder and Chief Executive of Baring Asia. "Already an established leader in China and across the region, we believe the outlook for the business is underpinned by an increasing shift towards more knowledge driven economies and a continued emphasis on research and development." Story continues "We are pleased to announce the agreement today to sell our Intellectual Property & Science business to Onex and Baring Asia," said Jim Smith, President and Chief Executive Officer of Thomson Reuters. "With the completion of this divestiture, Thomson Reuters will be even more focused on operating at the intersection of global commerce and regulation." The transaction is expected to be funded with an equity investment of approximately $1.6 billion for 100% ownership of IP&S. Onex' portion of the equity investment (approximately $1.2 billion) will be made by Onex Partners IV and certain limited partners as co-investors, including Onex. Latham & Watkins LLP is serving as legal advisor to Onex and Baring Asia on the transaction. About Onex Onex is one of the oldest and most successful private equity firms. Through its Onex Partners and ONCAP private equity funds, Onex acquires and builds high-quality businesses in partnership with talented management teams. At Onex Credit, Onex manages and invests in leveraged loans, collateralized loan obligations and other credit securities. The Company has approximately $23 billion of assets under management, including $6 billion of Onex proprietary capital, in private equity and credit securities. With offices in Toronto, New York, New Jersey and London, Onex invests its capital through its two investing platforms and is the largest limited partner in each of its private equity funds. Onex' businesses have assets of $36 billion, generate annual revenues of $23 billion and employ approximately 145,000 people worldwide. Onex shares trade on the Toronto Stock Exchange under the stock symbol OCX. For more information on Onex, visit its website at www.onex.com. The Company's security filings can also be accessed at www.sedar.com. About Baring Private Equity Asia Baring Private Equity Asia is one of the largest and most established independent alternative asset management firms in Asia, with a total committed capital of over $10 billion. The firm runs a pan-Asian investment program, sponsoring management buyouts and providing growth capital to companies for expansion or acquisitions, as well as a pan-Asian real estate private equity investment program. The firm has been investing in Asia since its formation in 1997 and has over 125 employees located across seven Asian offices in Hong Kong, Shanghai, Beijing, Mumbai, Singapore, Jakarta, and Tokyo. Baring Asia currently has over 35 portfolio companies active across Asia with a total of 150,000 employees and sales of approximately $31 billion in 2015. For more information, please visit www.bpeasia.com. This news release may contain forward-looking statements that are based on current expectations and are subject to known and unknown uncertainties and risks, which could cause actual results to differ materially from those contemplated or implied by such forward-looking statements. Onex and Baring Asia are under no obligation to update any forward-looking statements contained herein should material facts change due to new information, future events or otherwise. NEW DELHI: Post its June referendum to leave the European Union,Britain on Friday initiated the process of recasting its trade relations with India dating to colonial times with a meeting here between British Business Secretary Sajid Javid and Indian Commerce Minister Nirmala Sitharaman. "Post Brexit (vote to exit EU), the UK Business Minister has now made the outreach to India, informally, now with this visit here. We are happy to engage niformally with the UK on trade and investment," Sitharaman told reporters here after meeting Javid. "These talks will have to be followed-up step by step, then formalised, before we can indicate whether we are looking at a preferential trade agreement (PTA) or a free trade agreement," she said. Noting that Britain was a "major component of our trade with the EU", Sitharaman said that she has invited the chief negotiatiors from both sides to start the process of informal negotiations. "Having recognised Brexit has happened, the talks on a trade agreement have to start afresh. There is great potential for goods and services trade between India and the UK, and services in particular with us being a member of the Commonwealth," she added. Javid told reporters: "We had very positive and constructive discussions and are ready to build on the constructive releationship that the UK and India already have." Britain accounts for 15 per cent of India's total merchandise trade. It is also the third largest inward investor into India, after Mauritius and Singapore, with cumulative foreign direct investment (FDI) equity investments of $22.7 billion (from April 2000 to December 2015), or eight per cent of the total FDI inflows. In turn, India is the third largest investor, based on the number of projects, into Britain. Sitharaman also said negotiations would continue for an FTA with the European Union. Javid however declined to take queries regarding his meeting earlier on Friday in Mumbai with Tata Sons chairman Cyrus Mistry along with Tata Steel top brass on the issue of the company's proposed sale of its steel assets in Britain. Read Also: BlueStone To Pump In 40 Cr On Marketing This Year TERI Working To Set Up 100 Cr Corpus For Research Works SINGAPORE: Prominent wealth funds of Singapore have shown keen interest in investing in India, a senior Indian official said on Friday. "Lot of interest and deep appreciation in Singapore about reforms and policy initiatives in India," Economic Affairs Secretary Shaktikanta Das said in a tweet about his ongoing visit to Singapore. Describing his meeting with Singapore government-owned wealth funds, Das said in another tweet: "Had very good meetings with GIC, Temasek and other investors in Singapore. Big interest to invest in India continues." "Meeting with Permanent Secretary, Ministry of Finance, Singapore was very positive," he added. During his visit to Singapore last year, Indian Finance Minister Arun Jaitley had met with local wealth and pension funds regarding their participation in India's National Investment and Infrastructure Fund (NIIF). Read Also: Informal Talks On Recasting India-British Trade Ties Post Brexit BlueStone To Pump In 40 Cr On Marketing This Year Sebi Steps Up L'affaire Mallya Probe; To Seek Overseas Help VIJAYAWADA: After Singapore, Japan and China, Kazakhstan will be the fourth country that will partner with Andhra Pradesh in building its new capital city Amaravati. Astana, Kazakhstan's capital, and Andhra Pradesh will sign a memorandum of understanding "in a few months from now" on working together on building Amaravati while a joint working group with representatives from both sides will be soon be constituted to "work closely and share experiences to build a world-class capital", stated a release from the Chief Minister's Office here. Chief Minister N Chandrababu Naidu, on the second-day of his visit to Kazakhstan, today held talks with Astana Mayor Asset Issekeshev on the capital construction, the release said. "Astana is a very young, modern and functional city. I am very impressed by the way it has been built. I have seen almost the entire city and found it very beautiful," Naidu told Issekeshev. The CM asked the Mayor to share details about the architects, designers and builders involved in the construction of Astana. The Mayor said, "More than 150 architects worked for ten years on the Astana project. We will work with you (in building Amaravati). We will share our experiences in building Astana so you could learn and avoid the mistakes we committed". Stating that he was interested in "working closely" with Astana, Naidu invited the Mayor to visit Amaravati. "We have to chalk out a roadmap on how to take our co-operation forward. A clear time frame is needed for this," the CM said. Issekeshev said they were keen on building a relationship with AP that would be "beneficial for both of us". The Mayor also made a pitch for Indian investments into his country saying Kazakhstan had "a lot of opportunities" for businessmen from AP. Issekeshev said they were keen to increase cooperation in tourism and industrial sectors besides investments in IT and renewable energy sectors. He also told the visiting CM that he would speak to their Transport minister for possibly introducing a direct flight between Astana and Amaravati that could boost tourism. The Mayor invited Naidu to attend Expo-2017, an international exposition inAstana next year. Earlier in the day, Indian Ambassador to Kazakhstan Harsh Kumar Jain hosted breakfast for the CM and the AP delegation. Jain briefed them about Kazakhstan in general and Astana in particular, besides listing out possible areas of co-operation between the two sides. Naidu then led his delegation on a visit to the 97-m tall Bayterek Tower for panoramic view of the core capital. Read Also: Modi, Zuma Hold Talks After Ceremonial Welcome In Pretoria Best Book Festivals Held Across the World, One can Participate in JOHANNESBURG: India and South Africa will sign a MoU on grassroot innovation in selected areas, including agricultural technology and indigenous knowledge systems, on the sidelines of Prime Minister Narendra Modi's maiden visit to the country. The 20th anniversary of the bilateral cooperation in science and technology between South Africa and India will be celebrated by the signing of the memorandum of understanding. The two countries share an interest in the development of grassroots innovations that can provide the affordable and accessible technological solutions needed by both countries, National Innovation Foundation said in a statement here. The MoU will cover technology demonstration, training, capacity building, sharing of open-source technologies and joint product development, it said. "Notable advances have been made in sustainable grassroots innovation, though there is still mileage to cover particularly on how our instruments and infrastructure responds to all types of innovation production across the spectrum- age, gender, economic status and location," said Department of Science and Technology spokesman Julian Leshilo. Director of India's National Innovation Foundation, Dr Vipin Kumar said that similar to South Africa, India has achieved considerable success in technology but the technological needs and ideas of people in rural areas are neglected. "There is a need to incubate and convert the ideas into products," Kumar said. A series of special events will be organised throughout the year to celebrate this strategic partnership between India and South Africa, culminating in the Science Forum South Africa to be hosted by the Department in Pretoria in December 2016, the statement said. In addition to grassroots innovation, South Africa and India collaborate on a range of scientific domains, such as the fight against infectious diseases and the Square Kilometre Array (SKA) global radio telescope project currently being built in a desert area of South Africa. Top business leaders from India and South Africa yesterday signed eight MoUs leading to increase cooperation in a wide range of areas between the two nation. The MoUs were also signed on the sidelines of Modi's five-day visit here aimed at further bolstering cooperation on economic, political, social and international areas. Modi is on four-nation tour. He visited Mozambique on Thursday and is on the second leg of his tour of Africa. He will also visit Tanzania and Kenya. Read Also: Panama Papers: I-T Dept Approaches Dozen Nations To Widen Probe PM Offers India's Expertise To Tanzania As Reliable Friend DAR-ES-SALAAM: India and Tanzania on Sunday pledged to broaden cooperation to include areas of potential such as manufacturing, development of small scale industries for rural developmental needs and in food and agricultural sectors. "The two leaders agreed that it was imperative to broaden cooperation to include potential areas such as gas exploration, manufacturing, development of small scale industries for rural developmental needs, power production and distribution, infrastructure development, agriculture especially in crops like pulses," said a joint statement issued at the end of high-level talks led by Prime Minister Narendra Modi and Tanzanian President John Magufuli. Both leaders sought to ensure "greater cooperation" in the area of scientific cooperation between the two countries besides in areas like power production and distribution, gas exploration and usage, mining and information technology. Recognizing that development partnership is an important facet of bilateral relations, Tanzania side expressed appreciation for India's continued commitment towards supporting its development efforts through providing technical and capacity building training and extension of developmental projects using grants and concessional credits. President Magufuli appreciated India's consistent support in the provision of training slots offered annually to Tanzanian officials and specialists in multiple fields including information technology, entrepreneurship, management, SMEs, rural development, agriculture, defence, and parliamentary studies. Prime Minister Modi affirmed India's continued readiness to extend further support towards development objectives of the government of Tanzania in line with its development vision, the joint statement said. He also announced the donation of a radiation therapy machine 'Bhabhatron' to Bugando Medical Centre, Mwanza. The two leaders recognized the growth in vibrancy of people-level relations between the two countries that were encouraged by the introduction of e-tourist visas to Tanzania last year. Subsequently since December 2015, the visa fees for Tanzanian business travelers to India was removed. The two leaders also jointly announced the holding of a festival of Indian culture in Tanzania within a year. The Prime Minister conveyed his good wishes for Tanzania's chairmanship of the East African Community and appreciated the efforts of the Tanzanian President to promote regional cooperation, while the East African country's leader reiterated his country's continued support for India as a permanent member of an expanded UN Security Council. Both the leaders expressed their strong condemnation of terrorism in all its forms and manifestations and stated that there can be no justification for terrorism whatsoever. They expressed satisfaction on the holding of bilateral counter-terrorism consultations in early-2016, the statement said. The two leaders recognized positive developments in terms of bilateral trade, investment and collaboration between the businesses in India and Tanzania in various areas and appreciated the contribution of the Indian duty free tariff preference scheme towards boosting considerably Tanzania's exports to India in recent years, the statement said. President Magufuli applauded the successful conclusion of the last India Africa Summit held in October 2015. He noted that the many projects that had been executed so far, and those in the pipeline were a direct result of discussions held at India Africa Summits. Prime Minister Modi extended an invitation to the President of Tanzania to pay a reciprocal visit to India in the future and Magufuli accepted the invitation, it said. Read Also: Aiming To Take India's Growth Beyond 8Pct: Modi India, South Africa To Sign MoU On Grassroot Innovation SHILMA: The Indian Institute of Advanced Study will host a two-day India-Indonesia symposium from tomorrow on several social challenges and concerns being faced by both the countries. Eminent social science scholars from Indonesia and will speak at the symposium - 'Thinking Social Sciences' - being convened by Peter Ronald deSouza, Professor at Centre for the Study of Developing Societies, New Delhi, a release said. A delegation from the Indonesian Embassy, including Ambassador Rizali Wilmar Indrakesuma, Deputy Chief of Mission Dalton Sembiring will also attend the symposium, it said. Leading scholars from both the countries will share insights to understand the complex and diverse problems being faced by India and Indonesia as both these countries are going through a phase of social transformation, the release stated. Read Also: Modi, Zuma Hold Talks After Ceremonial Welcome In Pretoria Best Book Festivals Held Across the World, One can Participate in DAR-ES-SALAAM: Prime Minister Narendra Modi on Sunday interacted with Solar Mamas, a group of nearly 30 rural women solar engineers from six African countries, who have been trained under Indias developmental support for harnessing solar energy. Celebrating the Solar Mamas! PM interacts with women trained in vocational skills under Indias developmental support, Ministry of External Affairs Spokesperson Vikas Swarup tweeted. The Solar Mamas, who come from across Africa, are trained at the Barefoot college in Rajasthans Tilonia village or the centre in Tanzania. Modi interacted with all the women, who have been trained to fabricate, install, use, repair and maintain solar lanterns and household solar lighting systems in their villages. The group of about 30 Solar Mamas from Tanzania and a few other African countries demonstrated their skills in fabrication, repair and maintenance of solar lanterns and household lighting system in presence of the Prime Minister. They also presented their honey-extraction and stitching practices and sung a song "we shall overcome". The presentation was also attended by the Deputy Foreign Minister of Tanzania Dr Susan A Kolimba and Zanzibar Minister of Land, Housing, Water and Energy, Salama Aboud Talib. Modi, who arrived here in Tanzania yesterday as part of his four-nation Africa tour aimed at enhancing ties with the continent, particularly in the economic sphere, posed for photographs with 'Solar Mamas' following the interaction. The women are also trained in solar electrification and other entrepreneurial skills such as bee-keeping and tailoring. Under Government of India-supported programmes, the college in Rajasthan has been promoting and training rural women solar engineers from Africa in household solar lighting systems. A barefoot women vocational training college in Zanzibar Islands of Tanzania and other countries in Africa has also been setup for imparting solar electrification skills and distributing solar kits. These colleges also support various entrepreneurial skills such a bee-keeping and tailoring among others. The barefoot trained solar mamas have fabricated and installed solar kits, and now maintain on self-sufficient basis these solar electrification systems in their communities. Read Also: G20 Economies Agree To Improve Global Trade Governance India, Tanzania To Broaden Ties On Agriculture, Manufacturing And Small Scale Industries NEW DELHI: Faced with resistance in disclosures by those named in the Panama Papers, the Income Tax department has made references to about a dozen countries in order to obtain actionable evidence against such Indian entities. Officials said a special wing under the Central Board of Direct Taxes (CBDT) has made multiple requests to their counterparts in foreign nations as part of the I-T department's tax evasion probe against those named in these leaks. They said that the taxman, in numerous cases in this list, was faced with non-cooperation and and non-acceptance of their accounts as revealed in the Panama papers and hence the department had to approach the foreign jurisdictions including Switzerland, British Virgin Island and the UK among others. "The I-T department has approached close to a dozen overseas jurisdictions in order to obtain actionable evidence and legal material to act against those who has been reported to have stashed black money abroad as per the Panama Papers disclosures. "In many cases, the department has reported, that those named in the list are refusing to be the beneficial owners despite the taxman getting some evidence that they actually are the ones who were involved in creating these assets," a senior official said. India has tax treaties with 137 countries at present. SIT Chairman Justice (retd) M B Shah, in a recent interview, had said investigating agencies are facing "difficulties" in reaching to the bottom of the matter as they are not getting specific account numbers and people named in the list are also "not disclosing" the details to the taxman. A Multi-Agency Group created to probe these cases has already submitted four reports to the government and to the Special Investigation Team on black money in this regard. The department already had sent detailed questionnaire to a number of individuals and entities figuring in the list of those allegedly holding offshore assets in tax havens. There are about 500 Indians named in the list which includes prominent businessmen, film celebrities and those belonging to lucrative professions. The government has created a Multi-Agency Group (MAG) of probe agencies to go into these cases, comprising the I-T department (CBDT), its foreign tax wing, the RBI, Financial Intelligence Unit and the Enforcement Directorate. The names were released by the International Consortium of Investigative Journalists (ICIJ) with 'Indian Express' newspaper in India. The ICIJ added a disclaimer that there are also "legitimate uses for offshore companies". The 'Panama Papers' leaks contain an unprecedented amount of information, including more than 11 million documents covering 2,10,000 companies in 21 offshore jurisdictions. Each transaction spans different jurisdictions and may involve multiple entities and individuals. Read Also: Astana To Sign MoU With AP For Building New Capital India-Indonesia Symposium On Social Issues To Be Held In HP STATEN ISLAND, N.Y. -- Fathers dancing with their baby girls, Mexican folkloric dance, naps in the sun and seeing that friend you've been meaning to catch up with: It really is a beautiful island. La Isla Bonita (The Beautiful Island) art and music festival brought hundreds of Staten Islanders together on Sunday afternoon and evening at Faber Park in Port Richmond. The now-annual festival was organized by La Isla art collective and artist Lina Montoya (A.K.A. Ele Eme), with a helping hand from the city's Partnership for Parks and Sol Collective. Kicking the festival off was Nuu Davi Staten Island, a multi-generational and traditional Mexican folklore dance group. Kids and adults in the group performed the choreographed dances to mariachi music, the ships passing through the Kill van Kull serving as a backdrop. Throughout the day, musicians serenaded a diverse crowd of families, skateboarders, picnickers, artists and out-of-towners. Acts included New York City-based "tropical circus punk band" Consumata, and Los Angeles-based Gingee, who combined traditional Filipino instrumentation with electronic beats and spoken word. Representing Staten Island was the fierce female-fronted dream punk band Yeti. The band will be changing their name after Sunday's performance, they announced. An open mic session organized by Staten Island's literary magazine NYSAI brought to the stage everyone from comedian Nanci Richards to rapper Andre Altrez. Artists who had never sung before tried it out before the crowd. In addition to the music, there was also a station for people of all ages to create "rhyme books" with Stapleton's Nani Castle, a teacher and musician. Underlying the fun was a sense of relief: The past week has seen a surge in protests over deaths involving police officers in Minnesota, Alabama and Dallas. Many who came to La Isla Bonita expressed a tired anger over the deaths. "We're angry in a sense, but more than anything, we're sad and heartbroken," said Krystal Sanchez, a CSI student and leader of the group, Staten Island Against Racism and Police Brutality. "You can see it in the community -- we're crushed. But this festival came at a perfect moment. We need more events like this because it gives us motivation. It shows us why we're doing what we're doing." Seeing different cultures and generations coming together for a laid back day was inspirational, Sanchez said. It validated the justice her community is seeking through social activism. "We're doing this because we want every day to look like this," Sanchez said. A tiny, poignant reminder of the now-politicized environment Sanchez referenced was 2-year-old Legacy Garner, the youngest child of Eric Garner, who died in police custody in 2014. Legacy danced to music spun by Staten Island DJ Dizzle between acts, and wore a button with her father's image on it. Her presence was a reminder of the incident that sparked heated dialogue across the country over race and justice. Protests as recent as Saturday were a reminder of the divisions left in the wake of Garner's death and the activism that has come of it. Gia Dupree, a founding member of Isla Collective, said the positivity of La Isla Bonita had a powerful effect. "We're seeing that we're not alone." 20675748-mmmain.jpg Royal Caribbean's Anthem of the Seas (Courtesy of Sean Sweeney) STATEN ISLAND, N.Y. -- The city Office of the Chief Medical examiner ruled that an 8-year-old boy died from accidental drowning after he was found in critical condition in a pool on a cruise ship. The youngster, Prince Adepoju of Maryland, passed away on July 2 at Staten Island University Hospital in Ocean Breeze, according to a spokeswoman for Staten Island University Hospital. "The cause of death is drowning, with contributing condition of seizure disorder," said an email from a spokeswoman for the medical examiner's office. "The manner of death is accident." The boy was rushed in critical condition from Royal Caribbean's Anthem of the Seas to the medical facility following the incident on the evening of June 30. He was in critical condition the entire time he was in the Pediatric Intensive Care Unit at the hospital, a source told the Advance. "We are heartbroken to learn the news of his passing," said a statement issued on Monday by Royal Caribbean. "Our thoughts and prayers are with the family at this terribly sad time." The ship bound for the Caribbean had left Bayonne, New Jersey, earlier in the evening, and was five to 10 miles offshore from Breezy Point, Queens, when the child was found unresponsive, according to media reports. He was in the pool water for eight to 10 minutes before he was rescued around 8 p.m. "On Thursday, June 30, an eight-year old boy onboard Anthem of the Seas was treated by the ship's medical team after an accident in one of the ship's swimming pools, but required additional medical attention," a statement on Monday from Royal Caribbean said. "The ship altered its course and sailed back towards Bayonne, New Jersey. The boy was evacuated and treated at the hospital." He was airlifted to Staten Island University Hospital, a NYPD spokesman said. BIB house 2.jpg This house on Hunter Avenue in Midland Beach is in the process of being elevated under the city's Build it Back program in winter 2016. Brad Gair called the program a "categorical failure." (Advance file photo) Rep. Daniel Donovan asks a panelist a question about emergency preparedness and response. Monday, July 11, 2016 (Staten Island Advance/Rachel Shapiro) STATEN ISLAND, N.Y. -- Build it Back is a "categorical failure," emergency management guru Brad Gair said during a congressional hearing Monday, and if Staten Island is hit with another Hurricane-Sandy-like storm, federal agencies are in no shape to properly respond, he said in a scathing assessment. Gair spoke on a panel held at Staten Island University Hospital, where Rep. Daniel Donovan convened the field hearing in his role as chairman of the Emergency Preparedness, Response and Communications Subcommittee of the Homeland Security Committee. Donovan and New Jersey Rep. Donald Payne heard from experts from the Federal Emergency Management Agency (FEMA), U.S. Department of Housing and Urban Development (HUD), Daniel A. Zarrilli, the mayor's chief resilience officer, and hospital and nonprofit representatives who responded after Hurricane Sandy. The panelists spoke about federal investment in disaster resiliency projects and how to better prepare for future storms. Gair is currently the vice president of emergency management and enterprise resilience for NYU Langone Medical Center, but spoke as a private citizen, recalling his time as director of housing recovery operations under Mayor Michael Bloomberg, where he launched and coordinated the FEMA recovery program, Build it Back. Under the de Blasio administration, the program was retooled and began making progress on the stalled list of houses in need of repairs. Gair was previously deputy commissioner with the city Office of Emergency Management and a federal recovery officer during 9/11 and Hurricane Katrina. CONCERNS OVER LACK OF COORDINATION Gair called attention to what he sees as a lack of coordination between federal disaster recovery agencies like FEMA and HUD, pointing out inefficiencies, redundancies and a disjointed network of systems that is plagued with red tape and that frustrates the homeowners who must navigate it. "Our existing recovery programs do not work for the majority of families impacted by disaster, not individually and not collectively," Gair said. "The National Flood Insurance Program is broken, possibly beyond repair; FEMA's cap on assistance to families at barely $30,000 makes little economic sense; asking families to take on new debt through Small Business Administration loans is always a hard sell to those who have already lost so much; charitable organizations trying to fill gaps without sufficient data on the needs and little coordination with government agencies end up wasting millions of donated dollars; and the HUD [Community Development Block Grant Disaster Recovery Program], when authorized, is expected to be the magic bullet -- and instead just ends up being another self-inflicted wound for the federal government." He criticized HUD's programs, saying the city's Build it Back program, as well as the Road Home program after Hurricane Katrina in Louisiana "have generally been categorical failures in supporting timely and effective housing recovery." "Once Congress authorizes the funds, the process for getting funds from the federal treasury to those in need is unacceptably long, inexplicably convoluted and inexcusably wasteful," he said. Gair added that the root of the problem is state and local governments that can't handle the workload of allocating the billions of dollars in aid, coordinating the hundreds of employees, offering the customer service needed and more. "Since Mayor de Blasio took control of Sandy recovery efforts, the City has made tremendous progress," said de Blasio spokesman Raul Contreras. "For example, we've overhauled Build It Back and took a program where no homeowner had been served to a program where 80 percent of homeowners have been served. Further, $120 million in reimbursement checks have been issued, and we are continuing to elevate and rebuild homes across the City and Staten Island to complete the program - as the Mayor committed - by the end of this year. Thus far the City has been an effective steward of Sandy recovery funds and will continue to do so moving forward." THE ROLE OF NON-PROFITS Although not as critical as Gair, Catholic Charities CEO Vincent Ignizio, former City Council minority leader, too suggested that efforts to help those in need must be better coordinated. When Hurricane Sandy hit, bridges were shut down and "Staten Island was on its own," which is to be expected on an island, he said. While there were some "pre-deployed assets" it wasn't coordinated far in advance. He would like to see that changed. Catholic Charities would welcome pre-deployed assets on its 170-acre campus at Mount Loretto, Ignizio said, "We want to be a part of the solution, we don't want what occurred to occur again." He suggested non-profits coordinate and plan in advance which group is in charge of what service. Ignizio said that while non-profits are the "boots on the ground" -- there well before federal authorities arrive, they need the resources to operate, other panel members pointed out. As for coordinating with emergency responders, Ignizio said, non-profits don't. "The reality is, non-profits do it better, we do it faster, we're on the ground that day while we're waiting for the cavalry to arrive." As for coordinating those non-profit efforts "County-based is the way to go," Ignizio said, and Borough President James Oddo has been doing some of that coordination. Donovan agreed. Borough Hall is a "wonderful location and Jim Oddo is a wonderful leader for this," he said. BOROUGH HALL'S ROLE In a statement, Oddo said, "The role of our office is near the center of all aspects of emergency response. It is our job to know all the players, understand their roles, and if necessary act as a Staten Island hub in whatever way we can be helpful. Obviously, we are not the NYC Emergency Management, the city agency with the authority and expertise to coordinate emergency response, but I have an excellent and longtime working relationship with Commissioner Esposito and am constantly updated by him and his staff whenever we are in an emergency or potential emergency situation." Community Organizations Active in Disaster coordinates non-profits, Oddo pointed out, and his office receives regular updates from that group. TAKING A CLOSER LOOK While Gair was critical of FEMA, asked in an interview after the hearing what Congress can do about it, Donovan said Congress can direct FEMA to work harder to coordinate. "We'll go back and take a look at it," he said. Responding to Gair's criticism of HUD programs, spokesman Brian Sullivan said, "By design, Congress has created a disaster recovery platform that places the responsibility of designing recovery programs and implementing these recovery programs on the states and local governments that receive the funds." He acknowledged many of the criticisms -- "we hear them loud and clear," he said -- but much of the responsibility falls on the state and local governments that implement it. To change that would require a statutory change from Congress. "We have to work within the boundaries of the law," he said. STATEN ISLAND, N.Y. -- The Stephen Siller Tunnel to Towers Foundation is working to raise money for the families of five police officers who lost their lives in a Dallas shooting last week. "You cannot take away the grief," Mary Siller, the Foundation's treasurer, said. "We want to help them with their financial worries." In just a matter of days the foundation has already raised $400,000, with hopes set on reaching a few million in order to help the victims' families to cope with house needs. The foundation, named after firefighter Stephen Siller, who lost his life saving others on 9/11 while off-duty, has a history of stepping up to help during tragedy. The organization raised more than more than $1 million for Pei Xia Chen and Maritza Ramos, who lost their husbands, Detective Wenjian Liu and Detective Rafael Ramos during an ambush in Brooklyn in 2014. Chen and Ramos each donated $10,000 to the families in Dallas and they are planning on meeting with them later this week along with Frank Siller, Chairman and CEO of the Foundation. It is possible to make a donation at the Stephen Siller Tunnel to Towers Foundation website. STATEN ISLAND, N.Y. -- The government says a U.S. Supreme Court ruling in a Texas death-penalty case could impact its decision whether to challenge a federal judge's ruling sparing convicted cop-killer Ronell Wilson's life. The U.S. Justice Department needs more time to mull an appeal of Brooklyn federal court Judge Nicholas G. Garaufis' ruling earlier this year vacating the death sentence due to Wilson's intellectual disability, according to a motion filed Friday at the U.S. Court of Appeals for the Second Circuit in Manhattan. The Texas case, involving a death-sentence imposed on Bobby James Moore in 1980 for slaying a 70-year-old Houston grocery clerk during a robbery, will come up for hearing and ruling during the high court's next term, starting in October. "The court's decision in Moore ... will likely implicate any determination of whether to appeal and, if any appeal were pursued, in resolving that appeal," wrote Assistant U.S. Attorney Amy Busa. In March, Brooklyn federal prosecutors filed a notice of appeal to preserve their right to formally contest Garaufis' ruling that spared Wilson's life. The U.S Justice Department has yet to decide whether to move forward with the appeal. In imposing a series of consecutive sentences of life without parole against Wilson, Garaufis said the U.S. Constitution "forbids the execution of intellectually disabled persons." Wilson, 34, was sentenced to death in 2013 in a penalty-phase retrial after Garaufis previously ruled the former Stapleton gang member was not mentally incapacitated. The defendant was convicted of murdering Detectives Rodney J. Andrews, 34, and James V. Nemorin, 36, during an undercover gun buy-and-bust operation in Tompkinsville on March 10, 2003. A prior Brooklyn federal court jury had sentenced Wilson to death in 2007. Three years later, an appeals court tossed out the sentence due to prosecutorial errors during the original penalty phase of the trial. The convictions stood, and Wilson was retried for the penalty phase only. Jurors again voted for death. Wilson appealed, and in July 2014, the Second Circuit Court of Appeals ordered Garaufis to reconsider Wilson's claim of intellectual disability in light of a U.S. Supreme Court ruling in a Florida case. The country's highest court found that Florida had adopted a too-rigid cutoff for IQ test results in deciding who could be spared the death penalty due to intellectual disabilities. In his March ruling, Garaufis said Wilson had demonstrated "significant defects in adaptive functioning" which met the legal standard for proving intellectual disability. Garaufis also said those issues, dealing with a broad array of abilities, skills and behavior, manifested themselves before Wilson turned 18. In the Texas case, courts in that state relied on a 1992 definition of intellectual disability to deem Moore eligible for the death penalty. His lawyers argue the professional psychiatric community now finds such standards outdated. Rudy Giuliani Rudy Giuliani recently greeted seniors at Arrochar Friendship Club. (Staten Island Advance/Rachel Shapiro) STATEN ISLAND, N.Y. -- At a time when there are tensions between the African-American community and police across the country, former New York City Mayor Rudy Giuliani blasted the Black Lives Matter movement, calling it "racist" and "anti-American." Giuliani told CBS' "Face the Nation" on Sunday that the movement is "inherently racist." "Of course black lives matter, and they matter greatly, but when you focus in on the 1 percent of less than 1 percent of the murder that's going on in America, and you make it a national thing and all of you in the media make it much bigger than the black kid who's getting killed in Chicago every 14 hours, you create a disproportion," said Giuliani to CBS. The former mayor said: "They sing rap songs about killing police officers and they talk about killing police officers and yell it out at their rallies. ... Black lives matter, white lives matter, Asian lives matter, Hispanic lives matter - that's anti-American and it's racist." Giuliani said race needs to be "looked at differently," in order for relations between police and African-Americans to change. "We've been looking at it (race) the same way for 20 years and here's where we are. We both have to try and understand each other," said the former mayor on Face the Nation. FOLLOW Tracey Porpora on Screen Shot 2016-07-10 at 4.00.07 PM.png A chaplain, right, talks with a woman before a service at the Potter's House, Sunday, July 10, 2016, in Dallas that included a memorial to the five Dallas police officers killed last week as well as a town hall meeting to discuss recent shootings. (AP Photo/Eric Gay) DALLAS -- Missouri police say an off-duty officer fatally shot a man who was trying to enter the officer's home, and a relative says the two had been arguing on Facebook about the Black Lives Matter movement. St. Louis County police say 20-year-old Tyler Gebhard threw a concrete planter through a window to enter the officer's Lakeshire home Saturday evening. The officer, who wasn't identified, then shot him. Police say Gebhard was known to the family and had recently made online threats toward them. An uncle of Gebhard's, Patrick Brogan, of Waterloo, Illinois, told The St. Louis Post-Dispatch (http://j.mp/29r2hhC) Gebhard and the officer had been arguing on Facebook. He said Gebhard, who was biracial, empathized with the objectives of the Black Lives Matter group. Police Chief Jon Belmar says the officer is on leave. (Note: We've updated the headline in this report to more clearly reflect that facts and source of the information.) Tobacco giant Philip Morris International Inc. PM has lost the legal battle against the Uruguay government regarding sale of cigarettes in the country. The company would now have to cover its packs with graphic warning labels in order to sell cigarettes in the South American nation. Philip Morris had appealed to The International Center for Settlement of Investment Disputes against the Uruguay government over strict anti-smoking regulations. However, the appeal was rejected by the regulatory body on grounds of public health. PHILIP MORRIS Price PHILIP MORRIS Price | PHILIP MORRIS Quote The anti-smoking rules in Uruguay require 80% of the front and back of cigarette packages to be covered with graphic warnings. Moreover, each brand is allowed to give just one presentation per pack. The Zacks Rank #3 (Hold) company, however, appealed against the rule citing that it violated a bilateral treaty and also hurt its intellectual property rights and sales. Notably, several countries around the world are imposing restrictions on tobacco makers which, in turn, is lowering cigarette consumption and affecting margins. The plain packaging concept was first implemented in Australia in 2011 (read: Australia Harsher on Smoking). Ireland was the first European country to adopt plain packaging. The bill was passed on Mar 10, 2015, came into effect in May 2016. In May 2016, tobacco companies in New Zealand were asked to remove logos from cigarette packets and also enlarge the health warnings printed on the their packages. Also, the Supreme Court of India ordered tobacco makers to exhibit graphic health warnings on cigarette packets in the same month. The Food and Drug Administration has made it mandatory for tobacco companies to use precautionary images on cigarette packets to dissuade smokers. The labels have been designed in accordance with the Family Smoking Prevention and Tobacco Control Act and depict disturbing images that highlight the health hazards of smoking. Story continues These aforesaid rules are posing significant problems for tobacco majors like Philip Morris., Reynolds American Inc. RAI, British American Tobacco BTI and Altria Group Inc. MO. The companies are already bearing the brunt of anti-smoking campaigns worldwide, which is likely to have an unfavorable impact on their financials, going forward. Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report >> Want the latest recommendations from Zacks Investment Research? Today, you can download 7 Best Stocks for the Next 30 Days. Click to get this free report ALTRIA GROUP (MO): Free Stock Analysis Report PHILIP MORRIS (PM): Free Stock Analysis Report REYNOLDS AMER (RAI): Free Stock Analysis Report BRITISH AM TOB (BTI): Free Stock Analysis Report To read this article on Zacks.com click here. Zacks Investment Research Qatar Airways is "seriously" talking to Boeing (NYSE: BA) about buying a "large number" of its 737 Max aircraft, just weeks after it canceled its first order for Airbus (Euronext Paris: AIR-FR)'s rival plane following delays to its delivery. Speaking to CNBC at the Farnborough Airshow , Akbar Al Baker, chief executive of Qatar Airways, expressed his dismay at the delays for the Airbus A320neo planes and said he was open to buying Boeing's rival 737 Max. "I'm still frustrated because I'm still seven airplanes short in my fleet. We are talking to Boeing seriously about the Max aircraft and hopefully, maybe, in one of our joint ventures we could take a large number of Max," Al Baker, told CNBC in an interview on Monday. "You can't imagine how upset I am when I wake up in the morning and know that I am still short of airplanes and i have so much demand of passengers to operate into those new markets," he added. The Doha-based airline ordered 50 A320neo-family planes and was due to receive the first plane last year. But delays caused by issues with the aircraft's Pratt & Whitney engines have meant that not one plane has been delivered to Qatar Airways. Last month, the airline canceled its order for the first A320neo, using a contractual clause that allowed it walk away. It has threatened to withdraw more orders. Airbus has acknowledged the issues. Last month, the company's chief executive, Fabrice Bregier, admitted it was behind schedule. "We are late compared to what we had promised, especially for launch customers. I fully understand why these customers are not satisfied," he said, according to the Wall Street Journal. Like the Airbus A320neo, Boeing's 737 Max is a single-aisle aircraft aimed at offering higher fuel efficiency and performance. It's the U.S. aerospace giant's newest aircraft and is scheduled for first delivery in 2017 with launch customer Southwest Airlines (NYSE: LUV). Story continues Airbus is also contending with supply chain delays for its A350 wide-body plane. The French aerospace firm has promised to increase production this year to deliver 650 planes, compared to 635 in 2015. Follow CNBC International on Twitter and Facebook. More From CNBC By clicking Agree, you consent to Slates Terms of Service and Privacy Policy and the use of technologies such as cookies by Slate and our partners to deliver relevant advertising on our iOS app to personalize content and perform site analytics. Please see our Privacy Policy for more information about our use of data, your rights, and how to withdraw consent. Agree Best Canadian Blog 2004, 2005, 2006, 2007 About Kate Why this blog? Until this moment I have been forced to listen while media and politicians alike have told me "what Canadians think". In all that time they never once asked. This is just the voice of an ordinary Canadian yelling back at the radio - "You don't speak for me." (goes to a private mailserver in Europe) I can't answer or use every tip, but all are appreciated! Katewerk Art Support SDA I am not a registered charity. I cannot issue tax receipts. Reconnaissance Man Economics for the Disinterested ...a fast-paced polar bear attack thriller! Want lies? Hire a regular consultant. Want truth? Hire an asshole. Weather Shop Click to inquire about rates. Dow Jones What They Say About SDA "Smalldeadanimals doesn't speak for the people of Saskatchewan" Former Sask Premier Lorne Calvert "I got so much traffic after your post my web host asked me to buy a larger traffic allowance." Dr.Ross McKitrick Holy hell, woman. When you send someone traffic, you send someone TRAFFIC. My hosting provider thought I was being DDoSed. - Sean McCormick "The New York Times link to me yesterday [...] generated one-fifth of the traffic I normally get from a link from Small Dead Animals." Kathy Shaidle "Thank you for your link. A wave of your Canadian readers came to my blog! Really impressive." Juan Giner - INNOVATION International Media Consulting Group I got links from the Weekly Standard, Hot Air and Instapundit yesterday - but SDA was running at least equal to those in visitors clicking through to my blog. Jeff Dobbs "You may be a nasty right winger, but you're not nasty all the time!" Warren Kinsella "Go back to collecting your welfare livelihood."Michael E. Zilkowsky Intelliweather Seismic Map Comments Policy Read this Best Of SDA Hide The Decline The Bottle Genie (ClimateGate links) You Might Be A Liberal Uncrossing The Line Bob Fife: Knuckledragger A Modest Proposal (NP) Settled Science Series Y2Kyoto Series SDA: Reader Occupation Survey Brett Lamb Sheltered Workshop Flakes On A Plane All Your Weather Are Belong To Us Song Of The Sled The Raise A Flag Debacle (Now on Youtube!) (.mwv Video) Abuse Ruins Life Of Girl Trudeaupiate Kleptocrat Jeans Child Labour I Concede Small Dead Feminist Protein Hoser: THK Interview The Werewolf Extinction Dear Laura (VRWC) We Wait Blogging The Oscars Jackson Converts To Islam Just Shut The HELL Up Manipulating Condi Gay Equality Rights System error error: Can't call method "get_id" on an undefined value at /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/dhandler.html line 25. context: ... 21: 22: 23: % foreach my $c (@categories) { 24: <%perl> 25: my $category_id = $c->get_id(); 26: my @stories = Bric::Biz::Asset::Business::Story->list ( { element_type_id=>1148, category_id=>$category_id , Order=> 'cover_date', publish_status => 't' , OrderDirection=> 'DESC' , Limit=>10 } ); 27: 28: 29: ... code stack: /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/dhandler.html:25 /usr/share/perl5/HTML/Mason/Request.pm:948 /var/cache/mason/obj/2011159162/main/smetimes/dhandler.html.obj:17 /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/autohandler_template.html:149 Can't call method "get_id" on an undefined value at /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/dhandler.html line 25. Trace begun at /usr/share/perl5/HTML/Mason/Exceptions.pm line 125 HTML::Mason::Exceptions::rethrow_exception('Can\'t call method "get_id" on an undefined value at /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/dhandler.html line 25.^J') called at /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/dhandler.html line 25 HTML::Mason::Commands::__ANON__ at /usr/share/perl5/HTML/Mason/Component.pm line 157 HTML::Mason::Component::run_dynamic_sub('HTML::Mason::Component::FileBased=HASH(0x5612e6eb4200)', 'main') called at /usr/share/perl5/HTML/Mason/Request.pm line 948 HTML::Mason::Request::call_dynamic('HTML::Mason::Request::ApacheHandler=HASH(0x5612ea5af520)', 'main') called at /var/cache/mason/obj/2011159162/main/smetimes/dhandler.html.obj line 17 HTML::Mason::Commands::__ANON__ at /usr/share/perl5/HTML/Mason/Component.pm line 135 HTML::Mason::Component::run('HTML::Mason::Component::FileBased=HASH(0x5612e6eb4200)') called at /usr/share/perl5/HTML/Mason/Request.pm line 1302 eval {...} at /usr/share/perl5/HTML/Mason/Request.pm line 1292 HTML::Mason::Request::comp(undef, undef, undef) called at /usr/share/perl5/HTML/Mason/Request.pm line 955 HTML::Mason::Request::call_next('HTML::Mason::Request::ApacheHandler=HASH(0x5612ea5af520)') called at /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/autohandler_template.html line 149 HTML::Mason::Commands::__ANON__ at /usr/share/perl5/HTML/Mason/Component.pm line 135 HTML::Mason::Component::run('HTML::Mason::Component::FileBased=HASH(0x5612efe3e338)') called at /usr/share/perl5/HTML/Mason/Request.pm line 1300 eval {...} at /usr/share/perl5/HTML/Mason/Request.pm line 1292 HTML::Mason::Request::comp(undef, undef, undef) called at /usr/share/perl5/HTML/Mason/Request.pm line 481 eval {...} at /usr/share/perl5/HTML/Mason/Request.pm line 481 eval {...} at /usr/share/perl5/HTML/Mason/Request.pm line 433 HTML::Mason::Request::exec('HTML::Mason::Request::ApacheHandler=HASH(0x5612ea5af520)') called at /usr/share/perl5/HTML/Mason/ApacheHandler.pm line 165 HTML::Mason::Request::ApacheHandler::exec('HTML::Mason::Request::ApacheHandler=HASH(0x5612ea5af520)') called at /usr/share/perl5/HTML/Mason/ApacheHandler.pm line 831 HTML::Mason::ApacheHandler::handle_request('HTML::Mason::ApacheHandler=HASH(0x5612ea5ada60)', 'Apache2::RequestRec=SCALAR(0x5612ea5af760)') called at (eval 592) line 8 HTML::Mason::ApacheHandler::handler('HTML::Mason::ApacheHandler', 'Apache2::RequestRec=SCALAR(0x5612ea5af760)') called at -e line 0 eval {...} at -e line 0 System error error: Can't call method "get_id" on an undefined value at /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/dhandler.html line 25. context: ... 21: 22: 23: % foreach my $c (@categories) { 24: <%perl> 25: my $category_id = $c->get_id(); 26: my @stories = Bric::Biz::Asset::Business::Story->list ( { element_type_id=>1148, category_id=>$category_id , Order=> 'cover_date', publish_status => 't' , OrderDirection=> 'DESC' , Limit=>10 } ); 27: 28:
29: ... code stack: /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/dhandler.html:25 /usr/share/perl5/HTML/Mason/Request.pm:948 /var/cache/mason/obj/2011159162/main/smetimes/dhandler.html.obj:17 /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/autohandler_template.html:149 Can't call method "get_id" on an undefined value at /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/dhandler.html line 25. Trace begun at /usr/share/perl5/HTML/Mason/Exceptions.pm line 125 HTML::Mason::Exceptions::rethrow_exception('Can\'t call method "get_id" on an undefined value at /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/dhandler.html line 25.^J') called at /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/dhandler.html line 25 HTML::Mason::Commands::__ANON__ at /usr/share/perl5/HTML/Mason/Component.pm line 157 HTML::Mason::Component::run_dynamic_sub('HTML::Mason::Component::FileBased=HASH(0x5612f01b33b8)', 'main') called at /usr/share/perl5/HTML/Mason/Request.pm line 948 HTML::Mason::Request::call_dynamic('HTML::Mason::Request::ApacheHandler=HASH(0x5612f01f6808)', 'main') called at /var/cache/mason/obj/2011159162/main/smetimes/dhandler.html.obj line 17 HTML::Mason::Commands::__ANON__ at /usr/share/perl5/HTML/Mason/Component.pm line 135 HTML::Mason::Component::run('HTML::Mason::Component::FileBased=HASH(0x5612f01b33b8)') called at /usr/share/perl5/HTML/Mason/Request.pm line 1302 eval {...} at /usr/share/perl5/HTML/Mason/Request.pm line 1292 HTML::Mason::Request::comp(undef, undef, undef) called at /usr/share/perl5/HTML/Mason/Request.pm line 955 HTML::Mason::Request::call_next('HTML::Mason::Request::ApacheHandler=HASH(0x5612f01f6808)') called at /usr/local/bricolage/data/burn/stage/oc_1027/smetimes/autohandler_template.html line 149 HTML::Mason::Commands::__ANON__ at /usr/share/perl5/HTML/Mason/Component.pm line 135 HTML::Mason::Component::run('HTML::Mason::Component::FileBased=HASH(0x5612f010aa58)') called at /usr/share/perl5/HTML/Mason/Request.pm line 1300 eval {...} at /usr/share/perl5/HTML/Mason/Request.pm line 1292 HTML::Mason::Request::comp(undef, undef, undef) called at /usr/share/perl5/HTML/Mason/Request.pm line 481 eval {...} at /usr/share/perl5/HTML/Mason/Request.pm line 481 eval {...} at /usr/share/perl5/HTML/Mason/Request.pm line 433 HTML::Mason::Request::exec('HTML::Mason::Request::ApacheHandler=HASH(0x5612f01f6808)') called at /usr/share/perl5/HTML/Mason/ApacheHandler.pm line 165 HTML::Mason::Request::ApacheHandler::exec('HTML::Mason::Request::ApacheHandler=HASH(0x5612f01f6808)') called at /usr/share/perl5/HTML/Mason/ApacheHandler.pm line 831 HTML::Mason::ApacheHandler::handle_request('HTML::Mason::ApacheHandler=HASH(0x5612ea5ae240)', 'Apache2::RequestRec=SCALAR(0x5612ea5a9e38)') called at (eval 592) line 8 HTML::Mason::ApacheHandler::handler('HTML::Mason::ApacheHandler', 'Apache2::RequestRec=SCALAR(0x5612ea5a9e38)') called at -e line 0 eval {...} at -e line 0 Inside a dusty shipping container tucked away at a Sutton property sits $200,000 of marble and granite statues. One eager Canberra man has spent years pushing for a new spiritual and temple centre to cater for the city's growing Hindu population and house the holy sculptures. Krishna Nadimpalli has plans to build a huge spiritual and temple centre for the Hindu community in Canberra. Credit:Jamila Toderas "People keep asking me, when is the temple coming?" Krishna Madimpalli said. The chairman of the Canberra Hindu Mardir Incorporated raised $400,000 in two years, mainly through trustees, to start building 1.5 hectares of temples and halls. Coranderrk Street will be closed in both directions from Parkes Way to Ameroo Street between 8pm and 5am each night until Friday. London Circuit will be closed in both directions from the QT Hotel main entrance driveway to Akuna Street and the access ramp between 8pm and 5am each night until Friday. If you see any accidents or have any info on the morning commute, let us know whenever it is safe to do so. Email morningblog@canberratimes.com.au or tweet us @canberratimes. The ultimate foodie festival, the Canberra Region Truffle Festival is back for another year until August. Looking for school holiday activities for the kids? Check out our guide. Come to the National Archives and explore exquisite garments, sleek fashion shoots, designers, retailers and bloggers in Faith, Fashion, Fusion - Muslim Women's Style in Australia. Until September 4. The Canberra Times editorial cartoon for Tuesday, July 12, 2016. Credit:Pat Campbell Snow could hit Canberra tomorrow, and there's a severe weather warning in place. Today: Cloudy with a high (80%) chance of showers from late this morning. Max 11. Tomorrow: Partly cloudy and windy with a high (70%) chance of showers in the morning. Possible chance of snow. Min -1, max 6. Thursday: Mostly sunny with areas of morning frost and light winds during the day. Min -4, max 10. The first Australian victim of the iron ore price collapse could soon be back in operation, after the liquidators of Sherwin Iron found a suitor for the failed company's mine in the Northern Territory. Sherwin went into administration in June 2014 when iron ore prices were averaging $US93 per tonne, and has since gone through receivership and liquidation. A suitor has emerged for Sherwin Iron's Roper River iron ore miner in the NT. Credit:Bloomberg But more than two years since work halted on Sherwin's Roper River iron ore project, a buyer from the United Arab Emirates is looking to restart the mine and recommence shipments through the Port of Darwin. Sources have confirmed that liquidators Korda Mentha struck a sale agreement for Roper River in December 2015, and are hoping to finalise the agreement shortly. The next day, Thursday, protests convened in cities across America. Some 800 people turned out at the one in Dallas. Alton Sterling is detained by two Baton Rouge police officers outside a convenience store. Moments later, one of the officers shot and killed Sterling. Credit:Screengrab/AP During the peaceful demonstration, shots suddenly rang out and the first of 12 law enforcement officers fell to the ground, shot. The police present at the rally, frantically trying to work out where the shots were coming from, detained three of the protesters who had AR-15 semi-automatic rifles slung over their shoulders, openly and legally under Texas law. Philando Castile was shot through an open car window as his girlfriend and young daughter looked on. Credit:Facebook Not because they were shooting but in case they were connected to the gunman, the Dallas police chief later said. The shooter, using a semi-automatic rifle legally available in Texas, eventually killed five officers. Killed by a robot: Police killer Xavier Johnson The mass murderer, a black man, told the police that he had been targeting white police officers, the police chief said. He was later found to have been a supporter of the New Black Panther Party, a group that advocates the use of violence against white people generally and Jews in particular. The killer, Micah Johnson, 25, was an army veteran. So were four of the five officers he murdered that night. In the aftermath, with anger and dismay across American society, a former police chief, Charles Ramsey, a black man, told the media that the country was sitting on an explosive: "You can call it a powder keg. You can say that we're handling nitroglycerin. But obviously when you just look at what's going on, we're at a very critical point in the history of this country." The ingredients of America's nitro have been becoming more potent and more volatile since Martin Luther King identified them in 1968. It's well known that King's nonviolent protest movement led to greater legal equality for America's blacks. It's less known that he regarded this as just a beginning. It was in 1968, the last year of his life, that the Nobel laureate identified the great struggle ahead. The US was suffering systematic "racism, poverty, militarism and materialism". It's the first three of these that present America with its particular brand of danger today. The fourth, materialism, is present too, of course, yet it is a universal feature in the developed nations of the world, yet most of them have nothing of the American explosiveness. It's the first three that seem to combine with unique potency. In 1968, after tremendous success against racial segregation, King turned his full attention to economic inequality. He called it the "Poor People's Campaign". He wanted an "economic bill of rights". On the night before he was assassinated, in his last speech, King said: "God has commanded us to be concerned about the slums down here, and his children who can't eat three square meals a day." He told his audience that black Americans might be poor individually, but that they had a collective purchasing power of over $US30 billion, greater than total US exports. He called for a boycott of Coca-Cola, a big milk company and two bakeries for mistreating black workers. "We begin the process of building a greater economic base. And at the same time, we are putting pressure where it really hurts." Without King to lead it, this economic campaign collapsed. It's no coincidence that today's heightened tensions follow a long stagnation for middle and low income Americans and the aggravation of grotesque inequalities. Barack Obama two years ago described inequality as "the defining issue of our time". After the Dallas shootings, Obama pointed squarely to entrenched racism as a contributing factor. The shootings, he said, were "symptomatic of a broader set of racial disparities that exist in our criminal justice system". The President persisted, too, with his effort to draw attention to America's peculiar psychosis, its crazed embrace of ever-more deadly weapons. Guns were not the only cause, he said, but they were one cause. In the face of Congressional obstruction, Obama has proved largely impotent on all three issues. Just as King feared inequality, racism and militarisation, or at least, a country bristling with weapons of war are the elements of the nitroglycerine of a potential American explosion. Unfortunately, America today has no leader of the stature of King to seek a solution. Calm unity is required in a system that has is increasingly fired with angry division. Australia cannot afford to be too smug about the tribulations of its ally. Australia today has more illegal guns in circulation than it did when John Howard launched his crackdown. He has lately urged renewed action. Australia's relatively benign levels of inequality are not fixed; inequality, in any country, is a simple matter of policy choice by government. And virulent racism is only ever a few Pauline Hansons away. Australians can only wish America well, but we can take more active preventative measures at home. Understandably, the community may now not distinguish between HIV the viral infection and AIDS, the syndrome of illnesses which occur after the virus has wreaked havoc on the now damaged immune system. We can now sustain the strength of the HIV-positive person's immune system and prevent the onset of AIDS. We cannot yet prevent HIV infection, neither with a preventive vaccine nor cure HIV by flushing it out of hiding places out of reach of antiretroviral therapies. The vaccine would almost certainly be the best way to prevent HIV globally. Novel approaches to both cure and vaccine are well under way in my organisation's laboratories and in others. We are pedalling as hard as we can to achieve these outcomes, which remain the holy grail of HIV research. In the meantime we are also deploying proven prevention tactics. Earlier this year, the NSW Minister for Health, Jillian Skinner, approved a program called EPIC-NSW (Expanded PrEP Implementation in Communities in NSW) which has seen the fast, targeted distribution of pre-exposure prophylaxis (PrEP) to HIV-negative participants at high risk of HIV infection. The strategy behind the program is to protect these high-risk people by giving them a once-a-day tablet, preventing them from becoming HIV-positive. The accompanying drive to encourage frequent testing and early treatment is expected to increase the number of previously undiagnosed HIV-positive people, in whom the virus quickly becomes undetectable and so breaking the chain of transmission to partners and potentially cutting the rate of undiagnosed HIV in the community. We hope to enrol 3700 men by the end of this year; in the program's first four months we have enrolled 2000 men. If we can reach our target, it should create a drop in new HIV infections across NSW by 50 per cent year on year and virtually wipe it out by 2020. Skinner said at the trial launch that if we can do this, she would consider it the greatest achievement of her time as health minister. It's safe to say that most people would not want to experience life behind bars. Nor would we wish it on anyone for the mere fact of them being wealthy. But that doesn't mean Oliver Curtis should not be there. No doubt Curtis endured uncertainty, stress and embarrassment in the seven years that elapsed between his being called in by the Australian Securities and Investments Commission and the start of court proceedings against him for conspiracy to commit insider trading. It hardly seems, however, to have curbed his lavish lifestyle of conspicuous consumption. Insider trader Oliver Curtis is escorted to a prison truck after being sentenced to a maximum of two years in jail. Credit:Daniel Munoz He could have saved himself trouble and expense by co-operating with the authorities and pleading guilty, as did his former best mate, former equities trader John Hartman, who passed on the illegal tips that delivered the two men $1.43 million in illegal profits in 2007. Hartman served 15 months in jail. What matters most, as Justice Lucy McCallum identified in sentencing Curtis to a minimum of one year and maximum of two years in jail, is that Curtis has not "embraced responsibility for his offending". Indeed, he expressed "no contrition to any degree whatsoever" until after the jury found him guilty. He readily admits to being unaware if the word mandate actually exists in the constitution. Regardless of the language of the time, the constitution guarantees the winning party only one mandate, and that is to form a government. Any issues beyond that must be argued on the floor of the House of Representatives precisely why we spent millions of dollars building the place. Mr Vorbach would propose that democracy be limited to election day, to be replaced on every other day with a benevolent (if we're lucky) dictatorship. Robert Christie Cleveland (Qld) Bill Shorten doesn't have to look far to find common ground with Malcolm Turnbull ("Do we need a national summit?" July 11). He could assist the government to make Medicare not just sacred but sustainable. He could make a valuable contribution by helping to take the measures necessary for protecting Australia's credit rating. By putting the good of the country before the immediate gratification of his own ego he could help to restore the credibility of our politicians in the eyes of the public especially those who deserted the major parties at the election. Mark Porter New Lambton Perhaps when Malcolm Turnbull and Bill Shorten are having a heart to heart about making the new Parliament work they might agree that the type of nonsense the Gillard government was subjected to daily by then-opposition leader Tony Abbott, Christopher Pyne et al would not be in the best interests of the country. Bob Atchison Quirindi As parliamentarians are paid to govern, and haven't done so for the past two months, it would be reasonable to direct two months' parliamentary salaries towards deficit reduction. Gene Ransom Kingsford Checks and balances if voting goes online At last there is a call to introduce electronic voting a system that we have used in the ACT election ("Frustrated leaders decry slow counting", July 11). However, I found that if I had wished to vote "informal", as we all have a right to do reject all candidates then I could see no way of doing so. I trust that when a system is developed and introduced that facility will be available. Alan Parkinson Weetangera (ACT) Both Turnbull and Shorten apparently agree on the need for electronic voting on the basis that this would speed up the process of deciding who is to govern. Strangely, I feel reassured that the country is in the hands of the bureaucrats and fear that all our problems will start once again when the politicians take over. Wade Smith Pyrmont As an IT professional I am strongly opposed to Australia moving to electronic voting. There is no such thing as as a secure electronic voting machine. Even if a system is secure today, and it probably isn't, in three years someone will have found a way to break it. Ben Aveling Alexandria Electronic voting? On Malcolm's tin can and string NBN? I'll time out before I can finish voting for the Senate. Jim Brokenshire Bowral Medicare will be merely a wistful museum piece one day When I go to the museum I like to admire the old tea-sets, with lovingly crafted teapot and milk jug surrounded by matching cups and saucers, a beautiful combination of form with function. But sadly the teapot no longer brews tea, the jug no longer dispenses milk, and the cups no longer give the tea-drinker pleasure. The museum conservator may feel he is the best friend the tea-set ever had, but the tea-set can only be admired by people who reminisce about what it must have been like to actually use it as it was intended by its creator. Bulk-billing is Medicare's central pillar. It was always the intention of Medicare's architect, Dr John Deeble, that all doctors be encouraged to bulk-bill everyone. The bulk-billing incentives provided to pathologists and radiologists emphasise this point. Sadly, with the removal of the incentives, and the freeze on rebates, bulk-billing will disappear. The government proclaims they will "save" $3.8 billion over four years by doing this, as if pushing costs back onto patients is a laudable motive ("Turnbull Government will push ahead with 2016 Budget despite questions over mandate", smh.com.au, July 10). Senator Sinodinos even said "the Coalition is the best friend Medicare ever had". In years to come we will admire its remains, and reminisce about what it must have been like to actually use it as it was intended by its creator. Rob Davies Denistone Of all the jobs to fail work-life balance ... Changes to salary conditions for teachers taking extended leave are short-sighted and very damaging to the school system ("Women face falling behind if they leave to raise children", July 11). Quite apart from the inequities of the changes and the lack of encouragement to returning workers, many may turn to private schools or other occupations where they could be offered greater opportunities in salary, conditions, respect and appreciation. But more importantly, the growth in a teacher, after becoming a parent, or travelling and working overseas, is always fascinating. As a parent, teachers offer a new whole child perspective. Each student now individualised as if their own. This change of perspective is obvious from the moment of birth or return to work and offers students an added more personalised experience from the teacher. Far from decreasing salary for returning workers, experience gained during the interim period should be considered on return. Maternity responsibilities, overseas teaching experiences and other alternative jobs should all be considered. Janice Creenaune Austinmer What irony: employed to educate the children of others, women teachers are utterly financially disadvantaged if they dare to have their own and then to care for them for a number of years following their birth. What a brutal and misogynistic system of abuse perpetrated by the very department involved in the management of children's education and wellbeing.Anne Finnane Marlee Catch mouse, shed flab Although it seems that some users are sustaining injury after the release of Pokemon Go, I am pleased to finally see an electronic game that encourages users to do physical activity and get off the sofa ("Go go gadget: Pokefans take a swipe as monsters invade the city", July 11). Speaking to some addicts, they reported doing twice or three times as much walking as they were previously each day. I would like to see some other movements involved like jumping or dodging. Here's to a physically active gaming future! Amy Bonnefin Epping Stand and be discounted While your article points out that the passengers on Redfern to Helensburgh service "have to endure a train ride with more passengers than seats", this model, with passengers standing for long journeys, will be the norm on the Baird government's proposed Metro Line ("Factual fast-track: how to get a seat on your train", July 11). The single deck Metro trains are designed with fewer seats. Effectively, Sydney's rail service has reached a level of overcrowding and discomfort that the Baird government is hoping to emulate from the very outset with the Metro Line. Peter Olive Marrickville He cares, so he bans I agree with Premier Mike Baird on banning greyhound racing but he hasn't gone far enough ("Live exports just as reprehensible as greyhound racing", July 11). Whenever I drive in country areas I see Australian wildlife roos, wombats, birds, snakes, lizards, etc killed by vehicles. Sadly they're everywhere. On top of that the road toll is up, as also are fines for traffic infringements. Obviously those in charge of cars cannot be controlled. So, as with greyhounds, the only option to stop this mindless carnage is to ban cars. People can walk, move closer to work, catch public transport, use bicycles, motor bikes or scooters. But don't blame the Premier: he's only trying to clean the place up, which, in his mind, means keeping us under his control. Those of you who are too fat, be careful; you may be next. Will Hagon Bellbird Changing Howard's mind In the wake of the Chilcot report, Sarah Gill points out that the possibility of bereaved families in Britain contemplating legal action is unlikely to bring any pressure on John Howard ("The Coalition of the Willing still willing to deceive us all", July 11). Should the bereaved families of the tens, if not hundreds, of thousands of civilian Iraqi casualties which were a direct consequence of the 2003 invasion and the aftermath get it together to mount legal action in such huge numbers, with John Howard being among the defendants, then I suggest our former PM will change his tune about having no regrets. John Boutagy Mosman AIDS: the next fight While it was good to hear that the AIDS epidemic is over in Australia, we must not forget that more than 30 million people around the world are still living with HIV and/or AIDS ("AIDS epidemic 'over' in Australia, say peak bodies", July 11). This is most prevalent in Sub-Saharan Africa which accounts for 70 per cent of cases. Fortunately, the Global Fund to fight HIV, tuberculosis and malaria is working to end these diseases. Global health leaders will meet in Canada on September 16 to seek funding pledges for the next three years. Supporting the Fund makes good economic and social sense, producing returns on investment of 17:1 for HIV and up to 40:1 for tuberculosis programs. Now that we know who will form government, let's ensure they make a commitment of $300 million to the Global Fund over the next three years. This is a small price to pay to save the lives of millions and end these epidemics by 2030. Ryan Kennealy Lyndoch (SA) Piano still heard In spite of more funding cuts to ABC Classic FM radio (Letters 11th July), they have still been able to scrape the bottom of the piggy bank to broadcast the entire 17 day Sydney International Piano Competition being held at the Sydney Conservatorium. Well done. Lorna Denham Cardiff Heights All hail Saint Ross a ratings winner Following his brilliant demolition of the ratings agencies, especially Standard and Poors, I have decided to nominate Ross Gittins to the Pantheon of Saints, to have special responsibility for treasurers, economists, rating agencies and other lost causes ("Sometimes there are forces more powerful than for-profit agencies", July 11). I hope that a lapsed Methodist is not offended by this elevation St Ross has a nice ring to it. Ray McWhinney Marsfield The right name for the right "Right Up" would be a good name for Cory Bernardi's protest movement (Letters, July 11) but given the Senator's obsession, it's a pity "Bugger Up" has already been used in the 1980s and "Cock Up" is a bit too close to the bone. John Byrne Randwick Those old, cold habits The New York-based artist Spencer Tunick manages to get 3200 very blue Brits to an outdoor shower, and still no one passes the soap ("Blue on cue: record number strip naked in the cause of art", July 11)? Paul Brown Killarney Heights Anyone for a mandate? I'd like to inform Doug Vorbach, (Letters, July 11), that a mandate is what my gay friends go out on. In the 18th century, many composers were obsessed with the human physical reaction to music, says violinist Skye McIntosh. "The idea that the voice could give you goosebumps. They wanted to emulate that in the music they wrote for instruments. They wanted to provoke a reaction." McIntosh is the artistic director of the Australian Haydn Ensemble, a group that has quickly established a reputation as one of the country's leading ensembles specialising in late baroque and early classical repertoire. Skye McIntosh says there is a lot of funkiness in what Haydn was writing. Credit:Kate Geraghty As a musician she has seen the effect music has on the listener up close. "We perform in smaller venues where people are right next to you," McIntosh says. "They can actually feel the music and hear the different sound of the gut strings and the wooden flutes that sometimes gets lost in a bigger concert hall. One of the keys to our success is that people feel connected to what we do." It takes some moxie, declared the trade newspaper Variety in its review of HBO's new crime drama The Night Of, to tackle an entire institutional infrastructure and make gripping television, especially at HBO where David Simon's The Wire remains a peerless benchmark. To proclaim The Night Of as the equal of The Wire would be high praise indeed, and yet as the first hour unfurls itself, crammed with nervous uncertainty, it's obvious we're bearing witness to a television drama of the rarest kind, the once-in-a-decade sort which stands shoulder to shoulder with The Sopranos and Breaking Bad. The series follows Nasir "Naz" Khan (Riz Ahmed), an American-born college student of Pakistani descent whose chance encounter with a young woman ends when he wakes up to find her stabbed to death, and with no memory of what has transpired. Convinced of his guilt is detective Dennis Box (Bill Camp); in his corner is attorney Jack Stone (John Turturro). The series, without explicitly saying so, becomes a stunning examination of the deeply flawed American criminal justice system, and the quicksand-like fashion in which it can engulf an individual. "I don't know if there is a better form of justice than 12 good men and women," producer Jane Tranter says. "But what the drama does really well is [show] the Dickensian feel of many layers, there's a kind of spiral to hell, if you like. Broadcaster Steve Price, bless him, has built a career on righteous belligerence. Like the men he made a point of calling his friends during tonight's Q&A Andrew Bolt, Eddie McGuire, Sam Newman he's become so used to people criticising him that he pre-emptively expects it, to the point of hearing it when it doesn't even exist. Most of the time, as on The Project, he keeps his simmering rage in hand, but tonight his lightning-quick anger came to the fore at the very first question, where Price angrily insisted that the questioner retract the suggestion that Price had called for Malcolm Turnbull to resign a claim which the audience member hadn't actually made while simultaneously accusing the questioner of not listening properly. It was something of a prologue of the performance to come, which was the first Q&A since the Coalition confirmed that it had formed government. So fake lawyer Mike Ross (Patrick J. Adams) has finally gone to prison, five seasons after high-powered corporate attorney Harvey Specter (Gabriel Macht) hired him, knowing that he didn't have a law degree. This development does nothing to slow Suits' constant flow of movie references Mike is discussing The Shawshank Redemption with prison staff even before he's been shown to his cell. Fittingly enough, he's in one of those movie prisons where you walk down hallways in slow motion while hardened cons give you the stink-eye and the last conversation you had plays back again in your mind or maybe over the prison PA system. Back at the office, Harvey, Jessica (Gina Torres) and Louis (Rick Hoffman) decide that smoking weed might help them figure out how to save their collapsing firm. The twist at the end of this episode should stoke fans' enthusiasm for the next. Brad Newsome ABC2, 7.45pm Filmed in front of a live audience at the BBC's Radio Theatre, this series is billed as showcasing "the hottest new talent on the circuit", but tonight's two stars fall short of that description. Canadian Mae Martin, seen recently at the Melbourne International Comedy Festival, seems ill at ease during some warm-up banter with the crowd. She settles in to make a couple of good gags, including one about "the loneliest single person task there is", but her act relies a little too heavily on her sexuality. Following Martin is British up-and-comer Nish Kumar, who, embarrassingly, has a bone to pick with whoever devised the questionnaire for comedians at the MICF, including a question relating to being Muslim (he's Hindu). He's got a lovely turn of phrase "ethnically ambiguous space", "weapons-grade pessimism" but like Martin, his set is light on LOLs. Still, as an introduction to some of the new comics on the scene, this is a good little taster. Annabel Ross movie Public Enemies (2009) 7flix, 10.10pm The sad death of Michael Cimino on July 2 reminded one of how great directors can be remembered as much for their poor or misunderstood movies (Heaven's Gate) as their great ones (The Deer Hunter, today, Masterpiece Movies, 5.25pm). Michael Mann faces the same fate. After films as supremely well crafted as Thief, Manhunter and Heat (Sunday, Thriller Movies, 10.10pm), he abandoned celluloid for HD video and, not coincidentally, is now making movies as unmemorable as Miami Vice and Public Enemies. It is not just that video usually looks ghastly, it changes the way stories are told. Instead of carefully choreographed action, directors tend to let their actors just go for it and then record whatever happens as if making a documentary. From this, you can get performances as awful as Johnny Depp's 1930s mobster, John Dillinger. Public Enemies could have been, like Heat, another riveting exploration of a criminal and the pursuing policeman (Christian Bale), but it is never a real film, just the infuriating shadow of one. Scott Murray The world's storm tracks are shifting polewards and clouds are rising higher in the atmosphere, two trends that are likely to exacerbate global warming, US scientists say. New analysis of satellite data for the 1982-2009 period by California's Scripps Institution of Oceanography has resolved what the researchers say is one of the biggest uncertainties involving climate science. Clouds play contradictory roles in the climate. They have a cooling effect because they reflect solar radiation back to space but they also have a warming role by restricting the thermal infrared radiation from the Earth. "A small cloud change can have a substantial effect on Earth's radiation budget," Joel Norris, Professor of Climate and Atmospheric Sciences at Scripps, told Fairfax Media. Do you feel sorry for Woolworths' former chief executive Grant O'Brien? You probably don't. He was paid well enough and the company's problems aren't entirely his fault. In fact, O'Brien's predecessor Michael Luscombe, Woolworths' chief executive between 2006 and 2011, should also shoulder some of the blame. Woolworths let its margins climb too high and customers left in droves. Credit:SMH O'Brien took the fall for the Masters debacle as well as for letting Woolworths' food and liquor margins expand to the point that let Aldi and Coles gain a foothold. Shareholders will wear the pain of both decisions this year and beyond. O'Brien made mistakes, including the appointment of an outsider, Tjeerd Jegen, to the key role of director of supermarkets in 2011. By Christmas 2014 it was all starting to unravel. The execution of the Masters rollout was also poor. The HIV pandemic could be wiped out by a revolutionary preventative pill, but investment in the class of drugs involved is likely to be blocked by discriminatory attitudes towards homosexuals, sex workers and drug users, a top scientist says. As the world's leading HIV researchers launched a new strategy to find a cure for HIV, the head of Australia's Doherty Institute, Professor Sharon Lewin, said too few countries were investing in PrEP, a program using a group of drugs that can prevent the virus in people at high risk of getting it. Professor Sharon Lewin (left) and Professor Francoise Barre-Sinoussi. Credit:Graham Tidy Professor Lewin said PrEP (pre-exposure prophylaxis) drugs were already slashing infection rates among high-risk populations in US cities such as San Francisco and New York, but only seven countries have so far approved the drugs for preventative use. France is the only one that has subsidised such use so it is affordable. A mother who alleged her 12-year-old daughter had been sexually abused by a staff member at a disability organisation was threatened with legal action for speaking out, a royal commission has heard. Maree Welch raised suspicions that her daughter Bobbie had been abused by a casual worker at the Family Support Group (FSG) in 1995 but will tell the commission that her complaint was not appropriately handled. Chief executive of The Disability Trust Margaret Bowen is expected to give evidence. Credit:Lisa Wachsmuth In the opening address of a public inquiry into disability organisations, the Royal Commission into Institutional Responses to Child Sexual Abuse heard Ms Welch told FSG co-ordinator Melissa Edwards she believed Bobbie may have been raped. "I hate to tell you this, I think Bobbie has been interfered with, sexually molested or possibly raped but I don't know whether it has gone as far as rape," the commission heard. Michael Meakin had 21 schooners of full-strength beer under his belt when he got into a fight with Nicholas McEvoy at a western Sydney pub late on a summer's night. Less than an hour later Mr McEvoy was dead on a nature strip, with a torn plastic bag wrapped around his left hand and beers scattered everywhere. Michael Meakin is accused of murdering Nicholas McEvoy by mowing him down with his van after a fight. Credit:Steven Siewart A woman driving past saw his body on the side of Richmond Road at Dean Park just after midnight on February 21, 2014. She stopped and called triple-0 but by the time paramedics arrived Mr McEvoy had no pulse and was cold. "When you read a newspaper report you decided to review and revise your account, correct?" Michael O'Connell, SC, asked. A barrister representing the family of killed hostage Katrina Dawson pressed Delta Alpha on his new interpretation of events. But a conversation with the deputy tactical commander during the siege had led him to believe there was no automatic trigger, he said in a January 2015 statement. He recalled this being "unusual" and agreed in court it "made no sense". Then on Monday, Delta Alpha said he and the deputy tactical commander might have been referring to different plans, confusing the EA and DA. Delta Alpha said that at the start of the siege he had assumed the standard trigger was in place: imminent threat of death or serious injury. Delta Alpha agreed he had but could not recall which witness the news report concerned. "It was something about confusion over triggers." Delta Alpha said between giving his statement and testifying in court, he had also read police documents that set out the standard trigger for emergency action. The deputy tactical commander testified last week he had always thought an immediate threat of death or serious injury was the trigger. In other evidence, Delta Alpha said he had been unable to see when he became the first of his team to enter the cafe. One of his officers had thrown a flash-bang distractor that bounced off a door. He took off his night-vision goggles and did not put them back on before crossing into the stronghold. "Because it was so black, the Tango [target] could have been standing one metre inside and I wouldn't have been able to see him," he said. Almost 4,000 ex-prisoners on parole will be offered intensive individual support in a bid to prevent them returning to jail under the latest "social impact investment" scheme launched by the NSW government. National Australia Bank and the non-profit Australian Community Support Organisation (ACSO) have signed up as investors in the scheme, which aims to reduce re-incarceration rates. NSW Treasurer Gladys Berejiklian says first home owner grants are helping to improve housing affordability - a topic her budgets have been criticised on in the past. Credit:Louise Kennerley It will see 3900 parolees over five years receive the support to help their reintegration into the community, particularly in the first 16 weeks after release. The Transition Regeneration and Community Connection program - otherwise known as On Tracc - will be run by ACSO and a fellow non-profit, arbias. A 79-year-old woman has been taken to hospital with both ankles broken after she was run over by her husband on Sydney's northern beaches on Monday morning. The woman was helping her 87-year-old husband park their car when she was injured. Police said she also sustained cuts to one of her thighs. Ambulance paramedics treated the woman at the scene, on Dale Street, near Old Pittwater Road, Brookvale.